Main Maker

Inventory-Updated

We sell the semiconductor equipment at the following conditions.

(1) AS IS;

(2) Complete, Working, Functional Test;

(3) Refurbished with OEM specifications, warranty, installation.

Try to meet any of your budgets!

Description

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

We supply many other semiconductor components, equipment, RF Generator, Chiller, Turbo, Cryo pumps, MFC, Vacuum pumps and controllers, step motors and drivers, etc. AMAT, Brooks, Equipe PRI Equipe Technologies ESA ESC Estek ETA ETEC Systems ETEL ETO Ehrhorn  ETP Eurotherm  Extreme Networks Extron Exynetics Electroglas  Festo Filter Filter Pump Fisba Optic Fisher Hamilton Flowline Fluke Digital Fluoroware Force Computers Inc.Fortrend Fostec Foxboro Frontier Tech FSI International FTI FTS Systems Fuji Electric Fuji Seiki Inc.Fujikin Fujimi Fujion Furon Fusion Semiconductor Fusion Systems  Futaba Futurestar Galil Motion GaSonics Gast GasTech Gaston Gates GC Valves GD Fanuc GE General Electric GE Industrial Services Gems Sensors GEMU General Monitors General Precision General Scanning Genie Industries Genmark Automation Georg Fischer Geotest Gespac GF Signet Glassman Glentek Global Laser Gluton West Go Gould GPI General Precision GPI General Precision Inc.Granville-Phillips Grayhill Greene Tweed Chemraz Griswold Grundfos Grundfus GSI Group Sciences Inc. GSI Lumonics GSNX Precision Instruments Hakko Ham-Let Hama Hamamatsu Hokuto Denko Heateflex Corp. Heidenhain Heiland Electronic Hepa Corp.Hermos Hine Design Hirakawa Hitachi HiTek Power Hitron HNL Inc.Hoerbiger Origa Hoke Honeywell Horiba STEC Horner Electronic Hoya Corp.Hoya-Schott HP Hewlett Packard HP Hewlett-Packard HP Versatest HPS Hubbell Huber Hubner Humphrey Huntington Mechanical Huttinger HVA High Vacuum Apparatus HyCal Sensing IAG Electtronica IAI Corp. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. IKO Nippon Thompson Co. Imtec Acculine IN USA INA InBus Engineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev. Corp. Integrated Dynamics Engineering Integrated Measurement Systems Integrated Power Designs Integrix Interface Interlink Internix InUSA Invax Technologies Invensys Ion Systems IRIE Koken Irvine Optical Corporation ISA Instruments ITT Standard ITW Rippey Texwipe Ivek Corp. Iwaki J.C. Schumacher JAE Electronics JAI Corp. Javelin JDS Uniphase Jennings Technology Infab Jenoptik Optical Systems Inc. Jensen JEOL Jikco JM Athena Jobin Yvon John Crane International Johnson Controls Johnson Matthey JRC Jumo Junkosha Leak learn K-Patents Process Instruments K-Tec Kachina Semiconductor Services Kaehlig Antriebstechnik GmbH Kaiji Kaijo Kaiser Kalex Kalrez Kanken Techno Kaparel Kashiyama Kawasaki Kaydon Keithley Kensington Labs Kenwood Kepco Kevex Semicron Key High Vacuum Products Keyence Kikusui Kimmon Quartz Co. Kinik KLA Instruments KLA-Tencor Kloehn Knie lKoch Koganei Kokusai Vertron Kollmorgen Komatsu Electronics KoMiCo Kondoh Kohsya Kooltronic Koyo KSI Kaiser Systems Kuhnke Kuroda KV Automation KV Ltd. Kyosan Electric Mfg. Kyoto Denkiki Co. Kyowa Instrumentation L-Com Lake Monitors Lakeland Lam Research Lambda Invensys Leeson Leica Levitronix Lexel Leybold Leybold Inficon Leybold Oerlikon MECS Corporation. Millipore Mitutoyo Corp. MKS MKS ASTeX MKS Instruments MKS Precision MMI Systems MMPIC Mnemonics Inc. Modus Instruments Inc. Moeller Molectron Molex Monarch Motech Motion Engineering Motorola Motortronics Motoyama Mott MOXA MRC Materials Research Corp. MRL ASM Technologies Neuner Elektrotechnik Neutronics Newport Newport Electronics NI National Instruments Nicolet Nicolet Instrument Corp. Nikon Nikuni Nippon Noah Precision Nor-Cal Noran Instruments Nordson Norgren Northeast Fluidics Norton Nova Nova Measuring Instruments Novascan Technologies, Inc. Novellus Systems NSK NT International NTRON Numatics NupronView Corp. Nyquist ODEM Scientific Oerlikon Oerlikon Leybold Ohaus Precision Olympus Omega Omron OneAC  OnTrak Systems Inc. Opal Optem International OPTO 22 Osram Oramir Oregon Micro Oren Elliott Products Oriel Oriental Motor Oriental Motor Co. Origin Electric Orion Orion Machinery Co. Osaka Vacuum Osicom  Pacific Scientific Pall Corp.Panasonic Panel-Tec Parker Parker-Hannifin Particle Measuring Systems Patlite PBI Dansensor Perkin-Elmer Pfeiffer Pfeiffer Vacuum Technology  Praxair Pre-Tech PRI Automation Price Pump Co. PRMS Inc. Pro-Face Process Technology Procon  Prolog Prometrix Corp. Proportion Air Proportion-Air Proteus Industries PTI Progressive Technologies Inc. Pulizzi Pulnix Qualidyne Quartz International Quartzfab Radian RadiSys RARA Raritan Rasco Research Inc. Recif Red Lion RF Services Inc. RFPP RF Power Products Rorze Automation Screen SCV SDI SDS Seiko Precision Seiko Seiki Sekidenko Semi Gas Systems SpeedFam  STS System  SUNX  SVG Silicon Valley Group  Tektronix  TEL Tokyo Electron UNIT Instruments  Varian VAT Yaskawa  Ziatech Zitel Zygo Quantum Design PPMS Dynacool B Magnetization Raith eLine electron Beam Lithography System Lithography Ramé-Hart Model 250 Contact Angle Goniometer Contact Angle Goniometry Rapid Thermal Annealing furnace (Custom) – max temperature 1000°C Diffusion / Annealing Furnaces Savannah S100 Atomic Layer Deposition Cambridge Nanotech Deposition Tools SCS Labcoter2 Parylene Coater Deposition Tools Shimadzu DTA-50 Differential Thermal Analyzer (DTA) Center for Excellence in Soft Materials Shimadzu EDX-7000 Energy-Dispersive X-Ray Fluorescence Spectrometer X-Ray Diffraction (XRD) and Scattering Siemens/Bruker D-5000 XRD System X-Ray Diffraction (XRD) and Scattering SLM/ISS Fluorometer Spectroscopy Sloan Dektak3ST Profilometer Surface Profilometry Small Angle X-Ray Scattering System with Pilatus 300 Detector X-Ray Diffraction (XRD) and Scattering Solvent Fume Hood or Developer Fume Hood Wet Processing Spin Coater Systems (Headway Research) FEI CM 20 – TEM The FEI CM20 is available for applications that require high tilt, moderate resolution (0.23 nm). This 200 kV analytical TEM allows the large specimen tilts needed for dislocation characterization and weak-beam imaging applications. It is equipped with a low background double tilt holder and a liquid nitrogen temperature holder. Compositional analysis is performed on this instrument using energy dispersive x-ray spectroscopy. Images are recorded using a large field of view, side mounted, digital image acquisition system. FEI Helios – FIB This tool is fully equipped for (near) simultaneous ion/electron beam imaging and patterning of specimens. Pt are available for ion (or electron) beam stimulated deposition of conducting lines. An Omniprobe AutoProbe 200 allows the physical manipulation of samples with nanometer precision and is used along with Pt metal deposition and standard FIB trenching to allow the selection and removal of electron transparent TEM samples from specific microstructural features. A Nabity pattern generation system (plus beam blanker and external scan interface) controls the electron beam for high resolution, interlaced pattern generation used in the FIB fabrication of nanostructures. Three dimensional crystallographic data can be generated from specimens using the fully automated EBSD system (Oxford HKL&EBS-3). It is equipped with a Debben heating/cooling holder, and selective carbon mill is available. JEOL 2100F – S(TEM) The 2100F is a 200 kV, field emission source, high-resolution/analytical S(TEM) capable of 0.10 nm lattice resolution. It is primarily used for obtaining lattice images or when chemical or crystallographic information is required from laterally small areas (1-10 nm). The instrument is equipped with an x-ray spectrometer capable of light element detection, a Gatan image filter (GIF) for element and phase analyses and enegy filtered imaging, a high tilt holder for electron tomography and a high-resolution CCD camera system for digital image acquisition and processing. A low background double-tilt holder for chemical analysis by EDS, a standard double tilt holder, a heating holder capable of 1000C, and a heating straining holder are available. Probes as small as 1 nm in diameter are accessible for micro-diffraction experiments. STEM mode for EDS line and area scans, bright and dark field STEM detectors, and high angle annular dark field is capable in STEM mode. Two in situ and operando specimen holders are now available for use on the 2100F. The MEMS Heating + Biasing Holder allows the user to perform heating (up to 1400 C), and simultaneous electrical-biasing, while observing the specimen in the TEM. The Single-Channel Gas Holder allows the user to perform TEM of specimens under atmospheric-pressure gas environment and heating (up to 1400 C). This expands our capabilities in the exciting area of in situ and operando TEM for studying phase transformations, battery materials/devices, solar materials/devices, electronic devices, catalysis, etc. K-Alpha XPS The K-Alpha X-ray photoelectron spectrometer (XPS) has a micro-focussed monochromated X-ray source, a double-focussing hemispherical analyzer with multi-element input lens (128-channel detector, 5-1500 eV range), and argon-ion source for depth-profiling/sample-cleaning. LEO 1530 – SEM The LEO 1530 VP ultra-high resolution field emitter SEM that allows for a resolution of 1 nm at a voltage of 20 kV in high vacuum and 2 nm at 30 kV in the variable pressure mode. It is fully equipped with EDS for chemical mapping and includes a Debbem tensile test stage 5kn. The instrument is equipped with Nabity pattern generating software a high speed beam blanker and is set-up for high resolution electron-beam lithography. The LEO 1530 VP is capable of a resolution of 2.1 nm at very low electron energies (1 kV) which is of great use for beam-sensitive materials like polymers and insulating surfaces. Also very useful for insulating samples is the variable operating pressure mode which allows insulating materials to be imaged without coating the surface. Quattro S – SEM The Quattro environmental scanning electron microscope (SEM) is a unique SEM that combines a field-emission source for high-resolution imaging and an environmental feature allowing the observation of samples under wet/humid, hot, or reactive conditions. It is also equipped with an energy dispersive spectrometer (EDS) for analytical characterization. Lithography Syntron vibratory polishers (two identical pieces) EM Support Instruments TA DHR-3 Rheometer Center for Excellence in Soft Materials TA Instruments Affinity ITC Center for Excellence in Soft Materials TechCut 4 Precision Low Speed Saw EM Support Instruments Temescal Ebeam Evaporator 2 Deposition Tools Temescal Ebeam Evaporator 4 Deposition Tools Temperature-Controlled Cryogenic Vacuum Probe Station Probe Stations Tergeo-EM Plasma Cleaner EM Support Instruments The Optics 11 Life Piuma system Nanoindentation Thermo Nicolet Nexus 670 FTIR Spectroscopy Thermo Scios2 Dual-Beam SEM/FIB Focused Ion Beam (FIB) FIB/SEM DualBeam Systems ThermoFisher Glacios Cryo-TEM Transmission and Scanning Transmission Electron Microscopy (TEM/STEM) Time-Domain Thermoreflectance Spectroscopy Time-Resolved Photoluminescence Spectroscopy Tosoh EcoSEC 8320 GPC System Center for Excellence in Soft Materials Two-Color Time Domain Thermoreflectance – TRMOKE Spectroscopy UV 365 nm Exposure System (Oriel) Lithography UV/Ozone Cleaner EM Support Instruments Varian Cary 5G and Agilent Cary 5000 Spectroscopy Wedge Bonder (25 um Aluminum wire, K&S 4523A) Bonding Stations Well 3241 Precision Diamond Wire Saw EM Support Instruments Westbond 4KE wire bonder Bonding Stations Yield Engineering HMDS Vapor Prime Oven Lithography Yield Engineering P-I Curing Ovens Lithography Yield Engineering Vacuum Curing Ovens Lithography Zeiss Axiovert Microscopy Zeiss LSM7 Live Microscopy Airco Temescal FC-1800 multi-pocket electron-beam evaporators (3) Denton Explorer electron-beam evaporator Emitech K675X 3-target, Peltier-cooled sputtering system First Nano 3-tube, low-pressure chemical vapor deposition (LPCVD) system General Air atmospheric-pressure CVD (APCVD) system Oerlikon Leybold 5-target UHV sputtering system Oerlikon Leybold 3-chamber, 16-target UHV sputtering system for magnetic materials Oerlikon Leybold 8-pocket electron-beam, dual thermal evaporation system Oxford FlexAL remote plasma and thermal ALD system Perkin-Elmer RF, DC magnetron, 8-inch, 3-target sputter deposition system Cambridge Nanotech Savannah atomic layer deposition (ALD) system Unaxis 790 plasma-enhanced chemical vapor deposition (PECVD) system Varian thermal deposition system Veeco evaporator Alcatel 601E inductively coupled plasma reactive ion etch (ICP-RIE) system Drytek RF plasma asher MemsStar BT001 xenon difluoride (XeF2) etch system Oerlikon Shuttleline ICP-RIE system with endpoint system Oxford PlasmaPro System 100 ICP-RIE system with endpoint system Plasmatherm 790 RIE PVA PS210 microwave plasma asher Tegal barrel asher UVO cleaner Allied Multiprep CMP system Allwin AccuThermo AW610 Rapid Thermal Processing (RTP) System Logitech Orbis chemical-mechanical polishing system (CMP), Type 1CM62 Six Thermco furnace tubes (oxidation diffusion) SSI Solaris 75/100 Rapid Thermal Processor Cobilt CA-800 wafer-to-mask alignment and exposure system RTS AutoStep 200 RTS Mann 3600F pattern generator Lindberg/BlueM resist baking oven OAI light source SUSS MJB 3 mask aligner (2) Tamarack Series 142 contact printer Vistec EBPG 5200 electron beam lithography system Agilent B1500 semiconductor parameter analyzer with I-V and capacitance-voltage modules Tencor Alphastep model 500 surface profilometer Cascade Microtech M150 test station Filmetrics film thickness measurement system (spectral reflectance) Four-point resistivity probe Veeco FPP-5000 automatic four-point probe Gaertner ellipsometer Hitachi S-4500 field emission scanning electron microscope KLA/Tencor P-6 profilometer Olympus LEXT OLS4100 confocal microscope Olympus optical microscopes (4) J. A. Woollam variable-angle spectroscopic ellipsometer (VASE) Disco DAG810 automatic surface grinder Disco DAD3240 automatic dicing saw Teledyne TAC probe WEST-BOND 7372W die bonder WEST-BOND 7400A wire bonder WEST-BOND 7476E wire bonder Other Equipment Ampoule sealing station Blue M furnace Critical point dryer MOS-clean bench MOS-clean spin rinse dryer Spin rinse dryer Molecular beam epitaxy Magellan 400 field-emission scanning electron microscope Helios NanoLab DualBeam 600 SEM/FIB Titan 80-300 300kV FEG scanning transmission electron microscope (TEM) 3D laser confocal optical microscopy In vivo imaging, including Albira PET/SPECT/CT and Multispectral FX. Flip Chip bonder SET FC150 Ball bumper PACTECH model SB2-M Die-attach FINETECH model PICO MA Wire Bonder TPT model HB16 Dicing line DISCO model DAD3350 Reflow vacuum oven ATV model SR714 2 Alignment and Pigtailing Benches 15 axes Micos Splicer FUJIKURA (PM fibers) Stereo, metallographic microscopy and SEM 1 Chemical Hood Seam sealing equipment PYRAMID Climatic Chamber ESPEC model EGNX12-6CAL Pull-shear tests XYZTEC model Condor EZ Acid Bench Acid Bench ACS 200 cluster tool ADT 7100 Dicing Saw AE Evaporator Agilent uFTIR Angstrom Engineering Annealing 4″ & 6″ Ball Bonder MPP iBond5000 Base Bench CEE 100CB Spinner CEE 200X PR Spinner CEE Developer CL200 Megasonic Cleaner CMP Strasbaugh 6EC Convection Oven Cooke Evaporator Deep UV SenLights PL16 Dektak 6M Surface Profilometer Dektak XT Surface Profilometer Dimatix InkJet E-Beam Solvent Bench E-Beam Spinner/Hot-Plate Bench EDP Bench EDS Endeavor M1 AlN Sputter EnerJet Evaporator EVG 510 EVG 520IS EVG620 Bond Aligner Flexus 2320-S Flip Chip Bonder GCA AS200 AutoStep Glen 1000P Plasma Cleaner Glove Box Gold Plating Station GSI PECVD Heidelberg Mask Maker ICON AFM Image Reversal Oven IR Microscope JEOL E-Beam JetFirst-100 Forming JetFirst-150 RTP LAM 9400 Lapper MA/BA-6 Mask/Bond Aligner MA6 Mask Aligner Mask Bench MJB 45S MJB3 NanoInk DPN 5000 Nanoquest II Ion Mill NanoSpec 6100 NanoSpec 6100 nP12 nanoPREP Olympus BX-51 Microscope Olympus LEXT Interferometer Oxford ALD Oxford ICP RIE P5000 PECVD P5000 RIE PDS 2035 PFC Bench Plasma Etch Plasmatherm 790 Probe Station Everbeing BD-6 PSC 122M Mask Cleaner PVD75 Rame-Hart Goniometer RCA Clean Bench Sample Mounting Station SSEC Wafer Cleaner STS Glass Etcher STS Pegasus 4 STS Pegasus 6 Tousimis Automegasamdri 915B (CPD)-1 Veeco Fiji ALD Wafer Bonding Wafer Clean Wafer Clean Wedge Bonder MPP iBond5000 Wet Benches Wet Benches Woollam M-2000 Ellipsometer Xactix XeF2 YES Plasma Stripper YES Vacuum Oven Zygo NewView 5000 Brewer Photoresist Spinners 1 & 2 CEE 100 Spinner NPGS Electron Beam Lithography Sky 335R6 Heated-Roller Laminator Solitec Photoresist Spinner 1 & 2 DPSS Laser Idonus HF Vapor Etch PlasmaTherm Apex SLR RIE PlasmaTherm Apex SLR RIE/ICP PlasmaTherm Versaline Deep Silicon Etcher PlasmaTherm Vision 320 RIE Xactix XeF2 Etcher Yield Engineering Systems G500 O2/Ar Plasma Etcher Picosun R-200 Plasma Enhanced ALD PlasmaTherm Versaline HDPCVD PlasmaTherm Vision 310 PECVD Quorum Tech Q150RES Gold/Carbon Sputter Coater 4 point probe Bruker Dektak XT Dektak 3ST HP 4155A Semiconductor Parameter Analyzer and Probe Station J.A. Woollam M-2000 Ellipsometer Nanometrics Nanospec Carl Zeiss Axiotron Microscope FEI Nova NanoSEM 430 FEI Scios Dual Beam FIB/SEM Nikon TMS Infrared Microscope Oxford EDS and EBSD Nitrogen Glove Box Semitool Spin Rinsers Ultra T SCSx124 Substrate Cleaning System Disco DAD 321 Dicing Saw EVG 501 Wafer Bonder EVG 810 Plasma Activation Nordson DAGE 4000 Bond Tester System Tousimis AutoSamdri 815 Critical Point Dryer West Bond 7700E Ball Bonder Allwin AW610 Rapid Thermal Processor Annealsys As-One RTP Programmable Oven EVG 620-mask aligner GCA 8500 i-line Stepper Karl Suss MA4-1 and MA4-2 Plasma Equip. Tech. Services (PETS) RIE CHA E-beam Evaporator Lesker Labline Sputter System AS-One 150 RTP rapid thermal processing Cambridge Savannah ALD Deposition Tool Thin film deposition CEE Spin Coater Lithography CHA E-Beam Evaporator Thin film deposition Edwards2 Thermal Evaporator Thin film deposition EVG 620 Aligner Lithography Filmetrics F20 and F40 Optical reflectometry GCA 8500 5X Stepper Lithography Heat Pulse RTP rapid thermal processing Hitachi S-4700 Field Emission Scanning Electron Microscope Characterization JEOL JBX-6000 FS/E Electron Beam Lithography Lithography Lesker Sputter Deposition Tool Thin film deposition Lesker Sputter Deposition Tool Thin film deposition Lesker E-Beam Evaporator Thin film deposition Lesker E-Beam Evaporator Thin film deposition Lesker Sputter Deposition Tool Thin film deposition OAI 808 Aligner Lithography Oxford PECVD Thin film deposition PlasmaLab M80 Plus – Chlorine Dry etch, reactive ion etching PlasmaLab M80 Plus – Fluorine Dry etch, reactive ion etching PlasmaTherm 790 RIE – Fluorine Dry etch, reactive ion etching PlasmaTherm Apex ICP Dry etch, Inductively coupled plasma etching SCS Spin Coater Lithography STS AGE ICP – Chlorine Dry etch, Inductively coupled plasma etching STS ASE ICP DRIE – Fluorine Dry etch, Inductively coupled plasma etching Tegal 421 Dry etch, reactive ion etching, barrel ashing Xactix XeF2 Si Etcher Dry etch, dry vapor phase etching Zygo ZeGage Characterization, 3D optical profiling 6 inch Solvent hood solvent cleaning 6 inch Wet Etch Hood Wafer cleaning AlWin21 RTP rapid thermal processing AMAT 8330 Etching Amerimade Acid Hood Wet Etching Angstrom #1 (Room 1504 South) Thermal evaporation, Filament evaporation, Nitrogen glovebox spin coating Applied Materials AKT1600 Reactive ion etching of various layers and thicknesses of dielectrics and metals, Plasma enhanced chemical vapor deposition Applied Materials P5000 Plasma enhanced chemical vapor deposition Azores 5200 Stepper Photolithography Bagging Station Parts Bagging Station Camera Equipped Microscope Optical Microscopy Canon MPA-600 FA Photolithography CEE Model 300 Spin Coater Spin coating CHA Metal Evaporator e-beam evaporation CHA TCO Evaporator SR-10 Evaporation deposition Cole-Parmer Hot Plate Heating CURTIS Laser Repair Metrology Despatch Oven Photolithography Diener Asher Oxygen plasma processing Dimatix DMP-2831 Materials Inkjet Printer Inkjet Printing DYMAX 2000 UV Curing Flood Lamp Bonding, Curing of photosensitive materials DYMAX 5000 UV Flood Lamp Bonding, Curing of photosensitive materials Ecopia Hall Effect Measurement System hall measurement EIT Ashing, Photoresist removal Electroglas 2001x Probe System Semiconductor wafer probing EVG 150 Developer – DI Rinse Cleaner Photolithography EVG 610 Mask Aligner UV Exposure/Mask Alignment EVG501 Bonder Wafer bonding Explorer Pro Scale mass measurement Filmetrics F50 Reflectometry Flex Tester Photolithography FP-10 Stylus Profilometer Accurate profile measuring Gasonics L3510 Ashing, Photoresist removal GEN II Acid and Base Hood Wafer cleaning GEN II Bow Warp Laser flatness measuring Gen II Custom Probe System Electrical testing GEN II Solvent Tool Wafer cleaning Hewlett-Packard 3457A Multimeter Benchtop measurement of resistance, voltage, and current, Resistance to 3 GOhm, Voltage to 300v, Current to 3A, GPIB-based automated data acquisition Hewlett-Packard 4284A LCR Meter Capacitance Testing, 20Hz – 1MHz, Capacitance-Frequency Sweeps, Capacitance-Voltage Sweeps, Inductance Measurement Imada PEEL Tester MV-110 Peel testing JEOL 6300F FESEM Field emission scanning electron microscopy Jinlong PEEL Tester Peel testing KDF-744 Batch-sputtering Keithley 237 High Voltage Source-Measure Unit (SMU) Current-Voltage (IV) Characterization to 1100v Keithley 2611A Sourcemeter Benchtop measurement of voltage, current, Voltage to 200v, Current to 1.5A, GPIB-based automated data acquisition Keithley 4200 Semiconductor Characterization System Benchtop measurement of voltage, current, Voltage to 200v, Current to 100mA, Graphical User Interface Setup and Operation, GPIB-based automated data acquisition – advanced programming skills required KLA Tencor Omnimapper 4 Point Probe 4 point probe Kruss DSA20E Easy Drop Goniometer/Contact Angle Measurement Goniometer/Contact Angle LanTec UV-ozone Cleaner Exposure Dry cleaning of organic contaminants from glass substrates Laurell WS400B Spin Coater Spin coating Micro Manipulator 4060 Manual analytical probing Mini Brute Tube Furnace – Model MB-80 Oxidation, Annealing, Alloying MRC-603A Thin film metal deposition MRC-603B Thin film metal deposition Nanometrics RPM 2000 Photoluminescence Mapper Photoluminescence Nomarski Contrast Microscope differential interference contrast microscopy, Nomarski microscopy nTact Advantage II Slot Die Coater photoresist coating, polyimide coating Olympus MHL110 Microscope Microscopy Orbotech FPI-7098 Metrology Park XE-150 Atomic Force Microscope atomic force microscopy Prism Ultra-Coat 300 Photolithography Rite Track (SVG) 8600 Coater and Developer Track Photolithography Rite Track (SVG) 8800 Coater and Developer Track Photolithography Sartorius Scale mass measurement Solvent hood Solvent Processing Sun-Tec Laminator Lamination, Bonding Sunic Sunicel 400 Metal deposition , Organic deposition Tamar WaferScan Wafer Flatness Tegal 901e plasma etching Tegal 903e plasma etching Tegal 965 Ashing Tencor 6200 SurfScan Defect Scanner wafter defect inspection Tencor FLX-2350FP Fim stress measurement Tencor P-16 Profilometer Surface topography measuring Tencor P2 Profilometer Profilometry Thermo Nicolet 6700 FTIR Fourier Transform Infrared Spectroscopy VWR Hot Plate Heating Western Magnum XRL180 Laminator Dry film lamination Woollam Ellipsometer M2000 Ellipsometry Yamato DP43 Vacuum Bake Oven Vacuum pressure curing and baking Yamato DP63 Vacuum Bake Oven Vacuum pressure curing and baking YES Vapor Prime Oven HMDS Vapor Prime Oven YES – FPO HMDS Vapor Prime Photolithography, Vacuum bake HMDS vapor priming AKT 1600 PECVD AMAT P5000 PECVD CEE Model 300 Spin Coater CHA E-Beam Metal Evaporator CHA E-Beam/Thermal Evaporator Dimatix DMP 2831 Inkjet Printer KDF-744 Sputter System Laurell WS400B Spin Coater MRC-603A, Mr T. Sputter System MRC-603B, King Kong Sputter System Prism Ultra Coat 300 spray coater Rite Track (SVG) 8600 Coater and Developer Track Rite Track (SVG) 8800 Coater and Developer Track YES Vapor Prime Oven CD Measurement Microscope Ecopia HMS-5000 Hall Measurement System Explorer Pro Scale Filmetrics F50 Reflectometer Imada PEEL Tester MV-110 Jinlong PEEL Tester JEOL 6300F FESEM KLA Tencor Omnimapper 4 Point Probe Kruss DSA20E Easy Drop Goniometer/Contact Angle Measurement Micro Manipulator 4060 Nanometrics RPM 2000 Photoluminescence Mapper Park XE-150 Atomic Force Microscope Tamar WaferScan Tencor 6200 SurfScan Defect Scanner Tencor FLX-2350FP Stress Measurement Tool Tencor P-16 Profilometer Thermo Nicolet 6700 FTIR Woollam M2000 Ellipsometer 6-inch Solvent Hood 6 inch Wet Etch Hood Amerimade Acid Hood Azores 5200 stepper CEE Model 300 Spin Coater Cole-Parmer Hot Plate DYMAX 2000 UV Curing Flood Lamp EVG 150 Developer EVG 610 Mask Aligner Laurell WS400B Spin Coater nTact Advantage II Slot Die Coater Prism Ultra Coat 300 spray coater Rite Track (SVG) 8600 Coater and Developer Track Rite Track (SVG) 8800 Coater and Developer Track YES Vapor Prime Oven AKT 1600 Etch 6-inch Solvent Hood 6 inch Wet Etch Hood Amerimade Acid Hood AMAT 8330 Diener Asher Gasonics L3510 Plasma Asher GEN II Acid and Base Hood Gen II Solvent Tool Tegal 901 Tegal 903 Tegal 965 110 C Oven Thin Film Processing Polymer Other 16 core Xeon High Perfomance Computing Metrology/Characterization Structure or Device Electrical 2010F (JEOL) Imaging All Imaging TEM 300 MHz NMR Mazama (Solids) Metrology/Characterization Chemical Analysis NMR 300mm hotplate Lithography All Lithography Resist Processing 300mm spinner Lithography All Lithography Resist Processing 3C Technical GCA AutoStep 200 5x Reduction Stepper Lithography All Lithography UV 3D Atom Probe Metrology/Characterization Chemical Analysis Other 3D Bio AFM Imaging All Imaging Probe 3D optical microscope Metrology/Characterization Structure or Device Profilometry 3D Optical Profiler Metrology/Characterization Structure or Device Profilometry 3D Optical Profiler Metrology/Characterization Structure or Device Optical 3D Systems 2500 CI High Temperature Research Platform Patterning All Patterning 3D Printing 3D Systems 2500plus Patterning All Patterning 3D Printing 3D Wax Printer Patterning All Patterning 3D Printing 3D X-ray Tomography System Imaging All Imaging Other 4-point Probe Metrology/Characterization Structure or Device Electrical 4.5 Tesla Magnetic Annealing Sysytem Thin Film Processing Metal Annealing 400 MHz NMR Karloff (Solids) Metrology/Characterization Chemical Analysis NMR 400 MHz solid state NMR Metrology/Characterization Chemical Analysis NMR 4156c Seminconductor Analyzer No 2 Metrology/Characterization Structure or Device Electrical 500 MHz NMR Shasta (Solids) Metrology/Characterization Chemical Analysis NMR 500 MHz NMR Spectrometer with Direct Cryoprobe Metrology/Characterization Chemical Analysis NMR 500 MHz NMR Spectrometer with Triple Resonance Cryoprobe Metrology/Characterization Chemical Analysis NMR 600 MHz NMR Baker (Liquids) Metrology/Characterization Chemical Analysis NMR 600 MHz NMR Hood (Metabolomics) Metrology/Characterization Chemical Analysis NMR 600 MHz NMR Nittany (Solids) Metrology/Characterization Chemical Analysis NMR 600 MHz NMR Spectrometer Metrology/Characterization Chemical Analysis NMR 62700 Eurotherm Furnace Thin Film Processing Metal Other 6700 SEM Imaging All Imaging SEM 750 MHz NMR Bokan (Metabolomics) Metrology/Characterization Chemical Analysis NMR 750 MHz NMR Rainier (Liquids) Metrology/Characterization Chemical Analysis NMR 790 Plasmatherm #1 PECVD Thin Film Processing Dielectric PECVD 790 Plasmatherm #1 RIE Etching Dry RIE 790 Plasmatherm #2 RIE Etching Dry RIE 80+ PECVD Thin Film Processing Dielectric PECVD 80+ RIE Etching Dry RIE 81600B Tunable Laser Source Metrology/Characterization Structure or Device Electrical 83453B High Resolution Spectrometer Metrology/Characterization Structure or Device Optical 850 MHz NMR Ellis (Solids) Metrology/Characterization Chemical Analysis NMR 86142B High Performance Optical Spectrum Analyzer Metrology/Characterization Structure or Device Optical 90 C Oven Thin Film Processing Polymer Other AB-M Contact Aligner Lithography All Lithography UV Aberration Corrected STEM Imaging All Imaging TEM Aberration Corrected TEM, Atomic Resolution Microscope with EELS (JEOL ARM) Imaging All Imaging TEM ABM 3000 Lithography All Lithography UV ABM Aligner II Lithography All Lithography UV ABM Aligner III Lithography All Lithography UV ABM Contact Aligner Lithography All Lithography UV ABM Contact Aligner Lithography All Lithography UV ABM-SemiAuto Aligner Lithography All Lithography UV ABM-SemiAuto Aligner Lithography All Lithography UV Abrasive Blast Cabinet Cleaning All Other Other Accurion EP3 Imaging Ellipsometer Metrology/Characterization Thin Film Other ACF Heat Sealer Packaging All Packaging Bonding Acid Bench – multiple wafer processing Etching Wet Wet Bench Acid Benches Etching Wet Wet Bench Acid Process Bench 1 Etching Wet Wet Bench Acid Process Wetbench Etching Wet Wet Bench Acid Wet Bench Etching Wet Wet Bench ACIDHOOD1 Etching Wet Wet Bench ACIDHOOD2 Etching Wet Wet Bench ACIDHOOD3 Etching Wet Wet Bench ADT 7100 Dicing Saw Packaging All Packaging Dicing ADT 7100 Dicing Saw Packaging All Packaging Dicing Advanced Coater Imaging All Imaging Sample Prep AET Atmospheric Rapid Thermal Processor Thin Film Processing Dielectric RTA/RTP AET RTP Thin Film Processing Dielectric RTA/RTP AET Vacuum Rapid Thermal Processor Thin Film Processing Dielectric RTA/RTP AFM Imaging All Imaging Probe AFM Imaging All Imaging Probe AFM Imaging All Imaging Probe AFM – Veeco Icon Imaging All Imaging Probe AFM Veeco/Bruker NanoMan Metrology/Characterization Structure or Device Profilometry AFM1 Imaging All Imaging Probe AFM2 Imaging All Imaging Probe AFMs Metrology/Characterization Thin Film Thickness Agilent 212-LC/500-MS Metrology/Characterization Chemical Analysis Mass Spec Agilent 34401A Multimeter Metrology/Characterization Structure or Device Electrical Agilent 400 MHz NMR Spectrometer Metrology/Characterization Chemical Analysis NMR Agilent 4294A Impedance Analyzer Metrology/Characterization Structure or Device Electrical Agilent 610 FT-IR Microscope Metrology/Characterization Chemical Analysis Spectroscopy Agilent 6520 Q-TOF with Agilent 1100 nano-HPLC Metrology/Characterization Chemical Analysis Mass Spec Agilent 6520 QTOF LCMS Metrology/Characterization Chemical Analysis Mass Spec Agilent 6520 QTOF LCMS Metrology/Characterization Chemical Analysis Mass Spec Agilent 6538 Q-TOF with Agilent 1290 UHPLC Metrology/Characterization Chemical Analysis Mass Spec Agilent 700 Mhz NMR Spectrometer Metrology/Characterization Chemical Analysis NMR Agilent 710-ES ICP-OES Metrology/Characterization Chemical Analysis Spectroscopy Agilent 920-Liquid Chromatograpy Metrology/Characterization Chemical Analysis Chromatography Agilent B1500 Semiconductor Analyzer Metrology/Characterization Structure or Device Electrical Agilent B1500A Semiconductor Device Analyzer Metrology/Characterization Structure or Device Electrical Agilent Cary 6000i UV-vis-NIR Spectrophotometer Metrology/Characterization Chemical Analysis Spectroscopy Agilent Cary 670 FT-IR Spectrophotometer Metrology/Characterization Chemical Analysis Spectroscopy Agilent Cary Eclipse Fluorescence Spectrophotometer Imaging All Imaging Optical Agilent E3620 Metrology/Characterization Structure or Device Electrical Agilent microwave vector network analyzer Metrology/Characterization Structure or Device Electrical Agilent PicoPlus atomic force microscope Imaging All Imaging Probe Agilent Technologies 54624A Oscilloscope Metrology/Characterization Structure or Device Electrical Air Control Wet Bench Cleaning All Cleaning Wet Bench Air Control Wet Bench Etching Wet Wet Bench Aixtron Black Magic graphene CVD furnace Thin Film Processing Dielectric Other Aixtron MOCVD – III-Nsystem Thin Film Processing Dielectric Other Aixtron MOCVD – III-Vsystem Thin Film Processing Dielectric Other AJA ATC 2200 UHV Sputtering System Thin Film Processing Metal Sputter AJA ATC Orion 8 UHV Sputtering System Thin Film Processing Metal Sputter AJA DC Sputter Deposition Tool Thin Film Processing Metal Sputter AJA Evaporator Thin Film Processing Metal Evaporation AJA International Sputtering System Thin Film Processing Metal Sputter AJA Ion Mill Etching Dry Ion Mill AJA Ion Mill with End Point Detector Etching Dry Ion Mill AJA Orion 8 Sputter System Thin Film Processing Metal Sputter AJA Phase II J Sputtering System Thin Film Processing Metal Sputter AJA RF Sputter Deposition Tool Thin Film Processing Dielectric Sputter AJA Sputter Deposition #1 Thin Film Processing Metal Sputter AJA Sputter Deposition #2 Thin Film Processing Metal Sputter AJA Sputtering System Thin Film Processing Metal Sputter AJA Sputtering System Thin Film Processing Metal Sputter AJA Sputtering System Thin Film Processing Metal Sputter ALD Thin Film Processing Dielectric ALD ALD Thin Film Processing Dielectric ALD ALD01 – Oxford Thin Film Processing Dielectric ALD ALD1 Thin Film Processing Dielectric ALD ALD2 Thin Film Processing Dielectric ALD ALDE1 Thin Film Processing Dielectric ALD Alessi Probe Station Metrology/Characterization Structure or Device Electrical Alisse REL 4800 Metrology/Characterization Structure or Device Electrical AllWin 610 Rapid Thermal Annealer Thin Film Processing Dielectric RTA/RTP AllWin 610 Rapid Thermal Annealer Alpha Step 200 Metrology/Characterization Structure or Device Profilometry Alphastep Metrology/Characterization Structure or Device Profilometry Alphastep 500 Profilometer Metrology/Characterization Structure or Device Profilometry Alveole PRIMO Lithography All Lithography UV AmaZon X Ion Trap Metrology/Characterization Chemical Analysis Mass Spec Ambios XP2 Metrology/Characterization Structure or Device Profilometry Americhem Eng Polypro Wet Bench Etching Wet Wet Bench AML Wafer bonder Packaging All Packaging Bonding Amod Evaporator Thin Film Processing Metal Evaporation Amorphous Silicon LPCVD System Thin Film Processing Dielectric CVD AMSCOPE IN300TB Inverted Microscope Imaging All Imaging Optical AMT Oxide Plasma Etcher Etching Dry RIE Analytical Balance Metrology/Characterization Chemical Analysis Other Anatech Barrel Plasma System Etching Dry RIE Anatech Resist Strip Cleaning All Cleaning Plasma/Stripper Anatech SCE 106 (DE-07) Etching Dry Other Angstrom EvoVac Electron Beam Evaporator Thin Film Processing Metal Evaporation Angstrom Nexdep Thermal E-Beam Evaporator Thin Film Processing Metal Evaporation Angstrom Nexdep Thermal E-Beam Evaporator Thin Film Processing Metal Evaporation Angstrom Sputter System Thin Film Processing Metal Sputter Anneal Furnace Thin Film Processing Dielectric Doping Annealsys Rapid Thermal Processor Thin Film Processing Dielectric RTA/RTP AP-XPS/AP-STM Metrology/Characterization Thin Film XPS AP-XPS/AP-STM Imaging All Imaging Probe Applied Biosystems 7500 Fast PCR System Biological All Biological Other Applied Mateiral’s P5000 Thin Film Processing Dielectric PECVD Applied Materials Centurion Epitaxial System Thin Film Processing Dielectric Other Applied Materials P5000 Etcher Etching Dry RIE Apreo S LoVac SEM Imaging All Imaging SEM Aqueous Cleaner Packaging All Packaging Other Arc Melter Arcam S400 Patterning All Patterning 3D Printing ARM 200CF Imaging All Imaging TEM ARM 300CF Imaging All Imaging TEM ARM200F (JEOL) Imaging All Imaging TEM Arradiance ALD Thin Film Processing Dielectric ALD ARRAY1 Lithography All Lithography Soft Lithography AS200 i-line Stepper Lithography All Lithography UV ASAP 2460 Surface Area and Porosity Analyzer Metrology/Characterization Chemical Analysis Other ASH1 Cleaning All Cleaning Plasma/Stripper Asher Cleaning All Cleaning Plasma/Stripper Ashers Etching Dry Other ASML 300C DUV Stepper Lithography All Lithography DUV ASML PAS 5500/60 i-line Stepper Lithography All Lithography UV AST 280 RTA Thin Film Processing Metal Annealing Asylum MFP-3D atomic force microscope Imaging All Imaging Probe Asylum MFP-3D Origin+ AFM Imaging All Imaging Probe Atmosphere Oxidation System (tube 21) Thin Film Processing Dielectric Oxidation Atmosphere Oxidation System (tube 22) Thin Film Processing Dielectric Oxidation Atmosphere Oxidation System (tube 23) Thin Film Processing Dielectric Oxidation Atmosphere Oxidation System (tube 24) Thin Film Processing Dielectric Oxidation Atom Probe Tomography Imaging All Imaging Other Atomic Force Microscope Imaging All Imaging Probe Atomic Force Microscope Imaging All Imaging Probe Atomic Force Microscope Metrology/Characterization Structure or Device Electrical Atomic Force Microscope Imaging All Imaging Other Atomic Force Microscope Imaging All Imaging Probe Atomic Force Microscope-Keller Imaging All Imaging Probe Atomic Force Microscope-PAN Imaging All Imaging Probe Atomic Layer Deposition Thin Film Processing Dielectric ALD Atomic Layer Deposition Thin Film Processing Dielectric ALD Atomic Layer Deposition Thin Film Processing Dielectric ALD Atomic Layer Deposition Thin Film Processing Dielectric ALD Atomic Layer Deposition System (ALD) Thin Film Processing Dielectric Oxidation Atomic Layer Deposition System (ALD) Thin Film Processing Dielectric ALD Atomic Layer Deposition System (ALD) Thin Film Processing Dielectric ALD Atomic Layer Deposition System (ALD) Thin Film Processing Dielectric ALD attocube MFM Metrology/Characterization Structure or Device Profilometry ATV PEO 603 Thin Film Processing Dielectric Oxidation Au Ball Bonder Packaging All Packaging Bonding Auger Metrology/Characterization Chemical Analysis Spectroscopy Auger: PHI 700 Metrology/Characterization Thin Film Other Aura 1000 Resist Strip Cleaning All Cleaning Plasma/Stripper Autoclave Packaging All Packaging Other Autodesk Ember Patterning All Patterning 3D Printing Automated Coater/Developer Lithography All Lithography Resist Processing Automatic Bottle Washer Cleaning All Cleaning Wet Bench Autostep i-line Stepper Lithography All Lithography UV AWB04 Wafer Bonder Packaging All Packaging Bonding Axio Imager Microscope Imaging All Imaging Optical Axiophot Photomicroscope Imaging All Imaging Optical Axioskope 2 MAT Imaging All Imaging Optical Axopatch 200B Amplifier- Patch Clamp Biological All Biological Other Bachur and Associates UV Flood Exposure System Lithography All Lithography Resist Processing Backside Wafer Scriber Packaging All Packaging Dicing Bal-Tec CPD 408 Critical Point Dryer Cleaning All Cleaning Critical Point Drying Ball Bonder Packaging All Packaging Other Ball Miller Ball Mixer Thin Film Processing Dielectric Other Ball Wire Bonder Packaging All Packaging Bonding Baltec Carbon Coater Imaging All Imaging Sample Prep Barrel Asher Cleaning All Cleaning Plasma/Stripper BarrelEtch Cleaning All Cleaning Plasma/Stripper Base Development Bench Etching Wet Wet Bench Base Process Bench Etching Wet Wet Bench Base Process Wetbench Etching Wet Wet Bench Base Wet Bench Etching Wet Wet Bench Batchtop RIE Etching Dry RIE BATH 5 Lithography All Lithography Resist Processing BATH 6 Lithography All Lithography Resist Processing BATH1 Cleaning All Cleaning Wet Bench BATH2 Cleaning All Cleaning Wet Bench BATH3 Etching Wet Wet Bench BATH4 Etching Wet Wet Bench Battery Tester Thin Film Processing Dielectric Other BD Biosciences FACSAria III Flow Cytometer System Biological All Biological Other Beckman Coulter Ultracentrifuge Particle All Particle Other Belt Furnace Thin Film Processing Metal Annealing Bench-top crystal orientation analyzer Metrology/Characterization Chemical Analysis Other Beneq TFS200 Atomic Layer Deposition Thin Film Processing Dielectric ALD BGA Rework Station Packaging All Packaging Other Biacore SPR Biological All Biological SPR Biacore T200 SPR Biological All Biological SPR BIO AFM Imaging All Imaging Probe Bioforce Nano eNabler Printer/Patterner Patterning All Patterning Other BIOHOOD1 Biological All Biological Other BIOHOOD2 Biological All Biological Other Biologic Potentiostat Metrology/Characterization Structure or Device Electrical Biological Atomic Force and Super Resolution Fluorescence Microscope Imaging All Imaging Optical Biosafety Hoods Biological All Biological Sample Prep Bioscope Resolve Imaging All Imaging Probe BioSoft Indenter Biological All Biological Other BioSoft Indenter Metrology/Characterization Thin Film Mechanical Biotage V10 Touch Solvent Evaporation System Biological All Biological Other BioTek MX/Mono-based MultiFunction Microplate Reader Biological All Biological Other BioTek PRC384 Microplate Sample Processor Biological All Biological Sample Prep BioTek Quant Spectrophotometer Biological All Biological Other BioTek Synergy HTX Microplate Reader Metrology/Characterization Chemical Analysis Spectroscopy Black Magic PECVD Thin Film Processing Dielectric PECVD BLE Spinner Lithography All Lithography Resist Processing Blue M Programmable Oven Thin Film Processing Polymer Other Bond Tester Metrology/Characterization Thin Film Mechanical Boron Disk Doping (Tylan Furnace D2) Thin Film Processing Dielectric Doping Boron Doping – Bank D-1 Thin Film Processing Dielectric Doping Boron Doping Furnace (BBr3) Thin Film Processing Dielectric Doping Bowoptic Stress Measurement Metrology/Characterization Thin Film Mechanical Brewer CEE 100 Spin Coat System Thin Film Processing Polymer Spin Coating Brewer Cee 100CB Lithography All Lithography Resist Processing Brewer CEE Spinner and Hotplate Lithography All Lithography Resist Processing Brewer Science Spinner Lithography All Lithography Resist Processing Bruker AFM Multimode Imaging All Imaging Probe Bruker Autoflex Metrology/Characterization Chemical Analysis Mass Spec Bruker AXS General Area Detector Diffraction System Metrology/Characterization Thin Film XRD Bruker D2 Phaser Benchtop XRD Metrology/Characterization Thin Film XRD Bruker D8 Discover Metrology/Characterization Thin Film XRD Bruker D8 Discover Metrology/Characterization Thin Film XRD Bruker Dimension Imaging All Imaging Probe Bruker Dimension Icon AFM Imaging All Imaging Probe Bruker Dimension Icon AFM Imaging All Imaging Probe Bruker Dimension Icon Atomic Force Microscope Imaging All Imaging Probe BRUKER Discovery D8 Metrology/Characterization Thin Film XRD Bruker Duo X-Ray Diffractomer Metrology/Characterization Thin Film XRD Bruker EDS Metrology/Characterization Thin Film EDS/WDS Bruker Fastscan Imaging All Imaging Probe Bruker FTIR/FTRaman Metrology/Characterization Chemical Analysis Spectroscopy Bruker Icon AFM Imaging All Imaging Probe Bruker ICON PT System Imaging All Imaging Probe Bruker maXis Impact with Dionex 3000 nano-uHPLC Metrology/Characterization Chemical Analysis Mass Spec Bruker micrOTOF with Agilent 1290 UHPLC Metrology/Characterization Chemical Analysis Mass Spec Bruker Multimode Imaging All Imaging Probe Bruker Photon 100 Metrology/Characterization Thin Film XRD BSL3 Laboratory Biological All Biological Other Buehler ISOMet 1000 Precision Saw Buehler MiniMet 1000 Grinder-polisher Bump Plating Machine Packaging All Packaging Other BX-51 Fluorescence Microscope Imaging All Imaging Optical C, H, N, S Analyzer Particle All Particle Other California Measurements MPS-3 Microanalysis Particle Sampler Particle All Particle Size Calorimeter Metrology/Characterization Chemical Analysis Other Cambridge NanoTech ALD Thin Film Processing Dielectric ALD Cambridge NanoTech Plasma ALD – Metal (left) Thin Film Processing Metal ALD Cambridge NanoTech Plasma ALD – Oxide (right) Thin Film Processing Dielectric ALD Cambridge NanoTech S200 Thin Film Processing Dielectric ALD Cambridge Nanotech/Ultratech Savannah Thin Film Processing Dielectric ALD Camera Metrology/Characterization Thin Film XRD Canon I-line stepper Lithography All Lithography UV Canon Stepper Lithography All Lithography UV Carbolite Cleanroom Oven Other All Other Other Carbolite Tube Furnace Thin Film Processing Metal Other Carbon Nanotube/Graphene Furnace Thin Film Processing Dielectric CVD Carver Hot Embossing System Patterning All Patterning Other Cary 5000, UV-Vis-NIR Spectrophotometer Metrology/Characterization Chemical Analysis Spectroscopy Cary 5000UV-VIS NIR Metrology/Characterization Thin Film Spectroscopy Cascade Micromanipulator 6000 Metrology/Characterization Structure or Device Electrical Cascade REL-4800 Manual Probe Station Metrology/Characterization Structure or Device Electrical CAVE – 3D visualization suite CCI HD Optical Profiler Metrology/Characterization Structure or Device Profilometry CDE ResMap Resistivity 4-pt Probe Metrology/Characterization Structure or Device Electrical CDE ResMap-178 Metrology/Characterization Structure or Device Electrical CEE-100 Spinner Lithography All Lithography Resist Processing CEI-XANES Metrology/Characterization Chemical Analysis Other Cell Incubators Biological All Biological Sample Prep CEM Liberty Blue Microwave assisted Peptide Synthesizer Biological All Biological Other CEM Liberty Blue Microwave assisted Peptide Synthesizer Biological All Biological Other CEM Liberty Microwave assisted Peptide Synthesizer Biological All Biological Other CEM Liberty Microwave assisted Peptide Synthesizer Biological All Biological Other Centrifuge Biological All Biological Sample Prep Centrifuge Biological All Biological Sample Prep CHA E-beam evaporator Thin Film Processing Metal Evaporation CHA E-beam evaporator Thin Film Processing Metal Evaporation CHA E-beam Evaporator Thin Film Processing Metal Evaporation CHA E-beam Evaporator 1 (dielectrics) Thin Film Processing Dielectric Evaporation CHA E-beam Evaporator 2 (metals) Thin Film Processing Metal Evaporation CHA Evaporator Thin Film Processing Metal Evaporation CHA Mark 50 Evaporator Thin Film Processing Metal Evaporation Characterization Electronics Metrology/Characterization Structure or Device Electrical Chemical Hoods/Wet Benches Cleaning All Cleaning Wet Bench Chemiluminescent Gel Imager Biological All Biological Other Chlorine & Flourine Metal Etching System Etching Dry RIE Circuit Tester Metrology/Characterization Structure or Device Electrical Clean Bench & Tanks-class 3 materials Cleaning All Cleaning Wet Bench Clean-1 and 2 Wetbench Cleaning All Cleaning Wet Bench CM200-FEG (Philips) Imaging All Imaging TEM CMOS Cleaning Station (Marcus) Cleaning All Cleaning Wet Bench CMOS Cleaning Station (Pettit) Cleaning All Cleaning Wet Bench CMOS Spin Rinse Dryer (Inorganic) Cleaning All Cleaning Spin Rinse Drying CMOS Spin Rinse Dryer (SRD) – Pettit Cleaning All Cleaning Spin Rinse Drying CMOS Wet Oxide -Bank E-2 Thin Film Processing Dielectric Oxidation CMP System Packaging All Packaging CMP CNC Micro Milling System Other All Other Other COATHOOD1 Lithography All Lithography Resist Processing COATHOOD2 Lithography All Lithography Resist Processing Cambridge NanoTech S200 Thin Film Processing Dielectric ALD Cambridge Nanotech/Ultratech Savannah Thin Film Processing Dielectric ALD Camera Metrology/Characterization Thin Film XRD Canon I-line stepper Lithography All Lithography UV Canon Stepper Lithography All Lithography UV Carbolite Cleanroom Oven Other All Other Other Carbolite Tube Furnace Thin Film Processing Metal Other Carbon Nanotube/Graphene Furnace Thin Film Processing Dielectric CVD Carver Hot Embossing System Patterning All Patterning Other Cary 5000, UV-Vis-NIR Spectrophotometer Metrology/Characterization Chemical Analysis Spectroscopy Cary 5000UV-VIS NIR Metrology/Characterization Thin Film Spectroscopy Cascade Micromanipulator 6000 Metrology/Characterization Structure or Device Electrical Cascade REL-4800 Manual Probe Station Metrology/Characterization Structure or Device Electrical CAVE – 3D visualization suite CCI HD Optical Profiler Metrology/Characterization Structure or Device Profilometry CDE ResMap Resistivity 4-pt Probe Metrology/Characterization Structure or Device Electrical CDE ResMap-178 Metrology/Characterization Structure or Device Electrical CEE-100 Spinner Lithography All Lithography Resist Processing CEI-XANES Metrology/Characterization Chemical Analysis Other Cell Incubators Biological All Biological Sample Prep CEM Liberty Blue Microwave assisted Peptide Synthesizer Biological All Biological Other CEM Liberty Blue Microwave assisted Peptide Synthesizer Biological All Biological Other CEM Liberty Microwave assisted Peptide Synthesizer Biological All Biological Other CEM Liberty Microwave assisted Peptide Synthesizer Biological All Biological Other Centrifuge Biological All Biological Sample Prep Centrifuge Biological All Biological Sample Prep CHA E-beam evaporator Thin Film Processing Metal Evaporation CHA E-beam evaporator Thin Film Processing Metal Evaporation CHA E-beam Evaporator Thin Film Processing Metal Evaporation CHA E-beam Evaporator 1 (dielectrics) Thin Film Processing Dielectric Evaporation CHA E-beam Evaporator 2 (metals) Thin Film Processing Metal Evaporation CHA Evaporator Thin Film Processing Metal Evaporation CHA Mark 50 Evaporator Thin Film Processing Metal Evaporation Characterization Electronics Metrology/Characterization Structure or Device Electrical Chemical Hoods/Wet Benches Cleaning All Cleaning Wet Bench Chemiluminescent Gel Imager Biological All Biological Other Chlorine & Flourine Metal Etching System Etching Dry RIE Circuit Tester Metrology/Characterization Structure or Device Electrical Clean Bench & Tanks-class 3 materials Cleaning All Cleaning Wet Bench Clean-1 and 2 Wetbench Cleaning All Cleaning Wet Bench CM200-FEG (Philips) Imaging All Imaging TEM CMOS Cleaning Station (Marcus) Cleaning All Cleaning Wet Bench CMOS Cleaning Station (Pettit) Cleaning All Cleaning Wet Bench CMOS Spin Rinse Dryer (Inorganic) Cleaning All Cleaning Spin Rinse Drying CMOS Spin Rinse Dryer (SRD) – Pettit Cleaning All Cleaning Spin Rinse Drying CMOS Wet Oxide -Bank E-2 Thin Film Processing Dielectric Oxidation CMP System Packaging All Packaging CMP CNC Micro Milling System Other All Other Other COATHOOD1 Lithography All Lithography Resist Processing COATHOOD2 Lithography All Lithography Resist Processing Cryo-EM High Pressure Freezer Imaging All Imaging Sample Prep Cryo-EM Trimmer Imaging All Imaging Sample Prep Cryo-EM Ultramicrotome Imaging All Imaging Sample Prep Cryoplunge Imaging All Imaging Sample Prep CryoTEM (Tecnai) Imaging All Imaging TEM Cs-TEM Aberration Corrected MC Zeiss 200-80 Imaging All Imaging TEM CTRLayer Anti-Reflective Coater Thin Film Processing Dielectric Evaporation CtrLayer SDS Thin Film Processing Metal Sputter CV Testing Station Metrology/Characterization Structure or Device Electrical CV-IV Metrology/Characterization Structure or Device Electrical CVC DC Sputterer Thin Film Processing Metal Sputter CVC E-Beam Evaporator 1 Thin Film Processing Metal Evaporation CVC E-Beam Evaporator 2 — Instructional Center Thin Film Processing Metal Evaporation CVD FirstNano Graphene Furnace 1 Thin Film Processing Dielectric CVD CVD FirstNano Graphene Furnace 2 Thin Film Processing Dielectric CVD CVD FirstNano SiGe Nanowire Furnace Thin Film Processing Dielectric CVD CVD Reactor Thin Film Processing Dielectric CVD Cypher AFM Imaging All Imaging Probe Cypher AFM Imaging All Imaging Probe Cyro Probe Station Metrology/Characterization Structure or Device Electrical Cytation3 Automated Microscope Plate Reader Biological All Biological Other CyTOF – Mass Cytometer Metrology/Characterization Chemical Analysis Mass Spec Cytoviva Metrology/Characterization Structure or Device Other Cytoviva Hyperspectral Darkfield Microscope Imaging All Imaging Optical D-5000 Metrology/Characterization Thin Film XRD Dage Shear Tester Packaging All Packaging Other Dage X-Ray XD7600NT Metrology/Characterization Structure or Device Other DC Sputter System Thin Film Processing Metal Sputter DC Sputtering System Thin Film Processing Metal Sputter Decapsulation System Packaging All Packaging Other Decon and Clean-3 Wetbench Cleaning All Cleaning Wet Bench Deep Reactive Ion Etcher (DRIE) Etching Dry Deep Silicon (Bosch) Deep Reactive Ion Etching System – Alcatel AMS 100 Etching Dry Deep Silicon (Bosch) Deep Reactive Ion Etching System – STS MESC Multiplex ICP Etching Dry Deep Silicon (Bosch) Deep RIE Etching Dry Deep Silicon (Bosch) Deep Trench Etcher Etching Dry ICP Dehydration Oven Lithography All Lithography Resist Processing Dektak Metrology/Characterization Structure or Device Profilometry Dektak 150 Metrology/Characterization Structure or Device Profilometry Dektak 150 Profilometer Metrology/Characterization Structure or Device Profilometry Dektak 150 Profilometer — Instructional Center Metrology/Characterization Structure or Device Profilometry Dektak 6M Profilometer Metrology/Characterization Structure or Device Profilometry Dektak Profilometer Metrology/Characterization Structure or Device Profilometry Dektak Stylus Profilometer Metrology/Characterization Structure or Device Profilometry DektakXT Metrology/Characterization Structure or Device Profilometry DektakXT Metrology/Characterization Structure or Device Profilometry DektakXT Stylus Profilometer Metrology/Characterization Structure or Device Profilometry Denton 502A Thermal Evaporator Thin Film Processing Metal Evaporation Denton Discovery – RF/DC Sputterer Thin Film Processing Metal Sputter Denton Discovery 18 Sputter System Thin Film Processing Metal Sputter Denton Discovery 2 Thin Film Processing Metal Sputter Denton Discovery 635 Sputter System Thin Film Processing Metal Sputter Denton E-Beam Evaporator Thin Film Processing Metal Evaporation Denton Explorer – E-beam Evaporator Thin Film Processing Metal Evaporation Denton Explorer 14 Thin Film Processing Metal Sputter Denton Infinity Thin Film Processing Metal Evaporation Denton Thermal Evaporator Thin Film Processing Metal Evaporation deposition: Oerlikon Univex Sputter Thin Film Processing All Thin Film Processing Sputter Desktop SEM Imaging All Imaging SEM Despatch LCC1-16-5 Resist Oven (3) Lithography All Lithography Resist Processing Develop Bench Lithography All Lithography Resist Processing Developer Wet Bench Etching Wet Wet Bench DEVHOOD1 Lithography All Lithography Resist Processing Dicing Saw Packaging All Packaging Dicing Dicing Saw Packaging All Packaging Dicing Dicing Saw-DISCO Packaging All Packaging Dicing Die Bonder Packaging All Packaging Bonding Dielectric Etcher Etching Dry RIE Diener/Femto Plasma Cleaner Imaging All Imaging Sample Prep Differential Scanning Calorimeter Metrology/Characterization Chemical Analysis TGA Differential Scanning Calorimeter Metrology/Characterization Chemical Analysis DSC Digital Instruments Nanoscope 3000 AFM Metrology/Characterization Thin Film Other Dimatix DMP-2831 Patterning All Patterning Inkjet Dimatix Materials Printer Patterning All Patterning Inkjet Dimatix Nano Inkjetter Patterning All Patterning Inkjet Dimatix Printer Patterning All Patterning Inkjet Dimension 3100 SPM Imaging All Imaging Probe Dimpler Imaging All Imaging Sample Prep Dimpler Grinder Imaging All Imaging Sample Prep Dimpler/Grinder Imaging All Imaging Sample Prep Dimpling Grinder Imaging All Imaging Sample Prep Disc Cutter Imaging All Imaging Sample Prep Disc Cutter Imaging All Imaging Sample Prep Disco 552 Saw Packaging All Packaging Dicing Disco Automatic Dicing Saw 3220 Packaging All Packaging Dicing Disco Backgrind Packaging All Packaging Other Disco DAD3240 Automatic Dicing Saw Packaging All Packaging Dicing Disco Dicing Saw Packaging All Packaging Dicing Disco wafer dicing saw Packaging All Packaging Dicing DISCO Wafer Saw Packaging All Packaging Dicing Disco-Saw Packaging All Packaging Dicing Dispenser Packaging All Packaging Other Dispensing Tool Packaging All Packaging Bonding DLS: Brookhaven Instrument 90 & ZetaPALS Particle All Particle Size DMA: TA Instrument Q800 Metrology/Characterization Chemical Analysis Other DNR MF ChemiBIS Image Analysis System Biological All Biological Other DNR MiniLumi Bio Imaging System Biological All Biological Other DRIE Etching Dry Deep Silicon (Bosch) DRIE Etching Dry Deep Silicon (Bosch) Drive-In Anneal / Re-Oxidation (Tylan Furnace D4) Thin Film Processing Dielectric Annealing Dry Oxidation (Tylan Furnace D3) Thin Film Processing Dielectric Oxidation Dry Oxide-CMOS-CVD – Bank E-1 Thin Film Processing Dielectric Oxidation Drytek 100 Plasma Etcher Etching Dry RIE DSA91304A Infiniium High Performance Oscilloscope: 13 GHz Metrology/Characterization Structure or Device Electrical DSC Metrology/Characterization Chemical Analysis Other DSC Biological All Biological Other DSC: TA Instrument Q100 Metrology/Characterization Chemical Analysis DSC DSC: TA Instrument Q2000 Metrology/Characterization Chemical Analysis DSC Dualbeam FIB/SEM Imaging All Imaging FIB DWL66 Laser Wrier Lithography All Lithography Other DWL66fs Mask Writer Patterning All Patterning Laser Dynamic Force AFM (Asylum) Imaging All Imaging Probe Dynamic Light Scattering Particle Size Analyzer Particle All Particle Size Dynamic Light Scattering Zetasizer Nano ZS Particle All Particle Size Dynisco D4003 Melt Index Tester Metrology/Characterization Chemical Analysis Other E-beam Evaporator Thin Film Processing Metal Evaporation E-beam evaporator Thin Film Processing Metal Evaporation E-beam Evaporator Thin Film Processing Metal Evaporation E-beam evaporator Thin Film Processing Metal Evaporation E-beam Litho Lithography All Lithography EBL E-Beam Lithography Hot Plates Lithography All Lithography Resist Processing E-beam Lithography Spinners Lithography All Lithography Resist Processing E-Beam Resist Hot Plate Tower Lithography All Lithography Resist Processing E-Beam Thermal Evaporator Lesker PVD 75 (PVD-02) Thin Film Processing Metal Evaporation e-beam/SEM: FEI Nova Imaging All Imaging SEM e-beam: JEOL 6300-FS Lithography All Lithography EBL E8361C PNA Microwave Network Analyzer Metrology/Characterization Structure or Device Electrical EBE Thin Film Processing Metal Evaporation Ebeam evaporator Thin Film Processing Dielectric Evaporation Ebeam Resist Hood – Left Lithography All Lithography Resist Processing Ebeam Resist Hood – Right Lithography All Lithography Resist Processing Ebeam/Sputter Deposition System Thin Film Processing Metal Sputter EBL Lithography All Lithography EBL EBL CEE Spinner Thin Film Processing Polymer Spin Coating EBL1 Lithography All Lithography EBL ECE418 Aligner Lithography All Lithography UV EcoChem Analytics DC 2000 CE Diffusion Charger Particle All Particle Other EcoChem Analytics PAS 2000 Particle All Particle Other Ecomet Imaging All Imaging Sample Prep ED-XRF Metrology/Characterization Thin Film XRF Eddy Current Measurement System Metrology/Characterization Structure or Device Electrical EDP Wet Bench Etching Wet Wet Bench EDS Metrology/Characterization Thin Film EDS/WDS EDS on FIB Metrology/Characterization Thin Film EDS/WDS EDS on SEM Metrology/Characterization Thin Film EDS/WDS EDS on TEM Metrology/Characterization Thin Film EDS/WDS Edwards thermal evaporator Thin Film Processing Metal Other Electrical Test Station Metrology/Characterization Structure or Device Electrical Electrode Fabricator Thin Film Processing Dielectric Annealing Electroless Plating Line Thin Film Processing Metal Plating Electroluminescence Imaging All Imaging Other Electrolytic Plating Line Thin Film Processing Metal Plating Electron and Photon Stimulated Desorption (BES 2) Other All Other Other Electron Beam Evaporator Thin Film Processing Dielectric Evaporation Electron Beam Evaporator Thin Film Processing Metal Evaporation Electron Beam Evaporator Thin Film Processing Metal Evaporation Electron Beam Lithography Spinner Lithography All Lithography Resist Processing Electron Beam Resist Developing Station Lithography All Lithography Resist Processing Electron Beam Resist Oven Lithography All Lithography Resist Processing Electron Beam Resist Spin Coating Station Lithography All Lithography Resist Processing Electron Microprobe Imaging All Imaging Other Electron Spectrometer: XPS with Laser Interface Metrology/Characterization Thin Film XPS Electroplating Bench Thin Film Processing Metal Plating Electroplating Hood – Au Thin Film Processing Metal Plating Electroplating Hood – Cu Thin Film Processing Metal Plating Electroplating Hood – Ni Thin Film Processing Metal Plating Electroplating Process Bench Thin Film Processing Metal Other Elemental Analysis Other All Other Other Elionix ELS-7000 Lithography All Lithography EBL Elionix ELS-7500EX Lithography All Lithography EBL Elionix ELS-F125 Lithography All Lithography EBL ELL1 Metrology/Characterization Thin Film Thickness Ellipsometer Metrology/Characterization Structure or Device Optical Ellipsometer Metrology/Characterization Thin Film Thickness Ellipsometer Metrology/Characterization Thin Film Thickness Ellipsometer Metrology/Characterization Thin Film Spectroscopy Ellipsometer Metrology/Characterization Thin Film Other Ellipsometer Metrology/Characterization Thin Film Thickness Ellipsometer Metrology/Characterization Thin Film Thickness Ellipsometer Metrology/Characterization Thin Film Thickness Ellipsometer (VASE) Metrology/Characterization Thin Film Thickness Ellipsometer: Horiba Smart SE Metrology/Characterization Thin Film Other ELS-G100 EBL System Lithography All Lithography EBL Emitech Au/Pd and Ir sputter coater Imaging All Imaging Sample Prep Emitech K575X Sputter Coater Thin Film Processing All Thin Film Processing Sputter EMS Hotplate Lithography All Lithography Resist Processing Environmental FIB/SEM (Quanta) Imaging All Imaging SEM Environmental TEM Imaging All Imaging TEM EnviroScope Atomic Force Microscope (ESCOPE) Imaging All Imaging Probe EOS M270 Patterning All Patterning 3D Printing Epifluorescence Optical Microscope Imaging All Imaging Optical ESEM Imaging All Imaging SEM ESEM Imaging All Imaging SEM Eukaryotic Cell Culture Other All Other Other EV Group 620 Contact Aligner Lithography All Lithography UV EV Group 620 Contact Aligner Lithography All Lithography UV EV Group Contact Aligner Lithography All Lithography UV EV Group Wafer Bonder Packaging All Packaging Bonding EVAP1 Thin Film Processing Metal Evaporation EVAP1 Thin Film Processing Metal Evaporation EVAP2 Thin Film Processing Metal Evaporation EVAP2 Thin Film Processing Metal Evaporation EVAP3 Thin Film Processing Metal Evaporation EVAP3 Thin Film Processing Metal Evaporation Evatec Vision Sputterer Thin Film Processing Dielectric Sputter Everbeing 4-point Probe Station-Manual Metrology/Characterization Structure or Device Electrical Everbeing EB-6 DC Probe Station Metrology/Characterization Structure or Device Electrical EVG 101 Resist Spray Coater Thin Film Processing Polymer Spray Coating EVG 101 Spin Coater Thin Film Processing Polymer Spin Coating EVG 150 Automated Wafer Coater/Developer Lithography All Lithography Resist Processing EVG 501 Wafer Bonder Packaging All Packaging Bonding EVG 510 Wafer Bonder Packaging All Packaging Bonding EVG 620 Mask Aligner Lithography All Lithography UV EVG 620 Mask Aligner Lithography All Lithography UV EVG 620 UV-NIL Patterning All Patterning NIL EVG 620 Wafer Bond Aligner Packaging All Packaging Bonding EVG Contact Aligner Lithography All Lithography UV EVG620 Lithography System Lithography All Lithography UV EVO 220 UV/vis Biological All Biological Other Excimer Laser Packaging All Packaging Other Exfoliation Glove Box Other All Other Other ExOne Digital Part Materialization Patterning All Patterning 3D Printing FEI Apreo Imaging All Imaging SEM FEI Helios 600 NanoLab, Focused Ion Beam (FIB) Imaging All Imaging FIB FEI Helios 660 Imaging All Imaging FIB FEI Helios Nanolab Imaging All Imaging FIB FEI Nova 600 Imaging All Imaging SEM FEI Nova Nano450 Imaging All Imaging SEM FEI Nova Nanolab 200 FIB/SEM Imaging All Imaging FIB FEI Nova Nanolab 200 FIB/SEM Metrology/Characterization Thin Film EDS/WDS FEI Quanta 200 3D FIB/SEM Imaging All Imaging FIB FEI Quanta 600 FEG Environmental SEM Imaging All Imaging SEM FEI Quanta 650 FEG Imaging All Imaging SEM FEI Quanta 650 FEG SEM with Nabity Pattern Generator Imaging All Imaging SEM FEI Sirion Imaging All Imaging SEM FEI Tecnai Imaging All Imaging TEM FEI Tecnai Imaging All Imaging TEM FEI Tecnai Imaging All Imaging TEM FEI Tecnai Arctica CryoTEM with Autoloader Imaging All Imaging TEM FEI Tecnai Cryo-Bio 200kV FEG TEM Imaging All Imaging TEM FEI Tecnai F30 TEM Imaging All Imaging TEM FEI Tecnai F30 TEM Metrology/Characterization Thin Film EDS/WDS FEI Tecnia Osiris Imaging All Imaging TEM FEI Titan 300 Imaging All Imaging TEM Femtosecond Laser System Patterning All Patterning Laser FESEM Supra 55VP Imaging All Imaging SEM FESEM Ultra Plus Imaging All Imaging SEM FESEM Ultra55 Imaging All Imaging SEM FIB Etching Dry FIB FIB Imaging All Imaging FIB FIB/SEM: FEI DB235 Imaging All Imaging FIB FIB/SEM: FEI Helios 600i Imaging All Imaging FIB Field Emission Scanning Electron Microscope Imaging All Imaging SEM Field Emission Scanning Electron Microscope Imaging All Imaging SEM Fiji Atomic Layer Deposition Thin Film Processing Dielectric ALD Fiji Atomic Layer Deposition Thin Film Processing Dielectric ALD Filmetrics Metrology/Characterization Structure or Device Optical Filmetrics Metrology/Characterization Structure or Device Profilometry Filmetrics Metrology/Characterization Thin Film Thickness FilMetrics F20 Metrology/Characterization Thin Film Other Filmetrics F20 Metrology/Characterization Thin Film Thickness Filmetrics F20 (2) Metrology/Characterization Thin Film Thickness FilMetrics F40 Metrology/Characterization Thin Film Other Filmetrics F40 Metrology/Characterization Structure or Device Optical Filmetrics F40-UV Metrology/Characterization Thin Film Other Filmetrics F50 Metrology/Characterization Structure or Device Optical FilMetrics F50-EXR Metrology/Characterization Thin Film Other Filmetrics Film Thickness F3 Metrology/Characterization Thin Film Thickness FilmSense Metrology/Characterization Thin Film Thickness Finetech Flip Chip Bonder Packaging All Packaging Bonding Finetech Sub-micron Flip-chip Bonder Packaging All Packaging Bonding First Nano carbon nanotube CVD furnace Thin Film Processing Dielectric Other Fischione Ion Mill Etching Dry Ion Mill Flash Solar Cell Tester Metrology/Characterization Structure or Device Electrical Flexible Corrosive Wetbench and GaAs Etching Wet Wet Bench Flexus 2320 Metrology/Characterization Thin Film Other FleXus Film Stress Measurement Metrology/Characterization Thin Film Other Flip Chip Bonder Packaging All Packaging Bonding Flip Chip Bonder Packaging All Packaging Bonding Flip-Chip Bonder Packaging All Packaging Bonding Flipchip Bonder Packaging All Packaging Bonding Flow Mach2-1313b Waterjet Cutting Machine Packaging All Packaging Dicing Fluorimeter Metrology/Characterization Chemical Analysis Other Fluorimeter Metrology/Characterization Chemical Analysis Spectroscopy FLX-2320-S Thin Film Stress Measurement Metrology/Characterization Thin Film Mechanical FMS Thin Film Stress Measurement System Metrology/Characterization Thin Film Mechanical Focused Ion Beam & Scanning Electron Microscope Imaging All Imaging FIB Focused Ion Beam – Helios G4 UX (FEI) Imaging All Imaging FIB Focused Ion Beam – Nova 200 NanoLab (FEI) Imaging All Imaging FIB Form2 3D SLA Printer Patterning All Patterning 3D Printing Forming Gas Anneal (Tylan Furnace C4) Thin Film Processing Dielectric Annealing Forming gas Anneal Furnace Forming gas Anneal Furnace Forming Gas Anneal of High-K Dielectrics (Tylan Furnace C1) Thin Film Processing Dielectric Annealing Forming Gas Furnace Thin Film Processing Metal Annealing FormLabs Form2 Patterning All Patterning 3D Printing Four Point Probe Metrology/Characterization Structure or Device Electrical Four Point Probe Metrology/Characterization Structure or Device Electrical Four point probe Metrology/Characterization Structure or Device Electrical Four point probe Metrology/Characterization Structure or Device Electrical Free Fall Shock Machine Metrology/Characterization Structure or Device Other Freeze Dry Systems Biological All Biological Sample Prep Freeze Fracture Imaging All Imaging Sample Prep Freeze Substitutor Biological All Biological Sample Prep FT-IR Metrology/Characterization Thin Film Spectroscopy FTIR Metrology/Characterization Thin Film Spectroscopy FTIR Microscope Metrology/Characterization Chemical Analysis Other FTIR Spectrometer Metrology/Characterization Chemical Analysis Other Fume Hoods 1-4 Fumehood 1 Left Cleaning All Cleaning Wet Bench Fumehood 1 Right Cleaning All Cleaning Wet Bench Fumehood 2 Left Cleaning All Cleaning Wet Bench Fumehood 2 Right Cleaning All Cleaning Wet Bench Fumehood 3 Left Cleaning All Cleaning Wet Bench Fumehood 3 Right Cleaning All Cleaning Wet Bench FURN2-Tube1 Thin Film Processing Dielectric Annealing FURN2-Tube2 Thin Film Processing Dielectric Oxidation FURN2-Tube3 Thin Film Processing Dielectric Annealing Furnace Thin Film Processing Dielectric Oxidation Furnaces and Controllers Lindberg Thin Film Processing Dielectric Oxidation Fusion 3D printer Patterning All Patterning 3D Printing Fusion Splicer Packaging All Packaging Other Gaertner L116C ellipsometer Metrology/Characterization Structure or Device Optical Gaertner LSE-WS Ellipsometer Metrology/Characterization Thin Film Thickness Gamma Automatic Coat-Develop Tool Lithography All Lithography Resist Processing Gas Chromatographer Metrology/Characterization Chemical Analysis Chromatography Gas Chromatographer Metrology/Characterization Chemical Analysis Chromatography Gas sorption: Quantachrome Autosorb iQ3 Metrology/Characterization Chemical Analysis Other Gasonics Asher Etching Dry Other Gasonics Asher (Inorganic) Etching Dry Other Gasonics Aura Asher Etching Dry RIE GC-MS Agilent Metrology/Characterization Chemical Analysis Chromatography GC-TOF MS Metrology/Characterization Chemical Analysis Mass Spec GCA 5x Stepper Lithography All Lithography UV GCA 8500 Stepper Lithography All Lithography UV GDOES Metrology/Characterization Chemical Analysis Other Gel electrophoresis setup and accessories Biological All Biological Other GEMStar ALD and Pulsed CVD Thin Film Processing Dielectric ALD General Chemistry Hoods Cleaning All Cleaning Wet Bench General Furnace Thin Film Processing Dielectric Oxidation General Material Anneal 1 – Bank A-1 Thin Film Processing Metal Other General Wet Process Station Etching Wet Wet Bench Geochemistry AFM (Icon) Imaging All Imaging Probe Germanium wbgen-2 Cleaning All Cleaning Wet Bench Glen 1000 Resist Strip Cleaning All Cleaning Plasma/Stripper Glenn Downstream RIE Etching Dry RIE Glove Box Thin Film Processing Dielectric Other Glove Box Imaging All Imaging Sample Prep GLOVE1 Other All Other Other Glovebox Other All Other Other Glovebox 1-2 GLOW1 Cleaning All Cleaning Other GnP Poli-400L Packaging All Packaging CMP Gold Plating Thin Film Processing Metal Plating Graphene Deposition Thin Film Processing Dielectric CVD Graphitization Furnace Grinder/Polisher Imaging All Imaging Sample Prep GSI PECVD Thin Film Processing Dielectric PECVD Haas ST-10Turning Center Other All Other Other HAAS VMC VF-2 Other All Other Other Hall Effect Measurement System Metrology/Characterization Structure or Device Electrical Hamatech Hot Piranha Cleaning All Cleaning Other Hamatech Hot SC1/SC2 Cleaning All Cleaning Wet Bench Hamatech Mask Chrome Etch Etching Wet Other Hamatech Post CMP Brushcleaner Cleaning All Cleaning Other Hamatech Wafer Processor Develop 1 Etching Wet Other Hamatech Wafer Processor Develop 2 Etching Wet Other Hardness Tester HAST Chamber Metrology/Characterization Structure or Device Other HD-PCVD Thin Film Processing Dielectric PECVD Headway Manual Resist Spinner Thin Film Processing Polymer Spin Coating Headway Spinner hood J21/J23 Lithography All Lithography Resist Processing Headway Spinner I Thin Film Processing Polymer Spin Coating Heatpulse 610 Thin Film Processing Dielectric Annealing Heidelberg Laser Writer Lithography All Lithography Mask Making Heidelberg laserwriter Lithography All Lithography Mask Making Heidelberg Mask Writer Lithography All Lithography Mask Making Heidelberg Mask Writer – DWL2000 Lithography All Lithography Mask Making Heidelberg Mask Writer – DWL66 Lithography All Lithography Mask Making Heidelberg MLA150 Lithography All Lithography UV Heidelberg MLA150 Direct Write Lithographer Lithography All Lithography Mask Making Heidelberg MLA150 Maskless Aligner Lithography All Lithography UV Heidelberg MLA150 No.1 (375/405) Patterning All Patterning Laser Heidelberg MLA150 No.2 (375) Patterning All Patterning Laser Heidelberg uPG501 Lithography All Lithography UV Heidelberg µP-101 Direct Write Lithography Lithography All Lithography Mask Making Heildelberg MLA 150 – 1 Lithography All Lithography UV Heildelberg MLA 150 – 2 Lithography All Lithography UV Helios Dual Beam FIB Imaging All Imaging SEM Helios FIB/SEM Imaging All Imaging SEM Helios Nanolab 660/G3 Imaging All Imaging FIB Helium Ion Microscope Imaging All Imaging Other Heraeus Vacuum Oven (Marcus) Heraeus Vacuum Oven (Pettit) Other All Other Other Hermes LS500XL CO2 laser Patterning All Patterning Laser HEX Deposition system Thin Film Processing Metal Other HF Process Bench Etching Wet Wet Bench HF Vapor Etch Tool Etching Dry Other Hi-Speed Camera Imaging All Imaging Other High Pressure Freezer Biological All Biological Sample Prep High Resolution Microprobe XPS Metrology/Characterization Thin Film XPS High Resolution, Ultrafast SFG Vibrational Spectroscopy Metrology/Characterization Thin Film Other High Speed Optical Signal Testing Metrology/Characterization Structure or Device Optical High Temperature SiC Dry Oxidation Furnace (D1) Thin Film Processing Dielectric Oxidation High Vacuum Coater Biological All Biological Sample Prep HIROX KH-7700 3D Digital Video Microscope Imaging All Imaging Optical HITACHI 4100 Spectrophotometer Imaging All Imaging Optical Hitachi 4160 Scanning Electron Microscope Imaging All Imaging SEM Hitachi FESEM Imaging All Imaging SEM Hitachi H-8100 TEM Imaging All Imaging TEM Hitachi HD-2300 STEM Imaging All Imaging TEM Hitachi HD-2700 Imaging All Imaging TEM Hitachi HD-2700 Metrology/Characterization Thin Film EDS/WDS Hitachi HT-7700 S/TEM Imaging All Imaging TEM Hitachi HT7700 TEM Imaging All Imaging TEM Hitachi S-3400 Imaging All Imaging SEM Hitachi S-3500H SEM Imaging All Imaging SEM Hitachi S-3700N VP-SEM Imaging All Imaging SEM Hitachi S-4700 FE-SEM Imaging All Imaging SEM Hitachi S-4800 Imaging All Imaging SEM Hitachi S-4800 FESEM Imaging All Imaging SEM Hitachi s4300 Imaging All Imaging SEM Hitachi SU8010 SEM Imaging All Imaging SEM Hitachi SU8030 Imaging All Imaging SEM Hitachi SU8230 Imaging All Imaging SEM Hitachi SU8230 Imaging All Imaging SEM Hitachi SU8230 Metrology/Characterization Thin Film EDS/WDS Hitachi UH4150 UV-Visible/NIR Spectrophotometer Metrology/Characterization Chemical Analysis Spectroscopy HMDS oven Lithography All Lithography Resist Processing HOOD1 Etching Wet Wet Bench HOOD2 Etching Wet Wet Bench HOOD3 Etching Wet Wet Bench Horiba FluoroMax 4 Imaging All Imaging Optical Horiba Raman/AFM Metrology/Characterization Thin Film Spectroscopy Horiba Raman/AFM Imaging All Imaging Probe Horiba SPRi-LAB+ Surface Plasma Resonance System Biological All Biological SPR Horiba UVISEL 2 Spectroscopic Ellipsometer Metrology/Characterization Thin Film Other Horiba XACTII Arrayer System Patterning All Patterning Other Horiba XploRA ONE Raman Confocal Microscope Metrology/Characterization Chemical Analysis Spectroscopy Hot Embosser Patterning All Patterning Other Hot Laminator – GBC Catena 35 Other All Other Other Hot Phosphoric Tank Etching Wet Other Hot Press Patterning All Patterning NIL Hotplates and Ovens Lithography All Lithography Resist Processing HPLC System Metrology/Characterization Chemical Analysis Other HT-GPC: Tosho High-temperature EcoSEC with MALS: Wyatt Dawn Heleos Metrology/Characterization Chemical Analysis Other Huber 2-circle Cu Metrology/Characterization Thin Film XRD Huber 2-circle XSW Mo Metrology/Characterization Thin Film XRD Huber 4-circle Metrology/Characterization Thin Film XRD Hummer 5 Gold/Palladium Sputterer Imaging All Imaging Sample Prep Hummer 6 Gold/Palladium Sputterer Imaging All Imaging Sample Prep Hummer V Sputter Coater Thin Film Processing Metal Evaporation Hummer XP Gold Sputterer Imaging All Imaging Sample Prep Hydrofluoric (HF) Vapor Etcher Etching Dry Other Hydrophilic Treatment System Imaging All Imaging Sample Prep Hydroponics Laboratory Hysitron TI 950 TriboIndenter Metrology/Characterization Thin Film Mechanical Hysitron TI950 Triboindenter Metrology/Characterization Thin Film Mechanical Hysitron TriboIndenter Metrology/Characterization Thin Film Mechanical Hysitron TriboIndenter Metrology/Characterization Thin Film Mechanical I-Line Lithography – GCA 8500DSW Stepper Lithography All Lithography Other IBM Etching Dry Ion Mill IBS/e Thin Film Processing Metal Sputter IBS/e Thin Film Processing Dielectric Sputter IBT Imaging All Imaging Sample Prep Ice Nucleation Chamber Other All Other Other Icon AFM Imaging All Imaging Other ICP – Chlorine Etching Dry ICP ICP – Fluorine Etching Dry ICP ICP 2300 Versys LAM Etching Dry ICP ICP STS Etching Dry ICP ICP-MS (Quadrupole) Metrology/Characterization Chemical Analysis Mass Spec ICP100 Etching Dry Deep Silicon (Bosch) ID Quantique ID220 Single Photon Detector (one pair) Metrology/Characterization Structure or Device Optical Illumina MiSeq DNA Sequencer Biological All Biological Other Image Reversal Oven Lithography All Lithography Resist Processing Image Reversal Oven Patterning All Patterning Other Imaging Ellipsometer Accurion EP3 Metrology/Characterization Thin Film Thickness Imaging Photoluminescence Imaging All Imaging Other Imaging XPS Imaging All Imaging Other IMILL1 Imaging All Imaging Sample Prep IncuCyte Live Cells Analysis System Biological All Biological Other Influx – Flow Cytometer Cell Sorter Other All Other Other Infrared Spectrometer Infinity Gold FTIR Metrology/Characterization Thin Film Spectroscopy Innotec ES26C E-Gun Evaporator Thin Film Processing Metal Evaporation Instron 3384 Materials Test Frame Metrology/Characterization Thin Film Mechanical Instron 5569 Mechnical Tester Metrology/Characterization Structure or Device Other Instron 5900R Material Test Frame Metrology/Characterization Thin Film Mechanical Instron 8802 Dynamic Test Frame Metrology/Characterization Thin Film Mechanical Instron 9250G Impact Test Frame Metrology/Characterization Thin Film Mechanical Instron E10000 Fatigue Test Equipment Metrology/Characterization Structure or Device Other Instron Impact Tester 8250 Metrology/Characterization Structure or Device Other Interferometer Metrology/Characterization Structure or Device Profilometry Intermediate Coating Platform Thin Film Processing Polymer Other Intermediate Flow Cells Other All Other Other IntlVac Nanochrome I Evaporator System Thin Film Processing Metal Evaporation IntlVac Nanochrome I Sputter System Thin Film Processing Metal Sputter Inverted Microscope Imaging All Imaging Optical inVia Raman/PL Microscope Metrology/Characterization Thin Film Spectroscopy Ion assist E-beam Evaporator Thin Film Processing Dielectric Evaporation Ion Beam Etcher Etching Dry Ion Mill Ion Chromatograph Metrology/Characterization Chemical Analysis Chromatography Ion Mill Imaging All Imaging Sample Prep Ion Mill Etching Dry Ion Mill Ion Mill Imaging All Imaging Sample Prep Ion miller Etching Dry Ion Mill Ion Polisher Imaging All Imaging Sample Prep IoN Wave 10 Plasma Asher Cleaning All Cleaning Plasma/Stripper ION-TOF Metrology/Characterization Chemical Analysis Mass Spec IONTOF Time-of-Flight SIMS Metrology/Characterization Thin Film SIMS IPCE Metrology/Characterization Structure or Device Other IPE Plasma enhanced chemical vapor deposition system Thin Film Processing Dielectric PECVD IPG Photonics IX-255 Patterning All Patterning Laser IPG Photonics IX200F Patterning All Patterning Laser IR Image Furnace Thin Film Processing Dielectric Other Isomet Imaging All Imaging Sample Prep ITC Biological All Biological Other J.A. Woollam M-2000D Spectroscopic Ellipsometer Metrology/Characterization Thin Film Thickness J.A. Woollam M-2000D Spectroscopic Ellipsometer Metrology/Characterization Structure or Device Optical J.A. Woollam M2000 Spectroscopic Ellipsometer Metrology/Characterization Thin Film Thickness J.A. Woollam V VASE Spectroscopic Ellipsometer Metrology/Characterization Structure or Device Optical Jandel 4-point probe Metrology/Characterization Structure or Device Electrical Jandel 4-point probe RM3000 Metrology/Characterization Structure or Device Electrical Jandel Four Point Probe with RM3000 Test Unit Metrology/Characterization Structure or Device Electrical Jasco UV/Vis/IR Spectrometer Metrology/Characterization Thin Film Spectroscopy JBA 1000 DUV Resist Cure Lamp Lithography All Lithography Resist Processing Jelight UVO-Cleaner UV Cleaning Machine Cleaning All Cleaning Spin Rinse Drying JEOL 100 CX-II TEM Imaging All Imaging TEM JEOL 2010 FED – TEM/STEM Imaging All Imaging TEM JEOL 2100 Imaging All Imaging TEM JEOL 2100 TEM Imaging All Imaging TEM JEOL 2100F S/TEM Imaging All Imaging TEM JEOL 6300 Lithography All Lithography EBL JEOL 7500F HRSEM Imaging All Imaging SEM JEOL 9500 Lithography All Lithography EBL JEOL ARM 200F STEM Imaging All Imaging TEM JEOL Cross Section Polisher Imaging All Imaging Sample Prep JEOL e-beam lithography Lithography All Lithography EBL JEOL Electron Beam Lithography Lithography All Lithography EBL JEOL F200 TEM Imaging All Imaging TEM JEOL JEM 7900-FLV Imaging All Imaging SEM JEOL JEM1230 TEM Imaging All Imaging TEM JEOL JEM2010 Imaging All Imaging TEM JEOL JSM-7000F Lithography All Lithography EBL JEOL JSM-7400F Field Emission Scanning Electron Microscope Imaging All Imaging SEM JEOL NeoARM 200CF TEM Imaging All Imaging TEM JEOL Scanning Electron Microscope SEM Imaging All Imaging SEM JEOL-SEM Imaging All Imaging SEM JetFirst RTP Thin Film Processing Dielectric RTA/RTP JetLabII Ink-jet Printer Patterning All Patterning Inkjet JXA-8530F Electron Probe Microanalyzer (EPMA) Metrology/Characterization Chemical Analysis Spectroscopy K&S 1472 Wire Bonder 1 Packaging All Packaging Bonding K&S 1472 Wire Bonder 2 Packaging All Packaging Bonding K&S 4124 Wire Bonder Packaging All Packaging Bonding K&S 4522 Ball Bonder Packaging All Packaging Bonding K&S 4523 Ball Wire Bonder Packaging All Packaging Bonding K&S 4523A Wedge Wire Bonder Packaging All Packaging Bonding K&S 4523AD Wire Bonder Packaging All Packaging Bonding Karl Suss MA 6 Mask Aligner Lithography All Lithography UV Karl Suss MA-6 Contact Aligner 1&2 Lithography All Lithography UV Karl Suss MA-6 Mask Aligner – Pettit Lithography All Lithography UV Karl Suss MA6 — Instructional Center Lithography All Lithography UV Karl Suss MA6 Mask Aligner Lithography All Lithography UV Karl Suss MJB-3 Aligners Lithography All Lithography UV Karl Suss MJB3 Mask Aligner Lithography All Lithography UV Karl Suss probe Metrology/Characterization Structure or Device Electrical Karl Suss RC8 Spinner (Marcus) Lithography All Lithography Resist Processing Karl Suss RC8 Spinner (Pettit) Lithography All Lithography Resist Processing Karl Suss SB6 Bonder Packaging All Packaging Bonding Karl Suss SB8E Bonder Packaging All Packaging Bonding Karl Suss TSA MA-6 Mask Aligner Lithography All Lithography UV Keithley 2602A Dual Channel Source Meter Metrology/Characterization Structure or Device Electrical Keithley 3600 Metrology/Characterization Structure or Device Electrical Keithley SCS Metrology/Characterization Structure or Device Electrical Key High Thermal Evaporator Thin Film Processing Metal Evaporation Keyence Metrology/Characterization Structure or Device Optical Keyence Imaging All Imaging Optical Keyence microscope Imaging All Imaging Other Keyence VHX-600 Digital Microscope Imaging All Imaging Optical Keyence VHX1000 Imaging All Imaging Optical Keyence VK-X series 3D Laser Scanning Confocal Microscope Metrology/Characterization Thin Film Other Keysight 5600 LS AFM Imaging All Imaging Probe Keysight B1500A Semiconductor Device Analyzer Metrology/Characterization Structure or Device Electrical Kinetic Laser Metrology/Characterization Chemical Analysis Other KJL Evaporator Thin Film Processing All Thin Film Processing Evaporation KJL_south cleanroom Thin Film Processing Metal Sputter KLA 2D Profilometer (MET-01) Metrology/Characterization Structure or Device Profilometry KLA 3D Profilometer (MET-02) Metrology/Characterization Structure or Device Profilometry KLA Tencor Surfscan 6100 Metrology/Characterization Structure or Device Optical KLA-Tencor P-10 Profilometer Metrology/Characterization Structure or Device Profilometry KLA-Tencor P-16 Stylus Profilometer Metrology/Characterization Structure or Device Profilometry KLA-Tencor P-7 Stylus Profilometer Metrology/Characterization Structure or Device Profilometry KLA-Tencor P-7 Surface Profilometer Metrology/Characterization Structure or Device Profilometry Knife Maker Imaging All Imaging Sample Prep Kobelco Photocurrent Measurement System Metrology/Characterization Structure or Device Electrical KOH baths for wafer Polishing and Texturing Etching Wet Wet Bench KOH Hood and Bath Etching Wet Wet Bench Kratos Metrology/Characterization Thin Film XPS Kratos Axis Ultra Metrology/Characterization Thin Film XPS Kratos Axis Ultra Metrology/Characterization Thin Film SIMS Kratos XPS Metrology/Characterization Thin Film XPS Kruss Tensiometer Metrology/Characterization Thin Film Contact Angle KS Ball Bonder Packaging All Packaging Bonding KSV Langmuir-Blodgett Assembly and Deposition Trough Kulicke 4523 Wire Bonder Packaging All Packaging Bonding Kurt J. Lesker PVD E-beam Evaporator Thin Film Processing Metal Evaporation Kurt Lesker Electron Beam Evaporation System Thin Film Processing Metal Evaporation Labconco FreeZone 6 Lyophilizer Biological All Biological Sample Prep Labram HR Evolution Raman Spectrometer Metrology/Characterization Thin Film Spectroscopy LabSpin8 Spin Coater Lithography All Lithography Resist Processing Lake Shore 8400 Series Hall Effect Measurement System Metrology/Characterization Structure or Device Electrical Lakeshore 1.5K Probe Station Metrology/Characterization Structure or Device Electrical Lakeshore probe station Imaging All Imaging Probe Lam IPEC 472 CMP Packaging All Packaging CMP Lam OnTrak DSS200 Series II Brush Cleaner Cleaning All Cleaning Other Lam Rainbow 4400 RIE Etching Dry RIE Lam Research TCP 9400 Poly Etcher Etching Dry ICP Laminator Packaging All Packaging Other Large Sonicator Cleaning All Cleaning Other Laser Ablation Patterning All Patterning Laser Laser Capture Microdissection (LCM) Imaging All Imaging Other Laser Cutter Patterning All Patterning Laser Laser Cutting System Patterning All Patterning Laser Laser Desorption Analysis Other All Other Other Laser Diffraction Particle Size Analyzer Particle All Particle Size Laser Flash Apparatus (LFA) Laser Microscope Imaging All Imaging Confocal Laser Scanning Microscope Imaging All Imaging Optical Laser Writer Lithography All Lithography UV Lasercutter Lithography All Lithography UV Laurell Develop-Etch Etching Wet Wet Bench Laurell Manual Resist Spinner Thin Film Processing Polymer Spin Coating Laurell Spin Processor with Wet Station Thin Film Processing Polymer Spin Coating Laurell Spinner Thin Film Processing Polymer Spin Coating Laurell Spinner Thin Film Processing Polymer Spin Coating Layout BEAMER/LAB software Lithography All Lithography Other LB Trough: Biolin Scientific KSV 5000 Biological All Biological Other LC-TOF MS Metrology/Characterization Chemical Analysis Mass Spec LEAP 5000 XS Metrology/Characterization Chemical Analysis Other LED Measurement System and Integrating Sphere Metrology/Characterization Structure or Device Optical Leica CM1800 Biological All Biological Sample Prep Leica Critical Point Dryer Cleaning All Cleaning Critical Point Drying Leica EM ACE200 Sample Coater Imaging All Imaging Sample Prep Leica Microtome Imaging All Imaging Sample Prep Leica UC7/FC7 Ultramicrotome Biological All Biological Sample Prep Leitz ergolux Imaging All Imaging Optical Leitz Ergolux Microscope Imaging All Imaging Optical Leitz MVP-SP Interferometer Metrology/Characterization Thin Film Other LEO (Zeiss) 1550 Field Emission SEM Imaging All Imaging SEM Leo 1525 Imaging All Imaging SEM LEO 1530 FE-SEM Imaging All Imaging SEM LEO 1530 SEM Imaging All Imaging SEM LEO 1530 SEM Metrology/Characterization Thin Film EDS/WDS Lesker E-beam Evaporator – Soft-Lithography Thin Film Processing Metal Evaporation Lesker Nano 36 (PVD01) Thin Film Processing Metal Evaporation Lesker PVD 75 (PVD-03) Thin Film Processing Metal Sputter Lesker PVD 75 (PVD-04) Thin Film Processing Metal Evaporation Lesker PVD 75 Evaporator Thin Film Processing Metal Evaporation Lesker PVD 75 Sputterer Thin Film Processing Metal Sputter Lesker PVD75 #1 Sputter Deposition Thin Film Processing Metal Sputter Lesker PVD75 #2 ZnO Sputter deposition Thin Film Processing Metal Sputter Lesker PVD75 #3 E-beam evaporator Thin Film Processing Metal Evaporation Lesker PVD75 #4 E-beam evaporator Thin Film Processing Metal Evaporation Lesker PVD75 #5 Sputter Tool Thin Film Processing Metal Sputter Lesker PVD75 #5 Sputter Tool Thin Film Processing Dielectric Sputter Lesker Sputter Thin Film Processing Metal Evaporation LFE Barrel Etcher-Soft Lithography Cleaning All Cleaning Plasma/Stripper Lindberg 55322 Lindberg Furnace Thin Film Processing Dielectric Annealing Lindberg Furnace 1 (CMOS Sintering Tube) Thin Film Processing Polymer Other Lindberg Furnace 2 (Polymer Curing Tube) Thin Film Processing Polymer Other Lindberg Furnace 3 (Oxidation Tube) Thin Film Processing Dielectric Other Lindberg Furnace 4 (Polymer Curing Tube) Thin Film Processing Polymer Other Lindburg Blue M Ovens Thin Film Processing Dielectric Annealing Linkam THMS600 Thermal Stage Metrology/Characterization Chemical Analysis Spectroscopy Liquid He CryoTEM Imaging All Imaging TEM Lithography Solvent Bench Cleaning All Cleaning Wet Bench Live Cell Single Molecule Fluorescence Microscope Other All Other Other Lock-in Thermography Imaging All Imaging Other Logitech CMP Packaging All Packaging CMP Logitech LP50 Lapper Packaging All Packaging Other Logitech PM5 Polisher 1 Packaging All Packaging Other Logitech SS Bonder Packaging All Packaging Bonding Low angle Ion Milling and Polishing System Imaging All Imaging Sample Prep Low Temperature Photoelectron Spectroscopy Metrology/Characterization Chemical Analysis Spectroscopy Low Temperature UHV STM/AFM Imaging All Imaging Probe Low-speed Diamond Saw Imaging All Imaging Sample Prep LPCVD Thin Film Processing Dielectric Oxidation LPCVD BPSG Thin Film Processing Dielectric CVD LPCVD CMOS N+ Polysilicon – Bank D-3 Thin Film Processing Dielectric CVD LPCVD CMOS Nitride – Bank E-4 Thin Film Processing Dielectric CVD LPCVD Low Temperature Oxide (LTO) – Bank B-3 Thin Film Processing Dielectric CVD LPCVD MRL furnaces Thin Film Processing Dielectric CVD LPCVD N+/P+ Polysilicon – Bank C-4 Thin Film Processing Dielectric CVD LPCVD Nitride – B4 Thin Film Processing Dielectric CVD LPCVD P+polysilicon CVD- Bank D-4 Thin Film Processing Dielectric CVD LPCVD TEOS Oxide- Bank C-3 Thin Film Processing Dielectric CVD LPCVD Undoped Polysilicon – Bank E-3 Thin Film Processing Dielectric CVD LR Tech Walk-in Oven LSD-100 Scriber/Cleaver Packaging All Packaging Other Lucas Labs 4PP Metrology/Characterization Structure or Device Electrical Magnetron Sputtering Coating Thin Film Processing Metal Sputter MakerBot Replicator 3D Printer Patterning All Patterning 3D Printing MALDI-TOF MS Metrology/Characterization Chemical Analysis Mass Spec Malvern Nano ZS Zetasizer Particle All Particle Zeta Potential Malvern NanoSight LM10 Particle All Particle Size Malvern NS300 NanoSight Particle All Particle Zeta Potential Malvern Zetasizer Particle All Particle Zeta Potential Malvern Zetasizer Metrology/Characterization Structure or Device Other Malvern Zetasizer NanoZS Particle All Particle Size Malvern Zetasizer ZS Particle All Particle Size Manual Flip Chip Bonder Packaging All Packaging Bonding Manual Probe Station Metrology/Characterization Structure or Device Electrical Manual Spin Coater Thin Film Processing Polymer Spin Coating Manual Wire Bonder Packaging All Packaging Bonding March 1703 Etching Dry RIE March Asher Etching Dry Other Mask Aligner Lithography All Lithography UV Mask Aligner Lithography All Lithography UV Mask Aligner Lithography All Lithography UV Mask Aligner Lithography All Lithography UV Mask Aligner Lithography All Lithography UV Mask Aligner Lithography All Lithography UV Mask Writer 1 Lithography All Lithography Mask Making Mask Writer 2 Lithography All Lithography Mask Making Maskless Aligner Lithography All Lithography Mask Making Mass Spectrometer Metrology/Characterization Chemical Analysis Spectroscopy Mass Spectrometer: 21T FTICR Metrology/Characterization Chemical Analysis Mass Spec Mass Spectrometer: Aerosol, time-of-flight, high resolution Metrology/Characterization Chemical Analysis Mass Spec Mass Spectrometer: FT-ICR, 6T (Ion Surface Collisions) Metrology/Characterization Chemical Analysis Mass Spec Mass Spectrometer: Inductively Coupled Plasma (ICP-MS), High Resolution (Element XR) Metrology/Characterization Chemical Analysis Mass Spec Mass Spectrometer: Ion Mobility Spectrometry, Time of Flight Metrology/Characterization Chemical Analysis Mass Spec Mass Spectrometer: Linear Ion Trap Quadrupole (LTQ) Orbitrap MS – for environmental research (nanoDESI) Metrology/Characterization Chemical Analysis Mass Spec Mass Spectrometer: Orbitrap Metrology/Characterization Chemical Analysis Mass Spec Mass Spectrometer: Proton Transfer Reaction (PTRMS) Metrology/Characterization Chemical Analysis Mass Spec Mass Spectrometer: Single Particle (SPLAT II) Metrology/Characterization Chemical Analysis Mass Spec Mass Spectrometer: Time of Flight Secondary Ion (ToF SIMS) – 1997 Metrology/Characterization Chemical Analysis Mass Spec Mass-Selected Ion Deposition System – Electrospray Source Thin Film Processing Polymer Other Materials Microscope Imaging All Imaging Optical Matrix Plasma Asher Etching Dry RIE Matrix Plasma Resist Strip Etching Dry RIE Mechanical Testing, Instron 5565 Metrology/Characterization Structure or Device Other Melt Spinner SC MEMS Spin Rinse Dryer (SRD) Cleaning All Cleaning Spin Rinse Drying MEMS Wet Bench Cleaning All Cleaning Wet Bench Memsstar Orbis Alpha Oxide Etch System Etching Dry Deep Oxide Metal Lift-Off Processing Station Lithography All Lithography Resist Processing Metal Wetbench Etching Wet Wet Bench Metallica Sputter System Thin Film Processing Metal Sputter Metalorganic Vapor Deposition System Thin Film Processing Dielectric CVD MetOne Laser Particle Counter Particle All Particle Size Metricon Metrology/Characterization Thin Film Other Mettler Thermogravimetric Analyzer Metrology/Characterization Chemical Analysis TGA Micro FT-IR Metrology/Characterization Thin Film Spectroscopy Micro Mill Micro-CT Imaging All Imaging Other Micro-hardness Tester Metrology/Characterization Structure or Device Other Micro-Raman spectrometer Metrology/ KLA Tencor P-15 Profilometer Optical Profiler, gbs SmartVIS3D AFM/SPM Bruker (Veeco) Multimode AFM Bruker ICON AFM Bruker FastScan AFM JPK Nanowizard 3 Bioscience AFM JPK Nanowizard 3 Ultraspeed Preliminary height calibrations AFM Bruker ICON AFM Bruker FastScan AFM JPK Nanowizard 3 Bioscience AFM JPK Nanowizard 3 Ultraspeed Characterization Chemical Analysis Spectroscopy Micro-Raman Spectrometer Alpha 300 Metrology/Characterization Chemical Analysis Spectroscopy Microbalance Microbeam XRD Metrology/Characterization Thin Film XRD MICROCT1 Imaging All Imaging Other Microdrill Packaging All Packaging Drilling MicroFab Jetlab 4 Ink Printer System Patterning All Patterning Inkjet Microfluidics and Microfabrication Other All Other Other Microfluidics Workstation – Valves Controller Metrology/Characterization Structure or Device Other Microfluidics/PDMS processing setup Lithography All Lithography Soft Lithography Microfuge 18 Micro-centrifuge Biological All Biological Sample Prep Micromist Coater Thin Film Processing Polymer Spray Coating Microscope Imaging All Imaging Optical Microscope 1 Imaging All Imaging Optical Microscope 1 – Instructional Center Imaging All Imaging Optical Microscope 2 Imaging All Imaging Optical Microscope 2 – Instructional Center Imaging All Imaging Optical Microscope 3 Imaging All Imaging Optical Microscope 4 Imaging All Imaging Optical Microscope 4 – IR (infrared) Imaging All Imaging Optical Microscope M1 (Olympus MX61) Imaging All Imaging Optical Microscope M2 (Olympus MX61) Imaging All Imaging Optical Microscope M3 – Soft-lithography Imaging All Imaging Optical Microscope M4 Imaging All Imaging Optical Microscope M5 (Nikon L200) Imaging All Imaging Optical Microscope P1 Imaging All Imaging Optical Microscope P2 Imaging All Imaging Optical Microscope P3 Imaging All Imaging Optical Microscope P4 Imaging All Imaging Optical Microscope P5 Imaging All Imaging Optical Microscope Zeiss Axiotron Imaging All Imaging Optical Microscopes Imaging All Imaging Optical Microspectrophotometer Metrology/Characterization Thin Film Spectroscopy Microtech Laserwriter LW405 Lithography All Lithography Mask Making Microtome RMC MR3 Imaging All Imaging Sample Prep Microtrac S3000 Particle Size Analyzer Particle All Particle Size Microtrac Zetatrac Particle All Particle Zeta Potential Microwave plasma asher Etching Dry Other Microwave induced plasma etcher Etching Dry Other Microwave Processor Biological All Biological Sample Prep Mid-Wave Thermal Imaging System Imaging All Imaging Other Millimeter-Wave Test-Bed Metrology/Characterization Structure or Device Other milling: Intlvac Ion Mill Etching Dry Ion Mill Mini Tystar Tube 1 Thin Film Processing Dielectric Oxidation Mini Tystar Tube 2 Thin Film Processing Dielectric Oxidation Mini Tystar Tube 3 Thin Film Processing Dielectric Oxidation Mini-Brute Furnace Thin Film Processing Dielectric Annealing Minimet Imaging All Imaging Sample Prep Miscellaneous Photoresist Wetbench Cleaning All Cleaning Wet Bench MJB4 Mask Aligner Lithography All Lithography UV ML3 MicroWriter Lithography All Lithography UV MLA150 Lithography All Lithography Mask Making MOCVD Reactor Thin Film Processing Dielectric CVD Modulab Evaporator Thin Film Processing Metal Evaporation Modular Compact Rheometer Metrology/Characterization Structure or Device Other Molding Press Packaging All Packaging Other Molecular Beam Epitaxy Thin Film Processing Dielectric MBE Molecular Beam Epitaxy (MBE) Thin Film Processing Dielectric MBE Molecular Beam Epitaxy, Multi-source Thin Film Processing Dielectric MBE Molecular Beam Kinetics Metrology/Characterization Thin Film Other Molecular Vapor Deposition Thin Film Processing Dielectric CVD MOS Clean Anneal 2 – Bank B-1 Thin Film Processing Metal Annealing MOS Clean Bench & Tanks Cleaning All Cleaning Wet Bench MOS Metal Anneal 3 -Bank C-1 Thin Film Processing Metal Annealing MOS Metal Anneal 4 -Bank C-2 Thin Film Processing Metal Annealing MPMS Squid Metrology/Characterization Chemical Analysis Other MRC 944 Sputtering Tool Thin Film Processing Metal Sputter MRC Reactive Ion Etcher Etching Dry RIE MRL 1414 Diffusion Furnace Thin Film Processing Dielectric Oxidation MRL boron diffusion Thin Film Processing Dielectric Doping MRL Furnace – Tube 3 Thin Film Processing Dielectric Oxidation MRL Furnace – Tube 4 Thin Film Processing Dielectric Annealing MRL furnaces Thin Film Processing Dielectric Annealing MRL Oxidation Thin Film Processing Dielectric Oxidation MSA1 Metrology/Characterization Structure or Device Other MST Molecular Vapor Deposition System Thin Film Processing Dielectric Other MTI 1700 MTOME1 Imaging All Imaging Sample Prep Muffle Furnace Multi-wavelength Scanning Ellipsometer Metrology/Characterization Thin Film Thickness Multimode AFM (Nanoscope) Imaging All Imaging Probe Multiprep Imaging All Imaging Sample Prep MultiPrep Polisher Imaging All Imaging Sample Prep Multiprep Polishers Imaging All Imaging Sample Prep Multiprep Polishers Imaging All Imaging Sample Prep Multiprep Polishers Imaging All Imaging Sample Prep Multipurpose XRD Metrology/Characterization Thin Film XRD MWCNT Synthesis Reactors N4373C 67 GHz Lightwave Component Analyzer Metrology/Characterization Structure or Device Electrical N4906B Serial BERT Metrology/Characterization Structure or Device Electrical N9010A EXA Signal Analyzer, 26 GHz Metrology/Characterization Structure or Device Electrical Nabity Lithography All Lithography EBL Nano Mill Imaging All Imaging Sample Prep Nano-SIMS Metrology/Characterization Chemical Analysis Mass Spec Nano/Microfiber Electrospinning System NANO1 Metrology/Characterization Thin Film Thickness Nanoimprint NX-2500 Patterning All Patterning NIL Nanoimprinter Patterning All Patterning NIL Nanoindenter Metrology/Characterization Thin Film Mechanical Nanoindenter Metrology/Characterization Structure or Device Other Nanoindenter Metrology/Characterization Thin Film Mechanical Nanometrics Metrology/Characterization Thin Film Thickness Nanometrics Nanospec Thin Film Thickness System #2 Metrology/Characterization Thin Film Thickness NanoMill 1040 Imaging All Imaging Sample Prep Nanonex 2600 Nanoimprint Aligner Patterning All Patterning NIL Nanonex 2600 Nanoimprinter Patterning All Patterning NIL Nanonex NX-B200 Nanoimprinter Patterning All Patterning NIL Nanoparticle Tracking Analyzer Particle All Particle Size Nanoscience TraxSTM Imaging All Imaging Probe Nanoscribe Lithography All Lithography UV Nanoscribe 3D Lithography System Lithography All Lithography Other Nanoscribe 3D Lithography System Patterning All Patterning 3D Printing Nanoscribe 3D Lithography System Patterning All Patterning 3D Printing Nanosight300 Particle All Particle Size Nanospec Metrology/Characterization Thin Film Thickness Nanospec 3000 Metrology/Characterization Structure or Device Optical Nanospec Film Thickness Measurement System Metrology/Characterization Thin Film Thickness Nanospec Reflectometer – Inorganic Metrology/Characterization Thin Film Thickness Nanospec Reflectometer – Pettit Metrology/Characterization Thin Film Thickness Nanostrip Tank Cleaning All Cleaning Wet Bench NEC Mini-Tandem 5.1 MeV Ion Accelerator Metrology/Characterization Thin Film Other Negative Resist Hot Plate Tower Lithography All Lithography Resist Processing Negative Resist Oven Lithography All Lithography Resist Processing Negative Resist Spinner Lithography All Lithography Resist Processing Negative Resist Spinner Lithography All Lithography Resist Processing Netzsch STA Metrology/Characterization Chemical Analysis Chromatography NewView 7300 3D Optical Surface Profiler Metrology/Characterization Structure or Device Optical Nexcelom Bioscience Cellometer Vision Trio Cell Profiling System Biological All Biological Other Neytech Furnace Thin Film Processing Metal Other Nickel Electroforming System Other All Other Other Nicolet 6700 FTIR Metrology/Characterization Chemical Analysis Spectroscopy Nicolet iN10 MX FTIR Microscope Imaging All Imaging Optical Nicolet iN10 MX FTIR Microscope Metrology/Characterization Chemical Analysis Spectroscopy Nikon Eclipse E-800 (2 units) Imaging All Imaging Optical Nikon Eclipse L200 Microscope (3) Imaging All Imaging Optical Nikon L200 Eclipse Microscope Imaging All Imaging Optical Nikon LV150 Metrology/Characterization Structure or Device Optical Nikon Microscope Metrology/Characterization Structure or Device Optical Nikon SMZ-1500 Imaging All Imaging Optical Nion UltraSTEM 100 Imaging All Imaging TEM Nitride and Tweezer Cleaning Wetbench Cleaning All Cleaning Wet Bench Novellus Concept II PECVD Thin Film Processing Dielectric PECVD OAI 808 Aligner Lithography All Lithography UV OAI 8808 Mask Aligner w/DUV Lithography All Lithography UV OAI Mask Aligner Lithography All Lithography UV Obducat Nano-imprinter (NIL) Patterning All Patterning NIL ObjetPRO 3D Printer Patterning All Patterning 3D Printing OEM Piezoelectric AlN Depostion Thin Film Processing Dielectric Sputter Oerlikon Sputter Thin Film Processing Metal Sputter Oerlikon Versaline Deep Si Etcher Etching Dry Deep Silicon (Bosch) Olympus Confocal Microscope Imaging All Imaging Confocal Olympus Inspection Microscope Imaging All Imaging Optical Olympus Inspection Microscope Imaging All Imaging Optical Olympus Inspection Microscope Imaging All Imaging Optical Olympus Inspection Microscope Imaging All Imaging Optical Olympus IX-71 Inverted Fluorescence Microscope Imaging All Imaging Optical Olympus LEXT 3D Material Confocal Microscope Imaging All Imaging Confocal Olympus MX-50 Imaging All Imaging Optical Olympus MX50 Microscope Imaging All Imaging Optical Olympus MX61 Microscope – Pettit Imaging All Imaging Optical Olympus polarizing Microscope Imaging All Imaging Optical Omicron VT-STM/AFM Imaging All Imaging Probe Open Architecture High Temperature Production Platform Patterning All Patterning 3D Printing OPT1 Metrology/Characterization Thin Film Spectroscopy OPT2 Metrology/Characterization Chemical Analysis Spectroscopy OPT3 Metrology/Characterization Thin Film Spectroscopy OPTEC Femtosecond Laser Micro-machining System Patterning All Patterning Laser Optical Measuring System Metrology/Characterization Structure or Device Optical Optical Microscope Imaging All Imaging Optical Optical Microscope Imaging All Imaging Sample Prep Optical Microscopes – Other Imaging All Imaging Optical Optical Parametric Amplifier Metrology/Characterization Chemical Analysis Spectroscopy Optical Particle Size and Shape Analyzer Particle All Particle Size Optical Profilometer Metrology/Characterization Structure or Device Profilometry Optical Profilometer Metrology/Characterization Structure or Device Profilometry Optomec BJ300 Patterning All Patterning Inkjet Orion V Thin Film Processing Metal Sputter Orion V Thin Film Processing Metal Sputter Orion VIII Thin Film Processing Metal Sputter Osmium Coater Imaging All Imaging Sample Prep Oven Imaging All Imaging Sample Prep OVEN1 Thin Film Processing Dielectric Annealing OVEN2 Thin Film Processing Dielectric Other OVEN4 Thin Film Processing Dielectric Annealing OVEN5 Thin Film Processing Dielectric Other OVEN6 Thin Film Processing Dielectric Other Oxford 100 Etcher Etching Dry RIE Oxford 80+ RIE II Etching Dry RIE Oxford 81 Etcher Etching Dry RIE Oxford 82 Etcher Etching Dry RIE Oxford ALD FlexAL Thin Film Processing Dielectric ALD Oxford Cobra ICP Etcher Etching Dry RIE Oxford Cryogenic ICP Etching Dry ICP Oxford Dielectric Etcher Etching Dry RIE Oxford End-point RIE Etching Dry RIE Oxford Etcher Etching Dry ICP Oxford ICP Etching Dry ICP Oxford ICP-PECVD Etching Dry ICP Oxford ICP100 Etching Dry ICP Oxford III-V etcher Etching Dry ICP Oxford NPG80 Reactive Ion Etcher Etching Dry RIE Oxford PECVD Thin Film Processing Dielectric PECVD Oxford PECVD Thin Film Processing Dielectric PECVD Oxford Plasma Lab 100 Thin Film Processing Dielectric PECVD Oxford Plasmalab 100 ICP Reactive Ion Etcher Etching Dry RIE Oxford Plasmalab 80 – Chlorine Etching Dry RIE Oxford Plasmalab 80 – Fluorine Etching Dry RIE Oxford PlasmaLab 80+ (DE-04) Etching Dry RIE Oxford PlasmaLab 80+ (Unit 2) Etching Dry RIE Oxford Reactive Ion Etcher RIE Etching Dry RIE Oxidation Diffusion Wetbench Etching Wet Wet Bench P-7 Profilometer Metrology/Characterization Structure or Device Profilometry P10 Profilometer Metrology/Characterization Structure or Device Profilometry P15 Metrology/Characterization Structure or Device Profilometry PAMS_JEOL_Pre-Alignment Lithography All Lithography Other PANalytical Empyrean Metrology/Characterization Thin Film XRD Panalytical Empyrean Metrology/Characterization Thin Film XRD PANalytical Empyrean Linear Detector and Non-ambient Environment Metrology/Characterization Thin Film XRD PANalytical MRD Metrology/Characterization Thin Film XRD Panalytical X’Pert PRO MRD XRD Metrology/Characterization Thin Film XRD Panalytical XPert PRO Alpha-1 XRD Metrology/Characterization Thin Film XRD Panalytical XPert XRD (Line Source) Metrology/Characterization Thin Film XRD Panalytical XPert XRD (Point Source) Metrology/Characterization Thin Film XRD Paralyne Deposition System Thin Film Processing Polymer Other Park NX10 Atomic Force Microscope Imaging All Imaging Probe Particle Size Analyzer Particle All Particle Size PARYL1 Thin Film Processing Dielectric Evaporation Parylene Coater Thin Film Processing Polymer Other Parylene Coater Thin Film Processing Polymer Other Parylene Coater Thin Film Processing Polymer Other Parylene Deposition Thin Film Processing Dielectric CVD Parylene Deposition System Thin Film Processing Polymer Other PDMS Casting Station (PDMS station) Lithography All Lithography Soft Lithography PDMS Process Bench Lithography All Lithography Soft Lithography PDMS Processing Clean Space Lithography All Lithography Soft Lithography PdR Atomic Layer Deposition Thin Film Processing Dielectric ALD PDS 2010 Parylene Coater Thin Film Processing Polymer Other PE-200 Cleaning All Cleaning Plasma/Stripper PE-200 Cleaning All Cleaning Plasma/Stripper PE-50 Cleaning All Cleaning Plasma/Stripper PE100 Cleaning All Cleaning Plasma/Stripper PEALD Thin Film Processing Dielectric ALD PECVD Thin Film Processing Dielectric PECVD PECVD1 Thin Film Processing Dielectric PECVD PECVD1 Thin Film Processing Dielectric PECVD PECVD2 Thin Film Processing Dielectric PECVD PECVD; Diamond Thin Film Processing Dielectric PECVD Peel Tester Metrology/Characterization Thin Film Mechanical Perkin Elmer DMA 8000 Dynamic Mechanical Analyzer Metrology/Characterization Thin Film Mechanical Perkin Elmer DSC 6000 Differential Scanning Calorimeter Metrology/Characterization Chemical Analysis DSC Perkin Elmer Lambda 18 UV vis spectrometer Metrology/Characterization Chemical Analysis Spectroscopy PerkinElmer Spectrum Metrology/Characterization Chemical Analysis Other PESA: Riken AC-2 Photoelectron Spectrometer Metrology/Characterization Thin Film Other Pfeiffer HiCube 80 Turbo Pumping Station Pfeiffer Vacuum ADIXEN ASM 380 Leak Detector PGSTAT Thin Film Processing Dielectric ALD PHI Quantera Scanning XPS Metrology/Characterization Thin Film XPS Philips Vertical Scanning Diffractometer Metrology/Characterization Thin Film XRD Philips X’Expert XRD Metrology/Characterization Thin Film XRD Philips XPERT Theta-Theta Diffractometer Metrology/Characterization Thin Film XRD Phillips EM420 Imaging All Imaging TEM phosphorous diffusion Thin Film Processing Dielectric Doping Phosphorus Disk Doping (Tylan Furnace C3) Kurt J. Lesker AXXIS DC Magnetron Sputterer Thermionics VE-100 E-Beam Evaporator Oxford Instruments Plasmalab 80 Plus PECVD Quorum Q150R ES Sputter Coater Thin Film Processing Dielectric Doping Phosphorus Doping – Bank D-2 Thin Film Processing Dielectric Doping Photo Resist Dispensing System Lithography All Lithography Resist Processing Photo Resist Exposing System Lithography All Lithography Resist Processing Denton Desk II Sputter Coater PHOTO1 Lithography All Lithography UV PHOTO2 Lithography All Lithography UV Photoemission Electron Microscope Imaging All Imaging Other Brewer Science Cee 200CBX Programmable Spin Coater Brewer Science Cee 1300X Hot Plate Cee Apogee Hot Plate Blue M Oven Photolithography Hotplates (Rm 121) Lithography All Lithography Resist Processing Photolithography Spinners (Rm 121) Lithography All Lithography Resist Processing Photolithography Spinners (SU8 etc) Lithography All Lithography Resist Processing SKY 335R6 Laminator Photolithography Wet Benches (Rm 121) Lithography All Lithography Resist Processing Photolithography Wet Benches (Rm 133) Lithography All Lithography Resist Processing Hitachi FlexSEM 1000 II Heidelberg µPG-101 Mask Writer ABM UV Flood Source & Mask Alignment System Yield Engineering YES-310TA HMDS Oven Hydrofluoric Acid (HF) Handling Piranha Solution Handling Wabash P3H-15-CLX Hydraulic Press Photolithography Wet Benches (SU8 etc) Lithography All Lithography Resist Processing Photomask Aligner Lithography All Lithography UV Photomask Laser Pattern Generator Lithography All Lithography Mask Making Photoresist Developer Station Lithography All Lithography Resist Processing Photoresist Spinner/Thermal Bench Thin Film Processing Polymer Spin Coating Photovoltatic test system Metrology/Characterization Structure or Device Electrical Physical Properties Measurement System (PPMS) Metrology/Characterization Structure or Device Other PI-Oven Lithography Oxford Instruments Plasmalab System 100 ICP-RIE March Plasmod Harrick Plasma Cleaner Nanonex NX-2500 Nanoimprinter All Lithography Resist Processing Pick & Place Packaging All Packaging Other PicoHarp 300 PicoQuant Time-Correlated Single Photon Counting (TCSPC) system Metrology/Characterization Structure or Device Optical Picosecond SFG Metrology/Characterization Chemical Analysis Other PiFM Imaging All Imaging Probe PIPS Imaging All Imaging Sample Prep PLAP1 Etching Wet Other Plas-Mos Ellipsometer Metrology/Characterization Thin Film Thickness Plasma -Therm Diamond RIE Etching Dry ICP Plasma Asher Cleaning All Cleaning Plasma/Stripper Plasma Cleaner Imaging All Imaging Sample Prep Plasma Cleaner Imaging All Imaging Sample Prep Plasma Cleaner Packaging All Packaging Other Plasma Cleaner Imaging All Imaging Sample Prep Plasma Cleaner Cleaning All Cleaning Plasma/Stripper Plasma cleaner Imaging All Imaging Sample Prep Plasma Cleaner Cleaning All Cleaning Plasma/Stripper Plasma Cleaner Cleaning All Cleaning Plasma/Stripper Plasma Cleaner Etching Dry Other Plasma CVD TEOS Oxide Thin Film Processing Dielectric CVD Plasma Enhanced Chemical Vapor Deposition Thin Film Processing Dielectric PECVD Plasma Enhanced Chemical Vapor Deposition (PECVD) Thin Film Processing Dielectric PECVD Plasma Etch PE-100 Plasma ystem Cleaning All Cleaning Plasma/Stripper Plasma Etcher Imaging All Imaging Sample Prep Plasma Lab 80+ PECVD and Etching Etching Dry RIE Plasma Pen Etching Dry Other Plasma Therm ICP Etching Dry ICP Plasma Therm PECVD Thin Film Processing Dielectric PECVD Plasma Therm RIE Etching Dry RIE Plasma Therm SLR RIE Etching Dry RIE Plasma Therm SLR RIE Etching Dry Deep Silicon (Bosch) Plasma Therm Versaline DSE Etching Dry Deep Silicon (Bosch) Plasma Therm Versaline LL ICP Deep Silicon Etcher Etching Dry Deep Silicon (Bosch) Plasma Therm Versaline LL ICP Dielectric Etcher Etching Dry ICP Plasma Therm Versaline LL ICP Metal Etcher Etching Dry ICP Plasma-enhanced Chemical Vapor Deposition System Thin Film Processing Dielectric PECVD Plasma-Etcher Plasma Cleaner Cleaning All Cleaning Plasma/Stripper Plasma-Therm Apex SLR HDPCVD Thin Film Processing Dielectric CVD Plasma-Therm ICP Chlorine Etch Etching Dry ICP Plasma-Therm ICP Fluoride Etch Etching Dry ICP Plasma-Therm Versaline Deep Si RIE Etching Dry Deep Silicon (Bosch) Plasma-Therm Vision 310 PECVD Thin Film Processing Dielectric PECVD Plasmatech CVD Thin Film Processing Dielectric CVD Plasmatherm 790 RIE Etching Dry RIE PlasmaTherm Apex ICP Etching Dry ICP Plasmatherm AV Etcher-Keller Etching Dry RIE PlasmaTherm Shuttlecock PECVD System Thin Film Processing Dielectric PECVD PlasmaTherm SLR ICP Etch Etching Dry ICP PlasmaTherm Versaline HDP VCD System Thin Film Processing Dielectric PECVD Plassys Electron Beam Evaporator Thin Film Processing Metal Evaporation Plating Station 1-4 Thin Film Processing Metal Plating PLD Thin Film Processing Dielectric Other Plunge-Freezer (1) Biological All Biological Sample Prep Plunge-Freezer (2) Biological All Biological Sample Prep POCL3 Doping – Furnace A2 Thin Film Processing Dielectric Oxidation POCL3 MRL furnaces Thin Film Processing Dielectric Doping Polarized LM: Nikon LV100 Imaging All Imaging Optical Polaron Thin Film Processing Metal Evaporation Polisher Imaging All Imaging Sample Prep Polisher Grinder Imaging All Imaging Sample Prep Polyimide Curing Oven Thin Film Processing Polymer Other Pore Scale Micromodels Other All Other Other Positive Resist Hot Plate Tower Lithography All Lithography Resist Processing Positive Resist Oven Lithography All Lithography Resist Processing Positive Resist Spinner Lithography All Lithography Resist Processing Positive Resist Spinner Lithography All Lithography Resist Processing Positive/Negative Photoresist Station Lithography All Lithography Resist Processing Potentiostat Metrology/Characterization Structure or Device Electrical Potentiostat Metrology/Characterization Structure or Device Electrical Potentiostat Metrology/Characterization Structure or Device Electrical Potentiostat Metrology/Characterization Structure or Device Electrical Potentiostat Vertical and horizontal alignment and pigtailing Dicing Die Bonding, Flip-Chip Bonding Tacking, In situ reflow, Eutetic bonding Thermocompression Single-Step solder ball placement Flux-free reflow with laser Flux less / solder paste / void free soldering Thermo compression wafer bonding Wafer bump reflow Wire bonding Ribbon Bonding Splicing Package lid sealing Metrology/Characterization Structure or Device Electrical Powder X-Ray Diffractomer Metrology/Characterization Thin Film XRD Powder XRD Metrology/Characterization Thin Film XRD Precision CNC Dicing / Cutting Saw Packaging All Packaging Dicing Press Packaging All Packaging Other Primaxx Vapor HF Etcher Etching Dry Other Princeton Instruments Tri-Vista spectrometer with SI and InGaAs array detectors Metrology/Characterization Chemical Analysis Spectroscopy Princeton VeraSTAT4 Potentiostat/Galvanostat Metrology/Characterization Structure or Device Other PRINT3D Patterning All Patterning 3D Printing Probe Station Metrology/Characterization Structure or Device Electrical Probe Station Metrology/Characterization Structure or Device Electrical probe station Metrology/Characterization Structure or Device Electrical Probe Station Metrology/Characterization Structure or Device Electrical Probe station and Electronics Rack Metrology/Characterization Structure or Device Electrical Probe Station I: I-V & C-V Testing Metrology/Characterization Structure or Device Electrical PROBE2 Metrology/Characterization Structure or Device Electrical PROF2 Metrology/Characterization Structure or Device Profilometry Profilm3D Optical Profiler Metrology/Characterization Structure or Device Optical Profilometer Metrology/Characterization Structure or Device Profilometry Profilometer Metrology/Characterization Structure or Device Profilometry Profilometer: Bruker Dektak 150 Metrology/Characterization Structure or Device Profilometry Prometrix Resistivity Mapping System Metrology/Characterization Structure or Device Electrical PT72 Etcher Etching Dry RIE PT720 Etcher Etching Dry RIE PT740 Etcher Etching Dry RIE PT770 Etcher – Left Side (Metal Etch) Etching Dry ICP PT770 Etcher – Right Side (III-V) Etching Dry ICP Pulsed Laser Deposition Thin Film Processing Dielectric Other Pulsed Laser Deposition System Thin Film Processing Dielectric Other Pulsed-CVD Thin Film Processing Metal Other Pulsed/CW X-Band (9.5 GHz) EPR Metrology/Characterization Structure or Device Other PVA Tepla Ion 10 Cleaning All Cleaning Plasma/Stripper PVD 75 Sputter Deposition Thin Film Processing Metal Sputter PVD E-Beam Deposition System Thin Film Processing Metal Evaporation PVD Filament Evaporator (Instructional Lab) Thin Film Processing Metal Evaporation PVD Products PLD/MBE 2300 Thin Film Processing Dielectric Other PVD Products PLD/MBE 2300 Thin Film Processing Metal Other PVD75 Filament Evaporator Thin Film Processing Metal Evaporation PVD75 RF Sputterer Thin Film Processing Dielectric Sputter Q-sense QCM-D Biological All Biological QCM Q-Switched Nd:YLF Laser Metrology/Characterization Chemical Analysis Spectroscopy Q600 TGA/DSC Metrology/Characterization Chemical Analysis TGA QCM: Biolin Q-Sense Quartz Crystal Microbalance Biological All Biological QCM QCMD Biological All Biological QCM qNano Particle All Particle Size qNano Particle All Particle Concentration Quanta 200 Environmental SEM Imaging All Imaging SEM Quanta 250 Imaging All Imaging SEM Quanta 600 FEG ESEM Imaging All Imaging SEM Quantachrome AS-1 BET Particle All Particle Other Quantum Design PPMS 9 T Metrology/Characterization Structure or Device Other Quantum Design PPMS 9 Tesla with EverCool-II Metrology/Characterization Structure or Device Other Quantum Designs MPMS 7 Tesla with EverCool-II Metrology/Characterization Structure or Device Other Quantum Efficiency measurement tool Metrology/Characterization Structure or Device Optical Quartz Crystal Microbalance with Dissapation (QCM-D) Metrology/Characterization Structure or Device Other Questar Q7800 Automatic Wedge Bonder Packaging All Packaging Bonding Quick Circuit 7000 PCB Milling Machine Packaging All Packaging Other QuickLaze Laser Trimmer Other All Other Other Quorum Q-150T ES Imaging All Imaging Sample Prep R Stand Metrology/Characterization Structure or Device Electrical Radiological AFM Imaging All Imaging Probe Radiological FIB/SEM (Quanta) Imaging All Imaging SEM Radiological Powder XRD Metrology/Characterization Thin Film XRD Radiological XPS Imaging All Imaging Other Raith 150 Electron Beam Lithography System Lithography All Lithography EBL RAITH 150 TWO E-BEAM WRITER Lithography All Lithography EBL Raith E-line Lithography All Lithography EBL Raith EBPG5000 Plus E-Beam Writer Lithography All Lithography EBL Raith Pattern generator Lithography All Lithography EBL Raith Voyager 50kV/10kV Lithography All Lithography EBL Raith-150 E-Beam Lithography All Lithography EBL Raman Microscope Metrology/Characterization Thin Film Spectroscopy Rame Hart 260-F4 Goniometer/Tensiometer Metrology/Characterization Thin Film Contact Angle Rame-Hart 500 Contact Angle Goniometer Metrology/Characterization Thin Film Contact Angle Rapid Thermal Annealer Thin Film Processing Dielectric RTA/RTP Rapid Thermal Annealer Thin Film Processing Metal RTA/RTP Rapid Thermal Annealer Thin Film Processing Dielectric RTA/RTP Rapid Thermal Annealer Thin Film Processing Dielectric RTA/RTP Rapid Thermal Annealer Thin Film Processing Dielectric RTA/RTP Rapid Thermal Annealer Thin Film Processing Dielectric RTA/RTP Rapid Thermal Annealer Thin Film Processing Metal Other Rapid Thermal Annealer 1 Thin Film Processing Dielectric RTA/RTP Rapid Thermal Annealer 2 Thin Film Processing Dielectric RTA/RTP Rapid Thermal Annealing System Thin Film Processing Dielectric RTA/RTP Rapid Thermal Processing (RTP) Thin Film Processing Dielectric RTA/RTP Rapid Thermal Processing System Thin Film Processing Dielectric RTA/RTP Rapid Thermal Processor Thin Film Processing Dielectric RTA/RTP Rapid Thermal Processor Thin Film Processing Dielectric RTA/RTP RCA Clean Wet Process Station Cleaning All Cleaning Wet Bench RCA Cleaning Wet Bench Cleaning All Cleaning Wet Bench Reactive Ion Etcher Etching Dry RIE Reactive Ion Etcher (RIE) Samco RIE-10NR Etching Dry RIE Real-Time PCR Detection System Biological All Biological Other RECO1 RECO2 Reflectance mapping system Metrology/Characterization Thin Film Thickness Reflectometer Metrology/Characterization Thin Film Thickness Reflow Oven Packaging All Packaging Other Renishaw inVia confocal Raman microscope Metrology/Characterization Chemical Analysis Spectroscopy Renishaw inVia Confocal Raman Microscope (UV-IR) Imaging All Imaging Confocal Renishaw inVia Confocal Raman Microscope (UV-IR) Metrology/Characterization Chemical Analysis Spectroscopy Renishaw Qontor Confocal Raman Microscope (Vis/Near IR) Imaging All Imaging Confocal Renishaw Qontor Confocal Raman Microscope (Vis/Near IR) Metrology/Characterization Chemical Analysis Spectroscopy Resist Clean Wetbench Cleaning All Cleaning Wet Bench Resist Hot Strip Bath Lithography All Lithography Resist Processing Resonetics IR laser Patterning All Patterning Laser Reynolds Tech E-Beam Resist Spin & Develop Bench Lithography All Lithography Resist Processing Reynolds Tech Negative Photoresist Develop Bench Lithography All Lithography Resist Processing Reynolds Tech Negative Photoresist Spinner Bench Lithography All Lithography Resist Processing Reynolds Tech Positive Photoresist Develop Bench Lithography All Lithography Resist Processing Reynolds Tech Positive Photoresist Spinner Bench Lithography All Lithography Resist Processing RF-PECVD Thin Film Processing Dielectric CVD RF/DC Sputter deposition Thin Film Processing Metal Sputter RGA Series 200 AMU Residual Gas Analyzer Metrology/Characterization Chemical Analysis Other Rheometer: TA Instrument ARES-G2 Metrology/Characterization Chemical Analysis Other Rheosense m-VROC Viscometer Metrology/Characterization Chemical Analysis Other RIE Etching Dry RIE RIE etching system Etching Dry RIE RIE1 Etching Dry RIE RIE2 Etching Dry RIE RIE3 Etching Dry Deep Silicon (Bosch) RIE: Oxford PlasmaPro 80 Etching Dry RIE Rigaku ATXG Metrology/Characterization Thin Film XRD Rigaku D / Max -B Metrology/Characterization Thin Film XRD Rigaku Gemini A Single Crystal Diffractometer Metrology/Characterization Thin Film XRD Rigaku Laue/Precession Metrology/Characterization Thin Film XRD Rigaku Multiflex Metrology/Characterization Thin Film XRD Rigaku SmartLab Metrology/Characterization Thin Film XRD Rigaku Smartlab Metrology/Characterization Thin Film XRD Rigaku Smartlab Step2 3kW Metrology/Characterization Thin Film XRD Rigaku Smartlab Step2 9kW Metrology/Characterization Thin Film XRD Rigaku SmartLab X-Ray Diffractometer Metrology/Characterization Thin Film XRD Rigaku Smartlab XE 9kW Metrology/Characterization Thin Film XRD Rigaku Smartlab XRD Metrology/Characterization Thin Film XRD Rigaku Smax3000 Metrology/Characterization Thin Film XRD Rigaku Ultima IV Metrology/Characterization Thin Film XRD Rigaku Ultima IV Metrology/Characterization Thin Film XRD Rikagu Metrology/Characterization Thin Film XRD Roll-to-Roll Coater Thin Film Processing Polymer Other Rotating Disc Electrode Metrology/Characterization Chemical Analysis Other RTA – AG610a Thin Film Processing Dielectric RTA/RTP RTA – AG610b Thin Film Processing Dielectric RTA/RTP RTA-Clean Thin Film Processing Dielectric RTA/RTP RTA-Metals Thin Film Processing Dielectric RTA/RTP RTA1 Thin Film Processing Dielectric RTA/RTP Rudolph Auto EL Ellipsometer Metrology/Characterization Thin Film Thickness S-Probe Metrology/Characterization Thin Film XPS Samco UV & Ozone Stripper Etching Dry RIE Samco UV Ozone Dry Stripper Cleaning All Cleaning Stripper SAMCO UV-1 UV/Ozone Etching Dry Other Sandvik/MRL Thin Film Processing Dielectric Annealing Sandvik/MRL Thin Film Processing Dielectric Annealing Sandvik/MRL Thin Film Processing Dielectric Annealing Sandvik/MRL Thin Film Processing Dielectric Annealing Santa Clara Stainless Steel Wet Bench Cleaning All Cleaning Wet Bench Savanah ALD Thin Film Processing Dielectric ALD Savannah Atomic Layer Deposition Thin Film Processing Dielectric Sputter Savannah Atomic Layer Deposition System Thin Film Processing Dielectric ALD Savannah/Plasma Cleaner in Glovebox System Thin Film Processing Dielectric ALD SAW3 Packaging All Packaging Dicing SAXS1 Metrology/Characterization Thin Film Other SAXS2 Metrology/Characterization Thin Film Other SB1 Packaging All Packaging Dicing SC4500 Even-Hour Evaporator Thin Film Processing Metal Evaporation SC4500 Odd-Hour Evaporator Thin Film Processing Metal Evaporation Scanning Auger Electron Microprobe Metrology/Characterization Thin Film Other Scanning confocal Raman spectrometer with atomic force microscope / near-field scanning optical microscope Metrology/Characterization Chemical Analysis Spectroscopy Scanning Electron Microscope Imaging All Imaging SEM Scanning electron Microscope Imaging All Imaging SEM Scanning electron Microscope Imaging All Imaging SEM Scanning Electron Microscope Imaging All Imaging SEM Scanning Electron Microscope with EDS Imaging All Imaging SEM Scanning Ellipsometer Metrology/Characterization Thin Film Thickness Scanning Kelvin Probe: SKP5050 Imaging All Imaging Probe Scanning Probe AFM Compound Microscope Imaging All Imaging Probe Scanning TEM Imaging All Imaging TEM Scanning Tunneling Microscope Metrology/Characterization Structure or Device Profilometry Scattering IR SNOM Imaging All Imaging Other Schmid APCVD Thin Film Processing Dielectric CVD Schott IR Inspector Imaging All Imaging Optical Sciex Qtrap 6500 Metrology/Characterization Chemical Analysis Mass Spec Scintag X1 Theta-Theta Diffractometer Metrology/Characterization Thin Film XRD Scintag XDS2000 Metrology/Characterization Thin Film XRD SCOPE1 Imaging All Imaging Optical SCOPE3 Imaging All Imaging Optical SCOPE4 Imaging All Imaging Optical SCOPE7 Imaging All Imaging Optical Screen Printer Packaging All Packaging Other Screen Printer Packaging All Packaging Other Screen Printers Thin Film Processing Metal Annealing SCS G3P8 -Soft-lithography Lithography All Lithography Soft Lithography SCS G3P8 Spin Coater — Instructional Center Thin Film Processing Polymer Spin Coating SCS G3P8 Spin Coater 1 (small pieces) Thin Film Processing Polymer Spin Coating SCS G3P8 Spin Coater 2 – Pettit Thin Film Processing Polymer Spin Coating SCS G3P8 Spin Coater 3 – Inorganic Thin Film Processing Polymer Spin Coating SCS Parylene Coater Thin Film Processing Polymer Other SCS Spin Coater Lithography All Lithography Resist Processing SCS Spin Coater Thin Film Processing Polymer Spin Coating SEM Imaging All Imaging SEM SEM Imaging All Imaging SEM SEM Imaging All Imaging SEM SEM Sputterer Imaging All Imaging Sample Prep SEM/FIB with Zyvex S100 Imaging All Imaging SEM SEM/STEM Imaging All Imaging TEM SEM1 Imaging All Imaging SEM SEM2 Imaging All Imaging SEM SEM3 Imaging All Imaging SEM SEM4 Imaging All Imaging SEM SEM: FEI Magellan Imaging All Imaging SEM SEMCON electroplating Thin Film Processing Metal Plating Semi-Automated Die Bonder Packaging All Packaging Other Semi-Automatic Probe Station Metrology/Characterization Structure or Device Other Semi-Automatic Wire Bonder Packaging All Packaging Bonding Semiautomatic Screen Printer Lithography All Lithography Other Semiconductor Characterization System Metrology/Characterization Structure or Device Electrical Semiconductor Parameter Analyzer #1-#2 Metrology/Characterization Structure or Device Electrical SemiTest SCA-2500 Surface Charge Analyzer Metrology/Characterization Thin Film Other Semitool Spin Rinse Dryer — Instructional Center Cleaning All Cleaning Spin Rinse Drying Semprex Spectrometer – Instructional Center Metrology/Characterization Thin Film Spectroscopy Sensofar S-neox, non contact 3D optical profiling Metrology/Characterization Structure or Device Profilometry Sequencing, Next Generation, High Throughput – Ion S5 Other All Other Other SFIL IMPRIO100 Lithography All Lithography Other Sharon E-Beam Evaporator Thin Film Processing Metal Evaporation Sharon Thermal Evaporator TE-3 Thin Film Processing Metal Evaporation Sharon Thermal Evaporator TE-4 Thin Film Processing Metal Evaporation Sharon Thermal Evaporator TE-5 Thin Film Processing Metal Evaporation Shielded Antenna Chamber Metrology/Characterization Structure or Device Other Shimadzu Prominence HPLC Metrology/Characterization Chemical Analysis Chromatography Shimadzu Prominence HPLC Metrology/Characterization Chemical Analysis Chromatography Siemens Magnetom Trio MRI 3T Scanner Metrology/Characterization Chemical Analysis Other Signatone Checkmate CM-21X Probe Station Metrology/Characterization Structure or Device Electrical Signatone Four-point Probe Metrology/Characterization Structure or Device Electrical Signatone Probe Station Metrology/Characterization Structure or Device Electrical Signatone Probe Station Metrology/Characterization Structure or Device Electrical Silicon Dioxide LPCVD System Thin Film Processing Dielectric CVD Silicon Nitride LPCVD System Thin Film Processing Dielectric CVD SIM – Structured Illumination Super Resolution Fluorescence Microscope Imaging All Imaging Other SIMS Metrology/Characterization Thin Film SIMS SIMS: Cameca IMS 7f GEO Metrology/Characterization Thin Film SIMS SIMS: Cameca NanoSIMS 50l Metrology/Characterization Thin Film SIMS Simultaneous Thermal Analyzers Metrology/Characterization Chemical Analysis TGA Sine-wave Vibro Viscometer Metrology/Characterization Chemical Analysis Other Singe Oven Thin Film Processing Polymer Other Single-Molecule Fluorescence Microscope Imaging All Imaging Other Sinton Lifetime Tester Metrology/Characterization Structure or Device Optical Sioutas impactors Particle All Particle Size Small Angle X-Ray Scattering (SAXS) Metrology/Characterization Thin Film XRD Small Angle/Wide Angle X-Ray Scattering (SAXS) Metrology/Characterization Thin Film XRD Smart Zoom Imaging All Imaging Optical SMI MOCVD System Thin Film Processing Dielectric Other Soft Lithography Hot Plate Tower Lithography All Lithography Resist Processing Solar Cell Testing Glovebox Metrology/Characterization Structure or Device Electrical Solar Simulator Metrology/Characterization Structure or Device Other Solar Simulator Metrology/Characterization Structure or Device Other Solaris 150 RTP Rapid Thermal Annealer Etching Dry Other Solvent Based Photoresist Developer Station Lithography All Lithography Resist Processing Solvent Benches Etching Wet Wet Bench Solvent Fumehood Left Cleaning All Cleaning Wet Bench Solvent Fumehood Right Cleaning All Cleaning Wet Bench Solvent Process Bench Cleaning All Cleaning Wet Bench Solvent Processing Station Cleaning All Cleaning Wet Bench Solvent Wetbench Etching Wet Wet Bench Solvent/Headway Spinner Bench Lithography All Lithography Resist Processing Solvents Wet Bench Cleaning All Cleaning Wet Bench Solvents Wet Bench (Photolithography Bay) Cleaning All Cleaning Wet Bench SOLVHOOD1 Etching Wet Wet Bench SOLVHOOD2 Etching Wet Wet Bench SOLVHOOD3 Etching Wet Wet Bench Sonoscan C-Mode Scanning Acoustic Microscope Imaging All Imaging Other South Bay RIE Etching Dry RIE South KJL Thin Film Processing Metal Sputter Southbay PC2000 Plasma Cleaner Imaging All Imaging Sample Prep Spark Plasma Sintering Spectrophotometer Metrology/Characterization Chemical Analysis Spectroscopy Spectroscopic Ellipsometer Metrology/Characterization Thin Film Thickness Spectroscopic Ellipsometer Metrology/Characterization Thin Film Thickness Spectroscopy: Agilent Cary 6000i UV/Vis/NIR Metrology/Characterization Chemical Analysis Spectroscopy Spectroscopy: Horiba FluoroLog Fluorimeter Metrology/Characterization Chemical Analysis Other Spectroscopy: Horiba XploRA+ Confocal Raman Imaging All Imaging Confocal Spectroscopy: Nicolet iS50 FT/IR Spectrometer Metrology/Characterization Thin Film Spectroscopy Spin Coater Thin Film Processing Polymer Spin Coating Spin Coater Thin Film Processing Polymer Spin Coating Spin Coater 1 Thin Film Processing Polymer Spin Coating Spin Coater 2 Thin Film Processing Polymer Spin Coating Spin Rinse Dryer Cleaning All Cleaning Spin Rinse Drying Spin Rinse Dryer Cleaning All Cleaning Spin Rinse Drying Spin Rinse Dryer (Photolithography Bay) Cleaning All Cleaning Spin Rinse Drying Spin Rinse Dryer (Wet Etch Bay) Cleaning All Cleaning Spin Rinse Drying Spin Rinse Dryers (SRD) Cleaning All Cleaning Spin Rinse Drying Spin Rinser & Drier SAT Cleaning All Cleaning Spin Rinse Drying Spin Rinser & Drier- 8″ Cleaning All Cleaning Spin Rinse Drying Spin Track Thin Film Processing Polymer Spin Coating Spincoater Lithography All Lithography Resist Processing Spinner Lithography All Lithography Resist Processing Spinner Lithography All Lithography Resist Processing Spinner Bench Lithography All Lithography Resist Processing SPM: Bruker Dimension Icon Imaging All Imaging Probe SPM: Horiba Labram Raman Imaging All Imaging Confocal SPM: Park NX-10 Imaging All Imaging Probe SPM: Park XE-100 Imaging All Imaging Probe SPM: Park XE-70 Imaging All Imaging Probe SPM: Scanning SQUID Microscope Imaging All Imaging Probe SPM: WITec Alpha 500 Raman Imaging All Imaging Confocal SPR: GE Biacore X100 Surface Plasmon Resonance Biological All Biological SPR Spray developer Lithography All Lithography Resist Processing SPTS Deep Silicon Etch (DE-03) Etching Dry Deep Silicon (Bosch) SPTS Rapier DRIE Etching Dry Deep Silicon (Bosch) SPTS uEtch HF Vapor Etcher Etching Dry Other SPTS uetch vapor etch Etching Dry Other SPTS Xactix Xetch (DE-06) Etching Dry Other SPTS-DRIE Etching Dry Deep Silicon (Bosch) SPUT2 Thin Film Processing Dielectric Sputter SPUT3 Thin Film Processing Metal Sputter SPUT6 Imaging All Imaging Sample Prep Sputter Coater Imaging All Imaging Sample Prep Sputter coater Imaging All Imaging Sample Prep Sputter Coater Imaging All Imaging Sample Prep Sputter deposition Thin Film Processing Dielectric Sputter Sputter Deposition System Thin Film Processing Metal Sputter Sputter-Lesker Thin Film Processing Metal Sputter Sputtering Thin Film Processing Metal Sputter Sputtering System – 3 target Thin Film Processing Metal Sputter Sputtering System – 6 target Thin Film Processing Metal Sputter SQUID Magnetometer Metrology/Characterization Structure or Device Electrical SRD 1-4 Cleaning All Cleaning Spin Rinse Drying SRD SPIN RINSER & DRIER Cleaning All Cleaning Spin Rinse Drying SRI Gas Chromatographer Metrology/Characterization Chemical Analysis Chromatography SSI RTP Thin Film Processing Dielectric RTA/RTP ST270 Spin Rinse Dryer Cleaning All Cleaning Spin Rinse Drying Stand Alone Glovebox Thin Film Processing Polymer Spin Coating Static Platform Thin Film Processing Polymer Other Steam Oxidation (Furnace D1) Thin Film Processing Dielectric Oxidation Stencil Printer Packaging All Packaging Other Stereo Microscope Imaging All Imaging Optical Stereoscope Imaging All Imaging Optical STF1200 Oxidation and Annealing Furnace Thin Film Processing Dielectric Oxidation Stopped-Flow Absorbance/Fluorescence Spectrometer Metrology/Characterization Chemical Analysis Spectroscopy STORM/PALM – Super Resolution Fluorescence Microscope Imaging All Imaging Other Stratagene Robocycler Gradient 96 Gradient Thermal Cycler Biological All Biological Sample Prep Stratasys uPrint Patterning All Patterning 3D Printing Stratysis 3D Printer Patterning All Patterning 3D Printing STS AGE ICP – Chlorine Etching Dry Deep Silicon (Bosch) STS AOE ICP Etching Dry ICP STS ASE ICP DRIE – Fluorine Etching Dry ICP STS Deep RIE Etcher Etching Dry Deep Silicon (Bosch) STS Etcher Etching Dry RIE STS HRM ICP Etching Dry ICP STS ICP Etching Dry ICP STS ICP RIE Etching Dry ICP STS PECVD Thin Film Processing Dielectric PECVD STS PECVD 2 Thin Film Processing Dielectric PECVD STS PECVD 3 Thin Film Processing Dielectric PECVD STS Pegasus ICP Etching Dry Deep Silicon (Bosch) STS Plasma Enhanced CVD Thin Film Processing Dielectric PECVD STS SOE ICP Etching Dry ICP Stylus Profilometer Metrology/Characterization Structure or Device Profilometry Stylus Profilometer Metrology/Characterization Structure or Device Profilometry Stylus Profilometer Metrology/Characterization Structure or Device Profilometry SU-8 and PDMS Baking Lithography All Lithography Resist Processing SU-8 Hotplates Lithography All Lithography Resist Processing SU-8 Spin Coating Station Lithography All Lithography Resist Processing SU-8/PDMS Resist Spinner Lithography All Lithography Resist Processing SU-8/PDMS Spin Bench Lithography All Lithography Soft Lithography SUEX / ADEX Laminator Lithography All Lithography Resist Processing Sulfurization furnace Thin Film Processing Dielectric Other Sum Frequency Generation for Surface Vibrational Spectroscopy Metrology/Characterization Chemical Analysis Spectroscopy Surface particle Measurement Metrology/Characterization Thin Film Other Surrey CNT NanoGrowth Thin Film Processing Dielectric Other Suss AltaSpray Spray Coater Thin Film Processing Polymer Spray Coating Suss Backside Alignment Measurement Metrology/Characterization Structure or Device Other SUSS MA6 Mask Aligner Lithography All Lithography UV Suss MA6-BA6 Contact Aligner Lithography All Lithography UV Suss MicroTec AltaSpray Automated Spray Coated AS8 Lithography All Lithography Resist Processing SUSS MicroTec MA-6 Lithography All Lithography UV Suss Microtec MA6/BA6 Contact Aligner Lithography All Lithography UV Suss Microtec MJB4 Lithography All Lithography UV Suss Microtec MJB4 Lithography All Lithography UV Suss MJB4 Contact Aligner Lithography All Lithography UV SUSS MJB4 Manual Mask Aligner Lithography All Lithography UV Suss MJB4 Mask Aligner Lithography All Lithography UV Suss SB8e Substrate Bonder Packaging All Packaging Bonding SVG Resist Coat Tracks 1&2 Thin Film Processing Polymer Spin Coating SVG Resist Develop tracks 1&2 Thin Film Processing Polymer Other SWC 3000-C Mask Cleaner Cleaning All Cleaning Other TA Q200 DSC Differential Scanning Calorimeter Metrology/Characterization Chemical Analysis DSC TA Q500 TGA Thermogravimetric Analyzer Metrology/Characterization Chemical Analysis TGA Tabletop SEM Imaging All Imaging SEM Talos TEM Imaging All Imaging TEM Talos TEM Imaging All Imaging TEM Tandem Accelerator Metrology/Characterization Chemical Analysis Other TANG Thin Film Processing Metal Sputter Tank Mixer Thin Film Processing Dielectric Annealing Tape Frame Applicator Packaging All Packaging Dicing Tau Science Flash QE Metrology/Characterization Thin Film Spectroscopy Technics Micro PD – Instructional Center Etching Dry Other Technics Micro RIE – Organic Cleanroom Etching Dry RIE Technics RIE Multipurpose I Etching Dry RIE Technics RIE Multipurpose II Etching Dry RIE Technotron-ASTeX Thin Film Processing Dielectric Other Technotron-ASTeX Thin Film Processing Dielectric Other Technotron-ASTeX Thin Film Processing Dielectric Other Tecnai F20 (FEI) Imaging All Imaging TEM TECNAI TEM Imaging All Imaging TEM Tegal 421 Etching Dry Other TEM Imaging All Imaging TEM TEM 2010F Imaging All Imaging TEM TEM Mill Imaging All Imaging Sample Prep TEM Mill Imaging All Imaging Sample Prep TEM2 Imaging All Imaging TEM TEM: FEI Tecnai Imaging All Imaging TEM TEM: FEI Titan Imaging All Imaging TEM Temescal BJD 1800 Ebeam Evaporator (1) Thin Film Processing All Thin Film Processing Evaporation Temescal BJD 1800 Ebeam Evaporator (2) Thin Film Processing All Thin Film Processing Evaporation Temp/Humidity Chamber Metrology/Characterization Structure or Device Other Tempress boron diffusion Thin Film Processing All Thin Film Processing Doping Tempress Furnace Thin Film Processing Dielectric Oxidation Tempress Metal Anneal Thin Film Processing Metal Annealing Tempress Oxidation Thin Film Processing All Thin Film Processing Oxidation Tempress phosphorus diffusion Thin Film Processing All Thin Film Processing Doping Tencor P15 Profilometer Metrology/Characterization Structure or Device Profilometry Tencor P15 Profilometer (left) Metrology/Characterization Structure or Device Profilometry Tencor P15 Profilometer (right) Metrology/Characterization Structure or Device Profilometry Tencor P2 Profilometer Metrology/Characterization Structure or Device Profilometry Tencor profilometer Metrology/Characterization Structure or Device Profilometry Tenney C-EVO Environmental Test Chamber TenuPol Imaging All Imaging Sample Prep Tepla 100 Cleaning All Cleaning Plasma/Stripper Tescan Focused Ion Beam Microscope Imaging All Imaging FIB TFM2.1200 Vapor Phase Deposition System Thin Film Processing Dielectric CVD TFT LPCVD LT410 (low temp oxide) Bank A-3 Thin Film Processing Dielectric CVD TFT Polysilicon – Bank A-4 Thin Film Processing Dielectric CVD TGA/DTA Metrology/Characterization Chemical Analysis Other TGA: TA Instrument Q500 Metrology/Characterization Chemical Analysis TGA Thermal Analysis Equipment Metrology/Characterization Chemical Analysis Other Thermal Deposition #1 (Digital Interface) Thin Film Processing Dielectric Evaporation Thermal Deposition #2 (Analog Interface) Thin Film Processing Dielectric Evaporation Thermal Evaporator Thin Film Processing Metal Evaporation Thermal Evaporator Thin Film Processing Metal Evaporation Thermal Evaporator Denton Vacuum Explorer 14 Thin Film Processing Metal Evaporation Thermal Evaporator (Bell Jar) Thin Film Processing Metal Evaporation Thermal Oxidation Thin Film Processing Dielectric Oxidation Thermal Shock Chamber Metrology/Characterization Structure or Device Other Thermco LPCVD Low Temperature Oxide Thin Film Processing Dielectric CVD Thermco LPCVD Poly 1/2 Thin Film Processing Dielectric CVD Thermco Oxidation Furnace Thin Film Processing Dielectric Oxidation Thermionics Ebeam Evaporator Thin Film Processing Metal Evaporation Thermo Fisher Scientific Apreo SEM Imaging All Imaging SEM Thermo Fisher Scientific Quanta FEG 250 SEM Imaging All Imaging SEM Thermo Fisher Scios DualBeam FIB/SEM Imaging All Imaging FIB Thermo Fisher Scios DualBeam FIB/SEM Imaging All Imaging SEM Thermo K-Alpha XPS Metrology/Characterization Thin Film XPS Thermo LPCVD Nitride Thin Film Processing Dielectric CVD Thermo Scientific 325a Rotary Microtome Biological All Biological Sample Prep Thermo Scientific Escalab Xi+ Metrology/Characterization Thin Film XPS Thermo Scientific Nanodrop 2000c Spectrophotometer Biological All Biological Other Thermo Scientific Precision Oven Other All Other Other Thermo UV-VIS Spectrometer Metrology/Characterization Chemical Analysis Spectroscopy Thermoevaporator Thin Film Processing Metal Evaporation Thermogravimetric Analyzer Metrology/Characterization Chemical Analysis TGA Thermogravimetry analysis system Metrology/Characterization Chemical Analysis TGA Thermolyne Furnace Imaging All Imaging Sample Prep Thermtest TPS2500S Thermal Conductivity Instrument Metrology/Characterization Structure or Device Other Thin Film Measurement System Metrology/Characterization Thin Film Thickness Thin Film Measurement System Metrology/Characterization Structure or Device Profilometry Thin Film Processing Thin Film Processing Polymer Spin Coating Thin Film Stress Measurement System Metrology/Characterization Thin Film Mechanical Thin Film Stress Measurement System Metrology/Characterization Thin Film Other Thin Film Thickness Measurement System Metrology/Characterization Thin Film Thickness Thinky Mixer Thinky Mixer Thin Film Processing Polymer Other THINKY1 Other All Other Other Thorlabs Nanomax 606/609L 6-axis piezo stages Other All Other Other Ti-Sapphire Laser Metrology/Characterization Structure or Device Other TI980 Nanoindenter Metrology/Characterization Thin Film Mechanical Ti:Sapphire Regenerative Laser Amplifier Metrology/Characterization Chemical Analysis Spectroscopy Time of Flight Secondary Ion Mass Spectrometer (TOF.SIMS 5) Metrology/Characterization Thin Film SIMS Time-of-Flight Secondary Ion Mass Spectrometer Metrology/Characterization Thin Film SIMS Time-of-Flight SIMS Metrology/Characterization Chemical Analysis Spectroscopy Time-of-Flight SIMS Metrology/Characterization Thin Film SIMS Tinius Olsen Model IT 503 Low Energy Pendulum Impact Tester Metrology/Characterization Thin Film Mechanical Tinius Olsen Model IT 503 Low Energy Pendulum Impact Tester Metrology/Characterization Structure or Device Other Titan 300/80 (FEI) Imaging All Imaging TEM Titan Krios (FEI) Imaging All Imaging TEM Titan Krios (FEI) Imaging All Imaging SEM Toho Technology FLX-2320 Thin Film Stress Measurement System Metrology/Characterization Thin Film Mechanical Total internal reflection fluorescence atomic force microscope Metrology/Characterization Chemical Analysis Spectroscopy Tousimis 916B Critical Point Dryer Cleaning All Cleaning Critical Point Drying Tousimis AutoSamdri 815A Cleaning All Cleaning Critical Point Drying Tousimis Autosamdri 815B Cleaning All Cleaning Critical Point Drying Tousimis Critical Point Dryer Cleaning All Cleaning Critical Point Drying Tousimis Critical Point Dryer Cleaning All Cleaning Critical Point Drying Tousimis Super Critical Dryer (Marcus) Cleaning All Cleaning Critical Point Drying Tousimis Super Critical Dryer (Pettit) Cleaning All Cleaning Critical Point Drying Tractrix Spinbot Lithography All Lithography Resist Processing Transient Kinetic Analysis Other All Other Other Transilluminators – multiple Biological All Biological Other Transmission Electron Microscope Imaging All Imaging TEM Transmission Electron Microscope (TEM) Imaging All Imaging TEM Triboindenter Metrology/Characterization Structure or Device Other Triboindenter Metrology/Characterization Thin Film Mechanical TRION Etching Dry RIE Trion Etcher Etching Dry ICP Trion Phantom Etching Dry Other Trion RIE/ICP Etching Dry ICP TriStar 3000 Metrology/Characterization Structure or Device Profilometry TSI 3321 Aerodynamic Particle Sizer (APS) Spectrometer Particle All Particle Size TSI 3936NL Scanning Mobility Particle Sizer (SMPS) Particle All Particle Size TSI 9306 Aerotrak Optical Particle Counter Particle All Particle Other TSI DustTrak 8520 PM Monitor Particle All Particle Other Turbo Pumping System Other All Other Other Twin-jet Electro Polisher Imaging All Imaging Sample Prep Twin-jet Electro-polisher Imaging All Imaging Sample Prep Tystar Bank1 Low Temp Silicon Dioxide Thin Film Processing Dielectric Oxidation Tystar Bank1 Non-Metal Anneal Thin Film Processing Dielectric Annealing Tystar Bank1 Polysilicon Thin Film Processing Dielectric Annealing Tystar Bank1 Silicon Nitride Thin Film Processing Dielectric CVD Tystar Bank2 Metal Anneal Thin Film Processing Dielectric Annealing Tystar Bank2 TEOS Silicon Dioxide Thin Film Processing Dielectric Oxidation Tystar Bank2 Wet/Dry Oxidation Thin Film Processing Dielectric Oxidation Tystar LPCVD nitride Thin Film Processing Dielectric Other Tystar LPCVD polysilicon Thin Film Processing All Thin Film Processing CVD Tystar Mini-Tytan 4600 Furnace System Thin Film Processing Dielectric CVD Tystar Nitride Furnace 1 Thin Film Processing Dielectric Doping Tystar Nitride Furnace 2 Thin Film Processing Dielectric Doping Tystar Nitride Furnace 3 Thin Film Processing Dielectric Oxidation Tystar Nitride Furnace 4 Thin Film Processing Dielectric Other Tystar Oxidation Furnace Thin Film Processing Dielectric Oxidation Tystar Poly Furnace 1 Thin Film Processing Dielectric Annealing Tystar Poly Furnace 2 Thin Film Processing Dielectric Oxidation Tystar Poly Furnace 3 Thin Film Processing Dielectric Other Tystar Poly Furnace 4 Thin Film Processing Dielectric Other Tystar TEOS Deposition Thin Film Processing Dielectric CVD UHV VT AFM Imaging All Imaging Probe Ultrafast Ti:Sapphire Laser Oscillator Metrology/Characterization Chemical Analysis Spectroscopy Ultramicrotome Imaging All Imaging Sample Prep Ultramicrotome Imaging All Imaging Sample Prep Ultramicrotome Imaging All Imaging Sample Prep Ultrasonic (wedge) Wire Bonder Packaging All Packaging Bonding Ultrasonic and Megasonic Cleaners Cleaning All Cleaning Other Ultrasonic Cutter Imaging All Imaging Sample Prep Ultrasonic Disc Cutter Imaging All Imaging Sample Prep Ultrasonicator System Particle All Particle Other Ultratech Plate Cleaner Cleaning All Cleaning Other Ultratech/Cambridge Fiji G2 Plasma-Enhanced ALD Thin Film Processing Dielectric ALD Ultratech/Cambridge Savannah ALD System Thin Film Processing Dielectric ALD Ultraviolet Flood Exposure System Lithography All Lithography Resist Processing Ultron UH-102 UV Curing Oven Thin Film Processing Polymer Resist Processing ULTRON UH104 UV Curing System Packaging All Packaging Dicing ULTRON UH114 Wafer/Frame Film Applicator Packaging All Packaging Dicing ULVAC Deep Oxide Etcher Etching Dry Deep Oxide Ulvac Mini-Annealer Thin Film Processing Dielectric Annealing Unaxis 770 Deep Si Etcher Etching Dry Deep Silicon (Bosch) Unaxis PECVD Thin Film Processing Dielectric PECVD Unaxis RIE Etching Dry RIE Unaxis Shuttleline ICP RIE Etching Dry RIE Unifilm Sputterer Thin Film Processing Metal Sputter Universal Laser Systems PLS4.75 Laser Cutter/Engraver Patterning All Patterning Laser UPS Metrology/Characterization Thin Film Other UT FIB FEI Imaging All Imaging FIB UT PTL-LPCVD-Nitride Thin Film Processing Dielectric CVD UT PTL-LPCVD-Polysilicon Thin Film Processing Dielectric CVD UT PTL-LTO Thin Film Processing Dielectric CVD UV Ozone Cleaner Cleaning All Cleaning Plasma/Stripper UV-Vis Spectrometers (Perkin Lambda 950) Metrology/Characterization Thin Film Spectroscopy UV-VIS Spectrophotometer Metrology/Characterization Chemical Analysis Spectroscopy UV-Vis Spectrophotometer Metrology/Characterization Chemical Analysis Spectroscopy UV-Vis Spectrophtometer Metrology/Characterization Chemical Analysis Spectroscopy Vac Glove Box Syste Other All Other Other Vacuum Oven Lithography All Lithography Resist Processing Vapor Prime Oven Lithography All Lithography Resist Processing Vapor treatment system Thin Film Processing Polymer Other Variable Pressure Scanning Electron Microscope Imaging All Imaging SEM Variable Temperature UHV STM/AFM Imaging All Imaging Probe VCA Optima Contact Angle Metrology/Characterization Thin Film Contact Angle Veeco 4-point probe Metrology/Characterization Structure or Device Electrical Veeco AP-150 Metrology/Characterization Structure or Device Electrical Veeco BioScope II Imaging All Imaging Probe Veeco Dektak 150 Profilometer Metrology/Characterization Structure or Device Profilometry Veeco Dektak Profilometer Metrology/Characterization Structure or Device Profilometry Veeco Dimension 3100 AFM Metrology/Characterization Thin Film Mechanical Veeco Four-point Probe — Instructional Center Metrology/Characterization Structure or Device Electrical Veeco Mulitmode Atomic Force Microscope (AFM) Imaging All Imaging Probe Veeco NanoMan AFM Imaging All Imaging Probe Veeco NT1100 Optical Profiling System Metrology/Characterization Structure or Device Optical Veeco Savannah S200 Thermal ALD Thin Film Processing Dielectric ALD Veeco Scanning Probe Microscope Imaging All Imaging Probe Veeco Thermal Evaporator Thin Film Processing Metal Evaporation Vega 3 SEM Imaging All Imaging SEM VersaLaser Engraver/Cutter Tool Patterning All Patterning Other Verteq Spin Rinse Dryer — Instructional Center Cleaning All Cleaning Spin Rinse Drying Verteq Spin Rinse Dryer — Instructional Center Cleaning All Cleaning Spin Rinse Drying Verteq Superclean Spin Rinse Dryer Cleaning All Cleaning Wet Bench VG Scientific Multilab 3000 Metrology/Characterization Thin Film XPS Vibrating Sample Magnetometer Metrology/Characterization Structure or Device Other Vibromet Imaging All Imaging Sample Prep Vibrotome Biological All Biological Sample Prep Video Microscope Imaging All Imaging Optical Vision RIE 1 Etching Dry RIE Vision RIE 2 Etching Dry RIE Vision-RIE Etching Dry RIE Vistec EBPG Lithography All Lithography EBL Vistec EBPG5000+ Lithography All Lithography EBL VITRO1 Imaging All Imaging Sample Prep VITRO2 Imaging All Imaging Sample Prep Vitrobot Imaging All Imaging Sample Prep Vitrobot Imaging All Imaging Sample Prep Voltera Patterning All Patterning Other VWR Oven Other All Other Other VWR Oven Other All Other Other WAFAB Acid/Base Bench Etching Wet Wet Bench WAFAB Bottle Washer Bench Cleaning All Cleaning Wet Bench WAFAB Cleaning Bench Cleaning All Cleaning Wet Bench WAFAB Developer Bench Lithography All Lithography Resist Processing WAFAB HF/TMAH Bench Etching Wet Wet Bench WAFAB Resist Bench (2) Lithography All Lithography Resist Processing WAFAB Solvent Bench Cleaning All Cleaning Wet Bench WAFAB Toxic Corrosives Bench Etching Wet Wet Bench Wafer Back Grinder Packaging All Packaging Other Wafer Bonder Packaging All Packaging Bonding Wafer Cleaner Packaging All Packaging Other Wafer Dicing Saw Packaging All Packaging Dicing Wafer Inspection Camera Metrology/Characterization Structure or Device Optical Wafer Level Bonder Packaging All Packaging Bonding Wafer Polisher Packaging All Packaging CMP Waters Prep150 HPLC Metrology/Characterization Chemical Analysis Chromatography Waters Prep150 HPLC Metrology/Characterization Chemical Analysis Chromatography Waters Synapt G2S-i Q-TOF with ion mobility Metrology/Characterization Chemical Analysis Mass Spec Wedge Bonder Packaging All Packaging Other Wedge Bonder Packaging All Packaging Bonding Wedge/Ball Bonder Packaging All Packaging Other WestBond Packaging All Packaging Bonding Westbond Wirebonder Packaging All Packaging Bonding Westbond Wirebonder Packaging All Packaging Bonding Wet Bench – Etching Cleaning All Cleaning Wet Bench Wet Bench – General Use Cleaning All Cleaning Wet Bench Wet Bench – General Use Cleaning All Cleaning Wet Bench Wet Bench – HF Cleaning All Cleaning Wet Bench Wet Bench – KOH Cleaning All Cleaning Wet Bench Wet Bench – RCA Cleaning All Cleaning Wet Bench Wet Bench – Resist Lithography All Lithography Resist Processing Wet Bench – Solvents Cleaning All Cleaning Wet Bench Wet Chemistry for Wafer Cleaning Cleaning All Cleaning Wet Bench Wet/Dry Oxide – Bank B-2 Thin Film Processing Dielectric Oxidation WIBO1 Packaging All Packaging Bonding Wild Metrology/Characterization Structure or Device Optical Wire Bonder Packaging All Packaging Bonding Wire Bonder Packaging All Packaging Bonding Wire Bonder Packaging All Packaging Bonding Wire Bonder Packaging All Packaging Bonding Wire Pull/Ball Shear Tester Metrology/Characterization Structure or Device Other Wirebonder Packaging All Packaging Bonding Wirebonder (Ball) Packaging All Packaging Bonding Wirebonder Wedge Packaging All Packaging Bonding Witec Raman Confocal Atomic Force Microscope Metrology/Characterization Chemical Analysis Spectroscopy Wollam Ellipsometer Metrology/Characterization Thin Film Thickness Woolam-Ellipsometer Metrology/Characterization Thin Film Thickness Woollam Ellipsometer Lithography i-line stepper, 5:1, 0.35 µm CD Contact/proximity aligners Electron-beam writing Nanoimprinting (step & stamp) VTT_memsfab-Icons_etching@2x Etching Polysilicon/nitride Oxide; thin film and Advanced Oxide Etching Metals; Al, Mo, Ti-W, Nb (TCP) Deep silicon etching; Anhydrous HF vapour Wet etching, various Critical-point drying VTT_memsfab-Icons_deposition@2x Deposition Six sputtering tools LPCVD of nitride, poly, and oxide TEOS, LTO PECVD; nitride and oxide ALD: aluminium oxide, titanium oxide Parylene VTT_memsfab-Icons_spin-coating@2x Plating, Spin Coating Cu (via or wiring), Ni, Sn-Ag, Sn-Pb, In-Sn, Au Polyimide, BCB VTT_memsfab-Icons_3d-integration@2x 3D Integration CMP of Si/oxide or copper Direct wafer bonding Grinding Spin-etching Thin-wafer handling Ion trimming VTT_memsfab-Icons_characterization@2x_smaller2 Characterization Scanning electron microscope Scanning probe microscope Scanning acoustic microscope Optical film characterization Profilometers Atomic force microscope Alignment accuracy measurement Wafer defect inspection system VTT_memsfab-Icons_back-end@2x Back End Wafer dicing Flip-chip bonding Wire bonding Thermal compression bonding VTT_memsfab-Icons_implantation@2x Ion Implantation Medium-current; n- or p-type doping of silicon VTT_memsfab-Icons_test@2x_nolines Testing Wafer level test systems High speed electrical and optical testing capabilities Multiple labs for offline testing and characterization Metrology/Characterization Thin Film Thickness Woollam M2000 Ellipsometer Metrology/Characterization Thin Film Thickness Woollam Spectroscopic Ellipsometer Metrology/Characterization Thin Film Spectroscopy Woollam Spectroscopic Ellipsometer Metrology/Characterization Thin Film Thickness Woollam Spectroscopic Ellipsometer Metrology/Characterization Structure or Device Optical Woollam Spectroscopic Ellipsometer Metrology/Characterization Thin Film Thickness Woollam Vase Ellipsometer Metrology/Characterization Thin Film Thickness WPS- acid C11 C14 C16 G12-14 H14-15 Cleaning All Cleaning Wet Bench WPS- solvent F06 G8-11 Cleaning All Cleaning Wet Bench Wyko Metrology/Characterization Structure or Device Optical Wyko NT2000 Profilometer (VEECO) Metrology/Characterization Structure or Device Profilometry Wyko Optical Profilometer Metrology/Characterization Structure or Device Profilometry Wyko Profilometer NT3300 Metrology/Characterization Structure or Device Profilometry X-Ray Fluorescence Spectrometer Metrology/Characterization Thin Film XRF X-ray Imaging System Imaging All Imaging Other X-ray Photoelectron Spectrometer Metrology/Characterization Thin Film XPS X-ray Photoelectron Spectrometer Axis Ultra DLD Metrology/Characterization Thin Film XPS X-ray Powder Diffraction Spectrometer Metrology/Characterization Thin Film XRD X-ray Powder Diffractometer Metrology/Characterization Chemical Analysis Other X-ray topography instrument Metrology/Characterization Thin Film XRD Xactix XeF2 Etcher Etching Dry Other Xactix XeF2 etcher Etching Dry Other Xactix Xenon Difluoride Etcher Etching Dry Other Xactix Xenon Difluoride Etcher Etching Dry Other Xactix Xenon Difluoride Etcher Etching Dry Other XCT: Zeiss Xradia 520 Versa X-ray CT Imaging All Imaging Other XDIF1 Metrology/Characterization Thin Film XRD XeF2 Etcher Etching Dry Other XeF2 System Etching Dry Other Xenon Difluoride Etcher Etching Dry Other XL30 Environmental FEG Imaging All Imaging SEM XPS Metrology/Characterization Thin Film XPS XPS Metrology/Characterization Thin Film XPS XPS Metrology/Characterization Thin Film XPS XPS Metrology/Characterization Thin Film XPS XPS Metrology/Characterization Thin Film XPS XPS/UVS – SPECS System with PHOIBOS 150 Analyzer Metrology/Characterization Thin Film XPS XPS1 Metrology/Characterization Thin Film XPS XPS: PHI Quantera SXM Metrology/Characterization Thin Film XPS XPS: PHI Versaprobe Metrology/Characterization Thin Film XPS XPS: PHI Versaprobe Metrology/Characterization Thin Film XPS XRD Metrology/Characterization Thin Film XRD XRD: Bruker Single Crystal D8 Venture Metrology/Characterization Thin Film XRD XRD: Multiwire Laue Metrology/Characterization Thin Film XRD XRD: PANalytical X’Pert 1 Metrology/Characterization Thin Film XRD XRD: PANalytical X’Pert 2 Metrology/Characterization Thin Film XRD YES Asher Cleaning All Cleaning Plasma/Stripper YES Asher Cleaning All Cleaning Plasma/Stripper YES CV200 RFS Plasma Strip / Descum System Cleaning All Cleaning Plasma/Stripper YES III Vapor Prime Oven Lithography All Lithography Other YES Image Reversal Oven Lithography All Lithography Resist Processing YES Image Reversal Oven Lithography All Lithography Other YES Oven – HMDS and Image Reversal Lithography All Lithography Other YES Polyimide Bake Oven Lithography All Lithography Resist Processing YES Prime Oven Thin Film Processing Polymer Other YES Vapor Phase Deposition System Lithography All Lithography Resist Processing YES Vapor Prime Oven Lithography All Lithography EBL YES Vapor Prime Oven Lithography All Lithography Resist Processing YES-310TA Vapor Prime and Image Reversal Oven Thin Film Processing Polymer Resist Processing YES-58TA Vacuum Bake/HMDS Vapor Prime & Image Reversal System Lithography All Lithography Other YES-G1000 Plasma Asher Cleaning All Cleaning Plasma/Stripper YES-R1 Plasma Cleaner Cleaning All Cleaning Plasma/Stripper Zeiss Auriga Crossbeam FIB-FESEM Imaging All Imaging FIB Zeiss Axio Imager Z2m Imaging All Imaging Optical Zeiss Axio Observer A1 Imaging All Imaging Optical Zeiss Axio Observer Spinning Disc Confocal Microscope Imaging All Imaging Confocal Zeiss EVO LS VP-SEM Imaging All Imaging SEM Zeiss EVO SEM Imaging All Imaging SEM Zeiss FE-SEM Neon40 Imaging All Imaging SEM Zeiss Flourescence Microscope Imaging All Imaging Optical Zeiss Libra 120 PLUS TEM Imaging All Imaging TEM Zeiss Optical Microscope Imaging All Imaging Sample Prep Zeiss Orion Plus Helium Ion Microscope Imaging All Imaging Other Zeiss Sigma 500 Imaging All Imaging SEM Zeiss Supra SEM Imaging All Imaging SEM Zeiss Ultra SEM Imaging All Imaging SEM Zeiss Ultra60 FE-SEM Imaging All Imaging SEM Zeiss Ultra60 FE-SEM Metrology/Characterization Thin Film EDS/WDS Zeiss Zxiolmager M2M microscope Imaging All Imaging Optical Zeta Potential Analyzer Particle All Particle Zeta Potential Zeta Potential Analyzer Particle All Particle Zeta Potential Zetasizer Particle All Particle Size Zetasizer Particle All Particle Zeta Potential Zetasizer Particle All Particle Size Zygo Optical Profilometer Metrology/Characterization Structure or Device Profilometry Zygo Zegage Optical profilometer Metrology/Characterization Structure or Device Optical ZYGO1 Metrology/Characterization Structure or Device Profilometry Zyvex Nanoprobes for Ultra SEM Metrology/Characterization Structure or Device Other Photolithography expand_less Photolithography (also known as Optical Lithography) is generally useful for features down to about a 0.25 micrometer lateral feature size. Light projected through a chrome mask is used to expose an area of light sensitive film (photoresist) on the substrate. CNF has both facilities for generation of the photomasks and photoexposure of wafers and substrates. ABM Contact Aligner The ABM High Resolution Mask Aligner is a very versatile instrument with interchangeable light sources which allow Near-UV (405-365 nm) as well as Mid-and Deep-UV (254 nm, 220 nm) exposures in proximity (non-contact) or contact (soft & hard) modes. ASML PAS 5500/300C DUV Wafer Stepper The ASML PAS 5500/300C DUV Wafer Stepper is our most advanced photolithography tool. It uses a KrF excimer laser to expose features smaller than 0.2 micrometers with layer-to-layer registration better than 45 nanometers. This projection printer uses a DUV (248nm) lens column (0.63 N.A.) to provide a 4:1 reduction with an exposure field size up to 22mm square. GCA 6300 DSW 5X g-line Wafer Stepper The GCA 6300 DSW 5X g-line Wafer Stepper is a 5X reduction stepper using the mercury g-line (436 nm) with an ultimate resolution of 0.9 micrometers. It is generally used when depth of field is more important than resultion. This projection printer uses a lens column with 0.30 N.A. to provide a 5:1 reduction with a variable field size up to 15mm square. GCA AutoStep 200 DSW i-line Wafer Stepper The GCA AutoStep 200 DSW i-line Wafer Stepper is a 5X reduction wafer exposure tool designed for up to 200mm wafers. All operations are automated, including wafer loading (100mm wafers) and aligning, and reticle loading and aligning (5″). Resolution is better than 0.65um. Heidelberg Mask Writer – DWL2000 The DW2000 is an advanced laser writing system for mask fabrication. It can write mask features as small as 0.8 micrometer. Heidelberg Mask Writer – DWL66FS The DWL 66fs is a high-resolution imaging system for exposing Cr mask plates or wafers, with minimum feature size 2 micrometers. The DWL 66fs will accommodate media up to 6 x 6 inches. NanoScribe GT2 The NanoScribe GT2 Laser Lithography System is a state of the art two-photon polymerization volumetric maskless printer. It can create three-dimensional nanostructures using a NIR femtosecond laser via direct-write onto a photosensitive resin that is subjected to a non-linear two-photon absorption process. This process involves cross-linking the resin via UV absorption. SUSS MA6-BA6 Contact Aligner The MA6 is a workhorse contact lithography system (contact aligner) for up to 150 mm wafers. It can align to features on either the front or the back of the wafer using a video alignment system. SUSS MJB4 Contact Aligner The MJB4 contact aligner can be used for Topside Alignment and Backside Infrared Alignment on substrate sizes ranging 5mm square to 100mm in diameter. It is capable of printing 0.7um lines and spaces with a 365-436nm light source Electron Beam Lithography expand_less Electron beam lithography (EBL) has long been established as the premier technique for defining structures at the nanoscale. Since it’s inception in 1979, the Cornell Nanoscale Science and Technology Facility (CNF) has remained at the forefront of nanofabrication research by providing state-of-the-art EBL tools to the academic and industrial user community. Although these tools can be used for mask fabrication, at CNF they are generally only used for direct-write applications JEOL 6300 The JBX 6300FS system is an advanced direct write ebeam lithography system for up to 150mm wafers. The unique capability of the JEOL 6300 is that a fifth lens can be used to get a smaller beam spot size and write sub-10 nm features. JEOL 9500 The JBX 9500FS is the next generation direct write ebeam lithography system from JEOL. CNF has one of only two 9500s in the U.S., and the only one in an academic environment. The tool is capable of loading samples from 10 mm up to 300 mm and writing features as small as 6 nm or less at 100 MHz clock speed. The system features dramatically improved stitching and overylay over previous systems. Nabity Nanometer Pattern Generator System (NPGS) The Nabity system turns an SEM into a simple e beam lithography system. The pattern generator takes control of the deflection system on the SEM and can then be used to expose patterns in resist. This is particularly useful when there is a need for low energy exposures. The tool is very manual, as opposed to the fully automated JEOL systems. Other Lithography expand_less Nanoimprint NX-2500 The NX-2500 is a multi-level nanoimprint machine with imprint capabilities in thermoplastic, photo-curable and embossing. This machine also eliminates relative lateral shifting between substrate and mold which affects mold lifetime. A very small thermal mass, ensure rapid and cooling, resulting in fast process cycles. Lithography Support expand_less To support lithography operations, CNF has dozens of manual spinners, hot plates, and ovens, along with facilities for vapor priming, image reversal, resist development, and resist stripping. Brewer Science 300 mm Hot Plate Hot plate for heating 300 mm wafers, and also other large substrates such as large glass plates used for displays. Brewer Science 300 mm Spinner Spinner for applying resist on 300 mm wafers, and also on photomasks and large glass plates, such as substrates for displays. Edge Bead Removal System This Edge Bead Removal System uses a Brewer Science Cee Flange Spinner Model 200 platform along with a Nordson 752 Series Diaphragm Dispense Valve and their patented BackPack valve actuator controlled by their ValveMate 8000 Controller. The nozzle is mounted on a 4-axis cantilevered T-Slot arm with position micrometer on the X-axis for adjusting the bead size. It utilizes Microposit EBR 10A as a solvent. SUSS Gamma The Suss MicroTec Gamma Cluster Tool is an automated photoresist and wet processing system designed to meet needs for clean, reliable and high throughput photolithography processing. In addition to the manual facilities used for small lots, CNF has this advanced robotic coating/development system which can process cassettes of wafers up to 200 mm. It also incorporates the AltaSpray spray coating system for non-planar substrates. Hamatech HMx900s The Hamatechs are single wafer automated chemical processors. CNF has a total of six of these units, configured for various cleaning, stripping, and resist development processes. Cee 1300X Hotplates 1300X hotplates are configured for proximity baking of resist and other films at various temperatures from 90C to 205C. Cee Apogee Spinners Cee manual resist spinners accommodate substrates from small pieces to 200mm wafers, with spin speeds over 6000 rpm and acceleration up to 30,000 rpm/sec. YES Process Ovens CNF has three Yield Engineering Systems ovens, a Vapor Priming vacuum oven, an Ammonia Image Reversal oven, and a Polyimide Curing oven. All three systems allow fully programmed operation. Physical Vapor Deposition expand_less PVD encompasses the vacuum thin film deposition techniques of evaporation and sputtering. CNF has systems that can deposit a wide range of materials. AJA Orion Sputtering Systems CNF has two AJA magnetron sputtering systems that can be used to deposit a variety of materials. The systems are load locked, allowing rapid sample exchange. Each system has five S-gun type sputtering sources. Both DC and RF magnetron sources are available. CHA SE600 Thermal Evaporator The CHA thermal evaporator is the only PVD tool at the CNF designated for depositing thin films on substrates that are composed of high vapor pressure materials. CHA Mark 50 Electron Beam Evaporator The large chamber on this electron beam evaporator enables users to deposit metals on moderately sized production runs. The tool is capable of conformal evaporation on 4” and 6” wafers and has liftoff platens for 4”, 6” and 8” wafers. OEM Endeavor M1 The Endeavor is a specialized magnetron sputtering tool for the deposition of piezoelectric Aluminum Nitride on up to 150 mm wafers. Lesker PVD 75 The PVD75 is a small box coater for reactive sputtering Indium Tin Oxide (ITO), a transparent conductor and Titanium Dioxide (TiO2). CVC 4500 Electron Beam Evaporator CNF has two CVC cryopumped evaporators used for thin film depositions. The Odd-Hour is capable of both thermal and electron beam evaporation. The Even-Hour has a six-pocket electron-beam crucible turret and is designated for low temperature oxide and nitride depositions. Chemical Vapor Deposition and Hot Processes expand_less Arradiance ALD This system is solely configured for thermal ALD and presently has precursors for Al, Ti, Pt, hf, and Zr. The system also has an ozone generator which allows films to be deposited at lower temperatures. It is uniquely configured for ALD of nanoparticles in either a static or rotational mode. The following films can be deposited: Pt, HfO2, Al2O3, TiO2, TiN, and ZrO2. MRL Atmospheric Furnaces CNF has 10 atmospheric furnace tubes for up to 150mm wafers. They are used for thermal oxidation of silicon and for annealing. Carbon Nanotube/Graphene Furnace CNF has a First Nano 3500 tube furnace for CVD deposition of Graphene and carbon nanotubes on up to 100 mm wafers MRL Vacuum Furnaces CNF has 10 vacuum tube furnaces of chemical vapor deposition on 150 mm wafers. Processes include CVD oxides, CVD nitrides, and CVD polysilicon. GSI PECVD The load-locked GSI Plasma Enhanced Chemical Vapor Deposition (PECVD) system can conformally deposit a variety of dielectric films as well amorphous silicon on whole 100 and 150 mm diameter wafers. Oxford ALD FlexAL This system has both thermal and (remote)plasma enhanced atomic layer deposition. The system has precursors for Al, Ta, Hf, and Si. The oxides and nitrides of these elements can be deposited, as well as tertiary compounds such as HfAlOx, HfSiOx, and HfSiOxNy. Films can be deposited up to 400C. Oxford PECVD The Oxford 100 PECVD is a (capacitively coupled) plasma enhanced CVD tool for deposition of silicon dioxide and silicon nitride. AG 610 Rapid Thermal Annealers Rapid Thermal Annealers (AG Heatpulse 610) use high intensity, visible radiation to heat samples (up to 150mm) for short periods (1-600 seconds) at high temperatures (400-1150 C) in an annealing ambient of oxygen, argon, nitrogen, or forming gas (5% H2 in Ar). Applications include ion implant activation, annealing, oxide reflow, silicide formation, contact alloying, and gallium arsenide processing. Dry Etching expand_less Dry Etching, known variously as plasma etching or reactive ion etching, uses a plasma to dissociate a generally non-reactive gas into a plasma of reactive radicals. Various gases and plasma configurations are used depending of the material and desired process results. AJA Ion Mill The AJA Ion Mill is a 22 cm diameter Kaufman RF-ICP gridded ion source producing a collimated Argon ion beam which provides uniform etching of samples up to 6 inch diameter. The sample holder is water cooled at 23 degrees C. and has motorized tilt (0-180 degrees), and continuous sample rotation up to 25 RPM. The system is Cryo Pumped with a base pressure in the 10-8 torr range. It is generally used for materials for which there is no appropriate chemical/plasma etch. Anatech Resist Strip The Anatech USA, model SCE-110-RF, Oxygen plasma Asher is a 10 inch diameter all quartz barrel asher. It is ICP powered 13.56 MHz up to 900 Watts. It is equipped for both oxygen and nitrogen plasma. It can process small pieces and up to 200 mm wafers. Glen 1000 Resist Strip The Glen 1000 system utilizes a oxygen plasma source for stripping of photoresist and other organics. The tool can be setup to give direct ion bombardment or in a downstream ion mode for lower surface damage. Nitrogen is also available. Oxford 100 ICP This ICP system is dedicated to silicon based dielectrics (SiO2, Si3N4, SiOxNy) and is uniquely equipped with a gas ring in addition to traditional shower head gas delivery of highly polymerizing fluorocarbon and hydrofluorocarbon gases. Gases include CF4, CHF3, C4F8, C4F6, CH2F2 as well as additives such as O2, Ar, He, and CO2. This system is mechanically clamped and can accommodate up to 100mm diameter wafers. Oxford 80s CNF has two Oxford 80 open load reactive ion etching systems configured with varous fluorocarbon gases, primarily for etching silicon based films. Oxford Cobra This ICP system is configured with chlorine, bromine, and fluorine chemistry. This system is used to etch silicon and germanium photonics structures using HBr, magnetic tunnel junction (MTJ) layers using methanol (CH3OH) based chemistry, and silicon carbide with an SF6 based etch. Fluorine based metal etches such as tungsten are also included in this system. This system is mechanically clamped and can accommodate up to 100mm diameter wafers. PlasmaTherm Versaline Deep Silicon Etcher The Versaline DSE is an advanced deep Silicon etcher configured for fast switching Bosch p rocesses. It can create high aspect ratio trenches and channels in Silicon wafers, or completely etch through Silicon wafers. Plasma-Therm Takachi ALE Primaxx Vapor HF Etcher This system is primarily used to isotropically etch silicon dioxide using anhydrous vapor HF. The VHF/alcohol process enables release of silicon MEMS structures without stiction. It is highly selective to silicon, alumina, aluminum, and silicon carbide. Plasma Therm System 72 The Plasma Therm System 72 is open load reactive ion etching systems configured with various fluorocarbon gases, primarily for etching silicon based films. Plasma Therm 720/740 This is a dual chamber RIE loadlocked system with both chlorine and fluorine based chemistry. The 740 (left chamber) has been designated a Au exposure system. It can be used to etch metals and dielectrics with either Au exposure or termination. The 720 (right chamber) is designated for chlorine and fluorine based etching of 2D materials such as MoS2, WSe2, NbSe2, AsSe2, and GaSe and perovskites such as SrRuOx, SrLaAlOx, and BaSrOx. Both chambers can accommodate up to 200mm diameter wafers. Plasma Therm Dual Chamber 770 This is a dual chamber loadlocked ICP system with both chlorine and fluorine based chemistry. The left chamber is for chlorine based metal, metal oxide, and metal nitride etching including Cr, Ti, Al, Al-Si-Cu, Ta, Al2O3, Nb, TiN, TaN, AlN, piezo-AlN, and MoSi2. The right chamber is dedicated to III-V materials and includes gases such as Cl2, BCl3, CH4, H2, and SiCl4. Both chambers are mechanically clamped and can accommodate up to 100mm diameter wafers. Samco UV/Ozone System Utilizes UV light, heat, and Ozone for the removal of organic contamination as well as th e descumming, UV curing, and stripping of resist. Trion Minilock III ICP Etcher The Trion is a small load-locked ICP system with BCl3, Cl, CHF3, CF4, O2, N2, O2, and Ar f or etching a wide variety of materials. PlasmaTherm Unaxis 770 Deep Silicon Etcher The Unaxis 770 is a deep silicon etcher that utilizes the Bosch process as well as other unique processes developed at CNF. Xactix XeF2 This system is primarily used to isotropically etch silicon, Ge, Mo and any materials that react spontaneously with atomic fluorine. One of its main purposes is to release MEMS structures by etching sacrificial materials without stiction. XeF2 is highly selective to silicon oxide, polymers, and most metals. Y.E.S. Asher Vacuum system with Oxygen Plasma, designed for Resist Stripping and Descum. It uses a 9″ diameter Hot Plate stage to control wafer temperature. The system is used to strip resist off single wafers, up to 8″ diameter. The 40 KHz plasma is isolated from the wafer by a grounded, perforated metal plate, making this a Down Stream system. YES EcoClean Asher The YES EcoClean is a single wafer, downstream ICP stripper designed for photoresist and polyimide strip, descum, and inorganic substrate cleaning/surface modification. The remote ICP source produces a high-density oxygen plasma and confines any charged plasma species to the plasma chamber such that only charge-neutral species flow from the source to the substrate. The tool can process silicon and gallium arsenide wafers of 2″, 3″, 4″, 5″, 6″, and 8″ diameter. Tool capabilities include 3,000 W RF power and hot plate temperature up to 300 C for fast resist removal. Structure Characterization expand_less AFM Bruker Icon The AFM Bruker Icon is an atomic force microscope which operates in both contact and tapping mode to map surface topography of a solid substrate. Tool can scan 100 um X 100 um of surface area and measure trenches as deep as 13.7 um. It accepts smaller pieces and whole wafers (magnetic pucks are available for the smaller pieces). Stylus Profilomoters CNF has a Tencor P-10, a Tencor P-7, and a Dektak stylus profiolometeris for measuring step heights Focused Ion Beam CNF maintains a Hitachi single ion beam focused ion beam tool for sample preparation and failure analysis. Other more sophisticated “dual beam” FIBs are available elsewhere on the Cornell campus (CCMR). Hummer Au/Pd Sputtering System A small sputter system for sputtering thin conductive coatings on samples prior to SEM imaging or electron beam lithography. It is setup for Gold / Palladium. The manual machine allows for quick coating of conductive films to assist in electron beam work Polaron Gold Sputter SystemA small sputter systems for coating samples prior to SEM imaging or electron beam lithography. This system is setup for Gold, typically used in electron beam writing. Carl Zeiss Ultra 55 Plus field-emission scanning electron microscope capable of high resolution on conductive and insulating substrates Hitachi S-3000 scanning electron microscope Extensive optical microscopy and photomicroscopy capabilities Electrical probe stations including two light sources for solar simulation Woollam alphaSE spectroscopic ellipsometer Thin film reflectometry Veeco Dektak3 surface profilometry Jandel Four-point probe Sinton WCT-120 photoconductance lifetime tester Bruker Icon 3 Atomic force microscopy Energy Dispersive X-Ray Spectroscopy Registration measurements of up to 120mm in both X and Y using electron beam and optical methods The manual machine allows for quick coating of thin conductive films to assist in electron beam work. Zeiss SEMs CNF has two Zeiss digital field emission scanning electron microscopes, an Ultra 55 and a Supra 55VP. The Ultra features a more advanced detector, while the Supra has capabilities for charge compensation for insulating materials. An EDX for materials characterization is also available on the Supra. Thin Film Characterization expand_less Bruker Energy-dispersive X-ray Spectrometer (EDS) The Bruker QUANTAX 200 Energy Dispersive X- ray Spectrometer (EDS) with XFlash®6 silicon drift detector is a modular EDS system for qualitative and quantitative microanalysis. The system’s standard-less quantification software enables manual, automatic or interactive spectra evaluation and provides reliable results for specimens with polished or irregular surfaces, thin layers and particles. Filmetrics CNF has several Filmetrics spectrophotometers for measuring the effective thickness of transparent thin films, including systems for microspot measurement and wafer mapping. FleXus Film Stress Measurement A laser scan technique is used to measure wafer surface curvature before, and after wafer processing. Two wavelengths (670 nm and 780 nm) are available to ensure adequate reflected signal from the wafer. The calculated change in wafer curvature is then used to calculate the stress in the film. The wafer is scanned on a hot plate which can be programed for stress vs. temperature measurements. Metricon Model 2010/M Prism Coupler The Metricon is a prism coupler for precision measurement of optical index of transparent thin films. P-10 Profilometer The P-10 profilometer is a useful metrology tool used to measure surface characteristics in the micron or finer scale. It is an ideal tool for step height measurements to determine film thickness, etch depth and surface roughness in semiconductor fabrication. The unit is a stylus-based surface profiler, with a motorized XY stage with variable speed, capable of 1 Å resolution, 280-300 um vertical dynamic range, 60mm scan length, 1 µm/sec to 25 mm/sec scan speed and 150-600X image magnification. P7 Profilometer A profilometer is a precision metrology tool used to measure surface characteristics in the micron to nanometer scale. It is an ideal tool for measuring step heights. This is useful for measuring film thickness, etch depth, surface roughness, wear-scar measurements. Many parameters of surface roughness and waviness can be measured. The P-7 is a stylus-based surface profiler, with motorized XY stage with vacuum hold down, and a wide range of scan parameter settings. Rudolph FTM This can be a useful tool for very quick and easy measurement of resist thickness and uniformity. The resist index of refraction needs to be known for accurate thickness measurement.. Measurement range is only about 300 nm to 5 microns. Schott IR Inspector The Schott IR Inspection Tool is a general all-purpose semi-automatic inspection tool for the front side, buried layers, and backside inspection. The machine can handle substrates size up to 200mm in Diameter. The Schott IR Inspection can be utilized for void detection and quality of bonds in wafer bonding. It also serves as a good way to detect chipping and cracking post-CMP and post-Dicing for top and buried layers VCA Optima Contact Angle The VCA is able to measure the water contact angle on wafer surfaces up to 6 inch in diameter. It is a useful metrology tool for measuring the quality of surface treatments put down in the Applied Microstructures MVD100 tool. Woollam RC2 Spectroscopic Ellipsometer The Woollam RC2 is a modern automated variable angle spectroscopic ellipsometer. It can also be used to extract both optical indexes and film thicknesses for components in a thin film stack. Newer technology enables measurements on the order of 100 times faster than the Woollam WVASE, mapping of thickness and optical properties, and measurement of anisotropic materials. Woollam Spectroscopic Ellipsometer The Woollam V-VASE is a variable angle spectroscopic ellipsometer. It can be used to extract both optical indexes and film thicknesses for components in a thin film stack. Electrical Testing expand_less Everbeing 4-Point Probe A manual 4 point probe stand. Everbeing EB-6 DC Probe Station The EB-6 is a manual electrical probe station for up woth150mm wafers. It sits in a dark box. Zyvex Nanoprobes The Zyvex S-100 Nanoprobes are installed on the Zeiss Ultra-55 SEM and operate under vacuum during imaging or with the beam blanked. The S-100 consists of three individually controlled nanoprobes which can be placed with a precision of five nanometers. The probes have a minimum tip radius of fifty nanometers for precision measurements. Miscellaneous and Back End Processing expand_less Tousimis Critical Point Dryer The Tousimis Critical Point Dryer is used to prevent surface tension while gently drying devices such as MEMS and Microfluidics to prevent stiction after a wet etch process. This tool can handle samples from small pieces up to 6″ wafers. Disco Dicing Saw The Disco Dicing Saw can dice device sizes from small pieces up to 8″ wafers. It can dice wafers on simple basic X Y axis or advanced settings for multiple angles. There are two standard blades that we use for dicing different types of substrates such as Silicon and many types of Glass. As well as some specialty blades for various other substrate. K&S Gold Ball Bonder This is a Wire bonding system used to make electrical connections between the bonding pads on chips, and the gold bonding pads on a chip carrier, or package. It uses .001 inch Gold wire with a combination of ultrasonics at the bonding tip, and heat on the device to make these connections. An electronic flame-0ff is used to melt a ball on the end of the wire which makes the first bond. The second bond can be made in any direction from the first bond.. The device to be bonded must be thermally coupled to the stage, and heated to about 170 degrees C. Logitech Orbis CMP (Chemical Mechanical Polishing) The Logitech Orbis is a CMP tool capable of polishing 4 and 6 inch whole wafers. It can do automatic multistep polishing processes with diamond disk pad conditioning to maintain etch rates stability. The tool can be used for planarization or for damascene polishing of silicon oxide, silicon nitride, polysilicon, copper, tungsten, and other various metals. MVD100 This tool is capable of depositing conformal monolayer coatings on a wide variety of substrates using a vapor phase process from liquid precursors. Objet30 Pro 3D Printer The ObJet30 Pro prints proprietary UV-curable polymers that mimic acrylic or polypropylene. Pico MA FinePlacer FlipChip Bonder The FLIPCHIP, FINEPLACER pico ma uses a precision optical system to align patterns on a small chip ( up to 20 x 20 mm) over patterns on a substrate (up to 100 x 100 mm, ). Alignment and placement accuracy is 5 microns. The two devices are then pressed together with a force, up to a maximum of 20 N (4.5 lb.). Temperature profiles (up to 450 deg. C) for the substrate support and for the chip holder are programmable for solder re-flow, or solder paste. A fiber optic UV source is also available for UV curing adhesives. A dispensing system is available for adhesives or solder pastes. Suss SB8e Substrate Bonder The Suss SB8e VAC substrate bonder is a universal tool for bonding proses for micro-electro-mechanical system applications such as Anodic Bonding, Silicon Fusion Bonding, Adhesive Bonding, and Thermal Compression Bonding. This tool can sustain wafers up-to 150mm. It can vacuum down to 5x10e-5 mbar with an overpressure up-to3 bar. The bonding process takes place in a controlled environment. Versalaser Engraver/Cutter Tool The Universal Laser Systems VersaLaser VLS3.50 is a CO2 laser that emits in the infrared spectrum to cut or etch patterns by vaporizing the substrate. Westbond 7400A Ultrasonic Wire Bonder A wire bonder is used to connect the micron-scale pads of your device to the pads, or leads, in a package. This wedge-wedge ultrasonic type wire bonder (aka, wedge bonder) is currently being used with 1.25 mil aluminum wire. Minimum practical bond pad size is 100 microns square. It is now equiped with a Trinocular Stereo microscope, with TV camera, and viewing screen. Biotechnology expand_less Fluidic Probe Station The Corsolutions Fluidic probe station is for quick testing of microfluidic devices. It features special fluid probes for contacting the device and precision pumps with integrated flow meters for measuring flow. Malvern Nano ZS Zetasizer The Zetasizer characterizes nanomaterials in a solution. It uses Dynamic Light Scattering to determine the polydispersity of a sample and measure particle charge and size. Malvern NS300 NanoSight The NanoSight uses the technology of Nanoparticle Tracking Analysis (NTA) to characterize nanoparticles from 30 to 800 nanometers in liquid. AFM NanoScope Analysis AutoDesk Cadence CorelDRAW Coventor SEMulator3D GenISys Layout BEAMER GenISys Layout LAB GenISys ProSEM GenISys TRACER L-Edit CAD Software LinkCAD pattern preparation software MATLAB PROLITH by KLA-Tencor Bio EM Sample prep Sample preparation Ellipsometer (M2000) Optical spectroscopy FT-IR / FT-Raman (Bruker IFS66V/S and PerkinElmer Frontier FTIR) Optical spectroscopy Furnaces Materials processing and calorimetry Gas-Mixing Furnace Materials processing and calorimetry General Lab Materials processing and calorimetry Ion Beam Analysis of Materials (IBeAM) Ion beam analysis of materials Multi-Anvil press High-pressure synthesis Piston Cylinder High-pressure synthesis Profilometer – optical (ZeScope) Surface imaging Profilometer – Stylus (Bruker Dektak XT) Surface imaging Raman / AFM (Witec Alpha 300 RA+) Optical spectroscopy, Surface imaging Raman Spectrometer (custom built multi-wavelengths) Optical spectroscopy Residual Gas Analysis (SRS200) Materials processing and calorimetry Scanning Probe/ Atomic force Microscopy (SPM/AFM) Surface imaging SEM – FEG XL30 (FEI) SEM SEM Electron Microprobe Analyzer SEM, Quantitative X-ray analysis SEM JEOL JSM6300 (Bio) SEM SEM SNE-4500M table top SEM SEM/FIB Focused Ion Beam – Helios 5 UX (ThermoScientific) Focused ion beam and sample preparation SEM/FIB Focused Ion Beam – Nova 200 NanoLab (FEI) Focused ion beam and sample preparation TEM/STEM 2010F (JEOL) TEM/STEM TEM/STEM ARM200F (JEOL) Aberration corrected TEM/STEM TEM/STEM CM200-FEG (Philips) TEM/STEM TEM/STEM Nion Monochromate UltraSTEM 100 Aberration corrected TEM/STEM, 4D-STEM, Electron Energy Loss Spectroscopy TEM/STEM Philips CM 12 TEM (Bio) TEM/STEM TEM/STEM Titan 300/80 (FEI) Aberration corrected TEM/STEM TEM/STEM Titan Krios (FEI) TEM/STEM Thermal Analysis (TGA/DTA/DSC) Materials processing and calorimetry UV-Vis Spectrometers (Perkin Lambda 950) Optical spectroscopy X-ray Diffractometer – High Resolution (PANalytical X’Pert PRO MRD) X-ray diffraction X-ray diffractometer – Powder (Bruker D8) X-ray diffraction X-ray Diffractometer – Powder (Malvern PANalytical Aeris) X-ray diffraction X-ray diffractometer – Single Crystal (Bruker Smart APEX) X-ray diffraction X-ray fluorescence spectrometer -Energy dispersive (Bruker S2 PUMA) X-ray fluorescence X-ray Photoelectron Spectroscopy (VG 220i-XL) Surface composition and film deposition X-ray topography (Rigaku XRT-100) X-ray diffraction AS-One 150 RTP rapid thermal processing Cambridge Savannah ALD Deposition Tool Thin film deposition CEE Spin Coater #1 and #2 Lithography CHA E-Beam Evaporator Thin film deposition Edwards2 Thermal Evaporator Thin film deposition EVG 620 Aligner Lithography Filmetrics F20 and F40 Optical reflectometry GCA 8500 5X Stepper Lithography Heat Pulse RTP rapid thermal processing Hitachi S-4700 Field Emission Scanning Electron Microscope Characterization JEOL JBX-6000 FS/E Electron Beam Lithography Lithography Lesker #1 Sputter Deposition Tool Thin film deposition Lesker #2 Sputter Deposition Tool Thin film deposition Lesker #3 E-Beam Evaporator Thin film deposition Lesker #4 E-Beam Evaporator Thin film deposition Lesker #5 Sputter Deposition Tool Thin film deposition OAI 808 Aligner Lithography Oxford PECVD Thin film deposition PlasmaLab M80 Plus – Chlorine Dry etch, reactive ion etching PlasmaLab M80 Plus – Fluorine Dry etch, reactive ion etching PlasmaTherm 790 RIE – Fluorine Dry etch, reactive ion etching PlasmaTherm Apex ICP Dry etch, Inductively coupled plasma etching SCS Spin Coater Lithography STS AGE ICP – Chlorine Dry etch, Inductively coupled plasma etching STS ASE ICP DRIE – Fluorine Dry etch, Inductively coupled plasma etching Tegal 421 Dry etch, reactive ion etching, barrel ashing Xactix XeF2 Si Etcher Dry etch, dry vapor phase etching Zygo ZeGage Characterization, 3D optical profiling 3D Optical Profiler Zygo NewView 5000 The 3-D Optical Profiler uses interferometry for imaging and quantifying topographical features such as step heights, critical dimensions, curvature, and roughness. 3D Printer FormLabs Form 2 3D printing .STL or .OBJ 3D models created from software programs like CAD, or directly from MicroCT data. Analysis PC for MicroCT Velocity S58SQ – Quadro M6000 Analysis of CT Data, Image Processing, 3D Visualization and Animation, CT Segmentation, CAD vs. Actual Comparison, Volume Rendering, Morphometric Data Collection, Quality Assurance, Graphics Work Atomic Force Microscope Asylum Cypher Atomic Force Microscope Asylum Cypher ES Environmental atomic force microscope. This AFM allows for temperature control and fluid or gas perfusion. It also has blueDrive photothermal excitation, which, by directly exciting the cantilever photothermally, provides significant ease of use and performance benefits for imaging topography, mechanical, electrical, and magnetic properties in air and liquids. Bake Oven Thermo Scientific Lindberg/Blue M General-Purpose Oven Annealing, baking and curing up to 260C in air Biological Hood Biological Hood Biological Hood Biological Hood Biological Hood Biological Hood Critical Point Dryer Bal-Tec CPD 030 Critical Point CO2 Drying of non-biological samples Critical Point Dryer LADD CPD3 Critical Point CO2 Drying of Biological Samples Cryo Transmission Electron Microscope ThermoFisher Krios G3i Cryo TEM Cryo TEM for single particle analysis DC Sputter System Kurt Lesker PVD 75 DC sputter coating of metals Develop Hood Air Control Develop Hood For photoresist base develop processing Dicing Saw Disco DAD3220 Programmed dicing of silicon and other substrates Dry Oxidation Tempress 6304 4-Stack Furnace Dry oxidation (950C-1050C) in Oxygen E-beam & Thermal Metal Evaporator Kurt Lesker PVD 75 E-beam and thermal evaporation of metal films E-Beam Lithography System Elionix ELS-7500 EX E-Beam Lithography System Electron Beam Lithography and SEM imaging E-Beam Metal Evaporator CHA Industries Solution E-Beam Deposition of thin metal films Ellipsometer Rudolph Auto EL III Thickness and index of refraction measurements of thin oxide, nitride, and organic films and optical constants of substrates. Environmental Scanning Electron Microscope with EDS detector FEI XL30 ESEM with Bruker XFlash 4010 EDS The ESEM + EDS is an instrument that can be used to image and complete elemental analysis on dry or hydrated samples. A tensile stage is available for use on the ESEM Equipment Model Applications Equipment Model Applications Film Thickness Measurement Nanometrics 210 Film thickness measurements up to 50mm Fluorescence Microscope w/camera Zeiss AxioImager Fluorescence and Optical Microscopy Frontside/Backside Mask Aligner Karl Suss MA6/BA6 For Topside or Backside photo alignment and exposure FT-IR Spectrometer Thermo Electron Nicolet 8700 Optical characterization of samples over Infra-Red wavelengths High Resolution X-ray Computed Tomography Scanner Nikon XTH 225 ST X-ray Imaging, Non-destructive CT, Quality Assurance, CAD vs. Actual Comparison (GD&T), Non-Destructive Internal Inspection, 3D Digitization, 3D Metrology, Surface Rendering, Morphology, Morphometrics High Temperature Anneal N-type doping Tempress 6304 4-Stack Furnace High Temperature Annealing (750C-1050C) in Nitrogen used for N-type doped substrates High Temperature Bake Oven Keison Carbolite LHT 6/30 Annealing, baking and curing up to 600C in an N2 ambient III-V Reactive Ion Etcher Trion Technology Minilock II Reactive Ion Etching (RIE) of compound III-V semiconductors using chlorine and bromine based chemistries Ion Beam Milling System Leica EM TIC 3x Uses high-energy argon-ion beam to mill away material, creates smooth surfaces for electron microscopy or atomic force microscopy samples. Large ADA Acid Chemical Hood Air Control Acid Hood For acid chemical processing Large ADA Solvent Chemical Hood Air Control Solvent Hood For solvent chemical processing (including ultrasonics) Liquid Array Printer Scienion S11 sciFlexarrayer Liquid Array Printing Low Temp Anneal and High Temp Anneal for P-type doping Tempress 6304 4-Stack Furnace Low Temperature Annealing (400C-600C) in Nitrogen or Forming Gas and High Temp Annealing (up to 1050C) in Nitrogen of P-type substrates Low Temperature Oven for Non-Hazardous Materials VWR 1350U Low temperature baking of non-hazardous materials up to 100C Mask Aligner Suss MicroTec MJB3 Photolithographic patterning Microscope w/ camera (manual) Nikon Eclipse ME600 Optical Microscopy Micro-Strain Analyzer TA Instruments RSA III Mechanical analysis (stress/strain, etc) of materials samples such as polymer films, fibers, and elastomers Nomarski Microsope w/camera Zeiss AxioImager BF, DF, and Nomarski (DIC) optical microscopy Oxide/Nitride/Polymer Reactive Ion Etcher Trion Technology Phantom II Reactive Ion Etching (RIE) of oxide, nitride, and polymer films with fluorine and oxygen chemistries Parylene Coater Cookson Electronics PDS 2010 LABCOTER2 Accurate deposition of parylene films PDMS Oven Barnstead/Thermolyne Type 19200 Low temperature oven for baking and curing PDMS and similar materials PELCO Glow Discharge System easiGlow 91000 Designed to make TEM carbon support grids hydrophilic. Plasma Asher Emitech K-1050X O2 / Ar plasma ashing of photoresist and other organic materials Plasma Enhanced Chemical Vapor Deposition System Advanced Vacuum Vision 310 PECVD deposition of Oxide, Nitride, and Oxynitride films Plasma-Enhanced Atomic Layer Deposition (PE-ALD) System Kurt Lesker ALD-150LX Plasma-Enhanced Atomic Layer Deposition (PE-ALD) Polishing and Lapping Machine Logitech PM5 Polishing and Lapping Prep/Offline Acid Chemical Hood Air Control Acid Hood For acid chemical processing in a non-cleanroom environment Prep/Offline Solvent Chemical Hood Air Control Solvent Hood For solvent chemical processing in a non-cleanroom environment Probe Station Cascade EPS150 Triax The probe station consists of a manual probe system with 4 independent probe micro-manipulators. It is configured with a parametric analyzer and a LCR meter for measuring current, voltage, resistance, capacitance, and conductance of devices and structures. This system also includes a 4 point resistivity probing system for making sheet-resistance and resistivity measurements of substrates and films Profilometer Bruker Dektak 150 Surface profiling and step height measurements RAMAN/PL Horiba Jobin Yvon LabRam ARAMIS Raman and PL spectroscopy Rapid Thermal Anneal System Jipelec JetFirst 100 Rapid thermal annealing up to 1000C in air, vacuum, N2, or forming gas (N2/H2) Reconstruction PC for MicroCT RECO1 X-ray CT Reconstruction of Nikon CT Data, Analysis of CT Data, Image Processing, 3D Visualization and Animation, CT Segmentation, CAD vs. Actual Comparison, Volume Rendering, Morphometric Data Collection, Quality Assurance, Graphics Work Reconstruction PC for MicroCT RECO2 X-ray CT Reconstruction of Nikon CT Data, Quick Analysis of CT Data, Image Processing, Quick Volume Rendering RF Dielectric Sputter System Kurt Lesker PVD 75 RF sputter coating of dielectric materials Scanning Electron Microscope FEI XL30 SEM-FEG The SEM is a high magnification imaging tool. Resolution is on the order of 2nm Scanning Electron Microscope with EDS Detector Apreo S by ThermoFisher Scientific (formerly FEI) The SEM is a high magnification imaging tool Scanning Probe Microscope Digital Instruments Dimension 3100 The scanning probe microscope (SPM) produces high resolution, three dimensional images by scanning a sharp tip over the sample surface Silicon DRIE SPTS Pegasus Deep Silicon Etcher Deep Silicon Reactive Ion Etching (DRIE) Small Acid Chemical Hood Air Control Acid Hood For acid chemical processing Small Angle X-Ray Scattering – Point Source SAXSLab Ganesha Point collimated pinhole system for WAXS, MAXS, SAXS and Extreme SAXS of nano-particles for shape & structure analysis; GI-SAXS & GI-WAXS of surfaces for typography Small Solvent Chemical Hood Air Control Solvent Hood For solvent chemical processing Spin Coat Hood – ANY MATERIAL Air Control Spin Coat Hood For spin coating materials Spin Coat Hood – RESIST ONLY Air Control Spin Coat Hood For spin coating photoresist and EBL resists ONLY Sputter Coater Denton Desk V Deposition of a gold film Stereo Microscope American Scope SM-4TZ-FRL Stereo Microscope Tabletop Scanning Electron Microscope Hitachi TM3030Plus Tabletop SEM The SEM is a tabletop high magnification imaging tool with secondary electron and backscattered electron detectors. Thermal Evaporator JEOL IB-29500VED Thermal Evaporation Thinky PDMS Mixer/Degasser Thinky Mixer AR-100 Mixing and degassing PDMS Transmission Electron Microscope FEI Tecnai G² Twin The TEM is a high magnification imaging tool. Resolution is on the order of 0.3nm Ultra Microtome Ultracut For thin sectioning embedded biological samples. Ultramicrotome with cryo chamber Leica Ultracut UC7 with Cryo Chamber For thin sectioning embedded biological samples for TEM or for thin sectioning frozen samples for AFM or EM. UV-Vis-NIR Spectrophotometer Shimadzu UV-3600 Optical characterization of samples over UV-Vis-NIR wavelengths Vacuum Bake Oven Fisher Scientific Isotemp Model 282A Vacuum and nitrogen baking and curing Vitrobot FEI Mark IV Vitrifies suspension samples for imaging with the cryo-TEM. Vitrobot FEI Mark IV Vitrifies suspension samples for imaging with the cryo-TEM. Vitrobot Hood Air Control For preparing Cryo-TEM and other TEM samples. Wire Bonder West Bond 747677E Wire bonding to interconnect wire leads to semiconductor, hybrid, or microwave devices. X-Ray Diffractometer Panalytical X’Pert PRO MRD HR XRD System The X-Ray diffraction system can be used for characterizing structural properties of a wide range of materials X-Ray Photoelectron Spectrometer Kratos Analytical Axis Ultra XPS is a technique for the detection of variations in chemical composition and oxidation state. The AXIS Ultra provides a high energy resolution capability for both conductive and insulating samples. SEM Hitachi SU8010 FE-SEM Hitachi SU8230 FE-SEM LEO 1530 FE-SEM Zeiss Ultra 60 FE-SEM FEI Nova 200 FIB/SEM TEM Hitachi HT7700 JEOL 100CX-II Hitachi HD-2700 FEI Tecnai F30 SPM Bruker Dimension ICON AFM Hysitron TI 900 Nanoindenter Optical Renishaw Qontor Micro-Raman Renishaw InVia UV-mid IR Raman Microscope Nicolet 6700 FTIR Thermo iN10 FTIR microscope Keyence VHX-600 digital optical microscope Surface Science Kratos Axis Ultra XPS/UPS Thermo K-alpha XPS IONTOF ToF-SIMS XRD Malvern PANalytical Empyrean Malvern PANalytical Alpha 1 MPD Malvern PANalytical MPD Malvern PANalytical X’Pert Pro MRD Sample Prep Cressington Carbon Coater Hummer V Sputterer Quorum 150T ES Sputterer/C-coater Equipment AB-M contact aligner YES III vapor prime oven Solvent/Headway spinner bench EMS Hotplate TrioTech Hotplate Laurell Spin Coater CEE Spin Coater and hotplate Deposition Equipment Amod Evaporator Angstrom Sputter System Modulab Evaporator EvoVac Evaporator Etching Equipment Oxford ICP March 1703 PVA Tepla Ion 10 Harrick HP-001 High Power Plasma Cleaner Thermal Processing Equipment ATV PEO 603 Oxidation Furnace Modulab Oxidation Furnace Modulab Phosphorus Diffusion Furnace Lindberg/Blue Boron Diffusion Furnace Packaging Equipment Microautomation 1006 dicing saw K&S 4523AD Wedge Bonder AML Wafer Bonder Disco DAD 3221 Dicing Saw Metrology Equipment Ambios XP2 Filmetrics Profilm3D Filmterics F20 Wet Benches Equipment Acid process wetbench Oxidation diffusion wetbench Cobleigh 523 General Use Wetbench Cobleigh 525A General Use Wetbench Barnard 107 Cleaning Bench Barnard 107 Acid Bench Barnard 107 Base Bench Barnard 107 Solvent Bench Miscellaneous Equipment MRL oxidation Crest ultrasonic cleaner COMSOL FEA Mixer Coming Soon: New Time of Flight system Time-of-Flight Secondary Ion Mass Spectrometer (ToF-SIMS) PHI TRIFT 1 ICAL is working to upgrade the facility with a new Time-of-Flight Secondary Ion Mass Spectrometer. Coming Soon: New Time of Flight system Field Emission Scanning Electron Microscope(FE SEM) Zeiss SUPRA 55VP Thanks to funding by the National Science Foundation, ICAL will be purchasing and installing a new Field Emission Scanning Electron Microscope. Photo of Atomic Force Microscope Atomic Force Microscope (AFM) VEECO MultiMode V and Dimension 3100 Imaging of conducting and non-conducting surfaces Sub-nanometer resolution Imaging in air and liquid, allowing in-situ measurements and real time imaging of biological and chemical processes AFM can be used to measure and localize many different forces including: adhesion strength, magnetic forces and mechanical properties True 3D imaging and measurements Magnetic, friction, chemical, and phase imaging MORE INFORMATION » Scanning Electron Microscope Scanning Electron Microscope (SEM) JEOL JSM-6100 Secondary Electron Imaging (SEI) Backscattered Electron Imaging (BEI) Cathodo-Luminescence detection and imaging (CL) Energy Dispersive x-ray Spectroscopy (X-Flash fast X-ray mapping detector) Cryo-preparation chamber with cold / hot stage High-resolution imaging Digital image capture Quantitative elemental analysis of the “bulk” material Fast elemental mapping and / or linescan of area of interest Topographical and density imaging Detection of small variations of trace element content Analysis and Imaging of samples in their natural, hydrated state MORE INFORMATION » Photo of instrument X-ray Photoelectron Spectrometer X-ray Photoelectron Spectrometer (XPS) Physical Electronics 5600 Elemental identification and quantification Chemical functional group identification and quantification Chemical state imaging Surface sensitivity Layer-by-layer depth profiling Minimal sample damage Analysis of insulating and conducting samples Data collection / stage automation Cold stage MORE INFORMATION » Photo of instrument X-ray Powder Diffraction Spectrometer X-ray Powder Diffraction Spectrometer (XRD) Scintag X1 Diffraction System Rapid identification of materials Ease of sample preparation Computer-aided material identification Large library of known crystalline structures Multi-sample stage MORE INFORMATION » Photo of Scanning Auger Electron Nanoprobe Scanning Auger Electron Nanoprobe (AUGER) Physical Electronics 710 Rapid sample introduction Low-Z elemental detection Quantitative analysis, mapping, linescan for AES and EDS Surface sensitivity of 1-5 nm Enhanced lateral spatial resolution for elemental analysis (<8 nm with a 20kV, 1nA electron beam ) Limited chemical information Sputter depth profiling (three dimensional analysis) High resolution secondary electron imaging of analysis area MORE INFORMATION » Photo of Epifluorescence Optical Microscope Epifluorescence Optical Microscope OLYMPUS BX-61 Precision objectives including air, oil and water immersion objectives Suite of reflected (fluorescent) filters (DAPI, FITC/CY2, TRITC/CY3, triple band and RBF) Significantly reduced autofluorescence and signal-to-noise ratio DIC imaging (10x, 20x, 40x, 60x) Digital camera imaging for still and time-lapse observations MORE INFORMATION » Photo of Critical Point Dryer Critical Point Dryer (CPD) Tousimis SAMDRI-795 Alternative to air drying for vacuum samples Reduces imaging artifacts Uses liquid CO2 CHARACTERIZATION 3D Optical Profiler – Zygo Atomic Force Microscope – Bruker Edge Contact Angle Measurement – VCA Optima XE Electrical Test Station Microscope – Nikon LV150 Reflectometer – Filmetrics F20 Spectroscopic Ellipsometer – J.A. Woollam alpha-SE Stylus Profilometer – Veeco Dektak-8 DEPOSITION Atomic Layer Deposition – Arradiance GEMStar XT-P E-beam Evaporator – AJA Parylene Coater – SCS Labcoter2 Parylene Deposition System Plasma Enhanced Chemical Vapor Deposition (PECVD) – STS LpX CVD Sputter I – AJA Orion Sputter II – AJA Orion Thermal Evaporator – Denton Vacuum Explorer 14 ETCHING – ASHING Deep Reactive Ion Etcher (DRIE) – STS LpX Pegasus Plasma Cleaner – Samco PC-300 Reactive Ion Etcher (RIE) – Samco RIE-10NR Xenon Difluoride Etcher – Xactix FURNACES Furnace – Tystar Rapid Thermal Processor – AW-610 PACKAGING Laser Cutter – LPKF ProtoLaser R Wire Bonder -Ball- iBond5000 Wire Bonder – Wedge – West Bond 747677E PHOTOLITHOGRAPHY Convection Ovens – Blue M DCC-146-C-ST350 Develop Hood Mask Aligner – Suss MABA6 Mask Aligner – Suss MJB4 Maskless Aligner – Heidelberg MLA150 Maskless Aligner – Heidelberg uPG501 Microscope – Nikon LV150 Polyimide/SU8 Hood Spinner Hood Ultraviolet Flood Exposure System – Inpro Technologies F300S Vacuum Oven – YES WET PROCESSING Acid Hoods Critical Point Dryer – Tousimis Automegasamdri – 915B, Series C Ultrasonic and Megasonic Cleaners Instrument Rigaku oxford diffraction XtaLAB Synergy-S (Cu-Synergy) Rigaku oxford diffraction XtaLAB Synergy-S (Mo-Synergy) Bruker KAPPA APEX II (Molly) STOE STADI-P STOE STADI-MP Mass Spectrometry Instrument Bruker Impact II q-ToF (HRMS w/ UPLC and nano LC) Bruker AmaZon SL (LCMS ESI Flow Injection) Bruker AmaZon SL (LCMS ESI) Bruker Autoflex III MALDI Agilent 6210 LC-TOF (ESI, APCI, APPI) Agilent 5973 GCMS w/ headspace Agilent 5973 GCMS Waters GC-TOF Sciex 6500 Q-Trap (quantitation w/ UPLC and nano LC) NMR spectroscopy Instrument Agilent 600 MHz DD2 w/ HCN cryoprobe AVANCE III 600 MHz AVANCE III 500 MHz w/ direct cryoprobe F500 500 MHz DD2 I500 INOVA 500 MHz Mercury 400 (Agilent MR400 DD2) Agilent Au 400 (MR400 DD2 Console) Solids-400 Mhz (VNMRS console) Physical Characterization Technique Thermal analysis Elemental analysis Optical Optical Optical Azure c300 Gel Imager CFX Connect Cytation3 Plate Reader and Imager Freezer/Mill IncuCyte Live Cell Analysis System Lyophilizers MCR 302 Rheometer NanoSight300 Piuma Nanoindenter Plasma Cleaner Refrigerated Centrifuge Zetasizer Nano ZSP 3D Printing Metals Meltio M450 DED printer 3D Systems ProX 300 #2 LPBF HP T-16585, Dalmata V2.9 inkjet plotter Digital Manufacturing nScrypt 3Dn Benchtop Printer Filament Prusa i3 MK3S Analytical Equipment Density Analyzers Micromertics AccuPyc II 1340 Gas Pycnometer FTIR Thermo Fisher Nicolet IS50 FT-IR FTIR Gas Chromatograph Hewlett Packard 6890 Series GC System Perkin Elmer Clarus 500 Mass Spectrometry Hiden Analytical HPR-20 TGA Mass Spec Stanford Research Systems O100TDP Sputter System RGA Thermogravimetric Differential Scanning Calorimetry TA Instruments Q600 TGA/DSC with Mass Spec Bonding Press Thermal Technology HP30-4560 Vacuum Hot Press Carver Hot Press Brazing CamCo JVAC-12-1824 High Temp Vacuum Furnace Gluing Nordson Asymtek D583 Dispensemate Fluid Dispenser Welding Branson 900 Ultrasonic welder Unitek Phasemaster 7 with Thin-line Model 80 Weld Head AC Resistance Welder Fume Hoods Room 0185C Parts Cleaning Room 0185C Ovens Room 1012 GC Prep Room 1090 Thin Film Processing Room 1091 General Use Room 1091 Solvent Room 1091A HEPA Metrology and Material Characterization Film measurement Filmetrics F20-EXR J A Woollam M-2000 ellipsometer Material Properties Instron 5969 tensile strength tester Jandel Engineering RM3000 4-point probe Other Avantes AVASPEC-3648-USB2-UA optical spectrometer Hitachi TM-4000Plus SEM EDX Surface Profiling KLA Alpha-Step D-600 stylus profiler Zygo ZeScope 3D non-contact optical profiler Furnaces and High Temp Processing Rapid Temp Tube Box H2 CamCo JVAC-12-1824 high temp vacuum furnace CM Furnaces 1212 FL box furnace with hydrogen gas controller CM Furnaces 1630-20-3ZHT 3Z tube furnace with hydrogen gas controller Oven Fischer Scientific Isotemp Model 281 Vacuum Oven Precision Vacuum Oven Model 19 Thermolyne 47900 Furnace Machining and micro-milling HAAS VF-1 CNC vertical mill LPKF ProtoMat S103 micro mill pcb machining Birmingham BP9X49 variable speed vertical mill Grizzly G4002 metal lathe DoALL Vertical Contour Band Saw Enco Belt Sander Grinder Baldor Grinder Buffer ALC Abrasive Blaster Clausing Drill Press DoAll flat granite block Metal Additive Manufacturing Lab Powder Processing Hydrotron NG-DHYD1-30-5-5 downdraft table Ruwac NA35-EX wet immersion vacuum Branson 8800 ultrasonic bath Metal Flammables Cabinets Metal Powder Rated Firer Extinquishers Tyvek Suites Thin Film Processing and Patterning Deposition AJA International Orion-8-HV Sputter Deposition System Veeco S200 ALD Cressington 108 Auto/SE SEM Sample Coater Etch Xei Scientific C 02 Plasma Cleaner Etcher Patterning OAI 206IR Contact Aligner Embossing Jenoptik HEX-01 Hot Embosser Lasers, Microscopes and Cameras Lasers LPKF MicroLine 2820P UV Laser Cutter pcb Patterning Rofin-Sinar Inc FL010 IR Cutter Welder Optical Microscopes Amscope MU300 Digital Camera and Software Leica DMR Leica Wild M3Z Stereomicroscope Zeiss Axiotron Cameras Vision Research-MIRO4-1024MM High Speed Camera Metallography Isomet Low Speed Diamond Saw Pace Giga 0900 Vibratory Polisher Pace NANO-2000T Automated Polisher Pace Pico 155 Saw Pace Terapress 7001 Hot Press Vari Pol VP-50 Polisher Miscellaneous Instruments Alcatel ASM180T-Autocal He Leak Detector Restek 28500 Flammable Gas Detector TIF 8800X Flammable Gas Detector Met One Airborne Particle Counter Browne and Sharp Tesa-Hite Micrometer Pexto Metal Sheet Cutter Precision Granite Flat Granite Block SHOP FOX M1011 Metal Sheet Bender CAD Workstation 110°C Oven oven110 90°C Oven oven90 AFM-Asylum afm-asylum Aix-ccs aix-ccs Aix200 aix200 Aixtron Black Magic graphene CVD furnace aixtron-graphene AJA Evaporator aja-evap Alphastep 500 Profilometer alphastep Alveole Primo alveole AMAT P5000 Etcher p5000etch AMT Oxide Plasma Etcher amtetcher ASML PAS 5500/60 i-line Stepper asml Aw610_l aw610_l Aw610_r aw610_r Biologic SP-300 biologic Blue M Oven bluem Bruker Dimension ICON AFM Critical Point Dryer cpd CytoViva HSI cytoviva Digital Instruments AFM Nanoscope Dimension 3000 afm2 DISCO Backgrinder disco-backgrind DISCO Wafer Saw DISCO wafersaw DLS: Brookhaven Instrument Nanobrook Omni Drytek 100 Plasma Etcher drytek2 Ebeam Process Wet Bench wbebres Epi2 epi2 Epilog Fusion M2 Laser Cutter lasercutter EV Group Contact Aligner evalign EV Group Wafer Bonder evbond EVG 101 Spray Coater evgspraycoat Ex Fab Develop Wet Bench wbexfab_dev Ex Fab Solvent Wet Bench wbexfab_solv FEI Titan Environmental Transmission Electron Microscope (TEM) Fiji 1 fiji1 Fiji 2 fiji2 Fiji 3 fiji3 Finetech Lambda flipchipbonder First Nano carbon nanotube CVD furnace cvd-nanotube Fisher Accuspin 24C centrifuge Flexus 2320 Stress Tester stresstest Formlabs Form2 3D Printer form2-3d-printer Fujifilm Dimatix Ink Jet Printer nanoinkjet Fumehood 1 fumehood1 Fumehood 2 fumehood2 Fumehood 3 fumehood3 Fumehood 4 fumehood4 Gasonics Aura Asher gasonics Glovebox-l glovebox-l Glovebox-r glovebox-r GnP POLI-400L cmp Headway 3 Manual Resist Spinner headway3 Headway Manual Resist Spinner headway2 Heidelberg MLA 150 heidelberg Heidelberg MLA 150 – 2 heidelberg2 HMDS Vapor Prime Oven, YES yes HMDS Vapor Prime Oven, YES2 yes2 Hummer V Sputter Coater hummer Ika T18 Disperser disperser Innotec Evaporator Innotec Intlvac Evaporation Intlvac_evap Intlvac Ion Mill Etcher Ion Mill_fcr (at SNSF) Jasco UV-Vis-NIR jasco-uv-vis-nir Karl Suss MA-6 Contact Aligner 1 karlsuss Karl Suss MA-6 Contact Aligner 2 karlsuss2 Karl Suss Wafer Bonder ksbonder Keyence Digital Microscope VHX-6000 keyence KJL Evaporator KJL Lakeshore Hall Measurement System LakeshoreHall Lam Research TCP 9400 Poly Etcher lampoly Laurell Manual Resist Spinner laurell-R LEI1500 Contactless Sheet Resistance Mapping eddycurrent Lesker Sputter lesker-sputter Lesker2 Sputter lesker2-sputter Lithography Solvent Bench lithosolv Malvern Dynamic Light Scattering (DLS) Zetasizer malvern-dls Mask Scrubber masksrub Matrix Plasma Resist Strip matrix Metalica Sputter metalica MFS Large Evaporator MFS Large MFS Small Evaporator MFS Small Micro Mist Coater PDR-04 micromist-coater micromanipulator6000 IV-CV probe station micromanipulator6000 Minitech-GX Micromill micromill MRC Reactive Ion Etcher mrc MVD mvd Nanoscribe Photonics GT nanoscribe Nanospec 210XP nanospec2 Nanospec 3 nanospec3 Oerlikon Leybold Sputter Optomec Printer optomec-printer Oriel Deep UV Exposure Lamp oriel-duv Oxford Dielectric Etcher oxford-rie Oxford III-V etcher Ox-35 Oxford PlasmaPro 80 – Reactive Ion etcher RIE: Oxford PlasmaPro 80 (at SNSF) Park XE-70 XE-70_snl PDMS Hotplate hotplate-1 PDMS Spin Coater spincoat-g3p8 PDMS Workbench PDS 2010 LABCOTER™ 2 Parylene Deposition System parcoater Plasma Therm Versaline LL ICP Deep Silicon Etcher PT-DSE Plasma Therm Versaline LL ICP Dielectric Etcher PT-Ox Plasma Therm Versaline LL ICP Metal Etcher PT-MTL Plasmaetch PE-50 plasma-etch PlasmaTherm Shuttlelock PECVD System ccp-dep PlasmaTherm Versaline HDP CVD System hdpcvd Prometrix Resistivity Mapping System prometrix QSonica Q700 Sonicator sonicator Reflectance Spectrometer Filmetrics F40 filmetrics Samco PC300 Plasma Etch System samco Savannah savannah Sensofar S-neox s-neox Sinton Lifetime Tester sinton-lifetime-tester Solidscape Wax 3D Printer 3d-wax-printer SPF Measurement Bench SPTS uetch vapor etch uetch STS Deep RIE Etcher stsetch STS Plasma Enhanced CVD sts SVG Develop Track 1 svgdev SVG Develop Track 2 svgdev2 SVG Resist Coat Track 1 svgcoat SVG Resist Coat Track 2 svgcoat2 Technics Asher technics Tencor P2 Profilometer p2 Teos2 teos2 Thermco1 thermco1 Thermco3 thermco 3 Thermco4 thermco4 ThermcoLTO thermcoLTO ThermcoNitride thermconitride1 ThermcoPoly1 thermcopoly1 ThermcoPoly2 thermcopoly2 Thermolyne thermolyne Thermoscientific Oven thermoscientific-oven Thinky AR-100 Mixer thinky-mixer Tylan9 tylan9 TylanBPSG tylanbpsg Tylanfga tylanfga Ultraviolet Photoresist Cure uvcure Voltera voltera Wet Bench Clean 1 wbclean-1 Wet Bench Clean 2 wbclean-2 Wet Bench Clean_res- hotphos wbclean_res-hotphos Wet Bench Clean_res-hf wbclean_res-hf Wet Bench Clean_res-piranha wbclean_res-piranha Wet Bench CMOS Metal (wbclean3) wbclean3 Wet Bench Decontamination wbdecon Wet Bench Flexcorr 1 wbflexcorr-1 Wet Bench Flexcorr 2 wbflexcorr-2 Wet Bench Flexcorr 3 wbflexcorr-3 Wet Bench Flexcorr 4 wbflexcorr-4 Wet Bench Flexible Solvents wbflexsolv Wet Bench Flexible Solvents 1 wbflexsolv-1 Wet Bench Flexible Solvents 2 wbflexsolv-2 Wet Bench Germanium wbgen2 Wet Bench Miscellaneous wbmiscres White Oven white-oven Woollam woollam Xactix Xenon Difluoride Etcher xactix Xplore Micro Compounder N/A XPS: PHI VersaProbe 1 PHI_XPS_snl XPS: PHI VersaProbe 3 3C Technical GCA AutoStep 200 5x Reduction Stepper The GCA AutoStep 200 Stepper is an I-line 5x reduction stepper with repeat exposure capabilities. It is capable of submicron resolution and high accuracy alignment. AJA ATC 2200 UHV Sputtering System The AJA ATC 2200 Sputtering System is a PVD tool which deposits films on a substrate by a method known as sputtering. Magnetron sputtering uses accelerated, positively charged ions from a plasma source to deposit films with an increased deposition rate and more controlled electron bombardment than evident in other sputtering systems (such as diode sputtering). AJA ATC Orion 8 UHV Sputtering System The AJA ATC Orion 8 UVH Sputtering System is a PVD tool which deposits films on a substrate using magnetron sputtering. Magnetron sputtering uses accelerated, positively charged ions from a plasma source to deposit films with an increased deposition rate and more controlled election bombardment than evident in other sputtering systems (such as diode sputtering). Angstrom EvoVac Electron Beam Evaporator The Angstrom EvoVac Electron Beam Evaporator is a PVD tool which evaporates high melting point materials, such as refractory metals and ceramics, using an electron beam. The EvoVac provides multiple sources of flexibility in its deposition system including resistive thermal evaporation, ion assisted and sputter deposition, as well as principal electron beam evaporation. Angstrom Nexdep Thermal E-Beam Evaporator The Angstrom Nexdep Thermal E-Beam Evaporator is a PVD tool that offers E-Beam and Thermal evaporation for a variety of high melting point substrates. The NexDep can be configured for a variety of PVD processes including resistive thermal evaporation, sputter and ion assisted deposition, and principal E-Beam and Thermal evaporation capacities. Bachur and Associates UV Flood Exposure System The system’s exposure optics employ a proven, high performance optic train that employs an elliptical reflector, dielectric heat removing primary and secondary mirrors, a multi-element optical integrator system and collimating optics. The optical integrator system produces non-coherent radiation that virtually eliminates the detrimental effects of diffraction. These high intensity systems can resolve fine structures even in thick resist. Bruker Dimension Icon AFM The Bruker Dimension Icon AFM (Atomic Force Microscope) is a very high resolution scanning microscope used in scanning microscopy (SPM) which produces three dimensional images. It is used for nanoscale surface topography, nano-mechanical, nano-electircal, and nano-scale chemical mapping, and morphology measurement. Despatch LCC1-15-5 Resist Oven The Despatch LCC1 Resist Oven are used in die-curing and other semiconductor packing processes. The ovens are configured for 50/60 Hz. Disco DAD3240 Automatic Dicing Saw The Disco DAD3240 Dicing Saw is a compact, manual, precision dicing saw with an automatic function which is common to the DAD3000 series. Its operating system and LCD touch panel allow for intuitive handling and it can cut through a range of materials, including difficult-to-cut materials essential to the nanofabrication process such as silicon. EBARA ESR20N Vacuum Pump The EBARA ESR20N Vacuum Pump is an ESR (Energy Saving Roots) multistage dry vacuum pump. It is engineered for clean to medium processes and has minimum nitrogren purge requirements with no nitrogen purge requirements for clean processes. The PNF uses a standardized set of EBARA pumps throughout the facility to reduce equipment downtime. EBARA EV-A03-1 Vacuum Pump The EBARA EV-A03-1 Vacuum Pump is a small, air cooled dry vacuum pump which offers high pumping speeds (9 cfm) and a no-contact design. The PNF uses a standardized set of EBARA pumps throughout the facility to reduce equipment downtime. EBARA EV-A06-1 Vacuum Pump The EBARA EV-A06-1 Vacuum Pump is an air cooled dry vacuum pump which offers high pumping speeds (21.6 cfm) and high water vapor pumping (350 g/hr) The PNF uses a standardized set of EBARA pumps throughout the facility to reduce equipment downtime. EMS 1300 Critical Point Dryer The procedure of critical point drying is an efficient method for drying delicate samples for SEM applications. The EMS 1300 Critical Point Dryer provides a clear view of the fluid level and visibility of the phase change at the critical point. FEI Quanta 650 FEG SEM with Nabity Pattern Generator The FEI Quanta 650 FEG SEM (Scanning Electron Microscope) is a variable pressure microscope used for high resolution imaging. It is capable of resolving features on a scale of less than 5 nm. It is equipped with a Field Emission Gun (FEG) which allows for bright-field and dark-field sample imaging. The SEM is equipped with a total of 8 detectors for the purpose of imaging and analysis as well as a Nabity Nanometer Pattern Generation System (NPGS) which allows for advanced electron beam lithography by means of the SEM. Filmetrics F3 Film Thickness Spectral Reflectometer The Filmetrics F3 Film Thickness Spectral Reflectometer is most commonly used to measure thin-film properties by adding thickness and refractive index software modules. The thickness and reactiveness can be measured in less than 3 seconds. Fisher Scientific Isotemp FMS Refrigerator The Fisher Scientific Isotemp FMS Refrigerator is use for safe storage of volatile materials, pharmaceuticals, clinical reagents, and biological samples. Fisher Scientific Revco FMS Freezer The Fisher Scientific Revco FMS Freezer is used for medical and scientific applications such as storage of reagents, pharmaceuticals, biologicals or other commonly used laboratory materials. FMS Thin Film Stress Measurement System The FMS Thin Film Stress Measurement System is used to test the stress of different films and substrates. It can also test the coefficient of thermal expansion (CTE) of films. Heidelberg MLA150 Direct Write Lithographer The Heidelberg Direct Write Lithography system is a flexible, high resolution pattern generator for direct writing. The MLA150 creates the design and exposes it directly onto a wafer, no photomask is necessary. The system features environmental control, temperature stabilization, backside alignment, and a 150 x 150 mm2 exposure area. Horiba UVISEL 2 Spectroscopic Ellipsometer The UVISEL 2 is an accurate and sensitive ellipsometer and includes a wide range of integrated automated features useful for the investigation of all materials. The UVISEL 2 is simple to operate and has the performance required for characterizing all current materials as well as the next generation of materials and structures. IoN Wave 10 Plasma Asher The IoN Wave 10 Plasma Asher is a mid-size wafer batch plasma microwave asher used for the removal of photoresists from etched wafers, wafer descum, and wafer cleaning prior to wet etching, among other applications. It is capable of high photoresist ashing rates with minimal exposure to electro static discharge (ESD). K&S 4129 Wedge Bonder The K&S 4129 Wedge Bonder is an aluminum and gold wire wedge bonder that is used for binding devices. Keysight B1500A Semiconductor Device Analyzer The Keysight B1500A Semiconductor Device Analyzer provides a wide range of measurement capabilities to cover the electrical characterization and evaluation of devices, materials, semiconductors, active/passive components, or virtually any other type of electronic device with uncompromised measurement reliability and efficiency. KLA-Tencor P-7 Surface Profilometer The KLA-Tencor P-7 Surface Profilometer is a research grade stylus profiler for surface metrology and measurement. The KLA-Tencor P-7 is software equipped with parameters for a wide range of measurements including depth, step height, roughness, waviness, slop, flatness, distance, bearing ratio, radius of curvature, and more. Memsstar Orbis Alpha Oxide Etch System The Memsstar Orbis Alpha Oxide Etch System is a small footprint, self-contained oxide etch system which utilizes hydrogen fluoride etchants along with memsstar’s unique patented high volume production process to enable researchers to develop production-capable processes for the next generation of MEMS devices. MiniPack Torre MVS-45X Vacuum Sealer The Minipack MVS 45X chamber sealer is an industrial-level vacuum sealer designed to packaging products. The large 18.5″ x 16″ x 4.5″ chamber allows plenty of room for packaging a variety of products. The basin is seamless, making it easy to clean. Nikon Eclipse L200 Microscope The Nikon Eclipse L200 Optical Microscope is a microscope capable of greater contrast, high resolving power and darkfield images up to three times brighter than other models. It can be used for the precise optical inspection of wafers, photo masks, reticles and other substrates. Pfeiffer HiCube 80 Turbo Pumping Station The HiCube 80 is a versatile, mobile Turbo Pimping Station. It uses a HiPace turbo pump as well as a backing pump that are inter-coordinated for a wide range of applications and fast pump downtime. Pfeiffer Vacuum ADIXEN ASM 380 Leak Detector The ASM 380 is a high performance, mobile, leak detector. It has an ACP 40 dry backing pump and a high vacuum pump which allows for high testing sensitivity and short pump down times, even for large volumes. Plasma-Therm Apex SLR HDPCVD The Plasma-Therm Apex SLR is a High Density Plasma Chemical Vapor Deposition (HDPCVD) system. It uses an Inductively Coupled Plasma (ICP) source to generate higher density plasma than a PECVD system providing deposition at lower temperatures for higher quality, low temperature films. Plasma-Therm ICP Chlorine Etch The Plasma-Therm ICP (Inductively Coupled Plasma) Chlorine Etch is an etching system which uses chlorine based chemistries to etch metal films and compound semiconductors. Chlorine plasma etching differs from Fluoride etching (see Plasma-Therm ICP Fluoride Etch) in respect of the chemistries, Chlorine and Fluoride based, used in each. Plasma-Therm ICP Fluoride Etch The Plasma-Therm ICP (Inductively Coulped Plasma) Fluoride Etch is an etching system which uses fluoride solutions to etch metal films and compound semiconductors. Fluoride plasma etching differs from Chlorine etching (see Plasma-Therm ICP Chlorine Etch) in respect of the chemistries, Chlorine and Fluoride based, used in each. Plasma-Therm Versaline Deep Si RIE The Plasma-Therm Versaline DSE (Deep Silicon Etcher) is a deep silicon etcher Plasma RIE that runs the Bosch Si process. It is primarily used for deep etching of MEMS structures in silicon. The Bosch process is used for the creation of deep, almost vertical, high aspect ratio structures and is an alternative to cryogenic chuck adapted ICP RIE systems and allows for deeper SI etches and a higher aspect ratio relative to these systems. Plasma-Therm Vision 310 PECVD The Plasma-Therm Vision 310 PECVD (Plasma Enhanced Chemical Vapor Deposition) system is a CVD system which utilizes plasma to significantly lower the temperatures at which a given film is deposited on a substrate. The Vision 310 can be used for the controlled deposition of SiNx, SiC, a-Si, and SiOxNy films, among others. Plassys Electron Beam Evaporator The Plassys Electron Beam Evaporator MEB550S is a state of the art tool for Electron Beam Evaporation, a speciality PVD process here at the PNF where electron beam processes are used in highly specialized nanofabrication to meet the diverse needs of PNF based researchers, students, and clients (see Angstrom EvoVac Electron Beam Evaporator and Angstrom NexDep Thermal Electron Beam Evaporator). Electron beam evaporation is one of two broad families of PVD processes, the other being Sputtering. The PNF can accomodate users interested in either (see AJA ATC 2200 UHV Sputtering System and AJA ATC Orion 8 UHV Sputtering System). Questar Q7800 Automatic Wedge Bonder The Questar Q7800 Automatic Wedge Bonder is used for connecting wires in chips, sensors, and such. Raith EBPG5000 Plus E-Beam Writer The Raith EBPG5200 E-Beam lithography system is a high-performance nanolithography system used chiefly for write lithography and R&D mask making. It is the latest model in the EBPG series, preceded by the EBPG5150. Signatone Checkmate CM21X Probe Station The Signatone CheckMate Probe Station is an ultra-stable 200mm / 300mm analytical probe station with coarse and fine wafer stage movement to provide fast wafer movement as well as submicron resolution. SUSS MJB4 Manual Mask Aligner The MJB4 is a high precision manual mask aligner that works with small substrates, wafers, and pieces. It is frequently used for MEMS and optoelectronic applications. It can handle fragile III-V materials. Tystar Mini-Tytan 4600 Furnace System The Tystar Mini-Tytan 4600 Furnace system is designed for diffusion, oxidation, and LPCVD applications. The system consists of four vacuum tubes in one furnace stack. Two of these are atmospheric pressure tubes and the other two are low-pressure tubes. The Tystar 4600 can perform low-temperature oxide processes and the deposition of materials such as silicon nitride and polysilicon. The Tystar 4600 offers high process uniformity and incorporates some of the most advanced concepts in high-performance wafer processing. Ultratech/Cambridge Fiji G2 Plasma-Enhanced ALD The Ultratech Fiji G2 plasma system is a modular high-vacuum ALD (Atomic Layer Deposition) system that accommodates a wide range of deposition modes while using a flexible system architecture that permits multiple configurations of precursors and plasma gases. The result is a plasma ALD system that reproduces the thermal and plasma enhanced ALD films of the literature as well as providing experimental flexibility for future ALD innovations. Ultratech/Cambridge Savannah ALD System Ultratech/Cambridge Savannah ALD System is equipped with high-speed pneumatic pulse valves to enable thin film deposition on Ultra High Aspect Ratio substrates. This proven precision thin film coating methodology can be used to deposit conformal, uniform films on substrates with aspect ratios of greater than > 2000:1. Ultron UH104 UV Curing System The Ultron UH104 UV Curing System is used to toughen and strengthen polymer materials by cross-linking polymer chains. It accommodates up to 8″ (200mm) wafers on film frames or grip rings and up to 12″ (300mm) wafer capability. Ultron UH114 Wafer/Frame Film Applicator The Ultron UH114 Wafer/Frame Film Applicator is used in the process of slicing/ sawing applications in which lamination is crucial. This device ensures a bubble free lamination with adjustable features. WAFAB Acid/Base Bench The WAFAB Manual Acid/Base Bench is a non-automated front-acces wet bench designed to handle acidic and basic materials without degrading. WAFAB Bottle Washer Bench The WAFAB Manual Bottle Washer is a non-automated wet station designed for washing glassware used in various processes and dispoing of waster water. WAFAB Cleaning Bench The WAFAB Cleaning Bench is a non-automated front-access wet bench designed for cleaning wafers before further processing. WAFAB Developer Bench The WAFAB Manual Developer Bench is a non-automated front-access wet bench designed to handle chemicals used for developing photoresist. WAFAB HF/TMAH Bench The WAFAB Manual Solvent Bench is a non-automated front-access wet bench designed to handle hydrofluoric acid and tetramethylammonium hydroxide used in etching. WAFAB Solvent Bench The WAFAB Manual Solvent Bench is a non-automated front-access wet bench designed to handle solvents used for cleaning substrates. WAFAB Toxic Corrosives Bench The WAFAB Manual Toxic Corrosives Bench is a non-automated front-access wet bench deigned to handle, contain, and properly dispose of toxic and corrosive materials. YES CV200 RFS Plasma Strip / Descum System The YES CV200 RFS Plasma Strip / Descum System is used for the removal of thick layers of photoresist or polymide in short production times; accomodating the strict requirements of contemporary front-end semiconductor processing equipment. The YES CV200 RFS operates in two main modes, a powerful plasma stripping mode removes tough and / or thick resists while a gentler descum mode can be used to deal with the cleaning of inorganic substrates. YES G1000 Plasma Cleaning System The YES G1000 Plasma Cleaning System is a plasma cleaning system used for the cleaning and low temperature descum of substrates, as well the surface modification and gentle cleaning of inorganic substrates. The use of plasma at a low generation frequency (40 kHz) in substrate cleaning allows for flexibility around cleaning processes, including, for example, the cleaning of electronically sensitive devices. The YES G1000 generates plasma between an active and grounded electrode plate, free electrons, created in the plasma field, are drawn down by the grounded plate allowing only active ions to pass through to clean components; the result is uniform and consistent processing which, as highlighted previously, leaves the electronic properties of cleaned components unchanged. YES-58TA Vacuum Bake/HMDS Vapor Prime and Image Reversal System The YES Vapor Prime and Image Reversal Oven utilizes NH3 vapor to allow the creation of negative images using a positive photo-resist. CNC micro milling machine Back End Processing Disco Automatic Dicing Saw 3220 Back End Processing PDMS Clean Space Back End Processing QuikLaze-50 ST2 Back End Processing Thinky Mixer Back End Processing Tousimis AutoSamdri 815A Back End Processing Tousimis Autosamdri 815B Back End Processing TPT Wedge/Ball Bonder Back End Processing UVO Cleaner Back End Processing Vapor treatment Back End Processing West Bond Ball Bonder Back End Processing West Bond Wedge Bonder Back End Processing Idonus HF VPE-150 Dry Etching Oxford Plasmalab 100 RIE/ICP Dry Etching Oxford Plasmalab 80+ RIE Dry Etching Plasma Etch PE100 Dry Etching Plasma-Therm SLR RIE/ICP Plasma Etch System Dry Etching PVA TePla PS100 Dry Etching Trion RIE/ICP Dry Etcher Dry Etching Xactix XeF2 Etcher Dry Etching GDSII Editor PC Ebeam Lithography GDSII Editor PC #2 Ebeam Lithography Vistec EBPG 5200 Ebeam Lithography FEI Apreo SEM Materials Characterization FEI Quanta FEG 250 Materials Characterization FEI Scios DualBeam FIB/SEM Materials Characterization Microfluidics Workstation – Valves controller Materials Characterization Thermofisher Talos F200X G2 S/TEM Materials Characterization Veeco Scanning Probe Microscope Materials Characterization Zeiss Sigma 500 Materials Characterization AJA DC Sputter Deposition Tool Metalization / Thin Film Deposition AJA RF Sputter Deposition Tool Metalization / Thin Film Deposition Beneq TFS200 Atomic Layer Deposition Metalization / Thin Film Deposition Denton 502A Thermal Evaporator Metalization / Thin Film Deposition Denton Desk IV Sputter Coater Metalization / Thin Film Deposition Denton Discovery 18 Sputter System Metalization / Thin Film Deposition Denton Discovery 635 Sputter System Metalization / Thin Film Deposition Emitech K575X Sputter Coater Metalization / Thin Film Deposition Nickel Electroforming System Metalization / Thin Film Deposition Oxford Plasmalab 80+ PECVD Metalization / Thin Film Deposition PDS 2010 Parylene Coater Metalization / Thin Film Deposition Plasma-Therm SLR PECVD Metalization / Thin Film Deposition Temescal BJD 1800 Ebeam Evaporator (1) Metalization / Thin Film Deposition Temescal BJD 1800 Ebeam Evaporator (2) Metalization / Thin Film Deposition Agilent B1500A Semiconductor Device Analyzer Metrology Axio Fluorescence Microscope Metrology Dektak 150 Metrology DektakXT Stylus Profilometer Metrology Filmetrics F20 Metrology Filmetrics F20 (2) Metrology Filmetrics Profilm3D Optical Profiler Metrology HP 4155 Parametric Analyzer/Probe Station Metrology J.A. Woollam M-2000D Spectroscopic Ellipsometer Metrology Jandel Four Point Probe with RM3000 Test Unit Metrology Keyence VHX1000 Metrology Rudolph Auto EL Ellipsometer Metrology Toho Technology FLX-2320 Thin Film Stress Measurement System Metrology Veeco NT1100 Optical Profiling System Metrology Zeiss Axio Imager Optical Microscope Metrology EVG620 Lithography/NIL System Photolithography Heidelberg MLA150 Laser Writer Photolithography HTG Mask Aligner Photolithography Karl Suss MA6 Mask Aligner Photolithography Karl Suss MJB3 Mask Aligner Photolithography AccuThermo AW 610 RTP (1) Thermal Processing AccuThermo AW 610 RTP (2) Thermal Processing Carbolite HTCR 6/28 High Temperature Clean Room Oven Thermal Processing Carver Hot Embossing System Thermal Processing Fisher Oven Thermal Processing Hot Laminator – GBC Catena 35 Thermal Processing Lindberg Mini-Mite Tube Furnace Thermal Processing Ulvac MILA-3000 Minilamp Annealer Thermal Processing AB-M Mask Aligner Acid Wet Bench Allwin21 Rapid Thermal Annealing System Asylum Bio-AFM Asylum MF3D AFM w/ 3D haptic controller and liquid cell Atomic Layer Deposition (ALD) CPK Spin/Develop/Etch Bench Deep Reactive Ion Etching System (DRIE) – STS MESC Multiplex ICP Dektak Profilometer Developer Wet Bench Disco Dicing Saw -DAD321 EDP Wet Bench Electroplating Bench Filmetrics Ellipsometer Finetech Flip Chip Bonder Four Point Probe Heidelberg DWL66FS Laser Pattern Generator (Photomask Generator) HF-8 Barrel Asher Hitachi Bench Top SEM KOH & TMAH Wet Bench Kulicke & Soffa Ball Wirebonder Kulicke & Soffa Wedge Wirebonder Leitz Ergolux Microscope Lesker E-beam Evaporator Lesker PVD 75 Sputtering System Lindberg Tube Furnaces (Oxidation, Annealing, Diffusion & General Thermal Treatments) Lindburg Blue M Vacuum Ovens MA6/BA6 Mask Aligner March Reactive Ion Etcher Molecular Vapor Deposition (Hydrophobic Coatings) Oxford Plasma Enhanced Chemical Vapor Deposition Parylene C Depostion System PDMS Spinner Phantom Hi-Speed Camera Photolithography Developer Bench Photoresist Spinner Bench Probe Station QFI Mid-Wave Thermal Imaging System Rapid Thermal Processing System RCA Cleaning Wet Bench Solvents Wet Bench Solvents Wet Bench (in Lithography Bay) Spin Rinse Dryer SPTS Primaxx HF Vapor Etch System Stereoscope Suss SB6e Wafer Bonder Technics Sputtering System Ted Pella Sputter Coater Thermo-Fisher Scientific Apreo Scanning Electron Microscope (SEM) Toho Thin Film Stress Measurement System Tousimis Critical Point Dryer Trion ICP / RIE Chlorine & Flourine Metal Etching System VASE Ellipsometer Xactix XeF2 System YES Image Reversal Oven Yes Polimide Curing Oven Zeiss Axiolmager M2M Microscope Zeiss Axiotron Microscope Zygo New View 7300 Ellipsometer AB-M Allwin21 Applied MicroStructures Asylum Asylum Beneq Cascade CPK Disco Filmetrics Finetech Gold Series Rhetech Heidelberg Hitachi JST Manufacturing JST Manufacturing JST Manufacturing JST Manufacturing JST Manufacturing JST Manufacturing JST Manufacturing JST Manufacturing JST Manufacturing JST Manufacturing Kulicke & Soffa (K&S) Kulicke & Soffa (K&S) Kurt J Lesker Kurt J Lesker Leitz Lindberg Lindburg March Modular Process Technology Oxford Instruments Plasmaline QFI SCS Labcoter Solitec SPTS SPTS Suss SUSS MicroTec Technics Ted Pella Thermo-Fisher Scientific TOHO Technology Tousimis Trion Veeco Veeco Vision Research Woollam Xactix Cleanroom sample preparation Wet benches – Organic, anorganic and general purpose wet bench Spin coater – Suss Delta 80 Spin coater Critical point dryer – Autosamdri UV lithography system – Suss MABA6 Mask aligner E-Beam lithography system – Voyager Cleanroom sample preparation 3D direct laser writing lithography – Nanoscribe Low Pressure Oxygen Plasma System – Sinvacon Diener Pico RIE/ICP Plasma etcher – Oxford Plasmalab 80+ RIE/ICP Plasma etcher – Oxford PlasmaPro100 Cobra Etching – XeF2 etcher RTA – Rapid Thermal Anneal Vacuum oven – General purpose vacuum oven Tube vacuum furnace (Homebuilt) Thin film production Double sputter coater – Leica EM ACE600 E-beam PVD system – E-flex Sputter PVD system – S-Flex Deposition system ICPECVD – Oxford PlasmaPro100 ICPECVD Vacuum coating system – Nanoontje (Homebuilt) Vacuum coating system – Handy Smurf (Homebuilt) Materials Characterization Optical microscope – Zeiss Axioskop2 mat Surface profiler – KLA-Tencor alpha-step 500 Atomic Force Microscopy (AFM) – Veeco Dimension 3100 AFM Scanning Electron Microscope – FEI Verios 460 Scanning Electron Microscope – FEI XL30 SEM Dualbeam FIB / SEM – FEI Helios nanolab 600 TEM – FEI TecnaiG2 20 X-Twin Thin film analyzer – Filmetrics F20 UVX Ellipsometry – Ellipsometer VB-400 J.A. Woollam Dedicated equipment High speed saw – DISCO DAC-2SP/86 Yield Engineering Systems Yield Engineering Systems Zeiss Zeiss Zygo Scanning Electron Microscopy (SEM) – Hitachi S-4700 with EDS Scanning Electron Microscopy (SEM) – FEI Helios 600 Nanolab with EDS Transmission Electron Microscopy (TEM)– Thermo Scientific Talos F200X with EDS Atomic Force-Infrared (AFM-IR) – Bruker nanoIR3 Atomic Force Microscopy (AFM) – Asylum Research MFP3D Nanoindenter – Optics11 Piuma fabrication FABRICATION Thin film deposition Atomic Layer Deposition (ALD) – Veeco/Cambridge Nanotech Savannah S200 Plasma Enhanced Chemical Vapor Deposition (PECVD) – Advanced Vacuum Vision 310 E-beam evaporation – KJL PROLine PVD 75 E-beam evaporation – Thermionics VE100 Metal Sputtering – KJL PVD 75 Reactive Ion Etching Deep Reactive Ion Etching (DRIE) – Alcatel AMS 100 Lithography Mask Aligner – Karl Suss MA6/BA6 E-beam lithography – NPGS on FEI Helios FIB and Hitachi SEM Surface Patterning Focused Ion Beam (FIB) – FEI Helios 600 Nanolab spectroscopy SPECTROSCOPY X-ray Photoelectron Spectroscopy (XPS) – Kratos Axis Ultra DLD with UPS Ultraviolet Photoelectron Spectroscopy (UPS) – on Kratos XPS Microspectrophotometry (MSP) – Craic 20/30 PV Fourier Transform Infrared (FTIR) – Bruker Optics Hyperion 1000 with Tensor 27 Atomic Force-Infrared (AFM-IR) – Bruker nanoIR3 Spectroscopic Ellipsometry – J.A. Woollam VASE Energy Dispersive Spectroscopy (EDS) – Oxford Instruments, INCA PentaFET X-ray Diffraction (XRD) – Rigaku SmartLab microscope Specimen Preparation FIB lift-out Nanomanipulator – FEI EasyLift Cryo Ultramicrotome – Leica EM UC7 Critical Point Dryer Sputter Coater – Cressington 108 Auto Additional Metrology Stylus Profilometry – KLA-Tencor P-6 Reflectometry – F50 Thin Film Mapper Optical Microscopy – Nikon … Misc. Processing Oxygen Plasma Cleaner – Glow Research Wet benches Spin coater Hot plates Orbital shaker Photoresist Laminator Ion miller Oxford ionfab 300 Dicing Saw ACT Electroplating – Nickel Maskless Lithography – Bruker Lightning NASCENT nmFab Services sputtering KJL south cleanroom TriboIndenter TI 950 : Nanomechanical characterization Vacuum Oven (Blue vacuum Oven) Wire bonder (Au ball ) Kulicke & Soffa Wire Bounder West-bond 7400A Acid Hood Metal etch (no Au) Acid Hood Resist removal (no Au) Acid Hood KoH Etch Acid Hood ALD Cambridge NanoTech SavannahTM 200 ALD Fiji w/ remote plasma & ozone generator Anneal (Doped) – MRL Anneal (Field Oxide) – MRL Anneal (Gate Oxide) – MRL Anneal (Undoped) – MRL Asher (Microwave) 300 PVA Tepla Asher Nordson March PX-250 Atomic Force Microscope – DI3000 Atomic Force Microscope BRUKER Icon – New Chemical-mechanical planarization (CMP) Diffusion Doping POCl3 – MRL Diffusion Field Oxide – BRUTE- Undoped Tube Diffusion Gate Oxide – BRUTE – 4″ Tube Diffusion Oxide – BRUTE – Undoped – 4″ Diffusion Pre-clean Acid Hood Diffusion Pre-clean Acid Hood Diffusion Pre-clean Acid Hood Diffusion Sintering -BRUTE- 4″ E-beam Evaporator & Ion Assisted – CHA E-beam evaporator CHA E-beam evaporator CHA E-line Raith – Electron beam lithography Ellipsometer J.A. Woollam M-2000 DI Etcher ICP 2300 Exelan Flex LAM Etcher ICP Deep Silicon PlasmaTherm Versaline Etcher ICP Oxford 100 Etcher ICP STS Etcher RIE 790 Plasma Therm Etcher RIE 790 Plasma Therm Etcher RIE Batchtop PlasmaTherm Etcher RIE Oxford 80 Etcher Trion Oracle Four-point probe Veeco FPP5000 Furnace (Sintering ) – MiniBrute HMDS – YES Oven LPCVD Amorphous silicon – MRL LPCVD Low Temp Oxide – MRL LPCVD LTO PTL LPCVD Nitride – MRL LPCVD Nitride PTL LPCVD PolySilicon PTL Optical Confocal Microscope LEXT Olympus Optical Microscope Olympus BH-2 Optical Microscopes Olympus BX51 Optical Profilometer -Dektak 150 PECVD (790 Plasma Therm) Photolithography E-beam writer Jeol 6000 FSE Photolithography i-g line mask aligner – EVG Photolithography i-g line mask aligner – MJB4 Suss Microtec Photolithography i-g line mask aligner SussMicrotec -MA6/BA6 Photoresist Spin Coater – (no Au) Photoresist Spin coater Photoresist Spin coater- Brewer Science Plasma Cleaner _TEM holder Rapid Thermal Anneal – AG Associates-Allwin610 III-V Rapid Thermal Anneal Silicon -Allwin 610 Rapid Thermal Process -AET- SEM ZEISS Neon 40 – Bruker EDS – Raith Elphy pattern generator Solvent Hood -Lift off applications Solvent Hood Cr/Al etch Solvent Hood (No Au) Solvent Hood Solvent Hood Spectrophotometer Nanospec AFT 180 Spectrophotometer Nanospec Reflectometer AFT Spin Rinse Dryer SAT (no PR. no Metal) Spin Rinse Dryer Verteq (No Photoresist, no Metal) Sputter Coater Emitech K575X -SEM sample preparation Sputter DC Aluminum/ Ti – UNIVEX450 Sputter DC/RF- KJL TEM TECNAI G2 F30 X-TWIN Thermal Evaporator (Metal Deposition) – Veeco UV Ozone cleaner Wafer Bonder AML Wafer Press XRD Rigaku SmartLab Lithography: i-line Stepper (5x) Contact Mask Aligner Direct Write Laser/Mask Writing Electron Beam Lithography (JEOL JBX-6300FS) Nanoscribe Nano 3D printer Etch: Deep Reactive Ion Etch Chlorine and Fluorine ICP Etch Reactive Ion Etch HF and XeF2 Vapor Etch Deposition: Chemical Vapor Deposition (CVD) Atomic Layer Deposition (ALD) Electron Beam Evaporation Sputter Electroplating (Au, Cu, Ni, others) Parylene C, N Core Processing: Atmospheric Diffusion Furnace Rapid Thermal Anneal (RTA) Vacuum Anneal Wet Chemical Processing Precision Wafer Bonding Lapping / Polishing Wire Bonding Dicing Saw Metrology: Optical Microscopy Scanning Electron Microscopy (SEM) Contact and Non-contact Profilometers White Light Interferometer Reflectometer AFM – Molecular Vista PiFM AFM Bruker ICON AFM CypherES Apreo Variable Pressure SEM Bruker D8 Discover with IμS 2-D XRD System capillary Differential Scanning Calorimeter Critical Point Drier Dektak Profilometer Dimple Grinder Dual beam UV/vis Dualbeam FIB-SEM Electron Back-Scatter Diffraction (EBSD) – Apreo SEM Energy Dispersive X-ray Spectroscopy (EDS) – Dualbeam FIB-SEM Energy Dispersive X-ray Spectroscopy (EDS) – XL30 SEM EVOS Falling Ball Viscometer Gatan Solarus Plasma Cleaner GDOES In-situ SEM Indenter Ion Mill IONTOF TOF.SIMS 5 Time-of-flight secondary ion mass spectrometer Isothermal Titration Calorimeter Kratos Axis Ultra DLD X-ray Photoelectron Spectrometer Lapping/Polishing Station Laser Confocal Microscope Light-Microscopes Low-Speed Diamond Saw Nanoindenter Plasma Cleaner Femto QCM-D Renishaw Raman Confocal SEM Sample Coater Sirion XL30 SEM SPR Sum Frequency Generation Surface Plasmon Resonance – Biacore T200 Surface Science Instruments S-Probe X-ray Photoelectron Spectrometer Tecnai G2 F20 SuperTwin TEM Tecnai F20 Twin Cryo/In-situ Transient Absorption-Time Resolved Photoluminescence Ultracut 6 Microtome Ultrasonic Disc Cutter Woollam Spectroscopic Ellipsometer XANES–BL1  Optical Microscopes  Oxford Instruments X-MaxN Energy-Dispersive Spectrometer  SEM Sample Preparation Sputterer AccuThermo AW610 RTP for III/V or PZT AccuThermo AW610 RTP III/V -no metal AccuThermo AW610 RTP Si MOS Clean AccuThermo AW610 RTP Si Non-MOS AccuThermo AW810 RTP Si MOS Clean Acid/base batch processing AET GaAs/AlGaAs Oxidation Furnace Allwin21 Matrix Silicon Oxide/Nitride Etcher Amatepi MOS Sink AML AWB-08 Wafer Bonder AMST Molecular Vapor Deposition System MVD100 Angstrom Sun MSP300 Microspectrophotometer Angstrom Sun Spectroscopic Ellipsometer Applied Materials Epi Si & Ge Deposition APT Chrome Mask Process APT Emulsion Mask Process ASAP-Liftoff M6100 ASML DUV Stepper Model 5500/300 AST Electron Beam Dielectric Deposition Atomic Force Microscopy Class Atomic Layer Deposition Automated Four-Point Probe Resistivity Measurement System BaySpec Raman Spectrometer Bonding & Packaging Cambridge ALD Deposition System Canon 4X Projection Mask Aligner CDE ResMap Four Point Probe (automated mapper 2″ -12″) CHA Solution E-Beam Evaporator Chemical Vapor Deposition CMP Wet Sink Compound Etch Chamber Crestec CABL-9510CC Electron Beam Lithography System Crestec CABL-UH Series Electron Beam Lithography System Dektak 3030 Surface Profiler Diamond Seeding and The Lift-Off Process (General Solvent Sink) Die Bonder Digital Instrument AFM Disco DAD3240 Automatic Dicing Saw Dymax 2000 UV Flood System E+H Wafer Geometry Gauge E-Beam Microscopy and Imaging Edwards Sputter System Electrical Measurements Electroglass Autoprobe in DCL Electron Beam 10 kW 6-pocket Evaporator Electroplating Sink Endeavor AT Eshylon Apache TTCS MESC Charging Station Everbeing EB-8 Analytical Probe Station FEI Nova NanoSEM 650 Scanning Electron Microscope Film Thickness and Index Finetech Flipchip Bonder Flexus Thin Film Stress Measurement Fourier Transform IR Spectrometer Fusion M200PCU Photostabilizer System Gaetner Stokes Ellipsometer GCA 3600 Pattern Generator GCA8500 Wafer Stepper (6″) General Purpose Sink General Purpose Sink Glovebox for Inert Atmosphere GnP Poli 500 CMP Headway Manual Load Photoresist Spinner at msink3 Headway Stand-Alone Manual Load Photoresist Spinner Heidelberg MLA150 Maskless Aligner HMDS vapor prime oven III-V Processing Sink Inductively Coupled Plasma with Bias Ion Mill IR Inspection Camera IR Microscopy and Imaging Karl Suss MA6 Mask Aligner Keyence VHX-500 Digital Microscope KLA-Tencor Alpha-Step D-600 Profilometer KOH and TMAH Silicon Etch kruss Contact Angle Measurement System Lam  Poly-Si TCP Etcher Lam Metal (Al) TCP Etcher Lam Oxide Rainbow Etcher Leica INM100 Microscope Linewidth Measuring System Linewidth2 Zeiss Axioplan2 Measuring System Manual Spin Coat & Develop Sink Matrix 106 Resist Removal System Metal contaminated PR Strip Sink Metal Etch Chamber MPI Optical IV Probe Station MRC 944 Sputtering System with Sputter-Etch Multi Target Co-Sputtering System MxP+ Etch Chamber B Nanometrics 210 XP Scanning UV Nanospec/DUV Microspectrophotomet NanoSpec Film Thickness Measurement System Nanospec/AFT Thin Film Measurement System Non-MOS Clean NRC Evaporator Olympus LEXT OLS4000 3D Laser Confocal Microscope Optical Microscopy and Imaging Oxford Plasmalab 100 ICP (Compound III-V) Oxford Plasmalab 80plus PECVD System Oxford Plasmalab System 100 PECVD System Oxford Plasmalab System 100 PECVD System Oxford Plasmalab System100 Sputter Chamber Oxford Plasmalab System100 Sputter Chamber  Oxford RIE System Park Systems Atomic Force Microscope Parylene Deposition System 2010 Labcoater 2 Photoresist Strip & Pre-Furnace Metal Clean Sink Pi Scientific 6-inch Ion Beam Mill Picosun Atomic Layer Deposition (ALD) Picotrack Coater System Picotrack Developer System Planarization Plasma Quest ECR PECVD System Plasma-Therm Parallel Plate Etcher Quintel Q4000 Mask Aligner Rapid Thermal Annealers Reactive Ion Etch Refractory Metal Processing Sink Reichert Microscope Sartorius A200S Electronic Analytical Balance Scanning Electron Microscopy Class SEMI RIE System Siemens D5000 X-Ray Diffractometer Small Vacuum Oven for Low Temperature Anneal Small Vacuum Oven for Polymer Curing sp3 HFCVD Diamond Deposition Reactor Strausbaugh CMP Structure and Composition STS Advanced Planar Source Oxide Etch System Surface Charge Analyzer Surface Properties Surface Technology Systems (STS) Advanced Silicon Etch (ASE) SVG 6″ Developer SVG 6″ Spin Coater SVG 8626 6″ Lift -Off resist and BARC Coat Track Technical Eningeering Services Thermal Evaporator Technics C Plasma Etching System Tensiometer (KSV Sigma 701) Testing & Inspection Equipment Thin Film Thickness/Refractive Index Measurement System Tousimis 815 Critical-Point Dryer (small samples) Tousimis 915B Critical-Point Dryer Tystar 200mm N-Type Doping Tystar 200mm P-Type Doping Tystar 200mm Wet/Dry Oxidation Tystar Atmospheric Dry/Wet Oxidation (NON-MOS) Tystar MOS 200mm Stoichiometric and Low Stress Nitride Tystar MOS Clean Aluminum Sintering Atmospheric (4″ and 6″) Tystar MOS Clean Dry/Wet Oxidation & Anneal Atmospheric (4″ and Tystar MOS Clean Gate Oxidation Atmospheric (4″ and 6″) Tystar MOS Clean LTO LPCVD (4″ and 6″) Tystar MOS Clean Polycrystalline Silicon LPCVD (4″ and 6″) Tystar MOS Clean Si-Ge LPCVD (4″ and 6″) Tystar MOS Clean Silicon Nitride (Si3N4) LPCVD (4″ and 6″) Tystar Non-MOS 200mm LTO LPCVD Tystar NON-MOS Clean Dry/Wet Oxidation & Anneal Atmospheric (4″ Tystar Non-MOS Clean LTO LPCVD (4″ and 6″) Tystar NON-MOS Clean POCl3 Doping (4″ and 6″) Tystar Non-MOS Clean Si-Ge LPCVD (4″ and 6″) Tystar Non-MOS Low Stress Nitride & High Temp. Oxide LPCVD (4″ Tystar Non-MOS Poly-Silicon Carbide LPCVD (6″) uEtch HF Vapor Release System Ultek2 Angled Cooled Chuck E-Beam Evaporator Ultratech Mask Copier UV Microscopy and Imaging UV-VIS Spectrophotometer VLSI MOS Clean Westbond Wirebonder Model 7400B Wet Chemical Processing Wyko NT3300 Profiling System Xenon Difluoride Etching System YES Vacuum Oven YES-G500 Plasma Cleaning System Zeiss Scanning Electron Microscope Crystal Systems Corp. Four Mirror Optical Floating Zone Furnace Crystal Systems Corp. Vertical Molysili Furnace with Rotating Lifter MELLEN ADAPT Control System MELLEN Bridgman Furnace CEE 200CBX Coat-Bake System Precision coat-bake system combines a track-quality precision spin coater with a high-uniformity bake plate. Heidelberg DWL 200 Laser Lithography System Direct write lithography tool used as a high resolution pattern generator for photomask fabrication and patterning of substrates. Nikon Eclipse LV100 Microscope Nikon Optical Microscope Suss MicroTec MA/BA6 Aligner Contact aligner for broadband lithography. Backside alignment capability. JSW AFTY AFTEX-6200 ECR Plasma Deposition System Wet Processing Wet benches available for solvent, developer, and acid/base processing. Organic PhotoVoltaic Device Manufacturing System YES-R3 Plasma Cleaning System Oxygen plasma cleaning system with downstream and direct plasma cleaning capabilities. Minipack MVS 45X Vacuum Sealer Vacuum sealer for transport of sensitive samples. Ney Vulcan 3-1750 Box Furnace Programmable bench-top box furnace. Wet Processing Wet benches available for solvent, developer, and acid/base processing. SEKI Diamond CVD Confocal Raman and PL System MELLEN Manual Tilting Furnace Bruker DektakXT Stylus Profilometer MELLEN SC12.5R Three Zone Tube Furnaces ASML PAS 5500/200 – Stepper AST Goniometer AST Goniometer Carbolite High Temperature Oven CDE ResMap CHA Mark 40 Evaporator (new) CHA Mark 40 Evaporator (old) Chemical Wet Benches (E-IV) Chemical Wet Benches (E-IV) Dektak 6 Surface Profile Measuring System Dektak 6 Surface Profile Measuring System Denton Desk II Deposition Sputter Denton Desk II Deposition Sputter Denton Desk V Thin Film Deposition System Denton Desk V Thin Film Deposition System Denton Discovery Sputterer Denton Discovery Sputterer Deposition – CVC 601 Sputterer Deposition LPCVD Nitride – Tystar Tube Deposition LPCVD Nitride/Poly/LTO Deposition LPCVD Nitride/Poly/LTO Deposition LPCVD Polysilicon – Tystar Tube Deposition LPCVD Tystar Titan II – Tube Deposition Oxidation – Tystar Tytan 3600 – Tube Deposition PECVD – BMR Technology Deposition PECVD – BMR Technology Deposition PECVD – Plasma Therm 790 EDAX Genesis EDAX Genesis FEI Nova 600 Nanolab DualBeam SEM/FIB FEI Nova 600 Nanolab DualBeam SEM/FIB Fision UV Systems Fision UV Systems G&P Technology Poli 400L Headway Spin Coater Headway Spin Coater Heidelberg DWL 66 LaserWriter Heidelberg DWL 66 LaserWriter HF Vapor Etcher HF Vapor Etcher HF-Buffered Oxide Etch HF-Buffered Oxide Etch Hitachi S4700 SEM Hitachi S4700 SEM Jeol 7500F – Field Emission Scanning Electron Microscope Jeol 7500F – Field Emission Scanning Electron Microscope Karl Suss MA6 Aligner Karl Suss MA6 Aligner Karl Suss SB6 Wafer Bonder Karl Suss SB6 Wafer Bonder Leica DM2500 Microscope Leica DM2500 Microscope Lithography Logitech PM5 Polisher/Grinder Logitech PM5 Polisher/Grinder Loomis LSD-100 Loomis LSD-100 M&M probe station M&M probe station Matrix 105 Matrix 105 Measurement Microscopes Measurement Microscopes Modular Process Technology RTP 610 Modular Process Technology RTP 610 Modular Process Technology RTP-650 Modular Process Technology RTP-650 Nanometrics Nanospec 2100 Thin Film Measuring System Nanometrics Nanospec 2100 Thin Film Measuring System Nitrogen-Purged Muffle Furnace Nitrogen-Purged Muffle Furnace Optical Microscopes Optical Microscopes Oxford Plasmalab 80 Plus Oxford Plasmalab 80 Plus Plasma Etch PE-100 Plasma System Plasma Etch PE-100 Plasma System Plasma-Therm FDRIE DSE II Plasma-Therm FDRIE DSE II Porous Si Etcher Porous Si Etcher Prometrix Omnimap RS35C Prometrix Omnimap RS35C Raith EBPG 5000+ES – Electron Beam Writer Raith EBPG 5000+ES – Electron Beam Writer SCI Filmtek 2000 SCI Filmtek 2000 SCS PDS 2010  Parylene Deposition SCS PDS 2010 Parylene Deposition SemiTool Spin Rinse Dryer SemiTool Spin Rinse Dryer Sloan E-Beam Evaporator Sloan E-Beam Evaporator Sopra GES5 Ellipsometer Sopra GES5 Ellipsometer STS AOE Advanced Oxide Etcher STS AOE Advanced Oxide Etcher SVG 8800 Track Coater & Developer SVG 8800 Track Coater & Developer Technics Micro-RIE Series 800 Technics Micro-RIE Series 800 Tegal Plasmaline 515 Photoresist Asher Tegal Plasmaline 515 Photoresist Asher Tencor Flexus 2320A Stress Tester Tencor Flexus 2320A Stress Tester Tousimis 915B Critical Point Dryer Tousimis 915B Critical Point Dryer Ultratech Fiji – Atomic Layer Deposition Ultratech Fiji – Atomic Layer Deposition Ultratech Savannah Atomic Layer Deposition Ultratech Savannah Atomic Layer Deposition Ulvac JSP 8000 Metal Deposition Sputter Ulvac JSP 8000 Metal Deposition Sputter Ulvac NE 550 Chlorine Etcher Ulvac NE 550 Chlorine Etcher Ulvac NLD-570 Oxide Etcher Ulvac NLD-570 Oxide Etcher ULVAC UNECS-2000 Ellipsometer ULVAC UNECS-2000 Ellipsometer Unaxis DRIE Unaxis DRIE Veeco Dektak 150 Surface Profiler Veeco Dektak 150 Surface Profiler Veeco Dektak 8 Profilometer Veeco Dektak 8 Profilometer VEECO DI 3100 Atomic Force Microscope VEECO DI 3100 Atomic Force Microscope Veeco DiInnova Atomic Force Microscope Veeco DiInnova Atomic Force Microscope VWR-Vacuum Oven VWR-Vacuum Oven Wyko NT3300 Optical Profiler Wyko NT3300 Optical Profiler Xiatix Xenon Difluoride Etching System Xiatix Xenon Difluoride Etching System YES LPIII Vacuum Oven YES LPIII Vacuum Oven 3D Surface Profilometer 6′ Solvent Bench 7′ Wet bench (base)7′ Wet bench (base) 8′ Wet Bench (acid) AJA Sputter System Atomic Force Microscope Atomic Layer Deposition System C/V Stress (plotter) Chemical Processing Station CVD Furnace E-beam Direct Write Lithography System Focused Ion Beam (FIB) Hi Q Engineering UltraViolet Ozone Cleaner ICP Etch System K&S 4523A Digital Wedge Bonder Mask Design Station Nikon L150 Optical Microscope Oxford Cobra Metal Trench Etcher Oxford Cobra Silicon Trench Etcher Rapid Thermal Annealer (RTA) Reactive Ion Etch System (RIE) Resist Coat Spin Station Spin Rinser Dryer Surface Profilometer Thin film stress gauge Karl Suss Mask Aligner EMS 1050X Plasma Asher Quintel Mask Aligner Optical Scope w/ Film Thickness Measurement Ellipsometer IV Probe station PECVD System E-Beam Evaporator E-Beam Evaporator Thermal Evaporator Oxford Instruments INCA EDS Oxford Electron Backscatter Detector (EBSD) Scanning Transmission Electron Microscopy TES Sputter System Atomic Force Microscope E-beam Lithography System WestBond 7700E Wire Ball Bonder Karl Suss MA6 Mask Aligner Angstrom NexDep DC/RF Sputter System Cambridge Nanotech Savannah Atomic Layer Deposition (ALD) System Convection Ovens Convection Ovens Copper, Nickel, and Gold Electroplating CS1701F Reactive Ion Etcher Denton Desk V Sputter Coater ETP Corona Discharge Wand Fume Hoods Fume Hoods Inspection Microscope Laurell WS-400B-6NPP-Lite Manual Spinners LC Tech Thermal Evaporator Marpet Enterprises MEI1204B Manual Ball Bonder MicroAutomation MA1006 Dicing Saw Miller FPP-5000 Four Point Probe NSC 3000 DC Magnetron Sputter Tool OAI Model 204IR Mask Aligner Ocean Optics Nanocalc Film Thickness Measurement PDS 2010 Parylene Coater PDS 2010 Parylene Coater Probestation SSI Rapid Thermal Processor Stylus Profilometer – Dektak XT Tegal Plasmod Oxygen Plasma Cleaner Tescan VEGA3 Scanning Electron Microscope Tescan VEGA3 Scanning Electron Microscope Thermolyne Muffle Furnace Tousimis Model 810 AutoSamdri Critical Point Dryer (CPD) Zygo NewView 600s Equipment and Processes Thin Film Coating Lab AJA International Orion 8 Sputtering System CHA Electron Beam Evaporator with Cryopump Wentworth MP-2300 Probe Station Dektak 3 Profilometer Keithley 4200 SCS Parametric Analyzer March Instruments PM-600 Plasma Treatment System Nikon Optophot Microscope with Infinity 2 Digital Camera E-Beam Lithography Lab JEOL JSM-7001F Ebeam Writer Plasma Deposition/Etch Lab STS Vision 310 PECVD System STS Vision 320 RIE System Photolithography Lab Blue M Convection Oven OAI 1000 Watt DUV Exposure System Olympus BH2 Microscope with Infinity 2 Digital Camera Suss MicroTec MA6 Mask Aligner Brewer Science CEE 100CB Spin Coater Developer Hood Wet Chemistry Lab Laminar Flow Bench Solvent Rinse Hood Wafer Cleaning Hood Wafer Etching Hood Gaertner L116C Ellipsometer E-beam SBU1 Brewer Science CEE 200CBX E-beam SBU2 Brewer Science CEE 200CBX Photo SBU1 Brewer Science CEE 200CBX Photo SBU2 Brewer Science CEE 200CBX SU-8 SBU Brewer Science CEE 200CBX E-beam Writer Raith EBPG5200ES Laser Writer Heidelberg MLA 100 Mask Aligner NXQ NXQ8006 ALD Oxford Instruments FlexAL Evaporator 1 PVD Products Evaporator 2 PVD Products PECVD Plasma-Therm Versaline PLD PVD Products PLD-4000 Sputterer PVD Products Asher Branson/IPC 3000 Chlorine ICP Plasma-Therm Apex SLR Fluorine ICP Plasma-Therm Apex SLR Ion Mill IntlVAC Nanoquest Plasma cleaner Harrick Plasma PDC-001 HMDS Oven Yield Engineering YES-310TA Rapid Thermal Processor Surface Science Integration Solaris 150 Tube Furnace Expertech CTR-125 Vacuum Ovens Thermo Scientific VT 6060 Ellipsometer Woolam M-2000 Four-Point Probe Station Jandel MWP-6 Microsopes Zeiss Axio Imager Profilometer Bruker Dektak SEM Zeiss Merlin Dicing Saw ADT 7122 Wire Bonder TPT HB05 Critical Point Dryer Tousimis Autosamdri-815, Series B Developing Station Brewer Science CEE 200XD Mask Cleaner Station Brewer Science CEE 200XD Ozone Cleaner Novascan PSD-UV8 Wet Benches (15) Air Control varies • Scanning Electron Microscope (SEM): Zeiss SUPRA55-VP. Electron Beam Lithography NPGS with resolutions down to 10s of nanometers. • Atomic Layer Deposition (ALD): Beneq TFS200. Deposition of single-layers of dielectric metal oxides. • High vacuum magnetron sputtering: CVC & Orion-8-UHV. Sputter deposition of thin layers of Ag, Al, Au, C, Cr, Cu, Fe, ITO, NiCr 80/20, Pt, Ti, TiW; Al, Al2O3, Nb, Pd • Thermal deposition: Denton DV-502A. Thermal deposition of thin layers of Au, Al etc. • Plasma Enhanced Chemical Vapor Deposition (PECVD): Plasmalab DP80. Deposition of thin layers of SiO2, SiN. • Reactive Ion Etching (RIE): Plasmalab 80 & Plasmalab μP RIE. Allows substrates to be etched in plasma. • Photo mask aligning & exposure: Karl Suss MJB-3. Optical lithography with a resolution down to 2μm. • Energy Dispersive Microanalysis: Oxford Instruments INCA Energy. Allows for the analysis of atomic composition of samples. • K&S wirebonder: Makes electrical connections. • Stylus Film Thickness Profilometer: Dektak 3030. Gives a 2D profile of the substrate via a metallic stylus. • Thin Film Measurement/ Optical microscope: Leitz Ergolux/Filmetrics F40 • Controlled gas ambient quartz tube furnaces: Lindberg, Thermtek. Chemical Vapor Deposition of carbon nanotubes. • 3 Stack oxidation furnace. Chemical vapor deposition of silicon dioxide layers. • Leak Detector, Three-mass: Pfeiffer QualyTest • Wet benches with fume extraction Area Equipment Manufacturer Photolithography Bay Aligner A (MJB3) Suss Microtec Aligner B (MJB3) Suss Microtec Aligner C (MJB4) Suss Microtec Aligner D (MABA Gen 4) Suss Microtec Spinner A Laurell Spinner B Laurell Hotplate A PMC Hotplate B CEE Oven A Blue M Oven B Blue M Vacuum Oven National Appliance Four Probe station Signatone Wet Bench Acid fumehood Semiconductor process equip Solvent fumehood Semiconductor process equip Hotplate C Cornning Optical Microscope Nikon Optical Microscope Zeiss Characterization SEM Phenom Dektak XT Profilometer Bruker Optical Microscope Bausch & Lomb Thickness measurement Upper Furnace Thermco Products Lower Furnace Thermco Products Dry Etching DRIE Oxford PECVD Oxford RIE Oxford RIBE Technics ICP STS III-V ICP Etcher Oxford, will be installed in MCB O2 Plasma Asher Tegal Corporation XeF2 Etcher N/A Deposition Sputtering system Lesker E-beam evaporator Lesker Sloan ebeam Dielectric SL1800 E-beam Metal Evaporator Temescal RTA System AG Associates Saw Dicing Saw A Disco EBL e-LINE Raith, in RTH B102 EBPG5150 Raith, in purchasing process Atomic Force Microscope (AFM) – Veeco Dimension 3100 Atomic Force Microscope (AFM)/IR System – Anasys Instruments NanoIR2 Atomic Layer Deposition (ALD) System – Ultratech Savannah S200 Confocal Microscope – Keyence BZ-X800 Dynamic Light Scattering (DLS) & Laser Doppler Electrophoresis System- Malvern Zetasizer ZS90 Dynamic Light Scattering (DLS) System- Precision DDLS/CoolBatch+40T Fluorescence Spectrometer – Horiba Nanolog FL3-11 FT-IR Spectrometer – Perkin Elmer Spectrum 100 Series FT-IR Spectrometer – Shimadzu IRSpirit with QATR-S AT Gas Chromatograph (GC)/Mass Spectrometer (MS) – Varian 431GC – 210MS Gel Permeation Chromatography (GPC) System – Jasco LC 2000 Plus Series Glovebox/Thin Film Deposition System – MBraun MBEvap Integrated Confocal Raman and TERS Microscope – Horiba LabRAM HR Evolution Nano MicroPlate Reader – Tecan Infinite M200 Pro Optical Microscope – Olympus BX51M Phase Contrast Inverted Microscope – Olympus CK2 Scanning Electron Microscope (SEM)- Zeiss NVision 40 Spinning Disk Confocal Microsope – Zeiss Axioskop 2 Mot Plus Thin Film Deposition System – AJA E-beam Thin Film Deposition System – AJA Magnetron Sputterer Thin Film Deposition System – Thermionics E-beam & RDM Thermal Evaporator UV-Vis Spectrophotometer – Agilent Cary 300 X-ray Photoelectron Spectrometer (XPS) – Fisons ESCALAB 2201-XL -3D Printing 4 Point Probe Station ALD – Cambridge Nano Fiji 200 Allied Multi-prep Lapping and Polishing system Amerimade Bench BOE/HF Amerimade Bench SC1/SC2 Asher – Anatech Barrel SCE600 Asher – Tepla M4L Critical Point Dryer Dicing Saws- ADT -Dicing/Bonding DRIE – Deep RIE, STS DRIE – Oxford Plasma Pro E-beam evaporator, PVD -Electron Microscopy/Microanalysis Electronic Measurement, Lakeshore 7507 -Film Deposition Flexus 2320 Furnace Tube, Thermcraft, General Hot Process Furnace Tube, Tystar #1 Wet and dry Ox General Acids/Bases Bench-Left Heidelberg Laser Writer -Hot Processing JST Wet Bench K&S 4124 Ball Bonder, Gold Ball K&S 4700 Wedge Bonder (Staff Run) Litho Process EVG Model 620 w/BSA Litho Process Headway E-Beam Bay & Hot Plate/Oven Litho Process Karl Suss MA6 Litho Process Laurell Litho Bay & Hot Plate/Oven Litho Process Laurell Spinner E-Beam Bay & Hot Plate/Oven Litho Process Suss Delta 20 & Hot Plate Litho Process Suss Delta 80 & Hot Plate/Oven -Metrology Nikon LV100 Microscope Objet 3D Printer -Other (No Associated Keywords) Oven, YES, Image Reversal/HMDS PDMS Process Tools – Room 235 PDMS-Spinner PECVD – STS 310PC SiO2 – SiN – Amorphous Si PECVD – Unaxis 790 PECVD -Photolithography Photospectrometer, Filmetrics F40 -Plasma Profilometer, Dektak 150 Raith 150, e-beam lithography RIE/ICP, Unaxis SLR RTA, SSI Solaris 150 RTA, Steag 100CS RTP SCS Parylene Coater SEM – JEOL 5700 Solvent Bench #1 Lift Off Solvent Bench #1 PR Strip Solvent Bench 2 – hot plate and workspace Spin Dryer – Sitek Sputter Deposition, AJA Sputter Deposition, KJL CMS-18 Multi-Source TEM – FEI Themis Z S/TEM Trion RIE/ICP Unaxis 790 RIE Wafer Bonder, EVG 501 Wenesco Hotplate -Wet Processing Chemical Vapor Deposition Aixtron III-V MOCVD GaAs, InP, GaN Oxford Dual Frequency PECVD SCS Parylene Vacuum Coater Trion Minilock – Orion PECVD System Veeco Nanotech Atomic Layer Deposition System Thermal AG 610 Rapid Thermal Processor Diffusion/Oxidation Furnaces Jipelec Rapid Thermal Processor (RTP) Leica Critical Point Dryer Etch Oxford Chlorine ICP-RIE Oxford Freon ICP-RIE Oxford Freon RIE Oxford Mixed ICP-RIE with Atomic Layer Etching STS Deep Silicon Etcher XACTIX XeF2 Etching System Physical Vapor Deposition CHA SEC-600 E-Beam Evaporator Denton Dielectric E-beam Evaporator Lesker Dielectric Sputterer Lesker Metals DC Magnetron Sputterer Lesker Metals E-Beam Evaporator Lesker Metals E-beam Evaporator with Thermal Sources Lithography Diener Descum Elionix 150 keV E-Beam Lithography System EVG 620 Double-Sided Mask Aligners Headway Spinner Heidelberg uPG 101 Maskless Lithography Karl SUSS MJB3 Contact Mask Aligners Metrology Alpha Step Line Profiler DekTak DXT-A Hitachi S-4800 Field Emission Scanning Electron Microscope M-Probe Thin Film Thickness Measurement System Triple Wavelength Ellipsometer Variable Temperature Film Stress Measurement System Veeco Optical Profiler Device Characterization and Analysis Equipments Accent HL5500PC Hall Effect Measurement System Renishaw Raman/PL Micro-spectroscopy System Kulicke & Soffa 4524AD Ball Bonder Metricon Model 2010/M Prism Coupler Suss MJB4 mask aligner and related photolithography tools AJA sputtering system AJA ion miller Bruker D8 Discover X-ray diffraction (XRD) system Angstrom Engineering electron-beam evaporator Multimode atomic force microscope (AFM) Olympus optical microscope with camera and heated stage KLA Tencor stylus profilometer Zegage optical profilometer J.A. Woollam spectroscopic ellipsometer Sentrotech vacuum furnace Harrick oxygen plasma cleaner Heatpulse 610 rapid thermal annealer (RTA) Trion inductively coupled plasma reactive ion etcher (ICP-RIE), with DRIE and PECVD capabilities Various chemical fume hoods, laminar flow hoods, and a glove box Nabity NPGS electron beam nanolithography system (on Hitachi 3400 SEM) Raith VOYAGER electron beam nanolithography system Annealing Furnace, Multipurpose Backside SPECIAL: coin cell battery with ALD-protected electrode Dicing Saw A – Microautomation Glove Box – Exploratory Lab 3-D Printer Wire Bonder – West Bond Glove box for Li-ion batteries,Wang lab Deposition Ultratech Fiji 200 ALD system Mario Ultratech Fiji 200 ALD system Luigi In-situ sensing in Fiji ALD systems (Mario, Luigi) RTA-610 Atomic Layer Deposition System Nanowire Growth System LP-CVD Furnace Tystar CVD Oxford PECVD AJA ATC 1800 Sputtering unit Metra Thermal Evaporator Denton Ebeam/thermal evaporator Denton DV-502A carbon evaporator Parylene Coater Angstrom NexDep Ebeam evaporator Angstrom Ebeam Evaporator PLC Driven -B AJA ATC Orion 8 Sputtering system III-V MOCVD Material Growth Etching Etch tunnel Acid Bench 1 Etch tunnel Acid Bench 2 Etch tunnel Caustic/Base Bench Etch tunnel Solvent Bench Trion RIE Oxford ICP Etcher(Chlorine) STS Etcher Teaching Lab Acid Wet Bench Teaching Lab Caustic/Solvent Wet Bench Sample Preb Wet Bench Furnace Preclean Web Bench Critical Point Dryer Oxford Etcher (Fluorine) XactiX Xenon Difluoride Etcher Exploratory Lab Wet Bench Lithography Name Raith e_LiNE MJB-3 Mask aligner- right of spin station #1 MJB-3 Mask dual side aligner Fab Lab #3 EVG 620 Mask Aligner EVG 501 Bonder Oriel Area UV Exposure System Photoresist Spin Station- Left- FABLAB-PWM32-Programable Photoresist Spin Station- Teaching Lab- 3 Developing Bench March O2 plasma system Polymer Spin Station Raith off line computer Photoresist Spin Station- Right- FABLAB-PWM32-Programable Spin Station- Laurell- SU-8 ONLY MA-4 Suss Mask Aligner MJB-3 Mask Aligner- Left of spin station #2 FABLAB Photo oven Metrology JEM 2100 FEG TEM/STEM JXA 8900R Microprobe JEM 2100 LaB6 TEM Hitachi SU-70 FEG SEM Tescan GAIA FEG SEM Tescan GAIA FIB/SEM Tescan XEIA FEG SEM Tescan XEIA Plasma FIB/SEM Kratos UHV surface analysis system ICPE-9000 ICP Atomic Emission Spectrometer TA Instruments DSC Q100 TA Instruments DMA Q800 Waters GPC Ultramicrotome: Leica EM UC6 UV/Vis Spectrophotometer Microbalance: Sartorius ME-5 Hitachi S-3400 Variable Pressure SEM Stress Measurement Tool N&K Spectrophotometer Ellipsometer Microscope 1- Leitz Ergolux in Teaching Lab Profilometer Tencor Alpha Step 200- Teaching Lab Microscope 4- Leitz in FABLAB Photo Tunnel Microscope 2- Nikon Optophot in Teaching Lab Microscope 3- Zeiss in FABLAB Deposition Tunnel. Probe Station I- with 4155C MicroZoom Probe Station Hall Effect Measurement System Solar Cell Simulator Micromeritics ASAP 2020 Porosimeter Test Station Micromeritics ASAP 2020 Porosimeter Degas #1 Probe Station II Micromeritics ASAP 2020 Porosimeter Degas #2 Arbin BT2000 Battery Test Station Profilometer P-1 Long Scan Profiler Woollam Spectroscopic Ellipsometer HORIBA Scientific JY iHR320 Imaging Spectrometer SHIMADZU IR Prestige21 FTIR Spectrometer Four Point Resistivity Station UV/Vis/NIR Spectrophotometer Lamda 1050 + 150 mm integrating sphere Microanalytical High-Resolution Time-of-Flight Mass Spectrometer System Microanalytical Ultrahigh-Resolution Orbitrap Mass Spectrometer System Shimadzu FTIR Spectrophotomater H-J-Y Raman Microscope (Chemistry) E-beam deposition system, Kurt J. Lesker AXXIS Four pocket e-beam deposition system. Mainly used to deposit Metals and Oxides. DC/RF magnetron sputtering system, AJA Int Three gun sputtering system to deposit Metals and Oxides.This system can also deposit high density, size controlled Metal Nano particles. ALD system, Cambridge NanoTech Thermal Evaporator, Kurt J. Lesker Co Nano 38, Thermal evaporator is used to deposit Metals like, Cr, Ag, Au, Al and Organic films. Xenon difluoride etcher Fluorescence Microscope Plate Reader Mbraun Glovebox Thermal Oxidation Furnace Class 100 Clean Room Ellipsometer,J. A. Woollam Bruker Innova AFM Agilent G200 Nanoindenter Renishaw inVia Raman microscope Zeta Potential and Submicron Particle Size Analyzers Optical Profilometer, Vecco NT 9109 Tencor Stylus Profilometer Contact Angle Goniometer Nicolet 4700 FT-IR Shimadzu UV-2401 UV-VIS spectrophotometer Surface Area Analyzer Thermal Analysis Rapid Thermal Processing Rapid Thermal Processing K & S model 4123 wedge bonder is an aluminum and gold wire wedge bonder that can be used for bonding devices. This machine is operated in ultrasonic mode. Hall Measurement System Rigaku X-ray diffractometer Rigaku X-ray diffractometer Bomb calorimetry LabRam acoustic mixer LabRam acoustic mixer Dicing Saw The Disco DAD3220 is a single spindle dicing saw, capable of handling work-pieces up to a maximum of 6” square or 6” in diameter. The system features an LCD touch panel, auto-alignment, auto-focus, and auto-kerf check functions for enhanced productivity. The 1.5 kW spindle features a shaft lock function for easy blade changes. An Ultron Systems Tape Mounter and a UV Curing System are also available to be used in conjunction with the dicing saw. Spin Rinse Dryer (SRD) The OEM Spin Rinse Dryer offers a fast way to clean and dry wafers in patches up to 25 wafers at a time. Tape Mounter The Ultron UH114 mounts wafers to dicing tape and a metal frame to be used with the Disco Dicing Saw. Diced pieces are held in place by the tape until the dicing process is complete. The mounter has an easily adjustable spring-loaded roller assembly, along with film-tensioner bars along both the x- and y-axes to ensure bubble-free lamination of the film to the wafer and film frame. Additionally, the UH114 features an adjustable cutting pressure and roller pressure to accommodate various tape base materials and thicknesses. A digital temperature controller ensures consistent work-stage temperatures for repeatable mounting. Wire Bonder The West Bond Wire Bonder is a semi-automatic wire bonder that can accommodate both wedge and ball bonding to interconnect aluminum or gold wire leads to devices. Three ultrasonic bonding methods can be executed; wedge bonding with angled wire feed for best loop control, wedge bonding with vertical feed for access to deep work pieces, selected by exchanging only the clamp assemblies; and ball bonding of gold wire with electronic flame off, selected by exchanging tool assemblies. Atomic Layer Deposition (ALD) The Ultratech Fiji G2 system is a load-locked ALD system capable of both thermal and plasma-enhanced depositions of various dielectric and metallic films. The use of remote plasma activation is essential for the deposition of high quality nitrides. Also, plasma activation of oxide precursors generally enhance film nucleation on non-standard substrates. Electron-beam Evaporator Inductively Coupled Plasma Etch – Chlorine Based (ICP Cl) The Oxford PlasmaPro System 100 Cobra is a load-locked high plasma density system which can accommodate pieces to wafers up to 6” in diameter. The system is configured for Chlorine-based etching, including a variety of metals, dielectrics, silicon based materials, and II-V compound semiconductors. The system has a 600 W 13.56 MHz RF power source coupled to a solid state matching network. The active electrode is equipped with a heater/chiller and is capable of operating at temperatures varying from 0 C to +80 C. The Cobra process chamber walls are fitted with an electrical heating kit, allowing for warming to approximately 80 C. Inductively Coupled Plasma Etch – Fluorine Based (ICP Fl) The Oxford PlasmaPro System 100 Cobra is a load-locked high plasma density system which can accommodate pieces to wafers up to 6” in diameter. The system is configured for Fluorine-based etching, including a variety of silicon based materials and various dielectrics. The system has a 600 W 13.56 MHz RF power source coupled to a solid state matching network. The active electrode is equipped with a heater/chiller and is capable of operating at temperatures varying from 0 C to +80 C. The Cobra process chamber walls are fitted with an electrical heating kit, allowing for warming to approximately 80 C. Plasma Asher The PVA Tepla IoN 40 is a plasma processing system configured for etch, strip, clean and surface treatment of wafers. It is a programmable automatic instrument with a built in computer and a touch screen graphic display that enables on screen access to recipes, process follow-up and on screen editing and activation. High purity O2 and Ar are available as process gases and are supplied to the rectangular three-shelf aluminum chamber via MFC controllers. The chamber is served by a Dry Vacuum Pump Edwards XDS 35i Dry Pump. Plasma is generated by an integral auto-matched, air-cooled, 600 watts, 13.56 MHz RF generator. Reactive Ion Etch (RIE) The Oxford PlasmaPro NPG80 RIE is an open-load medium plasma density system configured for fluorine-based etch chemistries, which can accommodate pieces to wafers up to 8” in diameter and 3 cm thick. This tool is configured with a fluorine chemistry to etch silicon, its oxides and nitrides, and polymers including photoresist and silicone elastomers. The system has a 300 W 13.56 MHz RF power source coupled to a solid state matching network. The active electrode is equipped with a heater/chiller and is capable of operating at temperatures varying from 0 C to +80 C. Electron-beam Lithography The Elionix ELS-G100 is a high speed, ultra high precision thermal field emission electron-beam lithography system. The ELS-G100 is capable of generating patterns with a line width of 6 nm. The system provides a stable 1.8 nm electron-beam using high beam current at 100 kV. A laser interferometer stage, with reading resolution of 0.31 nm, enables a stitching accuracy of 15 nm and overlay accuracy of 20 nm. Significantly small distortion enables uniform and stable fine pattern writing over large writing fields. Uniform 10 nm lines can be drawn from the edge to the edge of a 500 um field without stitching. At a beam current of 1 nA, 20 nm lines can be written over an entire 500 um field without stitching. No stitching guarantees accuracy and eliminating the need for stage movement enhances writing speed. Hotplate Tower The Air Control Microvoid Hot Plate tower is a vented tower that holds several dedicated hotplates for pre and post baking photoresists. Mask Aligner The EVG620 Mask Aligner is equipped with high-resolution top and/or bottom side microscopes for single or double-side photolithography. An ultra-soft wedge compensation together with a computer controlled contact force between the mask and wafer ensures that both yield and mask lifetime are dramatically increased. The system safely handles thick, bowed or small diameter wafers. The EVG620 superior alignment stage design achieves highly accurate alignment and exposure results while maintaining high throughput. The system is configured with the NanoAlign Technology Package, increasing EVG620 aligner microscope resolution by a factor of approximately 2. 3D Lithography System The Nanoscribe Photonic Professional 3D Lithography System is an easy-to-operate table-top laser lithography system that enables the fabrication of true three-dimensional nanostructures using commercially available photoresists. Designed for the fabrication of photonic crystal structures, the instrument is also ideal for, e.g., generating three-dimensional scaffolds for biology, micro- and nanofluidic circuitry. Ovens Two ultra-stable Blue M Ovens for soft and hard baking of photoresists as well as post coat baking of spin on dopants and spin on glass are available in the lithography bay. Spin Coater – E-beam Resists The Brewer Science Cee Stand-Alone Spin Coater/hotplate is dedicated for the spinning of electron beam resists. The tool is capable of spin coating substrates up to 7” square or 200-mm round and features high torque for maximum ramping capability. You can also spin coat smaller size substrates (<1 cm through 200 mm) using a wide array of spin-coating chuck sizes. The precision hotplate has a temperature range from ambient to 300 ˚C with resolution of +/- 0.1 ˚C and uniformity of 0.3% across the working surface. Spin Coater – Photoresist and Other Atomic Force Microscope (AFM) The Bruker Dimension FastScan AFM provides high speed topographic imaging without loss of resolution or force control. The system is capable of measurements on both large and small size samples in air or fluids. The FastScan module generates on the fly atomic force microscopy images. Ellipsometer The Woollam V-VASE is a Variable Angle Spectroscopic Ellipsometer capable of automated thin-film characterization, high-precision angle, and a wide spectral range (240 nm to 2500 nm). Analysis of ellipsometric data can be used to determine layer thickness, surface and interfacial roughness, sample anisotropy, Mueller-matrix data, and optical constants (refractive index and extinction). The tool has a vertical sample vacuum mount that can accommodate up to 200 mm diameter samples. The V-VASE is configured with an automated z-translation stage and digital camera for spot location viewing. Focusing optics and provide the ability to reduce the beam spot size down to 100 or 200 um, depending on fiber choice. A heating stage is also available for samples up to 50 mm in diameter and 7.6 mm-thick with temperatures ranging from room temperature to 300 C. Filmetrics The Filmetrics F20 is used to measure the thickness and optical constants (n and k) of transparent and semi-transparent thin films. Measured films must be optically smooth and between 100 Å and 50 um thick. Commonly measured films include semiconductor process films such as oxides, nitrides, resists and polysilicon. Films that cannot be measured include very rough films and opaque films. The Filmetrics thin film analyzer is very useful for rapidly fine-tuning resist recipes and measuring etch rates. Inspection Microscope Several Nikon Cclipse Inspection Microscopes are located throughout the cleanroom. The system has a 6”x6” stage and is configured with bright-field, dark-field, and simple polarizing objectives. The microscopes are fitted with a Nikon DigiSight Color Digital Camera Head (5 megapixels) and a PC with Nikon’s NIS-Elements software. 3D Optical Profiler The Bruker Contour GT-I is a fully automated and programmable optical interferometric microscope that can be used to measure and map surface features in 3D. The system features an automated tip/tilt optical head, to enable measurements over a range of angles while minimizing tracking errors. Probe Station The probe station is equipped with two Keithley 2400 Source Meters, a Keithley 6485 picoammeter, and a Keithley 2000 Diginal Multimeter. It can be configured by the users to perform a large number of room-temperature electrical measurements, such as 4-point probe, I-V, back-gating, and many others. Python is used to controll the source measurement units and to acquire data. Users should work with the NanoFabrication staff to configure the probe station for their application. Scanning Electron Microscope (SEM) The FEI Nova NanoSEM™ is a high resolution scanning electron microscope intended for sample characterization. In addition to the SEM features, the Nova system is configured with a beam blanker and the Raith ELPHY Quantum software to enable electron beam lithography for users who do not require the ultra-high resolution of the Elionix system. Stylus Profiler The Bruker Dektak-XT is a semi-automated stylus profiler that can be used to measure step height with better than 5 Å repeatability, surface roughness, as well as 3D surface mapping. Furnace Stack The Tystar Mini Tytan Furnace Stack contains four tube furnaces (two atmospheric pressure and two low pressure tubes) that are used to process batches of up to 25 6-inch or 4-inch wafers. The top tube is configured for wet or dry silicon oxidation and is equipped with a TLC clean that can be used pre-clean the tube prior to growth in order to eliminate mobile alkali ions thereby enabling the growth of high quality gate oxides at a temperature up to 1250 °C. The other three tubes are dedicated to high temperature (1250 °C) forming gas anneals, LPCVD growth of Silicon Nitride growth, and LPCVD Silicon Oxide growth using the TEOS precursor. The LPCVD TEOS process is ideal for conformal step coverage or trench refill applications. Rapid Thermal Anneal System (RTA) The Allwin21 AccuThermo AW610 is a rapid thermal processing (RTP) system, which uses high intensity visible radiation to heat single wafers for short process periods of time at precisely controlled temperatures. The process periods are typically 1‑600 seconds in duration, although periods of up to 9999 seconds can be selected. Two additional Brewer Science Cee Spin Coaters are located in the fume hoods in the lithography bay. Spin coater #2 is dedicated for photoresist processing, and spin coater #3 is dedicated to all other non-standard materials, such as spin-on glasses, PVA, PPC, charge spreading layers for e-beam, and 3D laser lithography resists. The tools are capable of spin coating substrates up to 7” square or 200-mm round and features high torque for maximum ramping capability. You can also spin coat smaller size substrates (<1 cm through 200 mm) using a wide array of spin-coating chuck sizes. UV Ozone Cleaner The SAMCO UV-2 is a compact, benchtop, UV-ozone cleaning system that will not damage delicate electronic devices. The system utilizes a combination of ultraviolet radiation, ozone, and heat to organic materials from a variety of substrates; these include silicon, gallium arsenide, sapphire, metals, ceramics, quartz and glass. The UV-1 is suited for applications involving substrate cleaning, photoresist descumming, improving wettability and UV curing. The system is compatible with substrates up to 150 mm in diameter and up to 15 mm-thick. The substrate heater is capable of temperatures from ambient to 300 C. Vapor Hydrofluoric Acid Etcher The SPTS Primaxx Vapor HF Etcher is used primarily for isotropic etching of all types of SiO2 and offers a safer alternative to liquid- HF processes. Furthermore, the dry-HF process eliminates the stiction problems often encountered in releasing SOI-MEMS devices. Xenon Difluoride Etcher the SPTS Xactix E1 Xenon Difluoride Etcher can be used to isotropically etch Si, Ge, and Mo films. The XeF2 etch chemistry offers excellent selectivity to a wide range of materials, such as Al, SiO2, ZnO, Si3N4, and photoresist affording the possibility of using thin masks and incorporating etch-stop layers for undercutting. The AJA Orion 8E Evaporator System is capable of both electron beam (e-beam) and thermal evaporation. The system is configured with 2 thermal evaporation sources and 6 crucibles (15cc) for e-beam evaporation with automated indexing. The two thermal sources can be independently swung to a center position prior to deposition. The system is restricted to evaporating metal films. The system is also configured with substrate rotation for added uniformity, substrate cooling, a load lock, and DC biasing for substrate pre-cleaning. Furnace Low Pressure Chemical Vapor Deposition (LPCVD) The Tystar Furnace Stack contains four tube furnaces (two atmospheric pressure and two low pressure tubes) that are used to process batches of up to 25 6-inch or 4-inch wafers. The top tube is configured for wet or dry silicon oxidation and is equipped with a TLC clean that can be used to pre-clean the tube prior to growth in order to eliminate mobile alkali ions thereby enabling the growth of high quality gate oxides at a temperature up to 1250 °C. The other three tubes are dedicated to high temperature (1250 °C) forming gas anneals, LPCVD growth of Silicon Nitride growth, and LPCVD Silicon Oxide growth using the TEOS precursor. The LPCVD TEOS process is ideal for conformal step coverage or trench refill applications. Plasma Enhanced Chemical Vapor Deposition (PECVD) The Oxford PlasmaPro System 100 PECVD is load-locked tool, capable of depositing silicon oxide, silicon nitride, amorphous silicon, and other films (under staff permission). The PECVD has a 600 W 13.56 MHz plasma source and has an electrically heated lower electrode, capable of a maximum temperature of 400 C. Additionally, the system has a 100 kHz low frequency generator connected to the top electrode. The tool is capable of processing wafers up to 8” in diameter, down to smaller wafers and chips. Sputter The AJA Orion Sputtering System is a load-locked sputtering system capable of depositing metal and dielectric films over a substrate up to 6 inches in diameter. It is equipped with seven AJA high vacuum magnetron sputtering sources that are powered by two RF generators (300W) and three DC generators (750W) for single or multi-layer deposition or co-sputtering. Computer control provides recipe generation and process data storage. The max substrate is 4” with rotation 0-40 RPM, radiant heating to 850 ˚C, and RF/DC biasing with 100 W RF generator for substrate pre cleaning. Process gasses listed below are also available for reactive sputter deposition. Sputter Coater Nonconducting samples placed in an electron microscope will build up charge on the surface, thereby diminishing image quality. One way to reduce the effects of surface charging is to coat the sample with a conductive material to give the electrons used to image the sample a path to ground. Sputter coating with Au or Au:Pd is one method to achieve this. Sputter coating uses ionized argon to vaporize gold atoms from a target and deposit them in a thin layer onto a sample. Thermal Evaporator The AJA Orion 3-TH Evaporator System 8D PL and Lifetime Mapping Across International 150mm Furnace Tube AGA 410 Rapid Thermal Annealer AGA 610 Rapid Thermal Annealer Agnitron Agilis A13D MOCVD Tool Agnitron Agilis MOCVD Tool Aixtron CCS MOCVD AJA Orion RF/DC Sputter Deposition Tool Bausch and Lomb Stereozoom 7 Microscope BlueM Resist Bake Oven Boron Diffusion and Anneal, Tube 3 Bruker D8 XRD Bruker Icon 3 AFM CE 100CB Resist Coater / Hot Plate CHA Solution System E-Gun Evaporator Cincinnati SubZero EZT-570S Environmental Chamber Cost Effective Equipment Apogee Spin Coater DekTak3 Profilometer Denton 502A Thermal Evaporator Denton DV-502A E-Gun Evaporator Diener Pico Oxygen Plasma Asher EDAX X-Ray Analysis (SEM01) Electro-Optical Test Plaform EV Group 520HE Hot Embosser / Wafer Bonder EV Group 620 Advanced Contact Aligner GCA 6100C Stepper (I-line) Heidelberg MLA150 maskless alignment system Hitachi S-3000H Scanning Electron Microscope Hummer VI Tabletop Sputter Coater IR quantum efficiency measurement system with FTIR, SMU, amplifiers, blackbodies, and cryostats Jandel MHP/RM3 Four-Point Prober K&S 4123 Wedge Wire Bonder K&S 7100 Precision Dicing Saw Karl Suss MJB-3 Contact Aligner Lakeshore CRX-6.5k with Keysight B1500A Parameter Analyzer Laurell Polymer Spin Coater Leitz Ergolux AMC Optical Microscope Leitz Stereozoom Microscope with Digitized Stage Logitech PM4 Lapper Logitech PM5 Polisher LPCVD Silicon Nitride, Tube 2 N2 Anneal/Bake, Tube 1 NanoScience Zeta-20 3D Optical Profilometer Nanospec 3000PH Thin Film Reflectometer Nanotech Innovations SSP-354 Furnace Tube Nikon IC-66 Optical Microscope Nikon IC-66 Optical Microscope Olympus BX51TRF Optical Microscope Oxford EDS SDD (SEM02) Picosun SUNALE R-150B Atomic Layer Deposition Tool Plasma Therm 790 PECVD Plasma Therm SLR770 ICP DRIE Plasma Therm SLR770 ICP RIE Reynolds Tech Solvent Fume Hood Reynolds Tech Solvent Fume Hood SET FC150 Flip Chip Bonder Sinton WCT-120 Photoconductance Decay Prober Suss PM5 Electrical Probe Station Technics Benchtop RIE Thermal Oxide, Tube 4 TS Space Systems Unisim Solar Simulator Vistec EBPG5000 Electron Beam Lithography System West-Bond 7700E Thermosonic Ball Bonder Woollam alpha-SE Spectroscopic Ellipsometer YES III HMDS Vapor Prime / Vacuum Oven Zeiss Ultra 55 Plus FE-SEM Thin film deposition 5-Target Nanofab Sputtering System #1 5-Target Nanofab Sputtering System #2 5-Target Nanofab Sputtering System #3 5-Target Nanofab Sputtering System #4 5-Target Nanofab Sputtering System #5 6-Target Nanofab Sputtering System Anatech Hummer Gold Coater Cambridge Nanotech Fiji Atomic Layer Deposition System Commonwealth Scientific Ion Beam Deposition System CVC Connexion Sputtering System Leybold Heraeus Z-400 Sputtering System Leybold Heraeus Z-400 Sputtering System #2 Leybold Heraeus Z-650 Sputtering System Perkin Elmer 6J Sputtering System Perkin Elmer 8L Sputtering System SCS Labcoter 2 Parylene Deposition System Tegal AMS Aluminum Nitride Sputtering System Trion Orion II PECVD Ultek E-Beam Evaporator GVD iCVD System GVD oCVD System Kurt Lesker PVD 75 Electron Beam Evaporator Kurt Lesker PVD 75 Sputtering System Dry Etching Plasma-Therm Versaline ICP RIE STS Aspect AOE STS Aspect ICP STS Multiplex ICP RIE Micrion 2500 Focused Ion Beam System Commonwealth Scientific Ion Mill Commonwealth Scientific Ion Mill #2 Plasma-Therm 790 RIE Trion Phantom II RIE IPC Barrel Etcher Samco UV-1 Ozone Cleaner Electron Beam Lithography FEI Sirion 600 SEM / JC Nabity NPGS E-Beam Lithography FEI Sirion 400 SEM / JC Nabity NPGS E-Beam Lithography Elionix ELS-G100 Electron Beam Lithography System Photolithography Nikon NSR-1505G4 Stepper Heidelberg DWL 66FS Laser Lithography System Heidelberg DWL 66 Laser Lithography System Karl Süss MA6/BA6 Contact Aligner Karl Süss MA56 Contact Aligner Karl Süss MJB3 Contact Aligner YES HMDS Vapor Prime Vacuum Oven CEE 100 Spray Developer CEE 100CB Table Top Spinner/Hotplate CEE 100CB Bench Mount Spinner/Hotplate Solitec Photoresist Spinner Despatch Convection Oven – 90 ºC Blue M Oven – 120 ºC Photoresist Refrigerator ASML 5500/80 i-Line Wafer Stepper Thermal Processing AG Heat Pulse 610i Rapid Thermal Annealer Micro Magnetics SpinTherm 1000 Magnetic Annealing System 2″ Tube Annealing Furnace Blue M Variable Temp Ovens Hotpack Vacuum Oven Hotplates Inspection and Metrology FEI Sirion 600 SEM / JC Nabity NPGS E-Beam Lithography FEI Sirion 400 SEM / JC Nabity NPGS E-Beam Lithography Olympus MX80 Inspection Microscope Olympus BH Inspection Microscope Olympus Widefield Zoom Microscope Micromanipulator Probe Stations KLA Tencor P-15 Profilometer Tencor P-2 Profilometer Tencor Alpha-Step 200 Profilometer Nanometrics Nanospec 210XP Wet Chemistry Semitool 870 Spin Rinser/Dryer Semitool 880 Spin Rinser/Dryer Semitool 270 Spin Rinser/Dryer Tousimis Critical Point Dryer Copper Electroplating Bath Back End and Post Processing Kulicke & Soffa 782-6 Dicing Saw Micromech Diamond Saw Strasbaugh 6EC CMP DSC 250- Differential Scanning Calorimeter Ellipsometer  EPMA- Electron Microprobe FIB-SEM_FEI Scios Dual Beam FT-IR Microscope Microspectrophotometer PDMS Preparation Area Plasma Cleaner P.I.E. Raman microscope SEM- ZEISS Sigma500 VP SPM- Dimension-Vmultimode-V Sputter Coater Denton TEM- Hitachi 9500 ETEM TEM- JEOL JEM2100F  TEM- Thermo Scientific Titan Themic G2 200 STEM TGA – Thermogravimetric analysis XRD- Bruker D8 XRD System Dual Beam System FEI Scios Focused Ion Beam/Scanning Electron Microscope with EDAX Pegasus Hikari Super Energy Dispersive Spectrometer and Electron Backscatter Diffraction Electron Microscopes: Zeiss Sigma 500 VP Analytical FE-SEM with Oxford Microanalysis JEOL JEM-2100F Transmission Electron Microscope (TEM) Electron Probe Micro Analyzer (EPMA) Enviromental Transmission Electron Microscope (ETEM) FEI Titan Themis G2 200 Probe Cs Corrected Scanning Transmission Electron Microscope (STEM) Scanning Probe Microscopy Scanning Probe Microscopy Station (SPM/AFM) Thermal Analysis TA Instruments Discovery DSC250 Differential Scanning Calorimeter (DSC) (Room 620) STA 6000 – Simultaneous Thermal Analyzer (TGA/DSC) (Room 620) Spectroscopy Fourier Transform Infrared Spectroscopy (FT-IR) Spectroscopic Phase Modulated Ellipsometer Optical Microscope (Zeiss software Cleanroom) Raman Microscope Raman Microscope: Renishaw inVia X-Ray Diffraction X-Ray Diffractometer (XRD) Sample Preparation Sputter Coater for SEM Sample Preparation PDMS Preparation Area Fabrication (Cleanroom) Image of the Fabrication facilityDivider Lithography Electron Beam Lithography System: Raith e-LiNE (EBL) Heidelberg MLA100 Direct Write Lithographer Mask Aligner – Quintel Q4000 Bruker D8 Discovery 2D X-ray Diffractometer Bruker D8 Discovery High resolution X-ray Diffractometer Asylum MFP-3D Origin Atomic Force Microscopy Witec Alpha 300 Confocal Raman Microscope. Bruker EMX Premium-X Electron Paramagnetic Resonance (EPR) Spectrometer MA Mask Aligner – Suss MJB-3 YES HMDS Oven Zeiss Axio Imager Motorized Optical Microscope Nanoscribe 3D Lithography System Thin Film Deposition Plasma Enhanced Chemical Vapor Deposition (PECVD) Thermionics Electron Beam Evaporator System (EBE) Hybrid Sputter/Evaporation System (Deposition System AJA) Plassys E-Beam Evaporation System Plasma Enhanced Atomic Layer Deposition (PE-ALD) Angstrom Sputtering System Pulse Laser Deposition System (PLD) Parylene Deposition Coater – PDS 2010 Dry Etching Trion Reactive Ion Etcher (RIE) APEX ICP-RIE Etcher Chlorine APEX ICP-RIE Etcher Fluorine Plasma Asher (March500) Surface Profiler Surface Profiler: KLA Tencor Alpha-Step IQ Thermal Processing Rapid Thermal Annealer (Solaris 100) Oxidation/Annealing Tube Furnace Hoods All Hoods Dicer Disco DAD321 Dicing Saw MLA150 Maskless Aligner Nano Imprinter The Nano Imprinter system offers thermal and UV nanoimprint and imprinting in vacuum if needed. The system transfers the patterns, usually nanohole or nanopillar arrays, from a silicon stamp to a nanoimprint-polymer-coated substrate by applying heat and pressure. Karl Suss MJB3 UV300 Mask Aligner Newport-Oriel flexible Mylar-Mask Lithography System Wet Chemistry Hoods Thin Film Deposition Atomic Layer Deposition System The Cambridge NanoTech Fiji F200 series Atomic Layer Deposition System Electron Beam Evaporator Lesker Lab 18 The Lab-18 thin film deposition system PlasmaTherm The PlasmaTherm Model 790 RIE-PECVD Angstrom – Multi-Target Sputtering System Angstrom Organic Evaporator Inductively Coupled Plasma RIE System SPTS LPX PlasmaTherm (Fluorine-chemistry) The PlasmaTherm Model 790 RIE-PECVD Furnaces Wet Oxide and Dry Oxide Annealing and Drive-in Heat Treatment Characterization Dektak Profilometer JA Woollam Ellipsometer Karl Suss 200mm Precision Manual Analytical Prober Wet Chemistry Workbenches Wafer Cleaning & Wet Etching Typical processes NMP resist strip RCA I & II cleaning Piranha resist strip NanoStrip 2X Chrome etch (CR-7) Tungsten etch Gold etch (GE 8148) Platinum etch Nickel etch (HNO3 + Perfluoroalkyl Sulfonate) acid-bench Acid Bench Sulfuric acid, Hydrogen Peroxide Hydrochloric Acid & Hydrogen Peroxide Ammonium Hydroxide & Hydrogen Peroxide NMP, Acetone & Methanol (Solvents) base-cleaning-bench Base Cleaning Bench solvent-beach Solvent Bench Resist Coating & Developing Semi-automated resist coater with integrated hot plate resist-caoter Resist Coater developer-tool Developer Tool Brewer Sciences Photo Resist Developer Tool Semi-automated wafer spin developer with integrated hot plate 100mm tooling UV Exposure Nuetronix/Quintel 1X Contact/Proximity Printer Model Q-7000-IR 365nm exposure wavelength Currently configured for 100mm wafers Mask tooling for 4, 5 and 7”masks Tooling available for 150mm wafers IR back to front alignment capable neutronix-quintel Neutronix-Quintel 1X Aligner GCA 5:1 Reduction i-line optical stepper 365nm exposure wavelength Critical dimension (resolution) 0.5um Overlay 150nm XBar + 3 sigma Currently configured for 100mm dia. wafers, can expose up to 200mm wafers 0.25mm to 1.0mm thick Customized Tooling for exposing 5” X 5” X 0.150” photomasks Utilizes 5” X 5” reticles Processing of piece/parts is possible with custom tooling optical-stepper Reduction I-Line Optical Stepper Plasma Etch PlasmaTherm Versaline ICP Fluorine gases for SiO2 and Si & Ge etching as well as other semiconductor materials Configured for 100mm wafers up to 1mm thick RIE and or ICP modes Primarily used to dry etch SiO2 & Si films and bulk material plasma-therm Plasma-Therm ICP Oxford Instruments Plasmalab 100 ICP Utilizes CL2 & BCL3 etch chemistry for metal or dielectric etching Configured for 100mm wafers up to 1mm thick Tool can etch up to 10mm thick wafers with optional tooling RIE and or ICP modes Currently used to dry etch Sapphire & Chromium oxford-plasmalab Oxford Plasmalab ICP Dielectric Conformal Deposition Atomic Layer Deposition (ALD) Oxford Instruments OPAL ALD tool utilizing the following pre-cursors: Diethyl zinc Tetrakis(ethylmethylamino) hafnium (IV) Titanium(IV) isopropoxide Trimethylaluminum oxford-ald Oxford ALD Metal & Dielectric Deposition Electron Beam Deposition CCS CA-40 e-beam evaporator configured for 100mm wafers Metals Gold Platinum Nickel Titanium Chromium Germanium Palladium Tantalum Iron Dielectrics Tantalum Penta Oxide Silicon Dioxide Aluminum Dioxide Silicon Monoxide Titanium Dioxide Hafnium Oxide evaporator CCS-CA-40 Evaporator Metrology Nikon Optical microscope magnification to 1500X Tencore Alpha Step 200 profilometer Hitachi S-4800 Scanning electron microscope Filmetrics F20 UV Optical thin film measurement tool nikon-scope Nikon Scope alpha-step Alpha Step hitachi Hitachi SEM film-thickness-tool Filmetrics F20 UV Optical Film Thickness Measurement System Wafer Dicing K&S Wafer Dicing Saw Model 780 200mm capable Cuts silicon, sapphire & optical glasses dicing-saw K&S Dicing Saw Wire Bonding K&S Wire Bonder Model 4525AD Gold wire 0.18um to 76um Man & Auto 152mm X 152mm area wire-bonder K&S Wire Bonder ASM P8200/P3000 ALD Baxter Drying Ovens BIDTEC SP100 Spin Coater Bruker DektakXT Profiler Caltex 3D Microscope CLUSTEX 100sp Sputter Cressington Gold Sputter Coater EnvisionTech 3D Printers EVG 501 Wafer Bonder EVG 610 Double-sided Mask Aligner FEI Helios NanoLab 460F1 DualBeam Focused Ion Beam (FIB) K&S Wire Bonder Laurell Spin Coater Leica Reichert Polylite 88 Optical Microscope Lesker PVD 75 DC Sputter Lesker PVD 75 E-Beam Evaporator 1 Lesker PVD 75 E-Beam Evaporator 2 Lesker PVD 75 RF Sputter Micro Automation Dicing Saw Micromanipulator 6100 Probe Station Minibrutes Oxidation/Anneal Furnace MTI RTP Anneal Furnace Nanoscribe Photonics GT2 high-resolution 3D Printer Direct Laser Write Lithography Nikon Eclipse LV150N Optical Microscope Nordson March CS-1701 RIE Ocean Optics Film Measurement Oxford Plasmalab 100 ICP RIE Oxford Plasmalab 80 PECVD PDS 2010 Labcoter2 Parylene Deposition System Plasma Etching PLS6.120D Laser Engraver Rapid Prototyping Roland MDX-50 Benchtop CNC STS ICP RIE Suss MA-6 Mask Aligner TESCAN MIRA3 E-beam Writer Tystar LPCVD Veeco 4 Point Probe Veeco NT 9100 Profiler Zeiss Orion Plus 5015 Helium Ion Microscope/NanoFab Scanning Electron Microscopy (SEM) Hitachi TM3030 Plus tabletop – with ThermoFisher EDS detector Hitachi S-3000N – with variable vacuum mode for organics imaging X-ray Diffractometry (XRD) Philips PANalytical X-Pert – with thin-film detector Bruker D2 Phaser – with Phi rotation Thermal Analysis Differential Scanning Calorimetry (DSC) NETZSCH 3500 Sirius – with modulated temperature abilities Thermo-gravimetric analysis (TGA) and DSC TA Instruments SDT 650 – with autosampler Physical Properties Testing Mechanical/ Universal Testing (UTM) Instron 5969 – dual column tabletop testing system N2 Adsorption (surface area, pore size) Micromeritics 3Flex Density/ Volume (pycnometry) Anton Paar Ultrapyc 5000 Particle Size Analysis (PSA) Beckman Coulter LS230- with PIDS detector Dilatometry Anter Corporation Workhorse IB Spectrophotometry Ultraviolet/ Visible/ Near IR (UV-Vis-NIR) Perkin Elmer Lambda 950 – with integrating sphere, 2D detector, URA Fourier Transform Infrared (FT-IR) Varian 3100 Excalibur – with PIKE ATR diamond crystal Optical Microscopy Olympus BH2 Series System Olympus Tokyo PME – inverted stage/ metallographic microscope Olympus VANOX universal research microscope Sample Preparation Mettler AE100 scale Cressington 108Auto gold sputter system Cressington 108carbon/A sputter system Buehler SimpliMet II hot mounting press LECO Spectrum System 1000 polishing Headway Spinner Application of AZ3330, SU-8, polyimide, and other photoresists. Laurell Spinner Application of AZ3330, SU-8, polyimide, and other photoresists. MA150 Karl Suss Aligner High-fidelity mask exposure, capable of feature sizes down to .5 microns. 3D Profilometer Measures surface and textural properties by creating a composite 3-D image in true color of whatever is being scanned Curve Tracer Measures characteristic curves of semiconductor devices. Ellipsometer Highly accurate measuring tool for single or double thickness thin films measurements. Records index of refraction and thickness of various thin films. Filmetrics F20 Film Measurement System Measures the thickness and optical constants of any smooth, transparent or thin film with minimal light absorption Four Point Probe Measures the resistivity of silicon slices using a collinear four point probe array HP4145 Parameter Analyzer Semiconductor parameter analyzer used for curve tracing and wafer testing. HP4156A Parameter Analyzer Semiconductor parameter analyzer used for curve tracing and wafer testing. Leica Microscope General use microscope equipped with 5x, 10x, 20x, 50x, and 100x objective lenses. Equipped with a digital camera linked to a computer for recording observations. Metricon Prism Coupler The Metricon Prism Coupler allows for wafer measurements without an initial knowledge of the thickness. It allows for high accuracy measurements of many materials and substrates. Anelva RIE Reactive Ion Etching using CF4 and O2 chemistries. Chemical Mechanical Polisher System (CMP) The Chemical Mechanical Polisher (CMP) is used to polish 4″ (or 6″) wafer by using chemical and mechanical polishing method. It can planarize the wafer which has different material. Dicing Saw A Dicing Saw is used to cut(or dice) or groove semiconductor wafer into small square, or die. Grinder The grinder is used to grind a wafer piece into desired thickness. Polisher (Ultrapol End & Edge Polisher) The purpose of the Ultrapol End & Edge Polisher is to polish the edge of the diced wafer sample Wire Bonder The wire bonder is designed for ultrasonic, thermosonic, or thermocompression wedge bonding of IC’s, hybrids, microwave devices, and laser diodes using gold or aluminum wire from .5 mil (12.5 microns) to 3 mil (76 microns) and ribbon. Ultron UH114 Wafer Tape Applicator Ultron UH114 Wafer Tape Applicator is used to prepare the wafer which needs to be diced by a dicing saw machine. The tape will hold the diced wafer so that the wafer dice will not fall off. Clean Ovens Ovens used for curing of various polymers and dehydration of wafers. Critical Point Dryer Critical point drying is a method of drying wafer structures without collapsing or deforming the structure. Vacuum Oven Low-pressure baking oven. VAC Glovebox The VAC Glovebox is a controlled atmosphere apparatus. It provides an inert environment for handling highly reactive materials. Modutek Hotpot Heated area of the wet bench area where KOH etching of Silicon takes place. PE2 Plasma Etcher O2 plasma etching of thin organic films such as photoresist residue. This system has two parallel plates for a planar etch. STS ICP Etcher Highly directional inductively coupled plasma etcher for etching silicon. Trion ICP Etcher Highly directional inductively coupled plasma etcher for etching silicon, silicon nitride, silicon dioxide, and metals. Nanospec 210 Reflectometry system to measure thickness of silicon dioxide, silicon nitride, photoresist and other films with a small spot size. NI Parameter Analyzer(PXI-1033) PCI eXtensions for Instrumentation (PXI) is a PC-based platform that offers a high-performance solution for measurement and automation systems. Currently the primary use for this parameter analyzer is to measure and analyze transistors on wafers. Probe Station Probe stations are used to make contact to microscopic features on a device Profilometer Surface height profile measurement of microscopic features. Capable of producing measurements up to 10 samples/micrometer. Heidelberg DWL 66FS Laser Mask Writer Used to create custom photolithographic masks from a user-specified layout. Solitec Spinner Spinnable material application. This spinner is not restricted to photoresist application and may be used for coatings such as spin-on glass, SU8, polyimide, etc. Can also be used for wafer cleaning. Bruce Tube Furnace High temperature furnance for wafer thermal oxide growth, wafer dopant diffusion, and metallization annealing. Denton E-beam Evaporator High temperature deposition of most metals. Denton Sputtering Machine Sputtered deposition of various materials including Tungsten, Silicon Nitride, Silicon Dioxide, and Chrome. Bruce Tube Furnace High temperature furnance for wafer thermal oxide growth, wafer dopant diffusion, and metallization annealing. Rapid Thermal Annealer Rapid annealing of metal-semiconductor contacts and ion-implanted silicon wafers. PlasmaTherm 1 Plasma deposition of SiO2, SiOxNy, and Si3N4films. This machine is currently dedicated to producing silicon nitride films. PlasmaTherm 2 Plasma deposition of SiO2, SiOxNy, and Si3N4films. This machine is currently dedicated to producing silicon dioxide films. PECVD 3 Plasma deposition of SiO2, SiOxNy, and Si3N4films. This machine is currently dedicated to producing silicon dioxide films. Thermal Evaporator Multi-boat metal deposition of gold and aluminum. E-beam and thermal deposition system E-beam and thermal deposition system Atomic Layer Deposition Atomic Layer Deposition ATC-Orion 5 UHV with load lock, sputtering system ATC-Orion 5 UHV with load lock, sputtering system Veeco DEKTAK 150 Surface Profilometer Veeco DEKTAK 150 Surface Profilometer Hitachi TM3000 Tabletop Scanning Electron Microscope Hitachi TM3000 Tabletop Scanning Electron Microscope Nikon Ecipse LV100 Microscope Nikon Ecipse LV100 Microscope Filmetrics F40-UV Thin film Analyzer Filmetrics F40-UV Thin film Analyzer Trion Phantom III DRIE Trion Phantom III DRIE Bruce Furnace Bruce Furnace Karl Suss Microtec MJB4 Mask Aligner Karl Suss Microtec MJB4 Mask Aligner Heidelberg Instruments μPG 101 Mask Maker Heidelberg Instruments μPG 101 Mask Maker Plasma etch, Inc. Tabletop Plasma system Plasma etch, Inc. Tabletop Plasma system Cascade Tek Vacuum Oven Cascade Tek Vacuum Oven QL Vacuum Oven QL Vacuum Oven E-beam and thermal deposition system Atomic Layer Deposition ATC-Orion 5 UHV with load lock, sputtering system Veeco DEKTAK 150 Surface Profilometer Hitachi TM3000 Tabletop Scanning Electron Microscope Nikon Ecipse LV100 Microscope Filmetrics F40-UV Thin film Analyzer Trion Phantom III DRIE Bruce Furnace Karl Suss Microtec MJB4 Mask Aligner Heidelberg Instruments μPG 101 Mask Maker Plasma etch, Inc. Tabletop Plasma system Cascade Tek Vacuum Oven QL Vacuum Oven ADDITIVE PROCESS INSTRUMENTATION Angstrom Evaporator NFC Dielectric Evaporator NFC Indium Evaporator NFC Metal Evaporator CVC Sputter Deposition Denton Sputter Deposition PlasmaTherm PECVD/Dielectric RIE Etcher Non-Lithography spin coater Veeco Fiji G2 Atomic Layer Deposition PACKAGING AND ASSEMBLY INSTRUMENTATION Suss Diamond Scribe station DISCO Automated Wafer Saw MEI Die Attacher EVG Wafer Bond Aligner EVG Wafer Bonder K&S Gold Ball Wire Bonder Westbond Wedge Aluminum Wire Bonder PATTERNING PROCESS INSTRUMENTATION Elionix ELS G-100 E-beam Lithography Suss MJB3 Lithography Aligner 1 Suss MJB3 Lithography Aligner 2 Suss MA6 Lithography Aligner Nikon i-Line Stepper Solvent / Develop Bench for Photoresist Solvent Bench for SU-8 Liftoff Photoresist Solvent Wet Bench Develop Wet Bench for Electron Beam Lithography Clean Room Oxford PlasmaPro 100 Inductively Coupled Plasma (ICP) Deep Reactive Ion Etching (DRIE) Etch System for Si and Oxides Angstrom Engineering DC/RF Sputtering System Intlvac Nanoquest Pico Ion-Milling System SUSS MicroTec MJB4 Mask Aligner Intlvac Nanochrome Deposition System Trion Orion Plasma-Enhanced Chemical Vapour Deposition (PECVD) System Trion Phantom Reactive Ion Etcher (RIE) Tousimis Automegasamdri-915B, Critical Point Carbon Dioxide (CO2) Dryer Logitech Chemical Delayering & Planarization (CDP) System Oriel Mask Aligner Lindberg/Blue High Temperature Furnace Bungard Dry Film Laminator Yellow Room (for photolithography) Technic 5-Tank Electroplating System Maintenance Corridor Nordson EFD Liquid Dispensing System Photoresist Spinner 1 Photoresist Spinner 2-including SU8 Photoresist Spinner 3 Photoresist Spinner for Electron Beam Lithography Hotplate for SU-8 Photoresist HMDS Primer METROLOGY INSTRUMENTATION Superior Electronics 4-pt Probe Station for sheet resistance measurement Tencor Alpha-step 200 Profilometer KLA-Tencor P7 Profilometer Filmetrics F20 Reflectometer Tencor FLX-2320 Thin Film Stress Measurement Several Nikon Optical Microsopes Nikon and Wild Image capture cameras SUBTRACTIVE PROCESS INSTRUMENTATION PlasmaTherm ICP General Plasma Etcher PlasmaTherm ICP Metal Plasma Etcher PlasmaTherm PECVD/Dielectric RIE Etcher PlasmaTherm Apex ICP Compound Semi Etcher Yes Plasma Asher PlasmaTherm Reactive Ion Etcher NFC Ion Mill Sputter Removal STS Deep Reactive Ion Si Etcher Samco UV/Ozone Cleaner SPTS XeF2 Etcher Al Etch Wet Bench KOH Wet Bath 1 KOH Wet Bath 2 – relaxed materials restrictions Nitride Etch Wet Bench Piranha Etch Wet Wench BOE / HF Wet Bench III-V Corrosives Wet Bench Corrosives Wet Bench Pre-Furnace Clean Wet Bench THERMAL PROCESS INSTRUMENTATION MRL Tube 1 High Temp Anneal Furnace MRL Tube 2 High Temp Oxidation Furnace MRL Tube 3 Low Temp Oxidation Furnace MRL Tube 4 Low Temp Anneal Furnace MRL Tube 6 Unique Anneal Furnace Tystar High Temp Oxidation Furnace Tystar LPCVD Nitride furnace Tystar LPCVD Polysilicon furnace Thermtec Metal Anneal Furnace ” MICROSCOPY Bruker Catalyst BioAFM Atomic Force Microscope Bruker MultiMode 8 Atomic Force Microscope Cameca 3000XSi Atom Probe Tomography Instrument Andor Spinning Disk Confocal Microscope FEI Helios G4 Plasma FIB/FESEM/EBSD/EDS Zeiss Auriga FIB/FESEM/EBSD/EDS Leica optical microscope with phase contrast imaging Zeiss LEO 1550VP FESEM/EDS Zeiss LEO 1530-1 FESEM/EDS/EBSD Zeiss LEO 1530-2 FESEM/EDS Philips CM200UT TEM FEI Tecnai T-12 Cryo TEM FEI Tecnai TF 30 TEM FEI Titan 80-200 Aberation Corrected (S)TEM/EDS/ EELS NanoMegas ASTAR TEM Orientation Imaging System X-RAY ANALYSIS Bruker D8 Discovery X-ray diffractometer Panalytical Empyrean X-ray diffractometer Panalytical XPert MRD X-ray diffractometer Rigaku Small Angle X-ray Scattering SAMPLE PREPARATION Tousimis Critical Point Dryer Leica EM UC7 Cryo-UltraMicrotome Leica ACE600 Sputter Deposition system Denton DV-502A Metal Evaporator TEM Dimpler FEI Vitrobot Cryo vitrifier Fischione 1050 TEM Ion Mill Fischione 1040 TEM Ion Mill FEI Helios G4 UX Plasma Dual Beam FIB SPECTROSCOPY Horiba NanologSpectrofluorometer Horiba Labram Aramis Raman Spectrometer Horiba Glow Discharge Optical Emission Spectrom- eter GD Profiler-2 Thermo K alpha X-ray Photoelectron Spectrometer Zygo New View non-contact Profiler UV VIS Spectrometer Perkin-Elmer Lambda 10 UV VIS NIR Spectrometer Perkin-Elmer Lambda 19 FTIR Nicolet Magna 550 FTIR Nicolet Magna 860 FTIR Nicolet iS50R with PM-IRRAS Raman Imaging Microscope Thermo Scientific DXRxi Cleanroom Ambios Surface Profiler OAI Mask Aligner Reactive Ion Etcher (Tool A South Bay) Wire Bonder K&S ShelLab Ovens SSI Rapid Thermal Processor AJA Sputtering Tool Atomic Layer Deposition Tool Cambridge NanoTech PVD-75 Lesker Hybrid Sputter-Evaporation Tool PVD-75b Lesker Straight Sputtering Tool YES CVD/Plasma Oven Lithography Bench with Spinners (Reynolds Tech) RCA Clean Bench/General Acid Bench Spin-Rinse Dryer Metrology NTMDT AFM Microscope Olympus BX51 Light Microscope SEM/FIB Zeiss-Auriga Microscope TEM-FEI Tecnai STEM MicroscopeSample prep Denton Sputtering Tools (2) Ladd HV Evaporator Tousimis Critical Point Dryer Plasma Cleaner/02 Asher SBT TEM sample prep suite (tripod) RMC Ultramicrotome Raith EBPG 5000+ e-beam exposure Raith EBPG5200 e-beam exposure Delta RC80 spin coating, EBR, HDMS primer DMO Laserwriter Laserwriter Suss Microtech DUV DUV AMS Bosch Deep Si Bosch ICP etcher AMS Cryo Deep Si Bosch ICP etcher Sentech F1 Fluorine RIE Sentech F2 Fluorine RIE Leybold F3 Fluorine RIE (Ti and Nb) Oxford Instruments Plasmalab 100 ICP RIE with Cl chemistry SCIA Ion beam etcher Oxford Instruments PlasmaPro 100 Estrelas Deep SI etching Tempress Furnace Oxidation, annealing, LPCVD of SiN Temescal FC2000 e-gun evaporation of metals Leybold L560 evaporation of metals (specials) Alliance EVA evaporation of metals (specials) Alliance diel sputtering of diectrics Alliance Metal 1 sputtering of metals Alliance Metal 2 sputtering of superconductors FirstNano Easytube 2000 CVD of CNT’s and graphene Oxford Instruments PlasmaPro 80 PE-CVD of a-Si, SiO, SiN Oxford Instruments FlexAL Atomic Layer deposition Leica ACE200 Sputter coater for SEM Ultratech Fiji ALD Atomic Layer Deposition Tepla 300 Barrel etcher/stripper Wet Benches Lithography Spin coating + baking Bruker FastScan AFM Atomic force microscope Bruker Dektak XT Profilometer FEI Strata 235 FIB/SEM FEI NovaNanoSEM SEM with EDX Hitachi S4800 SEM SEM (high-resolutions) JEOL JEM-1400 TEM 120 kV, with cryo plunger Olympus Microscopes various options Renishaw Invia Reflex Raman microscope Probe Station Electrical characterisation Woollam M-2000 Ellipsometer Flexus (TOHO) Stress meter Tousimis 815B CO2 Critical Point dryer Leica CPD3 CO2 Critical Point Dryer Disco dicer dicing of wafers EVG510 bonder wafer bonding, hot embossing KOH etch Si etching SSI – Solaris 100 Rapid Thermal Annealing Westbond 4KE wire bonding HF vapour etch Luca Labs Pro4 4-point probe Olympus Widefield Microscope Olympus TIRF Olympus TIRF Nikon A1R confocal Microscope Nikon A1R confocal Microscope Andor Confocal Spinning disc Andor Confocal Spinning disc Picoquant Micro Time 200 Picoquant Micro Time 200 Nikon SIM Nikon SIM FEI Helios G4 CX FIB/SEM Bruker Dimension D8 XRD Gyrset RC8 EVG-620 NUV Heidelberg Instruments Laserwriter AFM AJA Magnetron Sputtering Tool ASML Pas 2500/40 stepper ASML Pass 5500/1100B scanner Au/Ag Thermal Evaporator, Edwards Brewer Science Equipment Bruker DekTak XT BVR2008 FC Electron Beam Evaporator Capacitance Voltage (CV) profiler Critical Point Dryer Diamond tool scribers, Karl Suss and Loomis FIB/SEM (FEI) Filmetrics Reflectometer Hitachi S-9920 CD-SEM HMDS-primer deposition, Philips Ionwave, Tepla Karl Suss MA-6 contact aligner MBE Createc II/IV/VI MBE Createc III/V MBE Createc Metal MBE Veeco GENxplorer Mechanical / chemical polishing MOVPE Aixtron Dual Reactor MOVPE Aixtron Multiwafer MOVPE Aixtron Showerhead Optical Microscopes Oxford General Purpose RIE Oxford ICP Oxford Instruments FlexAL 1 Oxford INstruments FlexAL 2 Oxford Instruments FlexAL 2D Oxford Instruments OpAL Oxford Nitride RIE Oxford Oxide/Nitride ICP PECVD Oxford Oxide/Nitride PECVD Oxford Polymer RIE Plating Bath Polymer layer spinning Raith EBPG 5150 Electron Beam Lithography Rapid Thermal Annealer 1, Jipelec Rapid Thermal Annealer 2, Jipelec Resist deposition, GYRSET SEM JEOL SEM ZEISS Semi Automatic Mask Aligner EVG 620NT Semi Automatic Wafer Bonder EVG 520IS Sentech InP ICP Reactive Ion Etcher Spectroscopic ellipsometer, Sentech Sputtercoater for SEM samples, Emitech Temescal FC2000 Electron Beam Evaporator Trymax stripper Waferbonder, AML X-ray diffractometer (XRD), PanAlytical X-ray Photo Electron Spectrometer, K-Alpha Wet Benches and Dry Rinse Spinner: FSI Mercury reactor, SEMITOOOL organic solvent system Conventional & Rapid Thermal Annealing Deposition of Polysilicon and Dielectric Layers with precise refractive index control: 2 PECVD Applied Materials cluster tools (a-Si:H, Si3N4, TEOS-based B&P doped glasses) Resist spinner, develop track and high pressure spray lift-off system Lithography: Vistec EBPG5000 (100kV) Raith 150 e-Beam direct writing (30kV) EVG 620 DUV Mask Aligner system TEL Mk VZ Developer & Coater Dry Etching systems (RIE, ICP): STS and Corial 500 systems. Physical Vapor Deposition (PVD): 2 Pfeiffer E-beam evaporation and Emitech DC sputter systems Metrology: Hitachi SEM and optical microscopes for x-section analysis of small samples, JEOL FIB for x-section analysis of 6” wafers. The available processes and capabilities are: Lithography (e-beam & DUV “Mask aligner”) ICP-RIE etching (Dielectric and Metal etch) PECVD layer deposition (SiO2, Doped SiO2 -BPTEOS-, SiN, a-Si) E-beam evaporation (metals, ITO, GST) Lift-off Conventional & Rapid thermal annealing The following characterization tools are also available: SEM AFM Profilometer FTIR Spectroscopic Ellipsometer RAMAN SNOM Back End Ball Bonder – Kulicke & Soffa 4124 Dicing Saw – Disco DAD341 Drying Oven – Thermo Scientific Heraeus UT 6060 Furnace – Nabertherm LT 9/11 SKM Manual Electric Probe System – SÜSS MicroTec PM5 Optical Microscope – Nikon Eclipse ME600 Plasma Asher – PVA TEPLA 200 Sputtering System – Anatech Hummer 6.2 Tube Furnace – Carbolite Gero KST 12/600 Wafer Mounting Station – Kulicke & Soffa Model 961 Lithography Hot Plate – Sawatec HP401 Mask Aligner – Karl Süss MA6/BA8 Maskless Aligner – Heidelberg MLA100 NanoFrazor Explore Optical Microscope – Leica INM 200 Plasma Asher – PVA TEPLA 300 AL SEM with EDX and EBL System – LEO 1525 / Raith Elphy Plus Spin Coater – Karl Süss RC8 Spin Coater – POLOS SPIN150i Spin Rinse and Dryer Wet Bench Lithography – Robotank Magnetic Thin Films Ion Beam Etching and SiN Sputtering System LASSE (LAyered Structures for Spin Electronics) Sputtering System – AJA ATC Orion 8 Yamamoto Wafer Electroplating Set Metrology AFM System – Keysight 5600LS Ellipsometer – J.A. Woollam VASE Jandel Four-Point Probe System with RM3 Test Unit Mitutoyo MF-Hyper Microscope Optical Microscope – Leica FTM 200 Optical Profiler – Filmetrics Profilm3D Prism Coupler – Metricon 2010 Profilometer – KLA Tencor P-17 Thin Films Deposition Ceradrop – CeraPrinter F-Serie CVD – STS Multiplex E-beam Evaporator – Evatec BAK 640 RIE ICP – Oxford Plasmalab 100 Sputtering and Ion Beam Etching System – Kenosistec VS80 Sputtering System – Leybold LH Z400 Thermal Evaporator – Moorfield MINILAB-080 Wet Processes Spin Rinser Dryer – Verteq Wet Bench Lift Off – Robotank Wet Bench Multi Wafers Etching Wet Bench Single Wafers Etching AFM Asylum AFM CSI Helios NanoLab Horiba LabRAM HR Evolution Nova NanoSEM Quanta 250 ESEM (Masdar) Quanta 3D FIB SEM JEOL 7610F Tecnai TEM 200kV Titan TEM 300kV Witec Alpha 300 RAS – Micro/Nanofabrication Facility Acid Bench Machine is down Atomic Layer Deposition Machine is down C-P Vacuum Oven Dicing Saw DRIE Silicon/Silicon Nitride Machine is down E-Beam Lithography Ebeam Evaporator Flexus 2320 Thin Film Stress Measurement HMDS Prime Interferometer J.A Woolam Variable Angle Ellipsometer KOH/Base bench Machine is down Lesker PVD 75 Thermal Evaporator Machine is down MicroTest Scriber MicroTest Wirebonder NW+Graphene CVD system Machine is down Oven Oxygen Plasma Cleaner PECVD Machine is down Photoresist Developing Station Plasma Sputter deposition Precision Spin Coater Reactive Ion Etcher Cl- Machine is down Reactive Ion Etcher Fl- Solvent Bench Stylus Profilometer Susbtrate Cleaning Station Machine is down SUSS MA8/BA8e Litho Contact Aligner Video Microscope with 3d – Solar & Device Characterization Lab Agilent B1505A Curve Tracer + Signatone 1160 Manual Prober Delcom Noncontact Sheet Resistance Meter Ecopia HMS-5000 Hall Effect Measurement System Elite 300 Semi-automatic Prober + Keithley 4200-SCS Parameter Analyzer IV-5 Solar Cell I-V Measurement System LakeShore 7607 Hall Measurement System LAMBDA 1050 UV/Vis/NIR Spectrometer OAI TriSol CPV Solar Simulator QEX7 Solar Cell QE Measurement System Sol3A 94123A Solar Simulator WCT-120 Wafer Lifetime & Suns-Voc Curve Tracer Tools – Materials Testing Lab Bruker D2 Phaser XRD Bruker Vertex 80v FT-IR Dilatometer DIL 802 DMA TA Q800 Flash Diffusivity TA DXF-EM900 Machine is down Muffle Furnace Nabertherm High temperature Furnace NETZSCH High temperature DSC NETZSCH High Temperature TGA NETZSCH QMS 403 D Rheometer TA ARES G2 Machine is down Simultaneous Thermal Analyser STA 504 VStar Sorption Analyser Under preparation XRD PANalytical Empyrean – ACBC LAB Autoclave Rodwell Phoenix 60 Biosafety cabinet ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS CARY-Eclipse Fluorescence spectrometer ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Centrifuge Eppendorf 5810R Centrifuge (CE251525) ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Concentrator Plus Eppendorf Conductivity Benchtop Meter (WTW, inoLab® Cond 7110) ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Cutting-grinding mill – IKA MF10 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Digital Sonifier – Branson 450 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Digital Stereo Microscope Thomas Scientific ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS EVOS Floid Cell Imaging Station Life Technologies ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Fermac 320, 2 Liter Microbial fermentation system – Unit 1 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Fermac 320, 2 Liter Microbial fermentation system – Unit 2 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Fermac 320, 2 Liter Microbial fermentation system – Unit 3 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Fermac 320, 2 Liter Microbial fermentation system – Unit 4 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS FPLC Biorad Duo Flow Maximizer ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Freeze Dryer – Labconco 4.5 L Cascade ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Freeze dryer 2 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS GC-FID Agilent 7890B GC-TCD-FID (SRI GC, 8610C) ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS GCMS (Agilent 7890B GC & 5977A MSD) ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS GPC HPLC Agilent 1260 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS HPLC Agilent 1290 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS HPLC Waters Alliance ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS ICPMS – Perkin Elmer NexION 350X Dual-channel ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS IKA Magnetic Stirrers C-MAG HS 7 control Incubator Shaker Eppendorf Innova 43 Innova 42 R incubator and refrigerator shaker with accessories unit #1 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Innova 42 R incubator and refrigerator shaker with accessories unit #2 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Inverted Compound Microscope Jenco ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Ion Chromatography System Dionex ICS-5000 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Laminar Flow Cabinet ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Light Microscope ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Microplate Reader Fluostar Optima Microwave digestion system ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Microwave digestion system – Mars 6 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Mini Centrifuge – IKA mini G ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS MiSeq System Illumina Moisture Analyzer with heater bulb (HX204) ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Molecular Imager Biorad Gel Doc XR System ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Multipette stream electronic dispensers – Eppendorf Xstream ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Multiple Soxhlet Extractor ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS NanoDrop 2000 Spectrophotometer pH meter – WTW 7310 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS PH/ion meter- WTW 7320 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS QFX Fluorometer Real-time PCR system Applied Biosystems StepOne ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Rotovap IKA RV 10 digital V ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Shaking incubator S1500 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Shell Freezer ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Sonicator – QSonica Q125 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Thermo Scientific Flex 900 chiller ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS TOC analyzer Sievers GE ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Ultracentrifuge – Sorvall MX 150 Plus ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Universal Oven UF160- Unit 1 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Universal Oven UF160- Unit 2 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS UV-VIS Spectrophotometer Lamda 35 UV-VIS Spectrophotometer scanning 670 SP/25610 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS UV/VIS spectrophotometer Thermo Scientific Genesys 10S Water Bath, digital acrylic tank 1 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Water Bath, digital acrylic tank 2 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS Water Purification Unit – Millipore Milli-Q Integral 5 ACBC LAB IS CLOSED DUE TO THE RELOCATION TO SAN CAMPUS 3D printer (Objet 260 Connex) ARC Welding Machine CNC Lathe Machine CNC Milling Machine Conventional Milling Machine Horizontal band saw Lathe Machine Orbital Welding Machine Radial Arm Saw Radial Drilling Machine Sheet Bending Machine Sheet Cutting Machine Sheet Rolling Machine Vertical band Saw AJA evaporator/sputter DeeDirectors evaporator NxQ4006 aligner Heidelberg MLA150 maskless JBX-81OOFS Ebeam Lithography Oxford ICP etcher ECR etcher Xactix XeF2 etcher PE-50 plasma asher Trion PECVD Vanguard Photonics PWB tool PECVD Wetbench ISO-5 yellow room             200 mm Headway spinner Wetbench ISO-6 yellow room             Headway spinner             Laurell spinner Dektak XT profilometer Nanosurf Atomic Force Microscope Alpha Step 200 profilometer Filmetrics reflectometer 4 point probe MTI muffle furnace Environmental probe station Miele glassware washer Agilent leak detector DAD3240 dicing saw Ebeam lithography Electron beam lithography system Raith EBPG5000+, electron beam lithography system Manual coater and Develop wet benches ATMsse OPTIspin SB20, manual coater, ebeam resists Plade solvents wet bench, ebeam resist developing Water/Base wet bench, ebeam resist developing Hot plates and Dryer Präziterm, hot plate, dehydration and soft bake SAWATEC HP-200, hot plates, dehydration and soft bake Terra universal cabinet, dryer, to keep equipment clean and dry Photolithography Automatic coaters & developers Süss Microtech ACS200 Gen3, cluster for i-line and DUV photoresists EVG 150, cluster for i-line photoresists Rite Track 88, track for i-line photoresists Hamatech HMR900, mask (Cr-blanks) processing equipment Manual coater & hotplate lines Sawatec LSM-200 & HP-401-Z, coater & hotplate for SU-8 and polyimide Sawatec LSM-250 & HP-200, coater & hotplate for SU-8 ATMsse SB20, coater & hotplates for i-line photoresists Laurell WS-650-23, coater & hotplates for non-photosensitive films Exposure tools: laser writers Heidelberg Instruments VPG200, i-line photoresist laser writer Heidelberg Instruments MLA150, i-line photoresist laser writer Nanoscribe Photonic Professional GT+, 3D laser writer Exposure tools: DUV (λ = 248nm) stepper ASML PAS 5500/350C, DUV stepper Exposure tools: mask-aligners Süss Microtech MJB4, top-side mask aligner Süss Microtech MA6/BA6, top- and back-side mask aligner and bond aligner Süss Microtech MA6Gen3, top- and back-side mask aligner Photolithography wet benches Plade Solvent Z1, wet bench for lift-off and SU-8 development Plade Solvent Z6, wet bench for misc. applications Arias Solvent Z13, wet bench for cleaning and SU-8 development Arias Base Z13, wet bench for i-line photoresist development Additional hot plates / ovens YES III, HMDS primer oven Accu-Plate, hot plate for SU-8 PEB and Hardbake Dataplate, hot plate for SU-8 soft bake and PEB Heraeus T6060, oven for polyimide (PI) curing Despatch LCD1-16NV-3, multipurpose oven Etching Ion Beam Etching Veeco Nexus IBE350, ion beam etcher, argon source Plasma Etching STS Multiplex ICP, dry etcher, chlorine and bromine chemistry Alcatel AMS 200 SE, dry etcher, fluorine chemistry SPTS APS Dielectric Etcher, dry etcher, fluorine chemistry Oxford PRS900, dry etcher, oxygen plasma Tepla 300, dry etcher, oxygen plasma Tepla GiGAbatch, dry etcher, oxygen plasma TEL Unity Me, dry etcher, fluorine chemistry Gas Etching SPTS uEtch, Hydrofluoric acid vapor phase etcher – Anhydrous 99% HF chemistry, ” Dynamic etch ” pulsed configuration, Variable pressure XeF2 Silicon etching system Grinding / Polishing Steag Mecapol E 460, Chemical Mecanical Polishing (CMP) Post CMP Cleaning System GnP Cleaner 428 DAG810, automatic surface grinder Wet Etching UFT Piranha, wet bench, organics/residues stripping UFT Resist, wet bench, resist stripping Plade Oxide, wet bench, oxide etch Plade Metal, wet bench, metal etch Plade Six Sigma, wet bench, anisotropic silicon etching (KOH) Tousimis Automegasamdri 936C, CO2 supercritical point drying Arias Acid, wet bench Arias Base, wet bench Arias Solvent, wet bench Thin films LPCVD / Dry or wet oxidation / Alloying / Doping / Diffusion / Densification / Annealing / ALD Centrotherm furnaces, 11 tubes in 3 stacks Plade RCA, wet bench, pre-oxidation cleaning Plade Reclaim, wet bench, deglazing, nitride and oxide and polysilicon stripping Atomic Layer Deposition BENEQ TFS200 Atomic Layer Deposition BENEQ TFS200 (ALDII) Rapid Thermal Processing JETFIRST 200 Evaporation Alcatel EVA 600, evaporator, e-gun & thermal sources Alliance-Concept EVA 760, evaporator, e-gun Leybold Optics LAB 600H, evaporator, e-gun , IAD Vacotec, evaporator, thermal sources Vacotec VACO 250, evaporator, thermal sources Sputtering Balzers BAS 450, sputter, single chamber, multi-target system Pfeiffer SPIDER 600, sputter, cluster system Alliance-Concept DP 650, Sputter single chamber multi-target Pulsed Laser Deposition Solmates SMP 800 – Pulsed Laser Deposition Electroplating / Parylene coating Desaules, wet bench for electroplating, Cu and Ni Silicet Electroplating unit, Cu Comelec C-30-S, parylene deposition system Metrology AFM Bruker Atomic Force Microscope FIB / SEM / EDX / ProSEM FEI Nova 600 NanoLab, dual beam (SEM/FIB) Zeiss LEO 1550, scanning electron microscope Zeiss MERLIN, scanning electron microscope EDX analysis on the Zeiss MERLIN SEM ProSEM image analysis software XPS / AES available at MHMC X-ray Photoelectron Spectroscopy Auger Electron Spectroscopy Mechanical profilometers Tencor Alpha-Step 500, Horiba UVISEL Spectroscopic Ellipsometer Keyence VHX-7000 Microscope surface profiler Bruker Dektak XT, surface profiler KLA Tencor D600, surface profiler Optical measurement Krüss DSA-30E, Contact Angle Measurement tool Sopra GES 5E, spectroscopic ellipsometer Bruker Contour X, optical profiler Nanospec AFT-6100, spectroscopic reflectometer FilMetrics F20-UV, spectroscopic reflectometer and transmittometer FilMetrics F54, Automated Thickness Mapping Systems Toho Technology FLX 2320-S – Thin Film Stress Measurement tool Bruker VERTEX70 FT-IR Spectrometer Electrical measurement KLA Tencor OmniMap RS75, resistivity meter four-point measurements MPI TS150 prober station Optical microscopy Various optical microscope Packaging – Miscellaneous Bonding Süss SB6, vacuum anodic bonder TPT HB10 Wedge and Ball Bonder Laser Machining – Milling OPTEC LSV3, Excimer laser (193nm) T-Tech QC5000S-E Dicing – Cutting Disco DAD321, automatic dicing saw Plotter-Cutter Robopro CE5000-40-CRP Printing Thermal NanoImprinter EHN-3250, thermal imprint system UV NanoImprinter EUN-4200, UV imprint system Miscellaneous PDMS line Dry film laminators Linear-beam atmospheric plasma system Surfx Atomflo 400L Idonus shadow mask aligner Neytech Qex – Oven up to 1200°C Furnace ATV PEO-601 Furnace ATV SRO-702 Photolithography Convac 1001S, 2 x Spin coater 0-10000 rpm for 2 inches wafers Süss MicroTec MJB3 UV400, 2 x Mask aligner for 4 x 4 inches masks with 365 nm to 405 nm light source Mask aligner MA6, for 2.5 to 7 inches masks, 250 nm to 405 nm light source, max 2 inches Wafer, resolution of 0.5um Süss MicroTec LabSpin6BM, 2 x Spin coater 0-8000 rpm for 2 to 6 inches wafer Dry Etching Sentech ICP-RIE SI 500 (2006), RIE Etcher with etcher with 1200 W RF generator for piece parts up to 4 inches wafers Sentech ICP-RIE SI 500 (2018), RIE Etcher with etcher with 1200 W RF generator for piece parts up to 4 inches wafers Oxford Plasmalab system 80 plus, RIE Etcher (CF4, Ar, O2, CHF3 and SF6) with 600 W RF generator for piece parts up to 8 inches wafers Plasmaline 415 Tegal, Barrel Stripper (O2) with 300 W RF generator for piece parts up to 6 inches wafers Wet Etching HF, brome/methanol, HCL, HNO3, H4SO4, etc. Thin Films Equipment Ebeam 2000, Electron beam evaporator Oxford Plasmalab System 100, SiO2, Si3N4, SiC and aSi PECVD UniTemp RSS-450-110, Mini reflow solder system Kenosistec sputtering + Intellemetrics optical control, Magnetron sputtering system RTP AS-ONE , Rapid Thermal Processing Alliance-Concept EVA-451, Electron beam evaporator Metrology Equipment SEM Jeol JSM-6701F, resolution of 1 nm at 15 kV and 2.2 nm at 1 kV for piece parts up to 2 cm wafers Olympus BX51, Optical microscope with 2x, 5x, 10x, 20x, 50x, 100x objectives and 5 Mpx camera Tencor Alphastep IQ, Profilometer for piece parts up to 4 inches wafers Optical Microscope Sensofar S-Neox, Confocal + Interference modes. Resolution in confocal mode: 150nm lateral, 1nm vertical. Resolution in interference mode: 200nm lateral, <0.1nm vertical Backend Süss MicroTec HR 100, Scriber diamond two-sided for “toe scribing” and 60° cutting angle square shaft for piece parts up to 4 inches wafers JFP Model 100, 4 inches wafer scriber LOCATION AB&M.INC UV Flood exposure system ADT 7910 uno Dicing Saw Anatech LTD Model SP100 Plasma system Anneal Tube (4″) Anicon LTO CVD Anodic Bonding Furnace up to 4 Inch ASM LPCVD Atomic Layer Deposition (ALD) Boron Tube (4″) BMR Low Temp PECVD Canon i4 4000 Stepper CHA Mark 50 Evaporation CHA Thermal evaporation (SEC-600-RAP) (Manual tool) Cincinnati Sub-Zero Environmental Chamber Class II Biohazard Safety Hoods CO2 Water Jacketed Incubator Series II Dektak 3 Profilometer Dektak XT Profilometer Digital Combo Embosser Dry and Wet Oxidation Tube (6″) Dry Oxidation Tube (4″) E-Beam evaporation (Temescal SR-10) (Manual tool) E-Beam 1 evaporation (Temescal CV-8) (Manual tool) E-Beam 2 evaporation (Temescal CV-14) (Manual tool) FEI SEM / E-Beam Writer Filmetrics F40 Nanospec First Nano Furnace (EasyTube 3000 System) Gaertner Ellipsometer Light sources High Power Femtosecond DPSSL System CARBIDE-40W Custom Harmonic Generator HIRO (with 5th harmonic) Optical Parametric Amplifiers ORPHEUS-HP-SH-DFG OPA White Light Generator Cobolt Samba 532 nm, 400 mW laser PILAS 405 nm picosecond diode laser Optical cryostat system Cryostation s100 (Fusion) Foundation (NEMA) from Montana Ins Attocube CCD camera and spectrograph Andor spectrometer Light scattering system Research goniometer and laser light scattering system Rheometer Discovery hybrid rheometer Microscope NeoSNOM Zeiss Primotech Superconducting Nanowire Single-Photon Detection System IDQ 281 Other supporting equipment Zurich MFLI Lock-in Amplifier High performance, long-travel linear motorized stage Microscope Objectives Balanced detectors, silicon detectors, position-sensing detector Power meters Glove boxes Gasonics Downstream Plasma Ashing Harrick Plasma Cleaner Heatpulse 610 RTA Harrick Plasma Cleaner Anatech LTD SP100 Plasma System PE-100 Plasma Etch Benchtop System Laurell Photoresist Spinner Karl Suss MA56 Mask Aligner AB&M UV Flood Exposure System Dektak 3 Profilometer Digital Combo Embosser Nano Imprinter Jenoptik Hex03 CO2 Water Jacketed Incubator II Class II Biohazard Safety Hoods REY Embosser Resonics Micromachining Technology Rapid X 250 Applied MST MVD 100 SCS PDS 2010 Specialty Coating Sys. SPTS APS PM Scientific Technology Embosser Characterization and Metrology Filmetrics F40UV Reflectometer Gaertner Ellipsometer Hitachi S-4700 SEM Keyence Confocal Microscope Keyence VHX6000 KLA Tencor P-15 Profilometer NanoSpec Reflectometer Deposition – ALD and CVD Cambridge ALD Oxford ICP-CVD Deposition – PVD Angstrom Covap Thermal Evaporator Angstrom Dielectrics Sputterer Angstrom Dual Chamber E-beam Evaporator Angstrom Metals Sputterer Angstrom Metals Sputterer 2 Angstrom Nexdep E-beam Evaporator Denton E-beam Evaporator Edwards Thermal Evaporator Lithography Automatic Develop Station Heidelberg DWL66+ Nanonex Nanoimprinter Photoresist Spinners Polos Chrome Etch Processor Raith E-beam Writer Raith EBPG 5150 E-beam Writer Suss MA6 Mask Aligner Suss MJB4 Mask Aligner YES Vacuum Oven Packaging ADT Dicing Saw K&S 4124 Gold Ball Bonder K&S Wedge Wire Bonder Logitech Lapping/Polishing Systems Loomis LSD-100 Scribe/dice Mitutoyo Litematic Height Gauge Questar Wedge Wire Bonder Tape Dispensers Tresky Flip Chip Bonder UV Curing System West-Bond Manual Epoxy Die Bonder Plasma Etch Oxford PlasmaPro80 RIE PlasmaTherm 720 SLR RIE PlasmaTherm Takachi SLR (Diamond) PlasmaTherm Takachi SLR (Metals) SAMCO 200iPB RIE III-V SAMCO 800iPB Deep RIE (si) TePla M4L Plasma Asher Soft Materials Processing Form2 3D Printers Heidelberg microPG101 KLA Tencor D-120 Profilometer PDMS Processing Tools Surface Chemistry and Wet Processing Acid and Base Hoods Critical Point Dryer RCA Hood Semi-Tool Spin Rinse Dryers Ultraviolet / Ozone Cleaning System VWR 1410 Vacuum Oven Thermal Processing CVD 2 Stack Furnace CVD Equipment 1033 Atmospheric Furnace CVD Equipment 1033 LPCVD CVD Equipment 1034 Atmospheric Furnaces SSI Solaris 150 RTP Systems ASM P8200/P3000 ALD Baxter Drying Ovens BIDTEC SP100 Spin Coater Bonding/Dicing Bruker DektakXT Profiler Caltex 3D Microscope Characterization CLUSTEX 100sp Sputter Cressington Gold Sputter Coater Deposition/Diffusion EnvisionTech 3D Printers EVG 501 Wafer Bonder EVG 610 Double-sided Mask Aligner FEI Helios NanoLab 460F1 DualBeam Focused Ion Beam (FIB) K&S Wire Bonder Laurell Spin Coater Leica Reichert Polylite 88 Optical Microscope Lesker PVD 75 DC Sputter Lesker PVD 75 E-Beam Evaporator 1 Lesker PVD 75 E-Beam Evaporator 2 Lesker PVD 75 RF Sputter Lithography/Patterning Micro Automation Dicing Saw Micromanipulator 6100 Probe Station Minibrutes Oxidation/Anneal Furnace MTI RTP Anneal Furnace Nanoscribe Photonics GT2 high-resolution 3D Printer Direct Laser Write Lithography Nikon Eclipse LV150N Optical Microscope Nordson March CS-1701 RIE Ocean Optics Film Measurement Other Equipment Oxford Plasmalab 100 ICP RIE Oxford Plasmalab 80 PECVD PDS 2010 Labcoter2 Parylene Deposition System Plasma Etching PLS6.120D Laser Engraver Rapid Prototyping Roland MDX-50 Benchtop CNC STS ICP RIE Suss MA-6 Mask Aligner Tegal Asher TESCAN MIRA3 E-beam Writer Tystar LPCVD Veeco 4 Point Probe Veeco NT 9100 Profiler Zeiss Orion Plus 5015 Helium Ion Microscope/NanoFab CVD Furnace Furnace Processes MTI Corporation OTF-1200X Mechanical testing system MTS Characterization MTS NN 240 LED lamp Characterization Cree Cree Edge High Output 2D Heterostructure Transfer System Other processes HQ Graphene NN AFM DI3100 Characterization DI Dimension 3100 AFM Dimension Icon Characterization Bruker Dimension Icon AFM NT-MDT Ntegra Characterization Ntegra NT-MDT Ntegra AFM Aiolos Probing Station Characterization Afore A Air Plasma Treater Other processes nn nn ALD Reactor ALD-1 CVD & ALD Beneq Beneq TFS-500 ALD Reactor ALD-2 CVD & ALD Beneq Beneq TFS-500 ALD Reactor ALD-3 CVD & ALD Picosun SUNALE R-200 Advanced ALD reactor SUNALE R-150B CVD & ALD Picosun SUNALE R-150B Alignment verification system DSM8 Characterization Süss Microtech DSM8 AlN Sputtering System Sputtering AMS SMT2004 Aluminium Etch Wet Processes PM Plast NN Annealing Annealing Centrotherm Centrotherm Annealing furnace PEO-603 Annealing ATV PEO-603 Anodization Cell Other processes Protoshop . ASM LPCVD Nitride CVD & ALD ASM DUO A400 ASM LPCVD Poly CVD & ALD ASM DUO A400 ASM LPCVD TEOS CVD & ALD ASM DUO A400 ATV furnace Mörkö Furnace Processes ATV PEO-604 Au plating module Electrochemical Deposition PM Plast Au Black Magic Epitaxial Growth NN NN Bond Aligner Wafer Bonding EVG EVG 610 Bond aligner EVG620 Wafer Bonding Electronic Vision EVG620 Cassette washing machine Other processes Miele . CD MT3000 Characterization MueTec MT3000 CMP 6DSSP Back-end Processes Strasbaugh 6DSSP CMP Strasbaugh 6EC Back-end Processes Strasbaugh 6EC Coater Developer EVG120 Lithography EVG EVG120 Contact Angle Meter THETA Characterization Biolin Scientifics nn Developer Spinner LabSpin Lithography Süss LabSpin6 Developer track Convac Lithography Convac M6000 Dicing Saw DFD6341 Back-end Processes Disco DFD6341 Dicing saw Disco Back-end Processes Disco DFD651 Die Sorter IC 1200 Other processes Infotech IC 1200 Diffusion furnace, A1 anneal Furnace Processes Centrotherm E1200 HT 260-4 Diffusion furnace, A2 oxidation Furnace Processes Centrotherm E1200 HT 260-4 Diffusion furnace, A3 oxidation Furnace Processes Centrotherm E1200 HT 260-4 Diffusion furnace, A4 oxidation Furnace Processes Centrotherm E1200 HT 260-4 Disco DADdy – Dicing saw Back-end Processes Disco DAD3220 DLTS Characterization Semilab DLS-83D Dual spin rinse drier 150 mm and 200 mm Other processes OEM NN Dual Spin Rinse Drier 16 and 17 Wet Processes Rhetech/Semitool SRD 8300 S Dual SRD 14 (150mm) and 15 (200 mm) Wet Processes Goldfinger/Verteq Superclean 1800-6 Dual SRD 3 (100 mm) and 4 (150 mm) Wet Processes Semitool SRD 880S Dual SRD 6 (150 mm) and 10 (200mm) Wet Processes Semitool SRD 880S EBL Pattern Generator Lithography Raith GMBH NN EBL Vistec Lithography Vistec EPBG5000pES Electrochemical Etching Cell Wet Processes AMMT MPSB200 Electroplating Cu Electrochemical Deposition NB Technologies NN Electroplating Ni Electrochemical Deposition NB Technologies NN Electroplating Sn Electrochemical Deposition NB Technologies NN Electrostatic Carrier Other processes Protec PT3000 Ellipsometer Plasmos Characterization Plasmos Plasmos SD2300 Evaporator e-gun Edwards Evaporation Edwards Edwards E306A Evaporator e-gun Varian Evaporation Varian Varian (B ‘n B) Evaporator LISA Evaporation Instrumentti Mattila IM-9912 Evaporator MASA Evaporation MASA IM-9912 Evaporator Ångström Evaporation Ångström Engineering 9091 FIB Helios /SEM/EDX Nanostructuring FEI Helios Nanolab600 Field emission SEM Characterization JEOL JSM-6335F Film stress measurement tool Characterization Toho Technology FLX 2320-S Flash Light Characterization Hensel EHT 3000 Focus + TRIA 3000 S Flatness meter ADE Characterization ADE 9500 Ultra Gage Flip-chip bonder B Back-end Processes SET FC150/1998 Four Point Probe Old Characterization NN NN Four-point Probe Loresta Characterization Loresta AP . Fume Hood HF etch Wet Processes PMPlast 1900-710 Fume hood Metal etch Wet Processes PMPlast NN Fume Hood TMAH Wet Processes PMPlast NN Fume Hood A Wet Processes PMplast PMPlast Fume Hood Acid work Wet Processes Visu Aalto Fume Hood Acid work 1 Wet Processes PMPlast NN Fume Hood Acid work 2 Wet Processes PMPlast Acids Fume Hood ALD Wet Processes PMPlast PMPlast Fume Hood Cu Contamination Wet Processes PMPlast Spinner Fume Hood Electroplating Wet Processes NB Technologies NN Fume Hood KOH Wet Processes Visu Aalto Fume Hood Lift off Wet Processes PM Plast Type no 2580-1 Fume Hood Lithography Wet Processes Visu Aalto Fume Hood Salo Wet Processes NN NN Fume Hood Solvents Wet Processes PMPlast Fume Hood Fume Hood Solvents Wet Processes Visu Aalto Fume Hood Spinner Wet Processes PMPlast Photoresist Fumehood Wet Processes . . Fumehood Wet Processes . . Fumehood ACID Wet Processes Lotus . Fumehood BOAT CLEAN Wet Processes Lotus Boat Clean Fumehood DEVELOP Wet Processes Lotus – Fumehood for anodization Wet Processes . . Fumehood IMPLANT Wet Processes Lotus . Fumehood KULTA Wet Processes Semitool . Fumehood LIFT-OFF Wet Processes Stangl . Fumehood Litho Lithography Lotus – Fumehood MASK CLEAN Wet Processes Lotus . Fumehood PLATING Electrochemical Deposition Lotus . Fumehood SCALE&CUT Wet Processes Amsel . Fumehood SERVICE ACIDS Wet Processes Amsel . Fumehood SERVICE SOLVENTS Wet Processes PMPlast . Fumehood solvents for cleaning parts Wet Processes PM Plast . Fumehood TMAH Wet Processes Lotus . Fumehood VESSEL Wet Processes Stangl . Fumehood WET SERVICE Wet Processes Lotus WS Furnace MiniBrute Lower Furnace Processes Thermco MiniBrute Furnace MiniBrute Upper Furnace Processes Mini-Brute Mini-Brute Furnace PEO Furnace Processes PEO PEO-601/ATV Glove Box ALD old Other processes NN NN Glovebox ALD Precursors CVD & ALD Innovative Technology PureLab HE 21 Goniometer Characterization Unknown Unknown Hall Measurement System Ecopia Characterization Ecopia HMS500 Halogen lamp Characterization OSRAM AluPAR 64 NSP Heating Bath IKA Wet Processes IKA HBR 4 HF vapor etcher MEMS-CET Dry etching Primaxx MEMS-CET HMDS Desiccator Lithography nn nn Hot Plate Lithography NN NN Hot plate – Tmax 250C Characterization Stuart SB-500 Hot plate – Tmax 250C Characterization Stuart SB-500 Hot plate – Tmax 350C Characterization IKA C-MAG HS10 Hot plate BLE Lithography BLE BLE Hot plate duo Lithography Sawatec HP-200 Hot Plate Matti Lithography Präzitherm Hot plate Hot Plate Mervi Lithography UniTemp GmbH HP-220 Hot Plate Pia Annealing UniTemp GmbH HP-220 Hotplate OPTIHOT HB20 Lithography ATM OPTIhot HB20 ICP-RIE Plasmalab 100 Dry etching Oxford Instruments Oxford Instruments Plasmalab System100 – ICP 180 Impedance Analyzer Characterization Agilent 4294A In plating module Electrochemical Deposition PM Plast In Ion Beam Etcher Dry etching Meyer Burger IonSys 500 Ion Beam Trimmer Dry etching AMSystems ? Ion Implanter Eaton Ion Implantation EATON EATON NV-3206 Ion implanter Eaton 8200 Ion Implantation Eaton NV8200 IR-Inspector Characterization Schott Moritex – KOH setup Wet Processes Laser marking station Trumpf Other processes Trumpf TruMark Station 5000 Laser Micromachining System Other processes Azpect / Newport na Laser Wafer Marker Other processes Cencorp 300 LM Laserwriter Lithography Microtech LW 405 Leak Detector Edwards Other processes Edwards ELD 500 FLEX Lifetime Scanner PV-2000 Characterization Semilab PV-2000A Linear Corona Charger Characterization Semilab LCC-300 Logitech PM6 Lapping system Other processes Logitech PM6 LPCVD furnace, B1 LTO Furnace Processes Centrotherm E1200 HT 260-4 LPCVD furnace, B2 TEOS Furnace Processes Centrotherm E1200 HT 260-4 LPCVD furnace, B3 POLY Furnace Processes Centrotherm E1200 HT 260-4 LPCVD furnace, B4 Nitride Furnace Processes Centrotherm E1200 HT 260-4 LPCVD PolySi CVD & ALD Centrotherm Centrotherm LPCVD SiN CVD & ALD Centrotherm Centrotherm Manual prober Characterization Rucker&Kolls . Manual spinner apogee Back-end Processes Apogee Apogee Manual Spinner Duo Lithography Sawatec SM-200 Manual spinner LARGE Lithography Headway CB15 Manual spinner LSM200 Lithography Sawatec LSM 200 Manual spinner SCS Lithography SCS SCS Manual wafer taper Back-end Processes SEC 3250 Manual Wafer Taper Back-end Processes SEC 3150 Mapping sheet resistance tool Characterization Advanced Instrument Technology CMT-SR2000N Mask aligner – Mauri Antero Lithography Süss MA-6 Mask aligner MA150 Lithography Süss MicroTec MA 150 Mask Aligner MA200 Lithography Suss MicroTec MA 200 Mask Aligner MA6 Lithography Suss MicroTec MA 6 Mask Inspection Station Characterization The MM Microscope Co Inc. MIS Other processes Nanofab Nanofab Other processes Nanofab Nanofab Other processes Metal Backgrinder Back-end Processes GNM Metal etcher LAM TCP9600 Dry etching LAM/Point 35 TCP 9600 Micro-Raman Characterization WITec WITec alpha300 RA+ Microscope 1 Characterization Nikon Microscope 10 Characterization Leitz Ergolux Microscope 11 Characterization Leica AG WILD MZ8 Microscope 12 Characterization Leitz . Microscope 2 Characterization Nikon Eclipse Microscope 3 Wet Processes Nikon Wclipse? Microscope 4 Characterization Nikon Eclipse Microscope 5 Characterization Unknown Ynknown Microscope 5 Other processes Nikon Eclipse L200N Microscope 8 Characterization Unknown Unknown Microscope 9 Characterization Nikon Eclipse L200 Microscope A Characterization Leitz Leitz Microscope DIC Characterization DIC Microscope F13B Characterization Olympus BX60 Microscope Opton Characterization OPTON Microscope with camera Characterization Opton Microscope Zeiss Characterization ZEISS Microscope Zeiss Axiotron Characterization Zeiss Axiotron II Microwave asher Aura1000 Other processes GaSonics Aura 1000 MOVPE I / Asterix Epitaxial Growth Thomas Swan ? MOVPE II Epitaxial Growth Thomas Swan 3×2″ CSS MOVPE III Epitaxial Growth MOVPE Lab A Other processes MOVPE Lab B Other processes MOVPE Lab C Other processes mPCD scanner Characterization Semilab WT-85 Needle corona charger Characterization KG KG 201 Non destructive X-Ray inspection Characterization FEIN FOCUS FXS-160.24 Non-contact sheet resistivity tool Characterization Suragus EddyCus OEM Sputtering System Sputtering OEM Mark Eclipse IV Optical characterization tool FilmTek4000 Characterization Scientific Computing Int. FilmTek 4000 Optical Profilometer Contour Characterization Bruker GTX Optical Profilometer Filmetrics Characterization Filmetrics Profilm3D Optical transmission analyzer Characterization VTT Oven 120 Lithography Memmert Memmert Oven 90 Lithography Memmert Memmert Oven Adjustable Lithography Memmert Memmert Oven Despatch Annealing Despatch Industries LCC/D1-51N-3 Oven Memmert Annealing Memmert Oven1 Annealing Carbolite Oven2 Annealing Memmert Oven3 Annealing Poweta Oxidation 3 Furnace Processes Centrotherm Centrotherm Oxidation 1 Furnace Processes Centrotherm Centrotherm Oxidation 2 Furnace Processes Centrotherm Centrotherm Oxidation 4 Furnace Processes Centrotherm Centrotherm Oxidation furnace PEO-603 Furnace Processes ATV PEO-603 Oxide etcher LAM4520 Dry etching LAM/Point 35 LAM 4520 Oxide Etcher LAM4520XL Dry etching LAM 4520 XL Oxide ICP etcher STS AOE Dry etching STS AOE Parylene spray deposition tool Other processes SCS Coating PSD 2010 Labcoater2 PECVD Oxford Plasmalab100 CVD & ALD Oxford Plasmalab100 PECVD Oxford PlasmaPro System 100 CVD & ALD Oxford Plasmapro System 100 PECVD Plasmalab F10 CVD & ALD Oxford Instruments Plasmalab 80Plus Oxford Instruments Plasma stripper 1 PRS801 Other processes Plasma Technology PRS 801 Plasma stripper PRS900 Other processes Oxford Instruments PRS900 Plasma Stripper Q240 Dry etching Alpha Plasma Q240 Plating work bench Electrochemical Deposition Plast Service Plast Service Polysilicon etcher LAM4420 Dry etching LAM/Point 35 LAM 4420 Prime Oven HMDS Lithography YES YES-3 Primer oven YES-3 Lithography Yield Engineering YES-3 Primer oven YES-5 Lithography Yield Engineering YES-5 Probe Station Characterization Semiprobe SA-8VP Probe station Cascade Characterization Cascade . Probestation Back-end Processes Süss ? Profilometer Bruker DektakXT Characterization Bruker DektakXT Profilometer Dektak/XT Characterization Bruker NN Profilometer Veeco Dektak M6 Characterization Veeco Dektak M6 Profilometer Veeco Dektak V200Si Characterization Veeco Dektak V200Si QuickSun Characterization Endeas 120CA RCA 2 Wet Processes PM Plast NN Reflectometer 2000M-NIR Characterization SCI 2000M-NIR Reflectometer FilmTek 2000M Characterization Scientific Computing Int. FilmTek 2000M Reflectometer Nanospec Characterization Nanometrics Incorporated Nanospec AFT 4150 Reflectometer Opton Characterization OPTON OPTON Axiospeed Reflow oven ATV Annealing ATV SRO_704_R Rena Cu plating A Electrochemical Deposition RENA Rena Ni plating Electrochemical Deposition RENA RENA Sn plating Electrochemical Deposition RENA Rena SnPb plating Electrochemical Deposition RENA Resist oven 1 Lithography Memmert UFE400 Resist oven 3 Lithography Memmert UFE400 Resist oven LOWER (5) Lithography Memmert UFE 400 Resist oven UPPER (4) Lithography Memmert UFE 400 Resist Spinner LabSpin Lithography Süss LabSpin6 Resist Station Gamma4 Lithography Suss MicroTec Gamma 4 Resist/developer track AIO Lithography AIO Duna700 RIE Oxford 80Plus Dry etching Oxford 80 PLUS RIE RIE Plasmalab F10 Dry etching Oxford Instruments Plasmalab 80Plus Oxford Instruments Rinse Dryer 100 Wet Processes Semitool Rinse Dryer 150 Wet Processes Semitool Rinse Dryer 4-6 Other processes Semitool 870S Rinse Dryer 4-6 Other processes Semitool 870S Rinse Dryer Square Other processes Semitool 870S Rinse Dryer Square Other processes Semitool 870S Rinse Dryer Verteq 100 Wet Processes Verteq Rinse Dryer Verteq 150 Wet Processes Verteq RTA Annealing Russia Foton-6 RTP Jipelec Annealing Jipelec Jipelec SAM Acoustic microscope Characterization Sonix HS3000 SEM EBL Zeiss Supra 40 Characterization Zeiss Zeiss Supra 40 SEM Tabletop Characterization Hitachi Hitachi TM-1000 SEM Zeiss Supra 35 Characterization Zeiss Supra35 SEM/EDX/e-beam Characterization Zeiss LEO1560 Semiconductor Parameter Analyzer Characterization Agilent 4155C Silicon ICP etcher (Aviza) Dry etching Aviza Omega i2l Silicon ICP etcher (STS) Dry etching STS ASE Single Wafer Dryer Wet Processes Single Wafer Dryer Single Wafer Dryer Sink Neutralization Wet Processes PM Plast X Sink Solvent Wet Processes PM Plast X Sinton Lifetime Characterization Sinton Instruments WCT-120 & Suns-Voc Small LED lamp Characterization SnAg plating module Electrochemical Deposition PM Plast . SNOM/NSOM WITec alpha300 Characterization WITec alpha 300 Spectrophotometer Filmetrics Characterization Filmetrics F10-RT-UVX Spectroscopic Ellipsometer SE-2000 Characterization Semilab SE-2000 Spin Etcher Wet Processes Optiwet SB30 Spin rinse drier 1 (150 mm) Wet Processes Verteq Superclean 1600-44 Spin Rinse Drier 18 (150 mm) Wet Processes Semitool SRD 880S Spin rinse drier 2 (150 mm) Wet Processes Verteq Superclean 1600-34 Spin Rinse Drier 20 Wet Processes Verteq Superclean 1600-34 Spin rinse drier 5 (100 mm) Wet Processes Verteq Superclean 1600-34 Spin rinse drier 6 (150 mm) Wet Processes Verteq Superclean 1600-34 Spin Rinse Dryer 20 and 21 (150/200mm) Wet Processes MTEK 270/2300 Spin/Rinse Dryer 11 (100 mm) Wet Processes Verteq Superclean 1600/55M Spin/Rinse Dryer 12 (150 mm) Wet Processes Verteq Superclean 1600-34 Spinner 8 inch Wet Processes Laurell EDC1-100-8NPP/IND Spinner BLE Lithography BLE BLE Spinner Cu Wet Processes Laurell Spinner I Lithography Laurell Spinner II Lithography Laurell Spinner Lithography Lithography Laurell Sputter Chromium Sputtering Emitech K575X Sputter Gold Sputtering OPTON OPTON Sputter Plasmalab F10 Sputtering Oxford Instruments Plasmalab 400, Oxford Instruments Sputtering system Mark IV Sputtering TEL Mark Eclipse IV Sputtering system 1 PV-LLS801 Sputtering Provac PV-LLS801 Sputtering system CLN 200 Sputtering Evatec CLN 200 Sputtering system MRC Sputtering MRC 903 Sputtering system VA Sputtering VonArdenne CS 730 S Cluster System SPV Scanner Characterization Semilab WT85XL-400 SQUID-evaporator Evaporation Josa Josa Stereo Microscope F10B Characterization Struers Grinding LaboPol-21 Back-end Processes Struers LaboPol-21 Struers Polishing Tegramin-25 Back-end Processes Struers Tegramin-25 Suns-Voc Characterization Sinton VOC-0246 Suntest XLS Characterization Atlas Material Testing Solutions Suntest XLS+ Temperature dependent Sinton Lifetime Characterization Sinton Instruments WCT-120TS TePla 400 Other processes PVA TEPLA 400 Plasma System Test_tool_Mko Nanostructuring Random Random Thin Film Lab A Other processes Nanofab Nanofab Thin Film Lab B Other processes Nanofab Nanofab Thin Film Lab C Other processes Nanofab Nanofab TMAH etch Wet Processes Ultrasonic Bath Wet Processes UV Lamp System Characterization DYMAX ECE 2000 UV photostabilizer Other processes Fusion M150PC UV-Lamp Visual Inspection Other processes nn nn UV-NIL (accessory for Mauri Antero) Lithography Suss Suss MA-6 Vacuum Furnace Webb Annealing Webb Red Devil M Vacuum Oven Lithography Heraus Oven Vacuum Oven Annealing Goldbrunn 1450 Wafer backgrinder 7AF Back-end Processes Strasbaugh 7AF Wafer Bonder AML Wafer Bonding AML AML-AWB, year 2008 Wafer Bonder EVG 510 Wafer Bonding EVG EVG 510 Wafer bonder EVG5201S Wafer Bonding Electronic Vision EVG5201S Wafer Cleaner Batchspray Acid Wet Processes Siconnex Batchspray acid 2.0 Wafer Defect Inspection System Characterization NanoPhotonics Reflex TT Wafer spinner POLOS Lithography SPS MCD200 NPP Wafer Stepper FPA 3000-i4 Lithography Canon FPA 3000-i4 Vector Network Analyzer Characterization Anritsu . Wet Bench F11A Wet Processes Stangl Stangl Wet Bench MIXED ETCHANTS Wet Processes Stangl ME Wet Bench PRE-CLEAN Wet Processes PMPlast old VTT wet bench Wet Bench RCA 1-2 Wet Processes Stangl Acid Wet bench D CLEAN 1 Wet Processes Stangl Stangl07 Wet bench D CLEAN 2 Wet Processes Stangl Stangl Wet Bench DCLEAN 3 Wet Processes Stangl Singulus – Wet bench DEVELOP 2 Wet Processes Stangl WB Wet Bench Development Wet Processes Stangl Acid Wet bench HF 1 Wet Processes Stangl Stangl Wet bench HF 2 Wet Processes Stangl Stangl Wet bench Hot plates Lithography PMPlast PMPlast Wet Bench KOH Wet Processes Plade Wet Bench MASK CLEAN Wet Processes Stangl Mask Clean Wet bench METAL ETCH Wet Processes Stangl Stangl Wet Bench Oxide Etch Wet Processes Stangl Wet bench Piranha Wet Processes Stangl Wet bench PIRANHA Wet Processes Stangl Stangl Wet Bench POLYMERS Wet Processes Stangl Polymers Wet bench POSISTRIP 1 Wet Processes Stangl Stangl Wet bench POSISTRIP 2 Wet Processes Stangl Stangl Wet bench POST CMP Wet Processes SSE/Arias . Wet bench Resist Removal Wet Processes Stangl Solvent Wet bench RINSE Wet Processes Plast Service Rinse module Wet bench RINSE 1 Wet Processes Stangl RINSE Wet bench SOLVENTS Wet Processes Stangl – Wet Bench spinner Lithography Stangl Wet Bench with Spinner Wet bench STANDARD ETCHANTS Wet Processes Stangl SE Vibrometer Lynceetec Characterization Lyncee Tec DHM Wire bonder Back-end Processes MicroPointPro iBond5000 Wire bonder Back-end Processes F&K Delvotec Delvotec 5430 Wire Bonder Bondtec 5330 Back-end Processes FS bondtec 5330 Wire bonder Delvotec 53 Back-end Processes Delvotec 53 Delvotec 53BDA Wire Bonder Delvotec 53XX Back-end Processes Delvotec 53XX Workshop 1177A Other processes Nanofab Nanofab Workshop 1177B Other processes Nanofab Nanofab XeF2 Etcher Dry etching SPTS Xactix Xenon lamp Characterization Micronova XRD Panalytical Characterization Panalytical Elionix Photo Expose Electron beam lithography system acid-hood Wet Acids Acid processing station Greenflo Wet Acids Acid processing station EV620 Photo Bond Aligner for bonding anneal-furnace Diffusion Bake Anneal Furnace Box-Furnace Diffusion Bake Anneal Furnace A4-III-Vanneal Diffusion Bake Annealing for GREEN III-V samples 5B-Anneal Diffusion Bake Annealing tube AFM Metrology Profile Atomic Force Microscope for Surface Analysis ALD-EML Deposition CVD Atomic Layer Deposition ALD Deposition CVD Atomic Layer Deposition ALD-Oxford Deposition CVD, PECVD Atomic Layer Deposition pTrack Photo Coat Automated coater and developer track QuickVisionMicroscope Packaging Physical Automated imaging microscope DieBonder Packaging Physical Automated pick and place AutoBonder Packaging Physical Automated wirebonder postbake Photo Bake Bake oven 120C prebakeovn Photo Bake Bake oven 90C PZTfurnace Deposition Bake Bake oven for PZT coated wafers varTemp Photo Bake Bake oven for variable temperature asher-TRL Photo Clean Barrel asher for resist removal EV501 Photo Bond Bonder system to apply heat, vacuum and pressure Procedures-General Procedures General Broader concepts CCNT Deposition PECVD Carbon nanotube growth Hall-probe Metrology Electrical Carrier measurement GnP Wet Other Chemical Mechanical Polishing to planarize surfaces SAMCO Etch RIE Chlorine based plasma etcher for III-V materials rainbow Etch RIE Chlorine based plasma etcher for metals LAM490B Etch RIE Chlorine based plasma etching of silicon UVozone-Au Etch Clean Cleans residual organics PZTcoater Deposition Spin-Coat Coater to apply PZT films MA-4 Photo Expose Contact Lithography Mask Aligner EV1 Photo Expose Contact mask aligner EV-LC Photo Expose Contact mask aligner MA-6 Photo Expose Contact mask aligner sts1 Etch DRIE Deep reactive ion etcher for silicon sts2 Etch DRIE Deep reactive ion etcher for silicon sts-Pegasus Etch DRIE Deep reactive ion etcher for silicon 6D-Nitride Diffusion CVD Deposition of stoichiometric silicon nitride Procedures-Deposition Procedures Deposit Deposition of thin films 5A-GateOx Diffusion Oxidation Diffusion tube for gate oxide growth 5C-FieldOx Diffusion Oxidation Diffusion tube for wet oxide growth of thicker films 5D-ThickOx Diffusion Oxidation Diffusion tube for wet oxide growth of thicker films MLA-150 Photo Expose Direct-write lithography for wafers and larger pieces Oxford-100_PECVD Deposition, Etch PECVD Dual chamber PECVD and plasma etch tool Oxford-100_Etch Deposition, Etch RIE Dual chamber PECVD and plasma etch tool cv Metrology Electrical Electrical characterization of dielectrics 2Dtransfer-platingHood Wet Acids Electroplating wet bench and fume hood Procedures-Etching Procedures Etch Etching of materials eBeamFP Deposition Evaporate Fast pumping metal evaporator OAI-Flood Photo Expose Flood exposure for image reversal resists plasmaquest Etch RIE Fluorine and Chlorine general purpose plasma deposition and etch tool LAM590-TRL Etch RIE Fluorine based plasma etching of oxide and nitrides LAM590-ICL Etch RIE Fluorine based plasma etching of silicon oxide and nitrides TBM-8 Photo Bond Front-to-back alignement measurement acid-hood-EML Wet Solvents General Purpose Acid Fume-Hood goldwire Packaging Physical Gold ball bonder for device packaging B1-Au Diffusion Oxidation Gold compatible anneal tube HMDS-TRL Photo Bake HMDS oven nitrEtch-HotPhos Wet Acids Hot phosphoric nitride etch bath hotplate1 Photo Bake Hotplate for lithography hotplate2 Photo Bake Hotplate for lithography hotplate300 Photo Bake Hotplate for lithography i-stepper Photo Expose i-line stepper Resonetics Photo Expose Laser ablation system Heidelberg Photo Expose Laser direct-write exposure for wafers and masks Procedures-Lithography Procedures Photo Lithography VTR Diffusion CVD Low stress silicon nitride deposition 6C-LTO Diffusion CVD Low temperature CVD oxide deposition B4-Poly Diffusion CVD LPCVD polysilicon for Green wafers BallBonder Packaging Physical Manual ball bonder PMMAspinner Photo Coat Manual coater for PMMA and other photoresists coater Photo Coat Manual spin-coater for photoresists SU8spinner Photo Coat Manual spin-coater for SU8 resists WedgeBonder Packaging Physical Manual wedge bonder eBeam-AJA Deposition Evaporate Metal and dielectric evaporator eBeamAu Deposition Evaporate Metal evaporator eBeam-EVO Deposition Evaporate Metal evaporator of CMOS compatible metals endura Deposition Sputter Metal sputter deposition epi-Centura Deposition CVD Not open to public wykoICL Metrology Profile Optical profiling system WYKO Metrology Profile Optical profiling system SU8oven Photo Bake Oven to dry foil from SU8 coater OxidationTube Diffusion Oxidation Oxidation Furnace asher-EML Photo Clean Oxygen Plasma parylene Deposition CVD Parylene depopsition DCVD Deposition PECVD PECVD deposition of oxides, nitrides, and a-Si concept1 Deposition PECVD PECVD deposition of oxides, nitrides, and TEOS sts-CVD Deposition PECVD PECVD deposition of oxides, nitrides, a-Si, SiC, and P-doped a-Si coater-EML Photo Coat Photoresist Coater premetal-Piranha Wet Acids Piranha resist removal and cleaning station plasmatherm Deposition, Etch PECVD, RIE Plasma Etch and Deposition PlasmaCleaner Packaging Clean Plasma parts cleaning 6A-nPoly Diffusion CVD Polysilicon deposition tube for n-type poly Si 6B-Poly Diffusion CVD Polysilicon deposition tube for p-type poly Si hotpress Photo Bond Pressing for thermoplastic films parametric-tester Metrology Electrical Probe station for electrical measurements IV-probe Metrology Electrical Probe station with curve tracer for IV measurement RTA-EML Diffusion Bake Rapid Thermal Annealing RTA2 Diffusion Bake Rapid Thermal Annealing RTA-pieces Diffusion Bake Rapid Thermal Annealing RTP Diffusion Bake Rapid Thermal Annealing RTP-Si Diffusion Bake Rapid Thermal Annealing RTA-HiT Diffusion Bake Rapid Thermal Annealing ReflowOven Packaging Bake Reflow oven develop-Brewer Photo Coat Resist develop and postbake AME5000 Etch RIE RIE etcher for frontend silicon processes Procedures-Cleaning Procedures Clean Sample Cleaning semZeiss Metrology SEM Scanning Electron Microscope Balzer-Elionix Deposition Sputter SEM or EBL sample preparation 4-pt-probe Metrology Electrical Sheet resistance measurement of semiconductors TMAH-KOHhood Wet Acids Silicon bulk wet etching oxEtch-BOE Wet Acids Silicon dioxide etch bath asher-ICL Photo Clean Single wafer oxygen plasma for photoresist removal asherMatrix-TRL Photo Clean Single wafer oxygen plasma for photoresist removal A3-Sinter Diffusion Bake Sintering for GREEN Si wafers SolventHood-TRL Wet Solvents Solvent fume hood photo-hood-EML Wet Solvents Solvent Fume hood for lithography SolventHood-EML Wet Solvents Solvent Fume hood for lithography photo-wet-Au Wet Solvents Solvent fume hood with sonicator SputtererAJA Deposition Sputter Sputter deposition tool AJA-TRL Deposition Sputter Sputter deposition tool P10 Metrology Profile Stylus profilerometer dek-NoAu Metrology Profile Stylus Profilerometer dektak-XT Metrology Profile Stylus Profilerometer dektak-EML Metrology Profile Surface Profilerometer vac-oven Diffusion Bake Temperature controlled vacuum oven Procedures-Metrology Procedures Metrology Test and Measurement B3-DryOx Diffusion Oxidation Thermal Oxidation B2-Ox-alloy-Poly Diffusion Oxidation Thermal Oxidation and LPCVD Polysilicon for RED wafers A2-WetOxBond Diffusion Oxidation Thermal Oxidation and other thermal process for GREEN Si wafers A1-GateOx Diffusion Oxidation Thermal Oxidation for Green Silicon Wafers SM-300 Metrology Thickness Thickness measurement for CMP processing UV1280 Thin film characterization filmetrics Thin Film Optical Measurement FLX Profile Thin film stress measurement ellipsometer-TRL Thickness Thin film thickness measurement Filmetrics-TRL Thin film thickness measurement nanospec Metrology Thin film thickness measurement BalzerSputterer Deposition Sputter Thin sputter coating of samples CNS Procedures NSL Procedures OutsideLab Procedures SNL Procedures semNeo Metrology SEM Very Basic Electron Microscope rca-ICL Diffusion Wafer cleaning before diffusion tubes rca-TRL Diffusion Clean Wafer cleaning before diffusion tubes diesaw Packaging Physical Wafer dicing saw diesaw-3240 Packaging Wafer dicing saw photo-wet-l Wet Solvents Wetbench for photoresist development photo-wet-r Wet Wetbench for photoresist development XeF2 Etch RIE XeF2 isotropic etching of silicon X-rayInspection Packaging Physical X-Ray imaging Lithography The Raith 150 E-beam Lithography System Quintel Mask Alignment System Laurell Spin Processor Brewer Science Spin Processor Brewer Science Hot Plate Materials Deposition and Etching Rapid Thermal Process System AJA Sputtering Tool AJA Ion Mill System III-V ICP System AOE Dielectric Etch System ASE ICP Deep Silicon Etch System PECVD Lesker Evaporator Packaging Dicing Saw MicroAutomation Flip Chip Bonder Scribe and Break Tool Wire Bonder K&S Digital Wedge Bonding System K&S Imaging and Characterization X-Ray Diffraction (XRD) JEOL SEM w/EDAX Veeco Nanoscope IV SPM Controller VASE Research Spectroscopic Ellipsometer Olympus Microscope MX51 Olympus Microscope OLS4000 Raith SEM Omicron STM/AFM System Tektronix Semiconductor Parameter Tester Spectrometer, Ocean Optics STN Probe Station Metrology Fisba Interferometer Veeco Inferometer Zygo Inferometer Alpha Step Surface Profiler Interferometer, “Morti” Networking and Fiber Optics End and Edge Polishing Systems Luna Optical Backscatter Reflectometer Luna Benchtop Optical Vector Analyzer Agilent All Parameter Test Station 81910A Movaz DWDM EXFO Optical Time Domain Reflectometer Fusion Splicing: Fusion Splicer-Ericsson Fusion Splicer-Fujikura FSM 20PM Fusion Splicer-Fujikura FSM 40S Fiber Termination & Inspection: Optical Fiber Polishing Machine Seiko Fiber Polisher Westover Video Fiber Microscope Agilent All Parameter Test Station Norland Connect-Chek 6000 Fiber Lensing Polishing System: Ultrapol Fiber Lensing System Fiber Alignment and Mounting: EXFO NanoRobot Alignment Sys FR-3000 Laser Facility Laser Facility Fiber Laser Modules Continum Nd YAG Laser Continum Panther Oscillator Continum Minilite II Nd YAG Laser Spectra-Physics Tsunami Biologics Characterization Circular Dichroism J-1500 spectrometer Simulation and Modeling EM Photonic Workstation Rsoft FemLab FDTD Equipment ICP DRIE – STS Pegasus STS Pegasus. For Silicon etching. No metals allowed. 5 Axis Stage E-Jet Precision 5-axis (XYZ + tip/tilt) stage with 2 additional manual z-stages, traditionally used for e-jet. Bonder – EVG 501 Wafer Bonding System Electronic Visions (EVG) Wafer Bonding System 501, capable of heating to 500°C and applying force of up to 3.5kN (Max force depends on sample and bondtool material and geometry). Carrier Wafer Mounting Chuck System Used to Mount Carrier Wafers for the Through Etching on the STS Cleaving tool by LatticeGear Scribing tool offers a quick method for cleanly downsizing large samples.  Dicing Saw  K&S 708 Dicing Saw; 4″ Spindle, User must supply own blades Electroplating Station Midas Six-Station Plating System, 1-Liter. Temp up to 100C. The first station is designed for electrocleaner, the second for acid dip, the third for plating. Remaining three stations are for rinse. First step in training is to determine if electroplating process allowed in this too Evaporator – Thermionics 4-Pocket E-beam Evaporator Thermionics 4-pocket E-beam Evaporator. QCM for deposition thickness monitoring. Flood Exposure – ABM Model 60 Fume Hood – Acid – Hydrofluoric Acid Use Required for monitoring HF waste generation Fume Hood – Hot Plate Bench Talboys Hotplate Fume Hood – Laminar Flow Spinner Bench Fume Hood – Prep Room Fume Hood in Prep Room for Hazardous Materials Glovebox Laurell WS-400 in Glovebox Goniometer – KSV CAM200 KSV Instruments Model: CAM 200 High Temperature Furnace Thermal Technology, Model 1000-4560-FP20. Capable of temperatures up to 1750°C (up to 2250°C with system modification). Max sample size is 1cmx1cm. ICP DRIE – Plasmatherm Plasmatherm SLR 770, BOSCH Process KOH Etching Station Glassware required to KOH etch pieces or wafers Lapping System  Lapmaster, 12″ Platen Mask Aligner – EV620 Electronic Visions (EVG) Double-Sided Aligner 620. Mask size 4×4″ or 5×5″. Substrate size 4″, 3″, 2″ diameter or 1″ pieces Mask Aligner – EVG 420 Electronic Visions (EVG) Double-Sided Aligner 420, Wavelength 405nm. Mask size 5×5″ and substrate 4″ diameter Mask Aligner – Karl Suss MJB3 MJB3 Suss Aligner. Mask size 4×4″, 3×3″, or 2×2″. Substrate size 3″ or 2″ diameter or pieces Microscope – Leica DMIRE The Leica DMIRE inverted microscope has top and bottom illumination along with a UV light source and a motorized stage. There are 3 light cubes for fluorescence work including DAPI (Ex 360nm/Em 470nm), FITC (Ex 480nm/Em 527nm), and Rhodamine (Ex 546nm/Em 600nm) as well as a brightfield cube. The spectral outputs of the cubes are on a data sheet near the microscope. There are 5 objectives including 2.5X, 5X, 10X, 20X, and 50X. The microscope does have a camera and software for image generation Microscope – Near IR Imaging Near infrared (1000nm-1300nm) imaging microscope with 4 objectives of 2.5x, 5x, 20x, and 50x magnification with eyepieces of 15x. Microscope – Olympus Optical Wafer Inspection The Olympus microscope has eyepieces of 10x magnification with a reticle and 4 objectives of 5x, 10x, 20x, 50x, and 100x magnification.  Capable of Nomarski (DIC) microscopy, brightfield and darkfield Molecular Vapor Deposition (MVD) System  Applied MicroStructures, Model 100, Molecular Vapor Deposition Oven – Curing Oven Yamato, Mechanical Convection Oven. Oven – Vacuum Annealer The vacuum annealing system has a maximum operating temperature of 400°C and uses nitrogen as a purge gas to reduce contamination. Oven – Vacuum Oven Probe Station  Signatone S-1170 Profilometer – KLA-TENCOR Alpha D500 Alpha Step D-500 – 2µm tip RIE – Axic Reactive Ion Etcher, O2, Ar, CF4, 500W RF, Computer Controlled RIE – March Jupiter III Reactive Ion Etcher, O2, Ar, ArH2, 300W RF, Manually Controlled SEM – Hitachi S-2250N Tungsten filament SEM with both high vacuum (4.5nm resolution) and low vacuum (10nm resolution) modes. Low vauum (N SEM or Environmental SEM) mode capable of 0.01 – 2 Torr pressure control for biological and non-conductive sample microscopy. Detectors include SE, Robinson BSE, Solid State BSE, and Oxford INCA EDS SEM – Hitachi S570 Hitachi S570 Scanning Electorn Microscope. 20X-100kX magnification w standard specimen stage .12X-100kX with large-sized specimin stage. 0.5-30kV accelerating voltage. 150mm maximum specimen diameter. 6mm, 15mm, or 50mm diameter stub size SEM – Oxford INCA EDS Detector on Hitachi S-2250N Oxford INCA EDS Detector for Hitachi S-2250N SEM Sonic Mill Used for drilling single or multiple holes/shapes into brittle materials (i.e. Si, SiO2, Al2O3, etc.) Spinner (Left)  BidTec SP-100, 0-7500 rpm, 15″ Bowl Spinner (Right) BidTec SP-100, 0-7500 rpm, 15″ Bowl Spinner – High Speed CEE-100, 0-10,000 rpms Sputterer – AJA 8-Gun DC Metal Sputtering System  8 Gun Metal Targets – See system for material list Sputterer – Confocal 3-Gun RF and Pulsed-DC Dielectric 3 Gun Dielectric Targets – See system for material list Supercritical Point Dryer Tousimis Automegasamdry -915B: 6″ and smaller sample size Transfer Printer – Large Precision 4-axis (XYZ + rotation) stage, accepts 1″x3″ glass slides held by vacuum chuck. Transfer Printer – Laser Precision 3-axis (XYZ), with 30W 805nm CW diode laser with a dichoric filter. Tube Furnace – Anneal Tube Max Temp 1200°C. Used for annealing silicon. No metals or other materials allowed. Tube Furnace – Boron Tube  Max Temp 1200°C. Used for boron doping Silicon. No metals or other materials allowed. Tube Furnace – Dirty Tube Max Temp 1200°C. General use tube. Tube Furnace – Oxidation Tube Max Temp 1200°C. Used for growing thermal oxide films on Silicon. No metals or other materials allowed. Tube Furnace – Phosphorus Tube  Max Temp 1200°C. Used for phosphorus doping Silicon. No metals or other materials allowed. Ultrasonic Cleaner Vibrometer Polytec laser vibrometer is used to measure displacement or velocity of vibrations. The Polytec OFV-3001, frequency range: DC to 20MHz, velocity rang: 0.3um/s to 10m/s. Characterization Tools Alpha-Step IQ Alpha-Step IQ – W1-040 Atomic Force Microscope Asylum MFP-3D AFM Olympus Laser Confocal Microscope OLS3000 Orbis PC Micro-EDXRF Elemental Analyzer Probe Station Wentworth Profilometer Tencor P-6 Rigaku XRD Ultima IV Savant SuperModulyo Freeze Dryer Scanning Electron Microscope Tescan Vega-3 w/ EDX Scanning Electron Microscope Zeiss EVO MA10 Scanning Electron Microscope Zeiss Sigma FESEM w/ EDX & EBSD Spectrophotometer Perkin-Elmer NIR-UV Spectrophotometer UV/VIS Hitachi U-3900H Speedvac Concentrator Thermal Gravimetric Analyzer TGA Thin Film Stress Measurement FLX 2320 TOF-SIMS Imaging Spectrometer ION-TOF GmbH Upright Microscope LV150 Atomic Force Microscope Dimension Edge Auger Microprobe JAMP-9500F, JEOL Autosorb iQ Autosorb Quantachrome 1MP Bruker XRD D8 Discover Contact Angle FTA-200 Differential Scanning Calorimeter DSC Discovery TGA Ellipsometer M-2000V Eppendorf Centrifuge 5804R Filmetrics F50-UV Filmetrics Thickness Monitor F10-VC Flexus Wafer Stress Measurement System Four-Point Probe Four-Point Probe Pro4 4000 FTIR Nicolet 8700 FTIR-iS50 Helium Ion Microscope Zeiss Orion NanoFAB with Ga FIB Keithley 4200-Semiconductor Characterization System SCS Analyzer Leica ACE600 Carbon/Metal coater Micromanipulator Nanometrics Hall Measurement HL5500 NanoWizard II AFM JPK VASE Ellipsometer XPS Imaging Spectrometer Kratos AXIS Ultra Zeiss AXIO Zeiss Stemi 508 Lithography & Pattern Transfer Tools Brewer Spinner and Hotplate Cee 200CB Coat-Bake System Cold plate Stir-Kool SK-12D Dymax BlueWave 200 Fumehood Laurell / Headway Spinner/Hotplate Fumehood KOH/TMAH Fumehood Heidelberg MLA150 Mask Aligner Mask Aligner IR through-wafer Mask/Bond Aligner SUSS MA/BA6 Nanoscribe Photonic Professional GT Pattern Generator Heidelberg DWL-200 RAITH150 Two EBL System Yamato Oven YES HMDS Oven Deposition & Growth Tools Denton Gold Sputter Unit for SEM Electron Beam Evaporation System Gomez Electron-Beam Evaporation System PVD-75 GLAD System (Achilles) LPCVD Boron Doped PolySi Deposition LPCVD Nitride Deposition LPCVD PolySi Deposition Minibrute Bottom Furnace Boron Doping Minibrute Middle Furnace Thermal Oxide and General Annealing Minibrute Top Furnace Thermal oxide Muffle Furnace Muffle Furnace For PZT Parylene Deposition System PECVD (Trion) Sputtering System Tystar Doped Anneal Tystar General Anneal Tystar Oxidation Vacuum Ovens (×3) Etching & Removal Tools Branson 3000 Barrel Etcher ICPRIE (Alcatel AMS110) ICPRIE (Cobra Metal Etch) ICPRIE (Oxford Estrelas) RIE (Oxford NGP80) RIE (Trion) RIE (uEtch) Vapour HF Etcher (memsstar Orbis Alpha) XeF2 Etching System Cleaning & Release Tools Sitek SRD (bottom – 100 mm) Sitek SRD (top – 150 mm) Spin Rinse Dryer Wet Process – General Use Wet Process – HF/BOE Wet Process – ISE KOH Bath Wet Process – Metal Etch Wet Process – Piranha Inspection & Verification Tools Helium Ion Microscope (Zeiss Orion NanoFAB with Ga FIB) Leica INM-100 Optical Microscope Scanning Electron Microscope (Tescan Vega-3 w/ EDX) Zeiss AXIO Lab.A1 (east plasma area) Zeiss AXIO Lab.A1 (west plasma area) Zeiss Stemi 508 (east plasma area) Design & Simulation Tools Industrial L-Edit Packaging Tools Dicing Saw (Disco DAD 321) Disco 3240 Dicing Saw Mask/Bond Aligner (SUSS MA/BA6) Servo Precision Drill Press (7140-M) SUSS Bonder TPT HB16 Wire bonder UV / Ozone Bonder Miscellaneous Tools Critical Point Dryer Disco 3240 Dicing Saw Fumehood PDMS Process area Scriber YES HMDS Oven High Vacuum Ion Guage Hitachi F-2500 Fluorescence Spectrometer Horiba LabRAM HR Evolution Raman Spectrometer Illumina MiSeq Illumina NextSeq Image Analysis Workstation (Hewlett Packard Z8 G4) Image Analysis Workstation (Dell Precision 7910) J. A. Woollam Variable Angle Spectroscopic Ellipsometer Jandel HM21 Four-Point Probe JEOL-8230 Electron Probe Microanalyzer JEOL JSM-6400 Scanning Electrom Microscope JST Manufacturing 48″ Polypropylene Wet Processing Station JST Manufacturing 96″ Stainless Steel Wet Processing Station Karl Suss MUB3 Mask Aligner Karl Suss MUB3 Mask Aligner and Exposer Laurell Technologies WS-650MHz – 23NPPB Spin Coater Leica DMLM Custom Optical Microscope Leica DMRXA Upright Widefield Microscope 400 g-ton Centrifuge AB/Sciex 4000 Qtrap AB/Sciex Voyager DE-STR Agilent/Varian Cary 500 Spectrophotometer Agilent 6120 Analysis Workstation Applied Biosystems 7500 Fast Real-Time PCR System Applied Biosystems SimpliAmp by Life Technologies ASI Alphachron He Extraction and Measurment Line ATR FT-IR AXIC PlasmaSTAR Reactive Ion Etcher Bal-Tec AG Critical Point Dryer BD Accuri C6 Cytometer with Automated Multisampler/Flow Cytometer BD Accuri C6 Plus BD FACSAria Fusion Cell Sorter BD FACSAria Fusion Cell Sorter/Flow Cytometry BD FACSCelesta Flow Cytometer BD FACSCelesta Flow Cytometer Analyzer Beckman Coulter Optima L-90K Ultracentrifuge Beckman J2 High Speed Refridgerate Centrifuge Beckman L8-70M Ultracentrifuge BioAnalyzer 2100 BioTek EPOCH Plate Reader (Absorbance Only) BioTek Synergy Plate Reader (Luminescence Only BioTek Synergy HT Multi-Mode Plate Reader Biomek FXP Laboratory Automation Workstation Biopac MP150 Blanca Condo Cluster Bruker Dimensional Atomic Force Microscope Bruker Multi-Mode Scanning Probe Microscope Cary 5000 UV/Vis Spectrometer Cellomics ArrayScan VTI HCS Reader CEM Discover SP-D 80 Microwave Digester Chemical Hoods CO2 Stable Isotope Ratio Mass Spectrometer COPAS Nematode Biosorter Copper Electroplating System Cressington Carbon Coater Custom Class 10 Assembly Bench CyBi-Well 96- and 384- Channel Simultaneous Pipettor Daktak XT Stylus Profilometer Dell Precision 7910 Analysis PC Diagenode Bioruptor Standard Sonication System Edwards Cryo 304 PVD Coating Chamber EnVision Multilabel Plate Reader Eppendorf Biophotometer Eppendorf Centrifuge 5804R Eppendorf Centrifuge 5804R 2 Eppendorf Centrifuge 5810R Eppendorf Centrifuge 5810R 2 Eppendorf Mastercycler Gradient Thermocycler Eppendorf Vacufuge Plus Eppendorf Vacufuge Plus 2 FEI Nova NanoSEM 630 Scanning Electrom Microscope FEI/Philips CM100 (100kV) TEM FEI Tecnai 12 Spirit TEM FEI Tecnai F20 (200kV) FEG-TEM FEI Tecnai F30 (300kV) FEG-TEM FEI Tecnai ST20 Gas Chromatography-Mass Spectrometery (GC/MS) Gas Chromatograph-ISOLINK-Isotope Ratio Mass Spectrometer Headway Research EC101DT Spin Coater Heidelberg DWL 66FS Laser Writer Light Stable Isotope Continuous Flow Mass Spectrometer Lindberg/Blue M HTF55322C Tube Furnace Liquid Chromatography-Mass Spectrometry (LC/MS) Medoc Pathway Pain System Micro Automation M1006 Dicing Saw Micrometrics Gemini VI Surface Area Analyzer Molecular Devices ImageXpress MicroXL Microscope Nano-Drop Spectrophotometer Netzsch 402C Horizontal Push-Rod Diatometer Netzsch Simultaneous Thermal Analyzer Nikon A1R Laser Scanning Confocal and TIRF Microscope Plasma (Dry) Etch Quantachrome Autosorb-1 QuantStudio 6 Real-Time PCR Qubit Fluorimeter 3.0 Retsch Plate Shaker Rigaku R-Axis Rigaku Microfocus X-Ray Source and Pilatus Detector Rockimager Siemens 3T Magnetom Prisma Fit MRI Scanner Stirling Ultracold Ultra-Low Temperatur Freezer Tecan Safire 2 Microplate Reader Terra Universal 2000-18 60″ Polypropylene Wet Processing Station Thermal Processes Thermo Fisher LTQ Orbitrap Velos Thermo ISQ LT GCMS Thermo LTQ Orbitrap Thermo Nicolet Avatar 360 and 320 FTIR Thermo Nicolet Nexus 670 and Continuum FTIR Microscope Thermo Scientific Heratherm Drying Oven Thermo Scientific Ultra-Low Temperature Freezer Thermo Scientific/Nicolet FT-IR Spectrometer Thin-Film Deposition Nikon E600 Upright Widefield Microscope Nikon Inverted Spinning Disc Confocal Microscope Nikon N-SIM Structured Illumination Super-Resolution and A1 Laser Scanning Confocal Microscope Nikon N-STORM Super Resolution Microscope Nikon Spinning Disc Confocal Microscope Nikon Te-2000 Widefield Microscope Nikon TiU Widefield Fluorescent and Brightfield (RGB) Microscope NMR Spectrometer 300MHz NMR Spectrometer 400MHz Bruker AV-III 400 NMR Spectrometer 400MHz Varian INOVA 400 NMR Spectrometer 500MHz Olympus FVMPE-RS Twin Laser Multi-Photon Olympus IX-81 Widefield Microscope Olympus IX81 Widefield Fluorescent Microscope PetaLibrary Storage Phoenix Dropsetter Waters Synapt G2 HDMS West-Bond 7476E and 747630E Wire Bonders Wyko NT2000 Optical Profilometer Yokogawa & Olympus CellVoyager™ CV1000 Confocal Scanner Zeiss 510 Laser Scanning Confocal Microscope Zygo GPI Fizeau Interferometer Heidelberg DWL 2000 Laser Lithography System JEOL JBX-6300FS E-Beam Lithography System Scanning-Electron Microscope JEOL JSM-6490 AB-M Aligner ASML Stepper (ASML PAS5000/55 Stepper) Karl Suss Bonder SB6 Buehler Polisher Silicon Grinder Strasbaugh CMP USI Wafer Cleaner Copper Electroplating 310PC PECVD (STS 310PC PECVD) CNT PECVD (SEKI AX5200 Microwave CNT PECVD) ET3000 Epitaxy (FirstNano ET3000 Epitaxy Reactor) ASM LB45 LPCVD Furnace Flokal LPCVD Furnace Oxford ALD (Oxford OpAL Plasma ALD) STS PECVD (STS Multiplex PECVD) TEOS PECVD (AST Cede-200 TEOS PECVD) Diffusion Furnace (ASM Diffusion Furnace) AG610 RTP (Allwin21 AG610 RTP) RTP-600S (MPT RTP-600S) CF-3000 Implanter (Varian CF-3000 Ion Implanter) AOE Etcher (STS ICP AOE Etcher) STS ICP DRIE Etcher SPTS Rapier DRIE Etcher STS ICP GaN Etcher STS ICP Poly Etcher AST Metal Etcher (AST Cirie-200 Metal etcher) Lam 490 Etcher NFF RIE Etcher Oxford Aluminum Etcher (Oxford Cobra 100 Aluminum Etcher) Oxford RIE Etcher (Oxford 80 Plus RIE Etcher) Trion RIE Etcher (Trion Phantom III RIE etcher) XeF2 Silicon Etcher IPC 3000 Asher (Branson IPC 3000 Asher) PS210 Asher (Tepla PS210 Asher (Microwave)) Critical Point Dryer ARC-12M Sputterer CVC-601 Sputterer Denton Sputterer (Denton Explorer 14 Sputterer) Edward Sputterer (Au) for SEM NSC3000 Sputterer (Nano-Master NSC3000 Sputterer) Varian 3180 Sputterer AST 450I Evaporator (AST Pava-450I E-Beam Evaporator) AST 600EI Evaporator (AST Pava-600EI E-Beam Evaporator) Cooke E-Beam Evaporator Atomic Force Microscope XE150S (Park XE150S AFM) Dektak 150 Veeco Surface Profiler Film Stress Measurement System SMSi 3800 Film Thickness Measurement Four Dimension 280C Four-Point-Probe Mapping System J.A. Woollam M-2000VI Spectroscopic Ellipsometer Keyence 3D Laser Confocal Microscope Keyence VK-X260K 3-D Laser Confocal Microscope provides non-contact, nanometer-level profile, roughness, and film thickness data on any materials KLA-Tencor P-10 Surface Profiler Lucas Pro4-640R Resistivity Measurement System Measure optical constants: refractive Index, extinction coefficient and film thickness for various materials Measure the change of curvature induced in a sample due to the deposited film on a reflected substrate Measurement of roughness, waviness, step heights on a surface NANOmetrics Nanospec AFT Model 4150 / 3000 Perfict Lab. Probe Station with Keysight B1500A Analyzer Single-film thickness measurements on silicon substrates Tencor Sono Gauge 300 GCA Stepper 5x Stepper for exposure of 100mm substrates – g-line ASML PAS 5500/200 Stepper 5x Stepper for exposure of 150mm substrates – i-line Heidelberg DWL 66+ Exposure system for direct write or maskmaking Suss MA150 Mask Aligner 1x Contact Aligner for exposure of 150mm substrates – broadband/i-line Suss MA56 Mask Aligner 1x Contact Aligner for exposure of multiple size substrates – broadband SVG 88 Wafertrack #1 Coat/Develop track for 150mm substrates SVG 88 Wafertrack #2 Coat/Develop track for 150mm substrates CEE Resist Coater Manual Coat for photoresist only – 100/150mm CEE Resist Developer Manual Develop for photoresist – 100/150mm CEE Resist Coat Station Manual HMDS Prime and manual Coat for photoresist only – 100/150mm CEE General Coater Manual Coat for SU8 / Polyimides / SOG -multiple sizes Tool Use CVC 601 Sputter DC sputter of metals / conducting targets PE4400 RF Loadlock Sputter RF Sputter of conducting and insulating targets, loadlocked CHA Ebeam Evaporator Eight pocket electron beam evaporation CHA Flash Evaporator Flash evaporation of Al wire CVC Thermal Evaporator Thermal evaporation Denton Sputter Sputtering of gold on SEM samples LAM 490 AutoEtch Plasma Etch of silicon and silicon nitride AME P5000 Chamber C Reactive Ion Etching of silicon dioxide Plasmatherm ICP Cl Etch Used for dry etching of III-V substrates – chlorine Trion Apollo Asher Used for O2 plasma ash removal of photoresist Trion Minilock Reactive Ion Etcher Used for reactive ion etching of silicon dioxide Trion Phantom III Reactive Ion Etcher Used for reactive ion etching of silicon & silicon nitride Trion ICP RIE STS ASE Deep Silicon Etcher Deep silicon etching using the Bosch Process Xactix XeF Etcher Used for surface release etching of silicon AG 610A RTP Rapid Thermal Anneal of clean, MOS grade wafers Bruce Tube 1 Used for wet oxidation of silicon substrates Bruce Tube 2 Used for diffusion of p-type dopants Bruce Tube 3 Used for diffusion of n-type dopants Bruce Tube 4 Used for dry oxidation of silicon substrates Bruce Tube 5 Fitted with external torch for low temp wet oxide growth Bruce Tube 6 Used for wet oxidation of silicon substrates Bruce Tube 7 Used for low temperature anneals Bruce Tube 8 Used for high temperature anneals – Contamination threat substrates Varian 350D Implanter Used for implantation of boron and phosphorous Blue M Ovens Used for heat treatment of substrates Al Etch & Solvent Strip Bench Tank for aluminum etching & two tanks for solvent strip of photoresist. BOE & HF Wet Bench Wet Bench with tanks for BOE, Pad Etch, & HF etching of oxides. General RCA Bench Used for RCA Clean of wafers Manual Processing #1 Wet Bench Used for general purpose manual chemical processing Manual Processing #2 Wet Bench Used for general purpose manual chemical processing & HCl Decontamination Manual Processing #3 Wet Bench Used for general purpose manual chemical processing Manual Processing #4 Wet Bench Used for general purpose manual chemical processing MOS RCA Bench Used for RCA Clean of wafers before gate oxidation Nitride & Silicon Etch Bench Etching of Nitride with heated phosphoric and silicon etching with heated KOH Ultrasonic Wet Bench Used for the ultrasonic cleaning of silicon wafers – also used in liftoff processes ASM LPCVD Tube 1 LPCVD Low Temperature Oxide ASM LPCVD Tube 2 LPCVD Nitride, Polysilicon AME P5000 Chamber A 4″ and 6″ TEOS at 390C Trion PECVD Deposition of nitride/poly Si on III-V substrates Ultratech S200 ALD Deposition of atomic layer films Leitz Inspection Station Optical linewidth measurement Leica Inspection Station Optical microscope with image capture Olympus Microscope Station Optical microscope with Nomarski Nanometrics Spectrophotometer Measurement of oxide, nitride Prometrix SpectraMap Measurement of oxide, nitride Rudolph AutoEL IV Ellipsometer Ellipsometry of thin films Woollam VASE Ellipsometry using variable angle, multi spectral Wyko Dynamic Optical Profiler Dynamic measurement of step heights using interferometry CDE Res Map Measurement of film resistivity Tencor P2 Profilometer measurement of film steps LEO SEM Scanning electron microscope ADT Dicing Saw Dicing of Silicon, Glass, ceramic substrates Headway Spin Coater Headway Spin Coater Headway PWM32-PS-R790 Hot Plate Hot Plate VWR(R) Standard OAI Mask Aligner OAI Mask Aligner OAI Series 200 Electron Beam Evaporator Evan Ebeam Evaporator “Evan” Electron Beam Evaporator “Simiran” Electron Beam Evaporator Simiran Electron Beam Evaporator “Simiran” Dirty Ebeam Evaporator “Reid” Thermal Evaporator MRC Sputter System Cressington Sputter System Cressington Sputter System Cressington 108 Branson Sonicator Branson Sonicator Branson B5510 Plasma Enchaned Chemical Vapor Deposition Trion PECVD Trion Orion II PECVD Barrel Asher Branson Barrel Asher Branson/IPC Reactive Ion Etcher Samco RIE Samco RIE-1C Reactive Ion Etcher with Inductively Coupled Plasma Trion RIE ICP Trion MiniLock II RIE-ICP Deep Reactive Ion Etching STS STS Critical Point Dryer Critical Point Dryer SPI-DRY™ Rapid Thermal Annealer Rapid Thermal Annealer VWR(R) Standard Tube Furnace Tube Furnace Lindeburg Tube Furnace 3 Zone Tube Furnace 3 Lindeburg 3″ 3 Zone Tube Furnace Wafer Scriber Wafer Scriber Karl Suss Wafer Scriber Atomic Force Microscope Hanscom d5000 AFM “Hanscom” D5000 Digital Instruments AFM SPM Atomic force Microscope Walters SPM AFM “Walters” SPM Atomic Force Microscope Optical Microscope Profilometer Profilometer Dektak 3 Probe Stations OAI Mask Aligner Kramer Microscope Hall Effect Hall Effect Walker Scientific Hall Effect Scanning Electron Microscope JEOL SEM JEOL: JSM-840A UV-Vis Spectrometer Cary Spectrophoto Cary 500i Bomem FT Spectrometer Bomem DA8 FT Spectrometer Hartmann & Braun BOMEM DA8 FT Spectrometer Space Enviromental Test Chamber Space Enviromental Chamber Space Enviromental Chamber Analytical Balances Balances Satorius Supermicro Photolithograpy I-line Stepper (GCA Autostep 200) I-line Stepper (GCA Autostep 200) Laser Lithography System (Heidelberg Instruments DWL 66+) Laser Lithography System (Heidelberg Instruments DWL 66+) Contact Mask Aligner (KARL SUSS MA-6) Contact Mask Aligner (KARL SUSS MA-6) Contact Mask Aligner (KARL SUSS MJB3) Contact Mask Aligner (KARL SUSS MJB3) Contact Mask Aligner with BSA (KARL SUSS MJB3) Contact Mask Aligner with BSA (KARL SUSS MJB3) Automatic Coater (Suss MicroTec Delta 80 RC) Automatic Coater (Suss MicroTec Delta 80 RC) Automatic Developer (Suss MicroTec Delta 8+) Automatic Developer (Suss MicroTec Delta 8+) Vacuum Oven with NH3 for reversal image (YES-310TA(E)) Vacuum Oven with NH3 for reversal image (YES-310TA(E)) Dry Film Laminator (JSE JSL-1200) Dry Film Laminator (JSE JSL-1200) Flood Exposure Tool (OAI-150) Flood Exposure Tool (OAI-150) Wet bench for resist coating and bakes Wet bench for resist coating and bakes Wet benches for resist stripping and cleaning Wet benches for resist stripping and cleaning Plasma Asher (YES G-1000) Plasma Asher (YES G-1000) Plasma Asher (Axic HF-8) Plasma Asher (Axic HF-8) Chrome mask processing tool Chrome mask processing tool Wet benches for resist development Wet benches for resist development Nanopatterning E-Beam Lithography (Raith EBPG 5200) E-Beam Lithography (Raith EBPG 5200) Etching Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) ICP Etcher (Plasma-Therm Shuttleline (Chlorine Chemistry)) ICP Etcher (Plasma-Therm Shuttleline (Chlorine Chemistry)) ICP Deep RIE (Plasma-Therm Versaline (Fluorine Chemistry)) ICP Deep RIE (Plasma-Therm Versaline (Fluorine Chemistry)) Wet etching benches (RCA clean, Buffered Oxide etch, Isotropic Silicon etch, Aluminium etch, Si3N4 etch, Anisotropic Silicon etch (KOH), Chromium etch) Material Deposition and Annealing Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) Tube Furnaces (BTI-Bruce RTRI-878) Tube Furnaces (BTI-Bruce RTRI-878) E-beam Evaporator (Evatec BAK-501A) E-beam Evaporator (Evatec BAK-501A) E-beam Evaporator (Airco Temescal BJD 1800) E-beam Evaporator (Airco Temescal BJD 1800) E-beam Evaporator (Airco Temescal FC 1800) E-beam Evaporator (Airco Temescal FC 1800) E-beam Evaporator (VST TFDS-184) E-beam Evaporator (VST TFDS-184) Thermal Evaporator (Vinci PVD-4) Thermal Evaporator (Vinci PVD-4) Thermal Evaporator (Edwards E306A) Thermal Evaporator (Edwards E306A) Sputter Deposition (AJA International Inc. ATC 2200) Sputter Deposition (AJA International Inc. ATC 2200) Plasma-Assisted Atomic Layer Deposition (Ultratech/Cambridge Nanotech Fiji G2) Plasma-Assisted Atomic Layer Deposition (Ultratech/Cambridge Nanotech Fiji G2) Molecular Vapor Deposition (AMTS 100E) Molecular Vapor Deposition (AMTS 100E) Rapid Thermal Annealing (Jipelec JetFirst 200HT) Rapid Thermal Annealing (Jipelec JetFirst 200HT) Printing Pad Printer (Teca-Print TPX301) Pad Printer (Teca-Print TPX301) Wet benches for ink preparation with stirring plate and mixers Wet benches for ink preparation with stirring plate and mixers Viscometer (Viscotech Myr VR 3000) Viscometer (Viscotech Myr VR 3000) Characterization and Analysis HR-SEM (Hitachi S-4700) HR-SEM (Hitachi S-4700) HR-SEM (Hitachi S-4800) HR-SEM (Hitachi S-4800) Atomic Force Microscope (DI-3000) Atomic Force Microscope (DI-3000) Atomic Force Microscope (Asylum Research/Oxford Instruments MFP-3D Infinity) Atomic Force Microscope (Asylum Research/Oxford Instruments MFP-3D Infinity) Surface Profiler (KLA Tencor P-6) Surface Profiler (KLA Tencor P-6) Surface Profiler (KLA Tencor 500) Surface Profiler (KLA Tencor 500) Surface Profiler (KLA Tencor 200) Surface Profiler (KLA Tencor 200) Film thickness measurement (Nanometrics AFT 2100 Nanospec) Film thickness measurement (Nanometrics AFT 2100 Nanospec) Ellipsometer (Rudolph AutoEL II) Ellipsometer (Rudolph AutoEL II) Ellipsometer (Gaertner L117) Ellipsometer (Gaertner L117) Ellipsometer Multi-layer measurements wave length 193-2500nm (Woollam VASE) Ellipsometer Multi-layer measurements wave length 193-2500nm (Woollam VASE) Ellipsometer (Woollam M-2000UI) Ellipsometer (Woollam M-2000UI) Film stress measurement (Tencor FLX-2320) Film stress measurement (Tencor FLX-2320) C-V Plotter (MDC) C-V Plotter (MDC) FPP-5000 Automatic Resistivity Meter FPP-5000 Automatic Resistivity Meter I-V probe station (SPA Agilent 4155C, Keithley 2400 I-V metter) I-V probe station (SPA Agilent 4155C, Keithley 2400 I-V metter) CDs Optical Measurements (Vickers micro-system) CDs Optical Measurements (Vickers micro-system) Optical Microscope with a camera (Nikon Eclipse L200) Optical Microscope with a camera (Nikon Eclipse L200) Optical Microscope (Nikon Labophot-2) Optical Microscope (Nikon Labophot-2) Optical Microscopes with a camera (Olympus BX-60) Optical Microscopes with a camera (Olympus BX-60) Optical Microscope (Zeiss Axiotron) Optical Microscope (Zeiss Axiotron) Contact Angle Goniometer (Ramé-hart 200) Contact Angle Goniometer (Ramé-hart 200) Packaging Wire Bonder (TPT HB16) Wire Bonder (TPT HB16) Dicer (Disco DAD3350) Dicer (Disco DAD3350) Avenger Basic Dual Chamber Spin Rinse Dryer Tousimis Supercritical Drier (MEM structure release) Spin Coater Characterization & Metrology Samco UV Ozone Stripper/Cleaner Cole Parmer Ovens CPK Chrome Etch & Base Develop CPK Solvent Develop Thermo Electron FTIR Spectrometer w/Ge ATR Deposition Leica INM 100 Optical Microscope Leica INM 200 Optical Microscope Zeiss Supra 40 Scanning Electron Microscope Alessi 4-Point Probe TOHO FLX2320 Thin Film Stress Nanometrics Nanospec Film Thickness E-beam Evaporator System Overview Acid Hood Base Hood Headway Spinner Heidelberg DWL66 Laser Writer RCA Hood General Solvent Hood Solvent Hood Jandel 4-Point Wafer Probe JetFirst RTP Karl Suss MA6B #1 Contact Printer Karl Suss MA6B #2 Contact Printer Obducat Nano-Imprinter NIL-2.5″ Imprint   Lithography March Asher PX250 CHA Mark 50 e-gun Evaporator Cryo e-gun Evaporator Temescal e-gun Evaporator MiniBrute Furnaces Hummer VI Sputter (for SEM samples) AJA 1500 Sputter AJA Orion Sputter Oxford Asylum Research Jupiter XR AFM Plasma-Therm 790 PECVD Plasma-Therm Vision 310 PECVD–COMING July 2020 Plasma-Therm ICP-Metal Etcher Plasma-Therm Dielectric & DSE Etcher Plasma-Therm III-V Etcher Plasma Etch Raith 150-TWO E-Beam Rame-Hart Goniometer Solvent Trash Can Exhaust Surface Chemistry (Wet Processing) Ultratech/Cambridge NanoTech Savannah 100 Atomic Layer Deposition Picosun R-200 Advanced Plasma ALD–COMING Fall 2020 SCS Parylene Deposition Thermal Processing Thin Film Deposition Tystar LPCVD Furnaces HMDS Oven Blue M Ovens TPS Digital Hot Plates TR03 MPTC600 RTP Trion Sirius-T2 RIE Etcher Vacuum Oven Tystar Diffusion/Oxidation Furnaces Ultratech Mask Cleaner Veeco Dektak VIII Prolifometer Woollam Ellipsometer CNC micro milling machine Disco Automatic Dicing Saw 3220 PDMS Clean Space QuikLaze-50 ST2 Thinky Mixer Tousimis AutoSamdri 815A Tousimis Autosamdri 815B TPT Wedge/Ball Bonder UVO Cleaner Vapor treatment West Bond Ball Bonder West Bond Wedge Bonder Idonus HF VPE-150 Oxford Plasmalab 100 RIE/ICP Oxford Plasmalab 80+ RIE Plasma Etch PE100 Plasma-Therm SLR RIE/ICP Plasma Etch System PVA TePla PS100 Trion RIE/ICP Dry Etcher Xactix XeF2 Etcher GDSII Editor PC GDSII Editor PC #2 Vistec EBPG 5200 FEI Apreo SEM FEI Quanta FEG 250 FEI Scios DualBeam FIB/SEM Microfluidics Workstation – Valves controller Thermofisher Talos F200X G2 S/TEM Veeco Scanning Probe Microscope Zeiss Sigma 500 AJA DC Sputter Deposition Tool AJA RF Sputter Deposition Tool Beneq TFS200 Atomic Layer Deposition Denton 502A Thermal Evaporator Denton Desk IV Sputter Coater Denton Discovery 18 Sputter System Denton Discovery 635 Sputter System Emitech K575X Sputter Coater Nickel Electroforming System Oxford Plasmalab 80+ PECVD PDS 2010 Parylene Coater Plasma-Therm SLR PECVD Temescal BJD 1800 Ebeam Evaporator (1) Temescal BJD 1800 Ebeam Evaporator (2) Agilent B1500A Semiconductor Device Analyzer Axio Fluorescence Microscope Dektak 150 DektakXT Stylus Profilometer Filmetrics F20 Filmetrics F20 (2) Filmetrics Profilm3D Optical Profiler HP 4155 Parametric Analyzer/Probe Station J.A. Woollam M-2000D Spectroscopic Ellipsometer Jandel Four Point Probe with RM3000 Test Unit Keyence VHX1000 Rudolph Auto EL Ellipsometer Toho Technology FLX-2320 Thin Film Stress Measurement System Veeco NT1100 Optical Profiling System Zeiss Axio Imager Optical Microscope EVG620 Lithography/NIL System Heidelberg MLA150 Laser Writer HTG Mask Aligner Karl Suss MA6 Mask Aligner Karl Suss MJB3 Mask Aligner AccuThermo AW 610 RTP (1) AccuThermo AW 610 RTP (2) Carbolite HTCR 6/28 High Temperature Clean Room Oven Carver Hot Embossing System Fisher Oven Hot Laminator – GBC Catena 35 Lindberg Mini-Mite Tube Furnace Ulvac MILA-3000 Minilamp Annealer 4″ hot plate 4-Point Probe 90 Degree Blue Oven Acid hood Acid/base wet bench Acid/etch wet bench ACS200 coater/developer AG Heatpulse 210 Rapid Thermal Processing Systems AG Heatpulse 610 Air Control Microvoid AlN Sputterer AMST Molecular Vapor Deposition System AMT 8100 Applied Materials Precision 5000 (chamber A) Applied Materials Precision 5000 (chamber B) Applied Materials Precision 5000 (chamber C) Applied Materials Precision 5000 (chamber D) ASML 5500/90 DUV 4X stepper ASML 5500/950B 193 nm Stepper AT&T Double-sided prober Baking oven BCB cure oven Blue M CC04-C-P-B Brewer CEE100 CDE ResMap 168 Resistivity measurement CEE 6000 Autocoating and Developing Tool CEE Hotplate Chemical-Mechanical Polisher CMP wafer cleaner CPA 9900 3-Target Sputtering System Critical point dryer Critical point dryer Custom Cu plating bath Dektak 6M Dektak IIA Dektak profilometer Denton Discovery 24 Dicing Saw Diffusion wet bench Drytek1 Drytek2 DVI Discovery-18 EMS 1000 Enerjet Enerjet E-beam EV 501 bonder EV420 mask aligner EVG 501 EVG 501 EVG 501 (with alignment) EVG 501 (without alignment) EVG 620 Fisher oven Flexus 2-300 Flexus F2320 Flip chip bonder Fly-cutting machine FNA1 FNA2 FNA3 FNB1 FNB2 FNB3 FPP-5000 FSM 3800 Gaertner Ellipsometer Gaertner Scientific Ellipsometer GCA 3600 DSW Projection Mask Aligner #1 GCA 3600 DSW Projection Mask Aligner #2 GCA 3600F PG GCA 6200 Wafer Stepper GCA 8500 wafer stepper (5x i-line) GCA AS200 5x i-line stepper GCA Autostep 200 DSW Stepper General user wet bench Gold Wirebonder Gryphon Sputtering System GSI ultradep GSI Ultradep 1000 GSI ultratech Headway Spinner High Res 100 Hitachi 4700 FE Hitachi S-4500 SEM Hood #1 Hot Embosser Hotplate HTG Contact Aligner Interserv IR maskmaker Interserv PG IPE PECVD JEOL SEM JVA CRL 1000B UV Flood Exposure System Karl Suss Bond aligner Karl Suss MA6 Karl Suss MA6 Contact Aligner Karl Suss MA6 Contact Aligner Karl Suss MA6 Mask Aligner Karl Suss MA6 mask aligner Karl Suss MJB3 Mask Aligner KLA-Tencor Alpha-Step IQ surface profiler Lam 4 LAM 4520XLe Lam 490 Lam 490 Plasma Etcher Lam 5 Lam 590 Plasma Etcher Lam 690 Plasma Etch System LAM 9400 LAM 9600 Leitz Ergolux Leitz MV-SP Leitz Optical Microscope Leitz Secolux Leitz SP Leybold APS 1104 Lindberg furnace Lindberg/Blue M mechanical oven March asher Matrix 106 Resist Removal System Matrix Plasma Resist Stripper Metal wet bench Metallica Sputtering System MFL furnace 323-2 Microautomation 1006 Dicing Saw MicroAutomation dicing saw Microvoid Fume Hood MOS Process Hood #1 MPM TF-100 MRC Sputterer for Zinc Oxide MRL Cyclone MRL Cyclone -SiN MRL furnace 321-1 MRL furnace 321-2 MRL furnace 321-3 MRL furnace 321-4 MRL furnace 322-1 MRL furnace 322-2 MRL furnace 322-3 MRL Furnace 322-4 MTI BCB coater MTI Target Track resist coater Nanometrics CTS-102 Nanometrics NanoSpec Nanometrics NanoSpec/AFT 4000 Nanospec NanoSpec Spectrophotometer NanoSpec/AFT Model 010-180 NanoSpec/AFT Model 210XP Nikon L-200 microscope Nonmetal wet bench Olympus microscope Olympus microscope OmniMap Prometrix Oriel UV aligner Orthodyne aluminum wirebonder Oxford RIE Oxford sputtering system Parylene deposition system Parylene deposition system PDS 2010 PFC bench Phi 5600 ESCA system PHI 660 Scanning Auger Microprobe System Philips XL-30 ESEM Photoresist spinner Plasma Asher Plasma etcher Plasma Therm 72 RIE Plasma Therm 720 Plasma Therm SLR770 Bosch Etcher Plasma Therm SLR770 Cl2 ICP PlasmaTherm SL 700 Plating cell Post-exposure bake oven Prebake oven Quintel UV Aligner RCA hood Reynols Tech hood Rudolph ellipsometer Rudolph Research AutoEL Rudolph Research Ellipsometer SemiGroup 1000 SemiGroup RIE Silicide wet bench Silicon organic wet bench Singe oven Sink 1 Sink 3 Sink 7 sink 7 Sink 8 SJ-20 evaporator SMSI 3800 Solitec 5100 Solitec 5110-C Solvent bench #1 Solvent wet bench SP Acid #2 wet bench SP acid #2 wet bench spindryer3 Spinner Strasbaugh 6EC STS DRIE STS advanced oxide etcher STS Deep RIE STS DRIE STS PECVD STS PECVD STS RIE Suss Microtec Contact aligner SVG 8600 Photoresist Coat Track SVG 8800 Photoresist coat and develop track SVG Autocoater 8626 SVG developer SVG-8132CTD Develop Track Tegal 803 TEL Mark VII Coater and Developer Temescal evaporator Temescal FCE 2700A Evaporator Tencor Alphastep 200 Tencor AS200 Tencor Flexus Tencor P-1 Contact Profiler Tencor RS35C Thermal ace hotplate Thermco Brute American XL-4000S-13 Model 4100 series Thermco Mini-Brute III Thermco TMX furnace (A-stack) Thermco TMX furnace (A-stack, tube #4) Thermco TMX furnace (B-stack) Thermco TMX furnace (C-stack, tube #2) Thermco TMX furnace (C-stack, tube #3) Thermco TMX furnace (C-stack, tube #4) Thermco TMX furnace (D-stack, tube #3) Tylan Furnace (Boron diffusion, Tube #5) Tylan Furnace (LTO, Tube #11) Tylan Furnace (N2 anneal, Tubes #1 .. #4, #7) Tylan Furnace (Nitride, tube #10) Tylan Furnace (Oxidation, Tubes #1 .. #4) Tylan Furnace (Phosphorus diffusion, Tube #6) Tylan Furnace (Poly, Tube #9) Tylan Furnace (Silicide, Tube #7) Tylan/Tystar Furnaces Tylan/Tystar Furnaces (Boron diffusion) Tylan/Tystar Furnaces (dry oxidation) Tylan/Tystar Furnaces (low-stress silicon nitride tube) Tylan/Tystar Furnaces (LTO tube) Tylan/Tystar Furnaces (phosphorus-doped polysilicon tube) Tylan/Tystar Furnaces (PSG tube) Tylan/Tystar Furnaces (SiC tube) Tylan/Tystar Furnaces (silicon nitride tube) Tylan/Tystar Furnaces (undoped polysilicon tube) Tylan/Tystar Furnaces (wet oxidation) Tystar furnace 20 (Ge / SiGe tube) Ultrasonic Cleaner Ultratech NanoTech 160 1X stepper Ultratech XLS200 4X stepper Ulvac Enviro Unaxis SLR 720 Unaxis SLR730 UV Harden UV Photostabilizer System Vapor Priming Oven Varian XM8 Sputterer Veeco Connexion 800 Veeco Dektak 3030ST Veeco Four Point Probe Viscous flow reactor VLSI sink 6 VLSI sink 8 VWR 1620 Bake Oven Wafer dicing saw Wet bench wet bench wet bench Wet bench #1 wet bench (solvent) wet bench I (acid bench) wet bench/hot plate, heated bath with reflux Wetbench Wire bonder Wyko Optical Profiler X-ray beam line Xenon Difluoride Etching System YES Vapor Priming Oven Yield Engineering Systems YES-3 Yield Vacuum Vapor Prime System (Model YES-5) Zygo 10X stepper ABM Contact aligner Acid Bench Acid bench ACS200 Karl Suss wafer coater/developer ADT7134 Aluminum etch bench AMRAY 3600 Field Emission SEM Anatech Plasma Cleaner Applied Materials Centura 5200 etcher ASML 2500/40 5X Stepper ASML 5500/150 5X Stepper ASML 5500/300 4X DUV Stepper Automated Wire bonder Automatic Parallel Seam Sealer Bake oven Batch Develop Tank BHF bench CDZ Coater Contact aligner Custom PECVD Custom Plating cell Model 1 Dataplate Hot Plate DC magnetron Deep RIE Dektak Dektak surface profilometer Develop bench Developer Bench Developer Bench Digital instruments AFM Disco DAD 321 Automatic Dicer E-beam evaporator E-Beam Evaporator E-beam evaporator Electroplating Bench Evaporator EVG 301 Megasonic Cleaner EVG 420 Aligner EVG 501 Bonder EVG 560 EVG aligner Film Stress measurement tool Furnace (N2 anneal) Furnace (oxidation) Furnace – Silicon Furnace : Nitride Furnace : Oxide Headway spinner HF bench HMDS Prime oven Hot phosphoric acid bench Hot plate hotplate Hotplate IMP electroless plating setup IMP electroplating setup IMP SF-100 Innovac sputter system Interferometer Filmetrics JEOL 6000 FSE JEOL FE SEM JEOL SEM K&S 798 Automatic 8 inch wafer saw Karl Suss MA6 Contact Aligner Karl Suss RC8 Spinner KLA Tencor P2 KOH etch bench Lab-Line Oven Lab-Line programmable oven LMtec LPG McBain Optical Microscope Metal etch bench Microwave bonder Mitutoyo Contact Depth Gauge Model 650 Hot-Filament Diamond Deposition System MTI Multi-Fab Coater Nanometrics NanoSpec Nanometrics NanoSpec Nanometrics NanoSpec Nanospec Nanospec Nanospec 4150 Nickel etch bench Optical microscope Optical microscope Oven Oxford Plasmalab PECVD System Oxidation furnace Oxidation Furnace PECVD PECVD tool #1 Philips XL40 SEM Photoresist Oven Photoresist oven Photoresist Spinner Piranha Bench Plasma Asher Plasma asher Plasma Cleaner (PEIIA) Polyimide cure oven Polyimide RIE PTFE Vapor Deposition Coater RCA Bench Reactive Ion Etcher Resist track RVM Sputter Tool Savannah 200 screen printer SEM/ EDAX Silicon Nitride LPCVD Furnace Solitec photoresist spinner Solvent bench Solvent Bench I Solvent Bench II Spin coater (manual) Sputter tool Sputterer STS DRIE STS DRIE STS RIE STS SOI advanced Si etcher STS-AOE SVG 90 Series Coat/Develop Track Table Top RIE Technic Micro RIE 800 Temescal E-beam Evaporator Tencor Alpha Surface profilometer Tencor Alpha-step TMAH etch bench Torr Thermal Evaporator Track Coater Tystar Boron diffusion furnace Tystar Oxidation Furnace Tystar Oxide furnace Tystar Phosphorus diffusion furnace Ultrasonic bath Ultratech XLS 7800 DUV Wafer Stepper Ultratech XLS i-line Wafer Stepper Ulvac Unaxis RIE Vacuum Reflow Furnace Wafer bonder Wafer dicing saw Wafer saw Wedge Bonder Wet bech #1 Wet bench Wet Bench Wet bench #1 Wet bench II Wet etch bench x-tek Revolution Yes 310 oven YES HMDS Oven YES Vacuum Oven Zygo GPI Interferometer Zygo New View Interferometer 4wave ion mill Acid Wet Deck Axcelis Down Stream Plasma Asher / Stripper Blue M Bake Oven BTI Horizontal Tube Furnace CHA e-Beam Vacuum Evaporator System Clean 100 Photoresist Bake Oven Commonwealth Ion Mill Custom Heidelberg laser writer CVC 610 Disco Die Saw Evatek -Batch dome Fusion Photoresist UV Stabilizer GCA 8500 DSW I-Line 5x Stepper Headway Manual Spinner Headway Programmable Photoresist Spinner Heat Pulse 410 III-V Rapid Thermal Anneal Heat Pulse 610 Dielectric Rapid Thermal Anneal Heidelberg VPG200++ Hitachi SEM Hotplate Karl Suss BA6 Karl Suss MA6 Contact Aligner Karl Suss SB6 KLA-Tencor P-15 stylus profilometer Lam 590 Oxide / Nitride Etch Leitz Ergolux Microscope Manual Vapor Prime Spiner and Hot Plate Metroline / IPC Plasma Photoresist Stripper Metron 3290 Nanometrics NanoSpec 3000PHX Oxford Plasmalab 100 Photoresist Spray Coater Plasma Therm 770 Silicon DRIE (Bosch Process) Plasma Therm 790+ Nitride / Oxide PECVD Plasmalab MicroEtch Primaxx HF Vapor etcher Prometrics 4-point probe PRS-3000 Wet Bench PT Versaline DSE Rudolph Ellipsometer SemiTool SRD STS -AOE Suss ACS200 Wafer Coater / Developer Tencor FLX 2908 Wafer Curvature (Stress) Measurement Ulvac NE-550 Unaxis CLC 200 Unaxis VLR 700 Bosch Chamber Unaxis VLR 700 PECVD Varian 3190 DC magnetron sputterrer Vistec e-beam Vistec EBPG5000+ HR VLR 700 Cluster – Fluorine Dielectric Etch Chamber VLR 700 Cluster -Chlorine Etch Chamber Wet bench Wet Bench (acid) Woollam Spectroscopic Ellipsometer Xactix, XeF2 Isotropic Si Etch Yeagle E-beam Evaporator Advanced RIE etcher Cole-Palmer ultrasonic bath Constant temperature bath Dual Column FIB GT Technologies Supercritical CO2 Cleaner Dryer Hitachi S-4700 SEM Jenoptik Hex 03 Nikon Fluorescent Microscope STS ASE DRIE STS- AOE Sun Ultra10 Tencor FLX-2320 Veeco Dektak 200SL Veeco NT3300 profilometer Wetbench Ultra fine line lithography The ELS G-100 Backend Processing and Packaging · SCS Parylene Coater · ADT 7100 Dicing Saw · LapMaster (3) · Logitech LP50 Lapper · Logitech PM5 Polisher · AET RTP · SSI RTP · JetFirst RTP · Finetech Sub-Micron Flip-chip Bonder · Karl Suss SB6 Bonder · Karl Suss SB8E Bonder Bio-Analysis · Contact Angle Measurement System · Biacore T200 SPR · Bioforce Nano eNabler Printer/Patterner · Malvern Zetasizer · MicroFab JetLab II Ink-jet Printer · Microfuge 18 Micro-centrifuge · Q-sense QCM-D Etch Chemical Etcher · Xactix Xenon Difluoride Etcher · AMMT Hydrofluoric (HF) Vapor Etcher RIE · Advanced Vacuum Vision RIE (2) · Oxford End-point RIE · Plasma Therm RIE · Plasma Therm SLR RIE · Technics Micro RIE · Unaxis RIE DRIE · Plasma Therm ICP (2) · STS AOE ICP · STS SOE ICP DRIE-Bosch · STS HRM ICP · STS ICP · STS Pegasus ICP Cleaner · Samco UV Ozone Dry Stripper · Plasma-Etcher Plasma Cleaner · YES-R1 Plasma Cleaner · Gasonics Asher (2) Accessory · Tousimis Super Critical Dryer (2) Furnaces and Diffusion Oxidation · Tystar Nitride Furnace · Tystar Poly Furnace · Tystar Mini Tube (2) · Lindberg Furnace · MRL Furnace – Tube (2) LPCVD · Tystar Mini Tube · Tystar Nitride Furnace · Tystar Poly Furnace (2) · CtrLayer SEF Sulfurization Furnace · CVD FirstNano Graphene Furnace (2) · CVD FirstNano SiGe Nanowire Furnace Doping/Annealing · Tystar Nitride Furnace (2) · Tystar Poly Furnace Sintering/Curing · Lindberg Furnace (3) Lithography Coater · BLE Spinner · EBL CEE Spinner · EVG 101 Spin Coater · Karl Suss RC8 Spinner (2) · Laurell Spinner · SCS G3P8 Spin Coater (6) · Suss AltaSpray Spray Coater Mask Aligner · EVG 620 Mask Aligner · Karl Suss MA-6 Mask Aligner (3) · Karl Suss TSA MA-6 Mask Aligner · Suss Microtec MJB4 Pattern Generator · Microtech Laserwriter LW405 · Heidelberg MLA 150 Mask Alinger Other Lithography System · Obducat Nano-imprinter (NIL) · Nanoscribe 3D Lithography · JEOL JBX-9300FS EBL System · Elionix EBL 125kV System Accessory · Thermo Scientific Precision Oven · Heraeus Vacuum Oven (2) · VWR Oven (2) · SWC 3000-C Mask Cleaner I-line (365 nm) stepper photolithography (STP01) to linewidths of ~0.70 microns Contact photolithography to ~2 microns (ALN01, ALN02, ALN03) Standard Shipley 1813, 220, 955 positive tone resist processes Both positive tone and image reversal processes for AZ5214 Shipley LOR2A and LOR5A bilayer processes for robust liftoff processes Standard SU-8 negative resist processes EV Group 520HE · Ultratech Plate Cleaner Metrology and Characterization Imaging · Microscope (16) · IR Microscope · AMSCOPE IN300TB Inverted Microscope · Olympus LEXT 3D Material Confocal Microscope · Wafer Inspection Camera · FEI Quanta 200 3D FIB/SEM · Hitachi S-3500H SEM · Hitachi S-3700N VP-SEM · Hitachi S-4700 FE-SEM · LEO 1530 FE-SEM · Dage X-Ray XD7600NT Ellipsometer/Reflectometer · Woollam Ellipsometer (2) EV Group 620 · Woollam M2000 Ellipsometer · Woollam Vase Ellipsometer · Nanospec Reflectometer (2) Profilometer · Dektak 150 Profilometer (2) · Tencor P15 Profilometer (3) · Wyko NT2000 Profilometer (3) · Wyko Profilometer NT3300 Spectrometer · Semprex Spectrometer · Thermo Scientific iS50 FT-IR Spectrometer · Thermo UV-VIS Spectrometer · UV-VIS Spectrophotometer Misc. · KLA Tencor Surfscan 6100 · SemiTest SCA-2500 Surface Charge Analyzer · Bowoptic Stress Measurement · Signatone Four-point Probe Metalorganic chemical vapor deposition (MOCVD) of III-V materials, in the form of an Aixtron 3×2″ close-coupled showerhead toolcapable of growing (In, Al, Ga) (As, P, Sb) compound semiconductors on substrates up to 100mm Electron gun evaporation of a wide variety of standard metals and some dielectrics RF and DC sputter deposition of a wide variety of metals and some dielectrics using a five-gun AJA Orion RF/DC load-locked sputter tool Atomic layer deposition of dielectrics including HfO2, Al2O3, Ta2O5, ZnO, and TiO2 (other films possible with appropriate precursors) using a Picosun R-150B ALE ALD tool Two LPCVD silicon nitride processes, stoichiometric and low-stress PE-CVD silicon nitride and silicon oxide using a Plasma Therm 790 tool · Signatone Probe Station · Veeco Four-point Probe · Q600 TGA/DSC Micromachining · MakerBot Replicator 3D Printer · Hermes LS500XL CO2 Laser · Resonetics IR laser Thin Film Deposition ALD Asylum Research MFP-3D-Origin+ FEI XL-30 FEI DB235 Alpha-Step 200 · Cambridge NanoTech Plasma ALD – Metal · Cambridge NanoTech Plasma ALD – Oxide · CtrLayer ALD (2) CVD · Schmid APCVD · Surrey CNT NanoGrowth · Aixtron Black Magic PECVD · Oxford ICP-PECVD · STS PECVD (2) · Unaxis PECVD Plating · Plating Station (4) Evaporator · CHA E-beam Evaporator (2) Ambios XP200 EVERBEING EB-6 Nanospec — Olympus MX40 Sopra GES-5E Tencor Flexus 5200 Tescan VEGA3 XMH Wyko NT8000 Angstrom Engineering Inc NexDep Denton Vacuum Explorer 14 Temescal bjd1800 Applied Materials P5000 Nanoplas DSB6000 Plasma Therm APEX SLR(CL/F) Tegal SDE110 Xactix e2 Applied Materials P5000 Arradiance Inc. GEMStar-8™ Tystar Tylan Crest Ultrasonics CP1100D Reynold — SIC Bromont — SIC Bromont — SIC Bromont — SIC Bromont — Disco DAD3240 EVGroup 501 WestBond 747677E Electronic Micro Systems Ltd. 1000-1 EVGroup EVG620 EVGroup EVG101 FisherScientist Isotemp J.C. Nabity, Lithography Systems NPGS Laurell WS-400-6NPP-LITE Laurell WS-1000MH/EDC-650-8B Optical Associates Inc. 200 Site Service Spinball SwissLitho Nanofrazor TESCAN MIRA3 XMH Yield Engineering Systems 310 Qualiflow Therm JetFirst 200 Tystar Tytan Tystar Tytan Verteq 1800 · CtrLayer Anti-Reflective Coater · CVC E-Beam Evaporator (2) · Denton Explorer – E-beam Evaporator · Denton Infinity – E-beam/Filament Evaporator · Lesker E-beam Evaporator · PVD Filament Evaporator · PVD75 Filament Evaporator Sputterer · CtrLayer SDS – Sputterer Deposition Systems · CVC DC Sputterer · Denton Discovery – RF/DC Sputterer (2) Inductively coupled reactive ion etching (ICP-RIE) using a Plasma Therm SLR770 tool Plasma etching with a Lam 490 Autoetch Reactive ion etching with a Technics Micro-RIE tool Low-damage oxygen plasma ashing using a Diener Pico asher Wet etching supported by three cleanroom wet benches Spin rinse dryers for 100mm wafer processing · Hummer 5 Gold/Palladium Sputterer · Hummer XP Gold Sputterer · PVD75 RF Sputterer · Unifilm Sputterer Wet Process · CMOS Cleaning Station (2) · MEMS Wet Bench · Fumehood (3) · Solvent Fumehood · CMOS Spin Rinse Dryer (2) Gold plating station, Tousimis Critical point drier for MEMS release,Hitachi 3500H SEM, Semitool spin rinse drier, Wet etching stations,Automated dicing saw, Polishing and lapping stations, Dark room for mask generation, Wire bonders (Au, Cu, Al), Suss Microtech SB-6 wafer bonder, High speed precision flip-chip placement, K&S wire ball bump bonder. · MEMS Spin Rinse Dryer (SRD) · Semitool Spin Rinse Dryer · Verteq Spin Rinse Dryer (2) PMMA 950k (2% and 4%) and PMMA/MAA copolymer Bilayer resist processing for robust liftoff SU-8 high aspect ratio negative resist HSQ high resolution negative resist maN 2403 easily removable negative resist 4″ and 5″ photomask and reticle fabrication for photolithography CVC Electron beam evaporator, CVC RF and DC sputterers, CVC Filament evaporator, Edwards Auto 306 sputterer,Molecular Beam Epitaxy machine, Karl Suss MJB-3 mask aligners (including IR capability), Karl Suss MA-6 mask aligner, Karl Suss spin coaters, Rapid thermal annealer, Lindberg furnaces,Plasma therm ICP (deep RIE), Plasma therm PECVD, Plasma term RIE, STS PECVD, PlasMos ellipsometer, Ozone stripper, Alpha step profilometer, Veeco Dektak profilometer, Filmetrics Profilm3D Profilometer Tencor Alpha-Step 200 Profilometer AST VCA Optima XE Zeiss Axiotech Microscope Cascade Probe Station PDMS Alignment Tool 3D Systems Figure 4 3D Printer Motic BA310MET-T Microscope CorSolutions PDMS Port Creator Disco DAD 2H/6T Wafer Dicer Fisher Muffle Furnace Lindberg/Blue M Tube Furnace Blue M Oven Lindberg/Blue M Oven Unitron Z10 Stereo Zoom Microscope Leica Stereo Zoom Microscope Electro-Technic Products BD-20 Corona Treater Harrick PDC-32G Plasma Cleaner PDMS Station Brewer Science Cee 100 PVD Chamber Stratasys Mojo 3D Printer Universal M-25 CO2 LASER Engraver SUSS MicroTec PMC-150 Cryoprober ​Keysight PNA Network Analyzer N5127B DC-67 GHz Lakeshore CPX Cryogenic Probe Station Zurich Instruments HF2LI Lock-in Amplifier Cascade Microtech Model 9000 Manual RF Probe Station QuikLaze-50 Multi-Wavelength Laser Trimming Station JFP Microtechnic Flip Chip Bonder WestBond 747677E Three-Way Convertible Manual Bonder Cincinnati Sub-Zero MicroClimate Temperature Chamber Zyvex tDriver 1600 Micro-Electro-Mechanical (MEMS) Control Station Lithography Equipment DUV Exposure Station and Aligner MicroWriter ML3 Pro Metrology Equipment Ellipsometer Reflectometer Atomic Force Microscope (AFM) Scanning Electron Microscope (SEM) NIR-UV-VIS Spectrophotometer Measuroscope Optical Microscope Plasma etching (Deep Reactive Ion Etching) Analytic Probe Contact Profilometer Optical Profilometer Processing Equipment Plasma Asher Hot Plate Station Non-vacuum Oven Denton Plasma Coating Xanthos Hood Probestation (Zeus) PE50 O2 Plasma Asher Oxidation Furnace-Wet Teaching Bay Diffusion Furnace (MRL P-Type) Teaching Bay Diffusion Furnace (MRL N-Type) Hydra Wet Hood Chimera Wet Hood Wafer Bonder 3-Zone Tube Furnace Ebeam Evaporator RTP Oxford ICP/RIE Back-End Processing Critial Point Dryer Lapping and Polishing Tool LatticeGear Scribing Tools MA 1006 Dicing Saw Chemical Vapor Deposition EasyTube Diffusion Furnace Fiji Plasma ALD GSI 2000 PECVD SSI Solaris RTA Etching AutoGlow 200 Plasma Cleaner Oxford 100 ICP RIE Oxford 80+ ICP RIE Oxford Cryo Bosch DRIE XeF2 Silicon Etcher Lithography EVG 620 Mask Aligner Heidelberg DWL-66 Laser Mask Writer Laurell Spinners YES HDMS Prime Ovens Metrology Filmetrics F54-UV Reflectometer Four Point Probe Station KLA P-7 Stylus Profiler Olympus BH-2 Optical Microscope Woollam Ellipsometer Zeta-20 Optical Profiler Sputtering and Evaporation Denton E-Beam Evaporator KJL Sputterer Nano38 Thermal Evaporator PETE E-Beam Evaporator PECVD PEALD AJA Magnetron Sputter SuSS MJB4 Contact Aligner Vacuum Oven Chemical Hoods Deposition Equipment E-Beam Evaporator Lithographic Tools LaserWriter.jpg UV Mask Aligner (front side and front to back) Laser Writer MLA Interferometric Lithography System 100KV Electron Beam Lithography System (JEOL 9100 FS) Raith 30KV Electron Beam Lithography System Focused Ion Beam System Plasma and Reactive Ion Etching Oxford ICP etching system (2 chambers – 1 loadlock) Oxford 6 Inch ICP March RIE etchers Wet Processing WAFER PRIMING OVEN LAMINAR FLOW PROCESS STATIONS Deposition Small Coater AJA Oxide Sputter System AJA Sputter System (metals) FC2000 Ferrotec Ebeam Evaporator(metals) ICP – CVD Deposition System Nanocarbon Synthesis Facilities Lamda Microwave Plasma CVD system (nanocrystalline diamond deposition) Thermal/PECVD System for Synthesis of CNT and Graphene Seeding Station for UNCD Deposition Metrology SPECTROSCOPIC ELLIPSOMETER SCANNING PROBE MICROSCOPE SURFACE PROFILOMETERS Filmetrics F40-UV OPTICAL MICROSCOPE RESISTIVITY MEASUREMENT SYSTEM SCANNING VIBRATING ELECTRODE SYSTEM VOLTAMMETRY SYSTEM Misc Tools ADT 7200 Dicing Saw Critical Point Dryer Jeol JBX-5500FS Electron Beam Writer Nanonex NX-2004 ABM Mask Aligner Thermionics eBeam Evaporator AJA ATC-2200 Denton Desk II Brewer Cee 200X KRI KDC75 Oxford Instrument Plasmalab System 100 ICP Oxford Instrument Plasmalab System 80 Fume Hoods Allwin AW 410 Ultraviolet Ozone Cleaning System Characterization X-ray Photoelectron Spectroscopy Electron Microprobe Scanning Electron Microscope ICP-MS ICP-OES RAMAN Combustion Elemental Analyzer (CHN) Leica GZ Stereo Microscope Spectroscopic Ellipsometer WYKO NT1100 3-D Profiling System Lithography and NanoImprint Electron Beam Nanolithography System Nanonex NX1000 NanoImprint OAI Mask Aligner Model 800 Thin-film deposition Angstrom Engineering 6-pocket E-Beam Evaporator IntlVac – Nanochrom I Sputterer Oxford Instruments – Atomic Layer Deposition (ALD) System – OpAL Nanonex_Ultra Plasma etching Oxford Instruments – RIE-ICP PlasmaPro 100 Cobra Oxford Instruments RIE NGP80 Sample Preparation VWR Vacuum Oven – AFM – Asylum MFP3D – Confocal Laser Scanning Microscope – Zeiss LSM 700 – Contact angle – Dataphysics OCA15plus – Dynamic Light Scattering (DLS) – AvidNano W130i – Optical Microscope – Olympus BX51 – Optical Stereo Microscope – Leica M80 – Scanning Electron Microscopy Focused Ion Beam (SEM-FIB) workstation – Tabletop microscope TM3030 Plus Hitachi – Drying oven – Electro-optical bench – Glove Box – Heated vacuum desiccator – Langmuir–Blodgett – NMR Spectrometer – Precision Balance – Rotovapor – UV/Vis Spectrophotometer – Agilent 4155C semiconductor parameter analyzer + Cascade Microtech M150 manual probe station – Agilent 4155C semiconductor parameter analyzer + Everbeing C-2 Mini manual probe station – Biorad/Nanometrics HL5500 Hall effect systems – Jandel Four point prober – Keithley 4200 SCS semiconductor parameter analyzer + Janis ST-500 cryogenic probe station – Keysight B1500A semiconductor parameter analyzer + Cascade Microtech EPS 150 manual probe station – Potentiostat Gamry Reference 600 – Heidelberg µPG 101 Tabletop Micro Pattern Generator – Hot plates – Spinners for resist coating and development – Suss MA6 UV mask aligner – Suss substrate conformable nanoimprint lithography (SCIL) – Trion Phantom 3 reactive ion etcher (RIE-ICP) – Zeiss Axioscope 5 optical microscope – AJA ATC-1300 F Sputtering – AJA ATC-1800 F Sputtering – AJA Orion-8 Sputtering – AnnealSys As-One 100 Rapid thermal processing – ELETTRORAVA PECVD – HOSITRAD PECVD – Resistive and e-beam evaporators – Speciality Coating PDS-2010 Parylene coater – “3 chamber” PECVD – “3 target” home-made sputtering – “Home-made” e-beam evaporator – “Pfeiffer” sputtering – FTIR – Thermo Nicolet 6700 – Luminescence Spectrometer – Perkin Elmer LS55 – Micro Plate Reader – Raman Microscope – Reninshaw Qontor – Spectrometer UV-Vis_NIR – Perkin Elmer Lambda 950 – Spectroscopic Ellipsometer – Horiba-Jobin Yvon – Sun Simulator – SPI 240A – TGA-DSC – STA 449 F3 Jupiter – X-ray diffraction – PANalytical Xpert PRO CHARACTERIZATION 3D Optical Profiler – Zygo Atomic Force Microscope – Bruker Edge Contact Angle Measurement – VCA Optima XE Electrical Test Station Microscope – Nikon LV150 Reflectometer – Filmetrics F20 Spectroscopic Ellipsometer – J.A. Woollam alpha-SE Stylus Profilometer – Veeco Dektak-8 DEPOSITION Atomic Layer Deposition – Arradiance GEMStar XT-P E-beam Evaporator – AJA Parylene Coater – SCS Labcoter2 Parylene Deposition System Plasma Enhanced Chemical Vapor Deposition (PECVD) – STS LpX CVD Sputter I – AJA Orion Sputter II – AJA Orion Thermal Evaporator – Denton Vacuum Explorer 14 ETCHING – ASHING Deep Reactive Ion Etcher (DRIE) – STS LpX Pegasus Plasma Cleaner – Samco PC-300 Reactive Ion Etcher (RIE) – Samco RIE-10NR Xenon Difluoride Etcher – Xactix FURNACES Furnace – Tystar Rapid Thermal Processor – AW-610 PACKAGING Laser Cutter – LPKF ProtoLaser R Wire Bonder -Ball- iBond5000 Wire Bonder – Wedge – West Bond 747677E PHOTOLITHOGRAPHY Convection Ovens – Blue M DCC-146-C-ST350 Develop Hood Mask Aligner – Suss MABA6 Mask Aligner – Suss MJB4 Maskless Aligner – Heidelberg MLA150 Maskless Aligner – Heidelberg uPG501 Microscope – Nikon LV150 Polyimide/SU8 Hood Spinner Hood Ultraviolet Flood Exposure System – Inpro Technologies F300S Vacuum Oven – YES WET PROCESSING Acid Hoods Critical Point Dryer – Tousimis Automegasamdri – 915B, Series C Ultrasonic and Megasonic Cleaners Characterization 1540XB FIB/SEM Stacks Image 173 Tencor P7 Profilometer Stacks Image 177 1530 SEM Stacks Image 175 Woollam Ellipsometer Stacks Image 179 Leica Stereozoom Stacks Image 183 Zeiss Axioskop Stacks Image 181 Mitutoyo Finescope Stacks Image 197 Lithography Neutronix-Quintel NXQ4006 Mask Aligner Stacks Image 107 Solitec 5110 coater/developer Stacks Image 111 Karl Suss MA6 Mask Aligner Stacks Image 109 CEE 200 spinner Stacks Image 113 Zeiss 1530 e-beam Lithography Stacks Image 117 Yield Engineering YES-3TA HMDS oven Stacks Image 115 Zeiss 1540XB FIB lithography Stacks Image 119 Innopsys Innostamp Stacks Image 121 Deposition Angstrom e-beam Deposition Stacks Image 91 IKO Electroplating Bench Stacks Image 95 Custom e-beam Deposition Stacks Image 93 Filgen Osmium Plasma Coater Stacks Image 97 STS PECVD Stacks Image 101 Edwards Auto500 Sputtering Stacks Image 99 Etching Trion Orion RIE Stacks Image 235 Miscellaneous Grey Lab Spinner Stacks Image 223 Ozone Cleaner Stacks Image 221 Spin Rinse Dryer Stacks Image 219 Dicing Saw Chromatography Gas Chromatography (GC) – Agilent Technologies 7890B GC with Gas Sampling Valve (GSV) Liquid Chromatography Mass Spectrometer (LCMS) – Agilent Technologies 6470 High Performance Liquid Chromatography (HPLC) – Agilent Technologies 1260 Infinity Metrology Field Emission Scanning Electron Microscope (FESEM) w/Electron Beam Lithography attachment – JEOL JSM-6500F Field Emission Scanning Electron Microscope (FESEM) with IXRF Energy-dispersive X-ray Spectrometer (EDS) – Hitachi S4000 Field Emission Scanning Electron Microscope (FESEM) with Oxford Energy-dispersive X-ray Spectrometer (EDS) – Hitachi SU70 Focused Ion Beam Scanning Electron Microscope (FIB-SEM) – Carl Zeiss AURIGA CrossBeam Optical Microscopes Physical Property Measurement System (PPMS) – Quantum Design EverCool II Porosity Analyzer: The Micromeritics Tri-Star II (surface area) with Micrometrics VacPrep 061 (Sample Degas System) Pycnometry System (gas displacement) – Micromeritics Accu-Pyc II 1340 Universal Measurement Spectrophotometer (UMS) – Agilent Technologies Cary 7000 Microscopy Atomic Force Microscope System – Bruker Dimension Icon with ScanAsyst ® Atomic Force Microscope w/Nanoindenter – Asylum Research MFP-3D™ Field Emission Scanning Electron Microscope (FESEM) w/Electron Beam Lithography attachment – JEOL JSM-6500F Field Emission Scanning Electron Microscope (FESEM) with IXRF Energy-dispersive X-ray Spectrometer (EDS) – Hitachi S4000 Field Emission Scanning Electron Microscope (FESEM) with Oxford Energy-dispersive X-ray Spectrometer (EDS) – Hitachi SU70 Focused Ion Beam Scanning Electron Microscope (FIB-SEM) – Carl Zeiss AURIGA CrossBeam JEOL JEM 2010 High Resolution Transmission Electron Microscope Optical Microscopes Raman Microscope – Renishaw InVia Sample Preparation and Processing Centrifugal Evaporating System – Labconco CentriVap® Concentrator System Freeze Dry System – Labconco FreeZone Triad Fume Hoods Spectrometry & Spectroscopy Chemiluminescence NO Analyzer – Eco Physics CLD 88Y Fluorescence Spectrophotometer – Agilent Technologies Cary Eclipse Gas Chromatography Mass Spectrometry (GC/MS) – Agilent Technologies 7890B GC – 240 Ion Trap MS Inductively Coupled Plasma Emission Spectrometer (ICP-ES) – Thermo Scientific iCAP 6000 Liquid Chromatography Mass Spectrometer (LCMS) – Agilent Technologies 6470 Mass Spectrometer (MS) – Thermo Scientific, Orbitrap XL Raman Microscope – Renishaw InVia Raman Spectrometer System (portable) – InPhotonics InPhotote Universal Measurement Spectrophotometer (UMS) – Agilent Technologies Cary 7000 X-ray Fluorescence (XRF) Spectrometer (portable) – Innov-X Alpha 2 Thermal Processing and Analysis Differential Scanning Calorimeter (DSC) Module – TA Instruments DSC Q20 Thermogravimetric Analyzer (TGA) & Differential Scanning Calorimeter (DSC) – TA Instruments DSC SDT Q600 Velocimetry Tomographic Particle Image Velocimetry System (w/4D Particle Tracking) – LaVision FlowMaster X-ray Diffraction & Fluorescence Small Angle X-Ray Scattering (SAXS) – Bruker NanoSTAR X-ray Diffraction (XRD) System (w/inert atmosphere attachment and heated sample chamber) – Rigaku Ultima IV X-ray Diffraction System (XRD) – Rigaku Ultima IV X-ray Fluorescence (XRF) Spectrometer (portable) – Innov-X Alpha 2 Deposition Atomic Layer Deposition Ultratech / Cambridge Nanotech Savannah S100 E-Beam Evaporator with Glancing Angle Deposition (GLAD) – Kurt J. Lesker Company AXXIS E-Beam Evaporator with Thermal Evaporation Sources -BOC Edwards Auto 500 Molecular Beam Epitaxy (MBE) System Plasma Enhanced Chemical Vapor Deposition (PECVD) System Trion Technology Minilock-Orion III Sputter Coater System (Precious Metal – Gold) – SPI-Module Sputter Evaporator – Denton Vacuum DV502A Sputtering Deposition System – Kurt J. Lesker Company® PRO Line PVD 75 Etching Etcher – Inductively Coupled Plasma Reactive Ion (ICP-RIE) – Trion Technology Oracle III Etcher – Inductively Coupled Plasma Reactive Ion (ICP-RIE) – Trion Technology Phantom III Plasma Cleaner (O2) – Harrick-Plasma PDC-001 Metrology Ellipsometer – Film Sense FS-1 Multi-Wavelength Ellipsometer – Gaertner Scientific Corp. L117 Field Emission Scanning Electron Microscope (FESEM) w/Electron Beam Lithography attachment – JEOL JSM-6500F Focused Ion Beam Scanning Electron Microscope (FIB-SEM) – Carl Zeiss AURIGA CrossBeam Four Point Probe System – Jandel CYL-RM3000 Optical Microscopes: AO Epistar and Olympus BH2 Probe Station – Süss MicroTec PM8 Profilometer – KLA-Tencor Alpha-Step IQ Profilometer – Tencor Alpha Step 200 Sputter Coater System (Precious Metal – Gold) – SPI-Module Photolithography Four Point Probe System – Jandel CYL-RM3000 Mask Aligner (contact) – Süss MicroTec / Karl Süss MJB3 Mask Aligner – OAI 806 MBA Probe Station – Süss MicroTec PM8 Spin Coater – Brewer Science CEE-200 Spin Coaters: SCS; Laurell (ø150mm) Ultraviolet Ozone Cleaning System – UVOCS T10x10/OES Sample Preparation Fume Hoods Ultraviolet Ozone Cleaning System – UVOCS T10x10/OES Surface Science Ellipsometer – Film Sense FS-1 Multi-Wavelength Ellipsometer – Gaertner Scientific Corp. L117 Thermal Processing and Analysis Furnace (tube) – LindbergBlue M™ – Thermo Electron Corp Rapid Thermal Annealing (RTA) Vacuum Furnace – Ulvac Technology MILA 3000-P-N Wafer Bonding Aligner Wafer Bonder – AML Model AWB-04 Plasma Preen Plasma Cleaner Eco-Snow Spin Rinse Dryer (100mm – 150mm) Spin Rinse Dryer (200mm) Deposition E-Beam Evaporator PECVD Thermal Evaporator Nitride LPCVD Poly Si LPCVD LTO LPCVD Oxidation Furnace Anneal Furnace Lesker Sputter Plasma Etch AGS RIE Etcher Branson Plasma Asher Lithography Laurell Spin Coater Madell Hotplate Blanket Exposure K.S. Mask Aligner ABM Mask Aligner UV Cure Station Metrology / RIE Oxford Plasmalab 80+, Ar, O2 RIE Oxford Plasmalab 80+, BCl3, Cl2 RIE Oxford Plasmalab 100, cryo, CF4, CHF3, SF6, Cl2, Ar, O2, He-backside cooling. UHV E-gun deposition / Ar Ion gun etching, “Sputnik” AJA Orion, Multi target Sputtering System AJA ATC 2200, Multi target Sputtering System Thermionics UHV E-gun deposition, “Eurovac” Edwards Auto 306 HV E-gun deposition, Thermal deposition “Sputnik” alumina Rodfeed E-gun depostion system, with Ar-ion etching gun AGAR SEM Carbon coater Paroteq Flip-Chip Bonder EMS 4000 Spin Coater EMS 6000 Spin Coater Schaefer Tec SCE-15 Spin Coater Diamond scriber OEG MR200 EMS Hotplate VWR Hotplate Millipore MilliQ Reference Clean Water system Memmert UNE 200 Programmable Oven Memmert UP400 Programmable Oven Salvis Lab Evacuated Oven VWR Ultrasonic Cleaner, Vari-Power Kulicke & Sofa Digital Wedge Wire Bonder Laminar flow clean air benches Miele G7804 Laboratory Dishwasher Critical Point Dryer Inspection Alpha Step Ellipsometer Nanospec Filmetrics CDE Resmap KLA-Tencor P15 Signatone Probe Station Microscope HLT-160 Leak Detector Analytical Balance Packaging Wafer Saw Die Attach Wedge Bonder Wet Benches & Hoods Wet Bench & Hood (Litho Area) Solvent Hood (Litho Area) Wet Bench & Hood (Chase Area) Wet Bench (Chase Area) DI Water Production Waste Neutralization UHP LN2/N2 Support Equipment Gas Abatement Canister Mettler Scale Nikon Microscope Omax Microscope LP-III Vapor Prime Oven BOC Drystar Pump Midas Gas Detector Wastewater Treatment Facility GasGuard 450 Process Gas Controller Bel-Art Secador 1.0 Desiccator with Gas Ports McMASTER-CARR Refrigerators for Flammables Fisher Scientific™ Isotemp™ General Purpose Heating and Drying Ovens Thermo Scientific™ SuperNuova+™ Hotplate Torrey Pines EchoTherm HP60A Hot Plate, Programmable Aluminum Celestron Labs S10-60 Celestron Stereo Microscope Olympus BX53MRF (Reflected Frame) optical microscope Westar ProSpin-6 Westar Resist Spin Coater Glow Research AutoGlow 200 Etcher Zygo 3D Optical Profiler PlasmaPro 100 Reactive Ion Etcher from Oxford Instruments UHV Electron Beam Evaporator MJB4 Mask Aligner from SUSS MicroTec Photoresist process bench AnnealSys AS-Micro Rapid Thermal Annealer CVC 610 DC Magnetron Sputtering Station DEPOSITION Dicing DISCOTECH DAD-3240 Dicing Saw E-beam Evaporation Electron Microscopy Epoxy Die Bonder – West Bond 7372E Furnace Gold Wire Bonder – West Bond 74776E JEOL JSM-7600F Scanning Electron Microscope (SEM) Laurel Technologies 400 Spinners Laurel Technologies 600 Spinner Lindberg Blue M Tube Furnace Lindberg Blue M Tube Furnace March PX-250 Plasma Asher Mask Aligners MEIJI MT7000 Neocera PLD OAI UV Flood Exposure Olympus BH-2 UMA Optical Microscopy Oxidation Furnace Packaging PATTERNING Plasma Asher PLASMA ETCHING & CLEANING Programmable Furnace Pulsed Laser Deposition Rapid Thermal Processing Reactive Ion Etching (RIE) with ICP (Inductively Coupled Plasma) SAMPLE INSPECTION/PACKAGING Spinners Sputtering Station Sputtering Systems Stylus Profilometer Suss Microtech MA6 Mask Aligner Suss Microtech MJB3 Mask Aligner Temescal BJD 2000 E-Beam Evaporator Tencor Alpha-Step 200 THERMAL PROCESSING Thermolyne 6000 Programmable Furnace Trion Technology Minilock III RIE UV Flood Exposure JEOL JEM-2100 Transmission Electron Microscope Silica Optical Fibre Draw Tower (Nextrom OFS20) Vertical Glass-working Lathe Table Top Scanning Electron Microscope (Phenom) Class 1k Table Top Clear Air Unit (KOKEN – KOACH) FBG Writing System (Xiton Impress 213) Fibre Splicing/Tapering System by Laser (Fujikura LZM-100) Fibre Splicing/Tapering System (Vytran-Thorlabs GPX-3400) 3D Laser Scanning Microscope (KEYENCE VK-X200) Maskless Exposure System with Bio-fabrication Platform (Omnicure) Maskless Exposure System with Nano-fabrication Platform (AEROTECH) JEOL JSM-7600F Scanning Electron Microscope Hitachi S-4700 Scanning Electron Microscope Fischione 1050 TEM Mill Denton Desk V Sputter and Carbon Coater Allied High Tech Mulitprep Precision Polishing System Baltec CO2 Critical Point Dryer CPD30 Leica Ultramicrotome UC7 RT XPS (Thermo Scientific Nexsa) Scanning Electron Microscope (JEOL Model JSM-6490) Scanning Electron Microscope (Tescan VEGA3) Field Emission Scanning Electron Microscope (Tescan MAIA3) X-ray Diffractometer (Rigaku SmartLab) X-ray Diffractometer (Rigaku SmartLab 9kW – Advance) Scanning Probe Microscope (Bruker NanoScope 8) Scanning Probe Microscope (Asylum MFP-3D Infinity) Dynamic Mechanical Analyser (Mettler Toledo DMA1) Thermal Mechnical Analyser (Mettler Toledo TMA/SDTA1) Bruker D8 Discovery X-ray Diffractometer (XRD) PANalytical X’Pert Pro X-ray Diffractometer (XRD) Rigaku RU-300 X-ray Diffractometer (XRD Physical Electronics PHI 5000 VersaProbe X-Ray Photoelectron Spectroscopy (XPS/UPS) – Renishaw InVia Raman Microscope Thermogravimetric analyser / Differential Scanning Calorimeter (Mettler Toledo TGA/DSC3+) Differential Scanning Calorimeter (Mettler Toledo DSC3) Magnetron Sputtering System A Multi-axis Multi-station CNC Work Center Vacuum Glove Box for Battery Fabrication Magnetron Sputtering System B Parylene Deposition System (SCS PDS2010E LABCOTER) Thermal Evaporation System Dicing Saw (DISCO DAD3220) Surface Profiler (Tencor P-10) Prism Coupler (Metricon Model 2010) Digilab FTS 7000/UMA 600 Fourier Transform Infra-Red (FTIR) Spectrometer J.A. Woollam M-2000U White Light Ellipsometer Nanoscope MultiMode Scanning Probe Microscope (AFM) Asylum MFP-3D Atomic Force Microscope (AFM) Precision Ion Polishing System PIPS (Gatan 691) SUSS MA6 Mask Aligner OAI MBA800 Mask Aligner EZ Imprinting PL400 Nano-imprinter Sawatec HMDS Hotplate HP-200 Sawatec Spin Coater (Photoresist Only) Sawatec SM-180-BT Easy Spin Coater Leica DM1750 x1000 Optical Microscopy with CCD Camera Novascan UV Ozone Aixtron BM Pro 4 PECVD Inductively Coupled Plasma (ICP) Etcher Denton DV502B Thermal Evaporator Denton Explorer 14 Sputtering System Denton Explorer E-beam Deposition System Atomic Layer Deposition System Rapid Thermal Processor Probe Station with Semiconductor Parameter Analyzer Bruker DektakXT Surface Profiler Hitachi TM3000 Desk-top SEM PVA TePla IoN Radical Plasma Cleaner Harrick Plasma Cleaner PixDro LP50 Ink-jet Printer F&K Delvotec 5630 Semi-automatic Wire Bonder System Olympus Spinning Disk Confocal Fluorescent Microscope Bruker Contour GT K0 Optical Profiler Deposition Oerlikon 790+ PECVD Expertech CTR 125 Furnace/LPCVD AJA Orion Magnetron Sputterer Electron Beam Evaporator Etching Oerlikon Shuttlelock 700 series ICP RIE Lithography Laurell WS-400BZ-6NPP/Lite Spin Coater Karl Suss Microtec CT 62 Spinner Karl Suss MA6 Mask Aligner w/BSA Metrology NanoSpec Nano Metrics NA 109 Reflectometer Veeco FPP5000 four-point probe Alpha Step 500 Surface Profiler General Equipment Binder FD23 Convection Oven Branson 3510 Ultrasonic Cleaner Olympus BX60 Microscope w/ DIC Corning PC-420D Hotplates VWR 7X7 CER Stirrer/Hotplate Sartorius Water Deionization System Nitrogen Purged Dry Boxes ReynoldsTech Fume Hoods Voyager EBL System including “traxx” (FBMS) and “periodixx” (MBMS) Maskless Lithography tool, “SmartPrint” Karl Süss Contact Mask Aligner, MJB3 Cannon PPC 210 Projection Print Camera Raith 150 Ebeam Lithography w. FBMS option FEI Nova 200 Dual beam system (SEM/FIB) EDX detector for element analysis AFM/SPM Bruker (Veeco) Multimode AFM Bruker ICON AFM Bruker FastScan AFM JPK Nanowizard 3 Bioscience AFM JPK Nanowizard 3 Ultraspeed Nikon ME600 Optical microscope, DIC, DF, 3 Mpix camera Nikon ME600 Optical Microscope, DIC, DF, 5 Mpix camera Nikon Eclipse L200, Optical microscope, wafer inspection Nikon ME600 Fluorescence microscope, cooled 2 Mpix CCD camera Karl Suss Aligners PECVD 1 PECVD 2 Thermal Evaporator Old E-Beam Evaporator Denton E-Beam Evaporator Denton Sputterer PE2 Etcher Branson Etcher Anelva RIE STS ICP RIE Trion ICP RIE HEADWAY SPINNER Application of AZ3330, SU-8, polyimide, and other photoresists. LAURELL SPINNER Application of AZ3330, SU-8, polyimide, and other photoresists. MA150 KARL SUSS ALIGNER High-fidelity mask exposure, capable of feature sizes down to .5 microns. HEIDELBERG DWL 66FS LASER MASK WRITER Used to create custom photolithographic masks from a user-specified layout. SOLITEC SPINNER Spinnable material application. This spinner is not restricted to photoresist application and may be used for coatings such as spin-on glass, SU8, polyimide, etc. Can also be used for wafer cleaning. DEEP UV CHAMBER (UNDER CONSTRUCTION) (Under Construction) MASK DEVELOPING BENCH (UNDER CONSTRUCTION) (Under Construction) Film Deposition and Growth BRUCE TUBE FURNACE High temperature furnance for wafer thermal oxide growth, wafer dopant diffusion, and metallization annealing. DENTON E-BEAM EVAPORATOR High temperature deposition of most metals. DENTON SPUTTERING MACHINE Sputtered deposition of various materials including Tungsten, Silicon Nitride, Silicon Dioxide, and Chrome. PECVD Plasma deposition of SiO2, SiOxNy, and Si3N4films. This machine is currently dedicated to producing silicon nitride films. PECVD Plasma deposition of SiO2, SiOxNy, and Si3N4films. This machine is currently dedicated to producing silicon dioxide films. PECVD Plasma deposition of SiO2, SiOxNy, and Si3N4films. This machine is currently dedicated to producing silicon dioxide films. THERMAL EVAPORATOR Multi-boat metal deposition of gold and aluminum. Annealing and Diffusion BRUCE TUBE FURNACE High temperature furnance for wafer thermal oxide growth, wafer dopant diffusion, and metallization annealing. RAPID THERMAL ANNEALER Rapid annealing of metal-semiconductor contacts and ion-implanted silicon wafers. Metrology 3D PROFILOMETER Measures surface and textural properties by creating a composite 3-D image in true color of whatever is being scanned ELLIPSOMETER Highly accurate measuring tool for single or double thickness thin films measurements. Records index of refraction and thickness of various thin films. FILMETRICS F20 FILM MEASUREMENT SYSTEM Measures the thickness and optical constants of any smooth, transparent or thin film with minimal light absorption FOUR POINT PROBE Measures the resistivity of silicon slices using a collinear four point probe array HP4145 PARAMETER ANALYZER Semiconductor parameter analyzer used for curve tracing and wafer testing. HP4156A PARAMETER ANALYZER Semiconductor parameter analyzer used for curve tracing and wafer testing. LEICA MICROSCOPE General use microscope equipped with 5x, 10x, 20x, 50x, and 100x objective lenses. Equipped with a digital camera linked to a computer for recording observations. METRICON PRISM COUPLER The Metricon Prism Coupler allows for wafer measurements without an initial knowledge of the thickness. It allows for high accuracy measurements of many materials and substrates. NANOSPEC 210 Reflectometry system to measure thickness of silicon dioxide, silicon nitride, photoresist and other films with a small spot size. NI PARAMETER ANALYZER(PXI-1033) PCI eXtensions for Instrumentation (PXI) is a PC-based platform that offers a high-performance solution for measurement and automation systems. Currently the primary use for this parameter analyzer is to measure and analyze transistors on wafers. PROBE STATION Probe stations are used to make contact to microscopic features on a device PROFILOMETER Surface height profile measurement of microscopic features. Capable of producing measurements up to 10 samples/micrometer. Etching ANELVA RIE Reactive Ion Etching using CF4 and O2 chemistries. MODUTEK HOTPOT Heated area of the wet bench area where KOH etching of Silicon takes place. PE2 PLASMA ETCHER O2 plasma etching of thin organic films such as photoresist residue. This system has two parallel plates for a planar etch. STS ICP ETCHER Highly directional inductively coupled plasma etcher for etching silicon. TRION ICP ETCHER Highly directional inductively coupled plasma etcher for etching silicon, silicon nitride, silicon dioxide, and metals. Baking/Curing CLEAN OVENS Ovens used for curing of various polymers and dehydration of wafers. CRITICAL POINT DRYER Critical point drying is a method of drying wafer structures without collapsing or deforming the structure. VACUUM OVEN Low-pressure baking oven. VAC GLOVEBOX The VAC Glovebox is a controlled atmosphere apparatus. It provides an inert environment for handling highly reactive materials. CONVECTION OVEN (UNDER CONSTRUCTION) (Under Construction) Polishing, Grinding, and Cleaving CHEMICAL MECHANICAL POLISHER SYSTEM (CMP) The Chemical Mechanical Polisher (CMP) is used to polish 4″ (or 6″) wafer by using chemical and mechanical polishing method. It can planarize the wafer which has different material. DICING SAW A Dicing Saw is used to cut(or dice) or groove semiconductor wafer into small square, or die. GRINDER The grinder is used to grind a wafer piece into desired thickness. POLISHER (ULTRAPOL END & EDGE POLISHER) The purpose of the Ultrapol End & Edge Polisher is to polish the edge of the diced wafer sample WIREBONDER The wirebonder is designed for ultrasonic, thermosonic, or thermocompression wedge bonding of IC’s, hybrids, microwave devices, and laser diodes using gold or aluminum wire from .5 mil (12.5 microns) to 3 mil (76 microns) and ribbon. ULTRON UH114 WAFER TAPE APPLICATOR Ultron UH114 Wafer Tape Applicator is used to prepare the wafer which needs to be diced by a dicing saw machine. The tape will hold the diced wafer so that the wafer dice will not fall off. DIAMOND SAW RTAs Metricon Prism Coupler Critical Point Dryer Dicing Saw CMP Ultratec Polisher 3D Direct-Write Fabrication Nanoscribe Pro GT Laser Lithography 3D Direct-Write System Nanoscribe 2-Photon Lithography Advanced SEM Zeiss Merlin SEM +EDS) Zeiss Merlin FE-SEM Dual-beam SEM/FIB Nova 600 NanoLab dual-beam SEM/FIB Nova 600 NanoLab dual beam SEM/FIB E-beam Lithography JEOL 9300FS 100kV Electron Beam Lithography System Electron Beam Lithography Rapid Thermal Processing First Nano Rapid Thermal Processor First Nano Rapid Thermal Processor Helium-Ion Milling, Imaging and SIMS Zeiss Orion NanoFab Helium Ion Microscope Zeiss Orion NanoFab Helium Ion Microscope General Cleanroom Use Tystar CVD Furnace Tystar Furnace CVD Oxford Plasmalab System 100 PECVD Oxford PECVD Oxford Plasmalab 100 RIE/ICP chlorine, fluorine, & cryogenic processing) Reactive Ion Etch Wyko NT9800 Optical Profilometer Wyko NT9800 Optical Profilometer Asylum MFP-3D Atomic Force Microscopy Cleanroom Atomic Force Microscopy DiamoTek 700-6 Microwave Plasma Diamond CVD Film Deposition Diamond Film Deposition Accretech SS10 Dicing Saw Accretech SS10 dicing saw Phenom XL Scanning Electron Microscopy Phenom XL SEM Contact Lithography SUSS MicroTech Contact Aligner Plasma Atomic Layer Deposition ​​​​​Oxford FlexAI Atomic Layer Deposition Oxford FlexAl Atomic Layer Deposition Process Design for Cleanroom Processes LayoutEditor LayoutEditor | LayoutEditor Documentation) Comsol multiphysics finite element numerical solver COMSOL – Software for Multiphysics Simulation) GenISys Beamer – supporting electron beam lithography exposure BEAMER – GenISys GmbH genisys-gmbh.com)) NRL Bio-Inspired Nanomaterials DC-PECVD synthesis of VACNFs vertically aligned carbon nanofibers) or CNSs carbon nanospikes) Custom PE-CVD system Carbon PE-CVD Confocal Microscopy Carl Zeiss 710: Environmentally controlled stage for live cell multi–channel imaging Carl Zeiss Elyra Superresolution with structured illumination microscopy SR-SIM) and photo-activated localization microscopy PAL-M) Leica TCS SP2 MP scanning laser confocal microscope for multiphoton rgb) excitation and ultraviolet compatible Epifluorescent Microscopy Carl Zeiss Axioskop 2 FS with epifluorescent top illumination and Nomarski phase contrast optics bottom).  CCD imaging + optional patch clamp and ceramic objectives for electrophysiology measurements Scanning Probe Microscopy with Fluorescence Imaging System Molecular Imaging PicoPlus.  Closed loop multipurpose scanners 10 µm & 100 µm) for positioning registration/lithography.  Liquid cells, flow cells and temperature control capabilities are also available. Simultaneous topography and chemical recognition is possible. 1. 400 g-ton Centrifuge 1. AB/Sciex 4000 Qtrap 1. Agilent/Varian Cary 500 Spectrophotometer 1. Analysis Workstation 1. Applied Biosystems 7500 Fast Real-Time PCR System 1. ASI Alphachron He Extraction and Measurement Line 1. Beckman J2 High Speed Refrigerated Centrifuge 1. Biopac MP150 1. Chemical Hoods 1. COPAS Nematode Biosorter 1. Cressington Carbon Coater 1. Eppendorf Centrifuge 5810R 1. Eppendorf Vacufuge Plus 1. Eppendorf Vacufuge Plus 1. Shared Stirling Ultracold Ultra-Low Temperature Freezer 1. Thermo Scientific Heratherm Drying Oven 10. Cary 5000 UV/Vis Spectrometer 10. FEI Tecnai F30 (300kV) FEG-TEM 10. Headway Research EC101DT Spin Coater 10. Phoenix Dropsetter 11. Cellomics ArrayScan VTI HCS Reader 11. J. A. Woollam Variable Angle Spectroscopic Ellipsometer 11. Nikon E600 Upright Widefield Microscope 11. QuantStudio 6 Real-Time PCR 12. CyBi®-Well 96- and 384- Channel Simultaneous Pipettor 12. Jandel HM21 Four-Point Probe 12. Nikon N-SIM structured illumination super-resolution and A1 laser scanning confocal microscope 12. Qubit Fluorimeter 3.0 13. Diagenode Bioruptor Standard Sonication System 13. JEOL JSM-6400 Scanning Electron Microscope 13. Nikon Inverted Spinning Disk Confocal Microscope 13. Rigaku R-axis  14. EnVision Multilabel Plate Reader 14. JST Manufacturing 48″ Polypropylene Wet Processing Station 14. Leica DMRXA Upright Widefield Microscope 14. Rockimager  15. Eppendorf Biophotometer 15. JST Manufacturing 96″ Stainless Steel Wet Processing Station 15. Zeiss 510 Laser Scanning Confocal Microscope 16. Eppendorf Centrifuge 5804R 16. Karl Suss MJB 3 Mask Aligner and Exposer 17. Eppendorf Centrifuge 5804R 2 17. Laurell Technologies WS-650Mz – 23NPPB Spin Coater 18. High Vaccum Ion Gauge 18. Leica DMLM Custom Optical Microscope 19. Hitachi F-2500 Fluorescence Spectrometer 19. Lindberg/Blue M HTF55322C Tube Furnace 2. AB/Sciex Voyager DE-STR 2. AXIC PlasmaSTAR Reactive Ion Etcher 2. Beckman L8-70M Ultracentrifuge 2. Bioanalyzer 2100 2. BioTek EPOCH Plate Reader (Absorbance) 2. CEM Discover SP-D 80 Microwave Digester 2. CO2 Stable Isotope Ratio Mass Spectrometer 2. Copper electroplating system 2. Medoc Pathway Pain System 2. Retsch Plate Shaker 2. Shared Thermo Scientific Ultracold Ultra-Low Temperature Freezer 20. Micro Automation M1006 Dicing Saw 20. Nano-Drop Spectrophotometer 21. NMR Spectrometer-300 Mhz 21. Terra Universal 2000-18 60″ Polypropylene Wet Processing Station 22. NMR Spectrometer-400 Mhz-Bruker AV-III 400 22. Thermo Scientific/Nicolet FT-IR Spectrometer 23. NMR Spectrometer-400 Mhz-Varian INOVA 400 23. West-Bond 7476E and 747630E Wire Bonders 24. NMR Spectrometer-500 MHz 24. Wyko NT2000 Optical Profilometer 25. PolarisQ GCMS 25. Zygo GPI Fizeau Interferometer 26. Rigaku microfocus x-ray source and Pilatus detector 27. Tecan Safire 2 Microplate Reader 28. Thermo LTQ orbitrap 29. Thermo Nicolet Avatar 360 and 320 FTIR 3. Agilent 6120 3. Bal-Tec AG Critical Point Dryer 3. Beckman Coulter Optima L-90K Ultracentrifuge 3. BioTek Synergy Plate Reader (Luminescence) 3. Heidelberg DWL 66FS Laser writer 3. Horiba LabRAM HR Evolution Raman Spectrometer 3. Illumina NextSeq 3. Micromeritics Gemini VI Surface Area Analyzer 3. Siemens 3T Magnetom Prisma fit MRI scanner 30. Thermo Fisher LTQ orbitrap velos 31. Waters Synapt G2 HDMS 4. Applied Biosystems SimpliAmp by Life Technologies 4. BioTek Synergy HT Multi-Mode Plate Reader 4. Bruker Dimensional Atomic Force Microscope 4. Dell Precision 7910 Analysis PC 4. Illumina MiSeq 4. JEOL-8230 Electron Probe Microanalyzer 4. Karl Suss MJB3 Mask Aligner​ 4. NETZSCH 402C Horizontal Push-rod Dilatometer 5. ATR FT-IR 5. Bruker Multi-Mode Scanning Probe Microscope 5. Eppendorf Centrifuge 5810R 5. Light stable isotope continuous flow mass spectrometer 5. NETZSCH Simultaneous Thermal Analyzer 5. Nikon A1R Laser Scanning Confocal and TIRF 5. Plasma (dry) Etch 6. BD Accuri C6 Cytometer with Automated, multisampler/Flow Cytometer 6. Custom Class 10 Assembly Bench 6. Eppendorf Mastercycler Gradient Thermocycler 6. Nikon N-STORM 6. Quantachrome Autosorb-1  6. Thermal processes 6. Thermo-Nicolet Nexus 670 and Continuum FTIR Microscope 7. BDFACSCelesta Flow Cytometer Analyzer 7. Daktak XT Stylus Profilometer 7. FEI/Phillips CM100 (100kV) TEM 7. Nikon Spinning Disc Confocal 7. Thin-film deposition 8. BD FACSAria Fusion Cell Sorter 8. Edwards Cryo 304 PVD Coating Chamber 8. FEI Tecnai 12 Spirit TEM 8. Molecular Devices ImageXpress MicroXL 9. Biomek® FXP Laboratory Automation Workstation 9. FEI Nova NanoSEM 630 Scanning Electron Microscope 9. FEI Tecnai F20 (200kV) FEG-TEM 9. Olympus IX-81 Widefield DEPOSITION – CHEMICAL *Tube Furnace MTI OTF-1200X (4″) *Tube Furnace Lindberg Blue M (1″) Atomic Layer Deposition (ALD) – PicoSun R-200 Electroplating System – Nickel – Silicon Valley Wafer Plating: The Immersion – Beaker-on-a-Stick System Microwave Plasma CVD – Seki AX5200M PECVD – Trion Orion II DEPOSITION – PHYSICAL Multimode Deposition Chamber – Angstrom Amod – Combined e-beam, Resistive & Sputter Deposition Chamber Resistive Evaporator Angstrom Amod – Resistive Chamber Resistive Evaporator Kurt J Lesker Nano 36 Sputter Deposition – AJA ATC-2200 ETCHING TOOLS Plasma Etch Trion Phantom II Plasma Etch Oxford PlasmaPro 100 Cobra Plasma Etch Trion Minilock II Plasma Etch PVA TePla IoN Wave Plasma Etch Harrick Plasma PDC-32G Plasma Cleaner Porous Silicon Etching System – AMMT MPSB 100 LITHOGRAPHY – MASK SHOP/DESIGN STUDIO Photoplotter – Bungard Filmstar-PLUS Small Laser Writer – Heidelberg Instruments μPG 101 Layout Editor Software – Tanner L-Edit Mask Aligner Karl Suss MA-6 LITHOGRAPHY – PHOTO Laser Writer – Heidelberg Instruments μPG 101 Mask Aligner – Karl Suss MA-6 Assorted spinners and hot plates LITHOGRAPHY – EBL Electron Beam Lithography System – Raith eLiNE LITHOGRAPHY – SOFT Plasma Etch Trion Phantom II Plasma Etch Oxford PlasmaPro 100 Cobra Plasma Etch PVA TePla IoN Wave Plasma Etch Harrick Plasma PDC-32G Plasma Cleaner Mask Aligner Karl Suss MA-6 Microfluidic Flow Control System – Fluigent LineUp Series Optical Stereomicroscope – Motic SMZ 168 TLED, Moticam 5+ PDMS Aligner ThorLabs motion control, DinoLite Optics Characterization IMAGING TOOLS Atomic Force Microscope – Bruker Dimension Icon Dual Beam Focused Ion Beam – Scanning Electron Microscope (FIB-SEM) – FEI Helios NanoLab G3 CX Microscope Nikon Optical Microscope with Digital Image Capture & Transfer Station Microscope Olympus Optical Microscope with Digital Image Capture Optical Stereomicroscope Motic SMZ 168 TLED, Moticam 5+ Scanning Electron Microscope Zeiss Merlin with Gemini II Column Transmission Electron Microscope FEI Tecnai G2 Osiris S/TEM Sample Prep SPECTROSCOPY Confocal Raman Microscope – Thermo Scientific DXR Fourier Transform Infrared Spectroscopy (FTIR) with photoelastic modulator – Bruker Tensor 27 NanoSight Malvern Panalytical Nanosight NS300 Spectrophotofluorometer – Jobin Yvon Fluorolog-3 FL3-111 Spectroscopic Ellipsometer – JA Woollam M-2000VI Spectrophotometer – Varian Cary 5000 UV-VIS-NIR METROLOGY Quartz Crystal Microbalance w/ Dissipation Monitoring (QCM-D) – Biolin Scientific Qsense E4 NanoSight Malvern Panalytical Nanosight NS300 Probe Station – Micromanipulator 450PM, Keithley 4200A-SCS Solar Testing – Oriel Instruments 100W Xenon Lamp with AM 1.5G filter, Oriel Instruments Cornerstone 130 monochromater, Keithley 2400 SMU Stylus Profilometer – KLA Tencor P-7 Stylus Profilometer – Bruker Dektak 150 Thermogravimetric Analyzer (TGA) – Instrument Specialists TGA-1000 Zetasizer – Malvern Panalytical Nano ZS Other PRINTING AND ASSEMBLY 3D Printer – Prusa I2 MK3S (PLA/ABS/PETG) 3D Printer – Prusa l2 MK3S (FlexFill/Ninjaflex) 3D Printer – Prusa SL1 (tough resin) 3D Printer – Prusa SL1 (flexible resin) NanoAssemblr – NanoAssemblr Benchtop Screen Printer – MTI EQ-SPC-2-LD Wax Printer – Xerox ColorQube 8570 PACKAGING Dicing Saw – Disco DAD3220 Wire Bonder – Westbound Wedge 7476D PROCESSING Tube Furnace MTI OTF-1200X (4″) Tube Furnace Lindberg Blue M (1″) Vacuum Oven Yield Engineering Systems (YES) 310TA HMDS Vapor-Prime Lithography / Patterning Tools Karl Suss MA6 Mask Aligner Karl Suss MJB 3 Mask Aligner Laser MicroMachining System Optical Microscope Spin Coater Hot Plate Deposition Tools Atomic Layer Deposition System Ink-Jet Deposition System PVD 75 Electron Beam Deposition System PVD 75 Sputtering Deposition System Thermal Evaporator Etching Tools Trion Phantom III RIE Etcher Trion Sirus T2 TableTop RIE Etcher Metrology Tools Malvern Morphologi 4 M-2000V Spectroscopic Ellipsometer Microscope System with Fast CCD Camera PHE101 Discrete Wavelength Ellipsometer Profilometer XGT-5000 X-ray Analytical Microscope Zetasizer Nano ZS Analyzer Carl Zeiss Axio Scope.A1 Microscopy Systems Model EZ7 Vibrating Sample Magnetometer (VSM) Biology Tools Bio-Hood CO2 Incubator Incubator Shaker Refrigerator Other Processing tools Plasma Cleaner Wire BonderImage-Reversal Vacuum Oven Vacuum Oven Thermo Scientific Lindberg Blue M Model No. VO1218A Standard Oven Thermo Scientific Heratherm OGS60 Standard Oven Yamato Drying Oven DX 300 Angstrom Glovebox – Inert PureLab HE Nitrogen Glovebox – Mbraun Unilab Workstation Rapid Thermal Processor SSI Solaris 150 Schlenk Line – Dual Manifold Vacuum/Argon Line UV Ozone Cleaner – Jelight M42 Process Hood – RCA Clean Process Hood – Liftoff Process Hood – Microfluidics Process Hood – EBL Process Hood -Photoresist Process Hood – Develop Process Hood – HF Process Hood – Acid/Base Process Hood – Miscellaneous Standard vacuum and general purpose ovens E-beam Evaporator AJA E-beam Evaporator AJA International Asher Asher Asher Atomic Force Microscope (AFM) Atomic Force Microscope (AFM) Bruker Dimensions Icon AFM Savannah ALD Atomic Layer Deposition Savannah S100 Thermal ALD Dicing Saw Dicing Saw Disco Model DAD 323 Ellipsometer Ellipsometer J.A. Woollam alpha-SE spectroscopic Environmental Scanning Electron Microscope Environmental Scanning Electron Microscope (ESEM) Thermofisher Quattro S ESEM Focused Ion Beam Focused Ion Beam FIB Thermofisher Scios 2 DualBeam FIB Heidelberg Laser Lithography System Heidelberg Laser Lithography System Mask Aligner Mask Aligner 3″ Karl Suss MJB3 Kloe UV-KUB 3 Mask Aligner 4″ Kloé SA Plasma-enhanced chemical vapor deposition (PECVD) system Nanofab PECVD System Oxford Instrument Optical Microscopes Optical Microscopes Parylene Deposition System Parylene Deposition System Specialty Coating Systems, PDS 2010 Labcoter Probe Station Probe Station Signatone S-1008 and Keithley 238 high current source measurement unit Profilometer Profilometer KLA-Tencor Alpha-Step D-100 Reactive Ion Etch (RIE) Oxford Plasma Lab 100 Inductively Coupled Plasma (ICP) Scanning Transmission Electron Microscope (STEM) Scanning Transmission Electron Microscope (STEM) JEOL JEM-2100F Field-Emission STEM Solvent and Acid fume hoods Solvent and Acid Fume Hoods Spin Coater Spin Coater Brewer Science CEE 200X Sputter Coater Sputter Deposition Tool Kurt J. Lesker PVD 75 RF and DC TEM Sample Preparation TEM Sample Preparation Thermal Evaporator Thermal Evaporator Edwards 306 Vacuum Coater Thermo Mechanical Analyzer (TMA) Mettler Toledo, Model TMA/SDTA 840 Transmission Electron Microscope Transmission Electron Microscope (TEM) JEOL JEM-2000 FX TEM Tube Furnace Tube Furnace Lindberg/Blue M UV Ozone Cleaner UV Ozone Cleaner UVOCS X-ray Powder Diffraction X-ray Powder Diffraction (XRD) Rigaku D-Max-B Powder Diffractometer X-Ray/Ultraviolet Photoeletron Spectrometer X-Ray/Ultraviolet Photoelectron Spectrometer (XPS) Physical Electronics 5000 VersaProbe II Scanning ESCA Microprobe AMI MPS-9155 Screen Printer AG Associates Heatpulse 610 RTA Furnace FED 1500XL Dispencer Plas Labs Nanobox 890 Glove Box AIXTRON Nanoinstruments BM II Carbon Nanotube Reactor Oxford Instruments ICP-DRIE Plasma Etching System Modutek Wet Bench FEI Helios DualBeam FIB+FESEM+STEM+EDS Tokyo Electron P-8XL Wafer Probe System Cascade Microtech REL-6100 Probe Station MM Model 6150 Probe Station Kulicke & Soffa 4523 Wire and Ribbon Bonder Kulicke & Soffa 984-6 Dicing Saw Nisene JetEtch II Decapsulator LPS-2000 Integrated Circuit Repair System Finetech Electronics FINEPLACER Pico 145 Ball Bonding Device Torr International Physical Vapor Deposition (PVD) System Oxford Instruments Plasmalab 80 Plus PECVD and ICP-DRIE Micronic LRS-55 Photomask Patterning Device MIVA 2832 Photoplotter Suss RC8 Spinner Primus STT 15 Spinner Suss MA8 Mask Aligner Terra Universal Wet Bench Semitool PSC-101 Spin Rinse Dryer LPKF ProtoLaser U3 New Wave Ezlaze 3 New Wave QuickLaze Trilite Spectra-Physics Model 171 Ar+ Laser Optical measurement devices UV-vis-NIR Varian Cary 500 Spectrophotometer UVISEL-VASE Horiba Jobin-Yvon Ellipsometer Raman spectroscopy JEOL JEM-2200FS EFTEM/STEM Zeiss ULTRA plus FESEM Zeiss Sigma FESEM JEOL JXA-8530FPlus (FE-EPMA) FEI Helios DualBeam FIB+FESEM+STEM+EDS Horiba Jobin-Yvon LabRAM HR800 UV-vis μ-Raman Other Nikon Eclipse ME600 Microscope Zeiss LM 100 Microscope Veeco Dektak 8 Surface Profiler Rigaku SmartLab 9 kW XRD Bruker AXS S4 Pioneer XRF Thermo Fisher Scientific ESCALAB 250Xi XPS System ADDITIVE MANUFACTURING FUJIFILM Dimatix Material Printer nScrypt 150-3Dn-HP Optomec Aerosol Jet AJ 200 Xenon S-2100 Sintering System CHARACTERIZATION AND METROLOGY Bruker DektakXT Stylus Profilometer J.A. Woollam M-2000 Ellipsometer Veeco WYKO NT1100 Optical Profiler PHYSICAL VAPOR DEPOSITION AJA Orion 5 Sputtering Machine CHA Thermal Evaporator TORR CrC-150 Sputtering System PLASMA ETCH Branson 3000 Series RIE/Asher Oxford PlasmaLab System 100 PVA TePla Ion 40 Veeco Ion Beam Milling Machine SURFACE CHEMISTRY/WET PROCESSING JST Acid Bench JST Base Bench JST Solvent Bench SemiTool ST-460 Spin Rinse Dryer PHOTOLITHOGRAPHY Quintel Q-4000 Contact Aligner OAI 5000 Contact Aligner CEE Model 200X-F Spin Coater (Integrated with JST Solvent Processing Station) AMAT Endura 2 AMAT Endura 2 AMAT Endura 2 AMAT Endura 2 AMAT Endura 2 AMAT Endura HP PVD AMAT Endura 5500 AMAT Endura HP PVD AMAT Endura HP PVD AMAT Endura VHP PVD AMAT Centura5200 AMAT Centura 5200 AMAT Endura HP PVD AMAT Endura HP PVD AMAT Endura HP PVD AMAT Endura VHP PVD AMAT Endura 5500 Aixtron Crius 31×2′ Aixtron Crius 31×2′ Aixtron Crius 31×2′ Aixtron Crius 31×2′ Aixtron Crius 31×2′ Aixtron G4-TM Aixtron G4-TM Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 AND ASP2000X AND ASP2000X AND ASP2000X AND ASP2000X AND ASP2000X AND ASP2000 AND ASP2000 AND ASP2000 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawkXtreme ASM iHawk Xtreme ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 ASM AD830 Automax AMX325AL Automax AMX2200FA CANON MPA-600FA CANON MPA-500FA6 Cha IH-600 Cha IH-600 Cha IH-600 Cha IH-600 Cha IH-600 Cha IH-600 DAINIPPON SCREEN MFG WS-8200 DATACON 2200 EVO DISCO DFL7560 DISCO DFS8910 Elipso Checking GNBS NSGB1.5K GNBS NSGB1.5K GNBS NSGB1.5K GNBS NSGB1.5K GNBS NSGB1.5K GNBS NSGB1.5K Iotechnics LMSD200 Iotechnics LMSD200 Iotechnics LMSD200 Iotechnics LMSD200 Iotechnics LMSD200 Iotechnics LMC3200D Iotechnics LMC3200D KLA Tencor P-16t KLA Tencor P-16+ KLA Tencor P-16+ KLA Tencor P-16+ Lam (Novellus) C-1 LAM RESEARCH TCP9400 LAM RESEARCH TCP9400 LAM RESEARCH TCP9400 LAM RESEARCH TCP 9400 LAM RESEARCH TCP 9400 SE LAM RESEARCH TCP9400 LAM RESEARCH TCP9400 LAM RESEARCH TCP9400 LAM RESEARCH TCP9400 LAM RESEARCH TCP 9400 SE II LAM RESEARCH TCP 9400 LAM RESEARCH TCP 9400 LAM RESEARCH TCP 9400 LAM RESEARCH Rainbow 4400 LAM RESEARCH Rainbow 4420 LAM RESEARCH Rainbow 4420 LAM RESEARCH Rainbow 4420 LAM RESEARCH Rainbow 4420 LAM RESEARCH Rainbow 4720 LAM RESEARCH Rainbow 4420 LAM RESEARCH Rainbow 4400 LAM RESEARCH Rainbow 4420 LAM RESEARCH Rainbow 4400 B LG Electronics Co., Ltd. IH600MK5 LG Electronics Co., Ltd. IH600MK5 Macro Machine IMMMMCLG2D Neon Tech NFTM-4200D Neon Tech NFTM-4200C Neon Tech NEX-8 Neon Tech NEX-8 Neon Tech NEX-8 Neon Tech NEX-8 Neon Tech NEX-8 Neon Tech NEX8 Neon Tech NEO 1500G15 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NDS-4200 Neon Tech NBK-4600 Neon Tech NBK-107 Neon Tech NBK-107 Neon Tech NBK-107 Neon Tech NBK-107 Neon Tech NBK-107 Neon Tech NBK-107 Neon Tech NBK-107 Neon Tech NBK-107 Neon Tech NBK-107 Neon Tech NBK-107 Neon Tech NFTM-4200 Neon Tech NSD-68 Neon Tech NFTM-5200 NIKON NESIW-i06 NiKON NSR 2205i 11D NiKON MSR 2205i 11D NiKON MSR 2205i 11D NiKON MSR 2205i 11D NiKON MSR 2205i 11D Nordson Dage4000 Nordson Dage4000 Nordson Dage4000 Nordson Dage4000 Nordson Dage4000 NTS NML-3020 NTS NFL-4020 OXFORD Plasmalab System 100 OXFORD Plasmalab System 100 PerkinElmer Checking Plasma Therm versaline PRI IH600MK5 PRI IH600MK5 PRI IH600MK5 PRI IH600MK5 PRI IH600MK5 Shibuya EH162LB Shibuya EH162LB Shibuya EH162LB Shibuya EH162 SHINCRON CO., LTD. MIC-1350DSN SHINCRON CO., LTD. MIC-1350DSN SHINCRON CO., LTD. MIC-1350DSN SHINCRON CO., LTD. MIC-1350DSN SHINCRON CO., LTD. MIC-1350DSN SHINCRON CO., LTD. MIC-1350DSN SHINCRON CO., LTD. MIC-1350DSN SUSS MicroTec MA6/BA6 SVS MSX1000 SVS MSX1000 SVS CIE-DV3-028 TDK-EPC AFM-15 Teknologue NCS-1700Ⅲ Towa Corporation LCM1010 Towa Corporation LCM1010 Towa Corporation LCM1010 ULVAC Ei-5K ULVAC Ei-5K ULVAC Ei-5K ULVAC Ei-5K ULVAC Ei-5K ULVAC Ei-7K ULVAC Ei-7K ULVAC Ei-7K ULVAC Ei-7K ULVAC Ei-7K ULVAC Ei-7K ULVAC Ei-7K ULVAC Ei-7K USHIO INC. uX-4240 USHIO INC. uX-4240 USHIO INC. uX-4240 USHIO INC. uX-4240 USHIO INC. uX-4240 Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K 475 Aixtron Crius 31×2′ Aixtron Crius 31×2′ Aixtron Crius 31×2′ Aixtron Crius 31×2′ Aixtron Crius 31×2′ Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4 Aixtron G4-TM Aixtron G4-TM Veeco K 475 Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN Veeco K465i GaN THERMAL PROCESSING MiniBrute MB-80 Thermal Oxidation/Diffusion Furnace Rapid Thermal Processing System Blue Electric SV-57A Oven Programmable Hot Plates JST Chemical Processing Station Systems Integration 7200-1453 Hot Plate BACK-END PROCESSING WestBond Model 7476 Wire Bonding System K&S Model 4526 Wedge Bonding System ADT982-6 Wafer Dicer AccuThermo RTA AJA Systems Filmetrics reflectometer Heidelberg µPG 501 JEOL 7800F Raith eLine contact mask alignment and photoresist exposure system, fume hoods, spin coating station, non-contact wafer profilometer, PDMS mixer and degasser, oven, AC oxygen plasma, PDMS hole punch and a stereo zoom microscope PHOTOLITHOGRAPHY REYNOLDSTECH PHOTOLITHOGRAPHY HOODS AND SPINNERS LAURELL SPIN COATER HEIDELBERG µPG 101 LASER WRITER HEIDELBERG DWL 66+ LASER WRITER SUSS MICROTEC MA6 MASK ALIGNER SUSS MICROTEC DUV MA6 MASK ALIGNER BLUE M OVEN YES HMDS OVEN ELECTRON-BEAM LITHOGRAPHY Nabity Pattern Generation System (v9.1) Nanobeam Ltd. nB4 System GenISys Beamer Proximity Correction Software THIN-FILM DEPOSITION & GROWTH EDWARDS BOC/AUTO 306 THERMAL EVAPORATOR CRESSINGTON 108 MANUAL SPUTTER COATER OXFORD PLASMAPRO NPG80 PECVD (SiO2, SiNx & a-Si) ANGSTROM EVOVAC DEPOSITION SYSTEM (E-BEAM, THERMAL AND SPUTTER DEPOSITION) ANGSTROM ULTRA HIGH VACUUM E-BEAM DEPOSITION SYSTEM AJA DIELECTRIC SPUTTERING ORION-8 AJA METALLIC SPUTTERING ORION-3 CAMBRIDGE NANO TECH INC SAVANNAH 200 ALD SCS LABCOTER 2 PARYLENE DEPOSITION SYSTEM EXPERTECH LPCVD FURNACE (SiNx, SiOx, SiC, AND ANNEAL) DRY ETCH & WET PROCESSING OXFORD PLASMA PRO 100 COBRA – CL RIE OXFORD PLASMA PRO 100 COBRA – F RIE and DRIE OXFORD PLASMALAB 80+ ICP (ICP ANISOTROPIC ETHCING OF Si, SiO2, SiN AND DIELECTRIC MATERIALS) DIENER PLASMA ETCH SYSTEM (OXYGEN AND HYDROGEN PLASMA ETCH SYSTEM) ANATECH PLASMA ASHER REYNOLDSTECH WET PROCESSING STATIONS RCA STATION SPIN RINSE DRY WAFER STATION UVOCS UV/OZONE CLEANER BACK-END & PACKAGING WESTBOND 7476 D (Al WIRE BONDER) WESTBOND 747677 E (GOLD WIRE BONDER) DICING SAW – DISCO DAD3220 CHEMICAL MECHANICAL POLISHING – G&P POLI 400L CRITICAL POINT DRYER, BAL-TEC 030 METROLOGY KLA P-17 STYLUS PROFILER WYKO NT9100 (OPTICAL PROFILER) FILMETRICS THIN FILM MEASUREMENT SYSTEM NIKON ECLIPSE (OPTICAL MICROSCOPE + DIGITAL CAMERA) SURFACE PROFILOMETER, ALPHA STEP D-600 KLA-TENCOR FEI NOVA NANO SEM 450 NANOMAGNETICS ezAFM FEI Talos F200X TEM Zeiss Sigma VP SEM Light Zeiss Microscope Diamond Saw FEI Nova NanoSEM 450 Dimple Grinder Grinder-Polisher Gatan PIPS II 695 Gatan Solarus Plasma Cleaner Sorvall MT2B Ultra-Microtome TEM Biological Sample Preparation TEM Focused Ion Beam Sample Preparation SP-ICP-MS FPLC (Fast Protein Liquid Chromatography) GPC (Gel Permeation Chromatography) HPLC Thermogravimetric Analyzer Benchtop Freeze Dry System Lyophilizer FreeZone 2.5 Liter Labconco Western Blot and Gel Imager FluorChem E Imager Protein Simple NanoSight NS500 Malvern Panalytical Capillary Electrophoresis System PA 800 Plus Pharmaceutical Analysis System SCIEX, Framingham Inductively Coupled Plasma Mass-Spectrometer (ICP-MS) NexION 300D PerkinElmer Dynamic Light Scattering/Zeta Potential meter Zetasizer Nano ZS Malvern Panalytical Multi-Mode Microplate Reader SpectraMax M5 Molecular Devices Fourier-transform infrared spectrometer Nicolet 380 ThermoFisher Scientific UV-Vis Spectrometer Lambda 25 PerkinElmer Capillary Electrophoresis PA 800 Plus Pharmaceutical Analysis System SCIEX Western Blotting System Wes Protein Simple Agilent 8453 UV/vis Spectrophotometer Agilent 1260 Infinity GPC Eco SEC RI-UV GPC Eco SEC HT GPC Malvern Zeta Sizer Nano-ZS Micrometrics ASAP 2020 HV BET analyzer Renishaw inVia micro-Raman spectrometer Woollam Alpha-SE Ellipsometer Woollam Variable-Angle Spectroscopic Ellipsometer Autofinders Horiba micro-Raman Spectrometer TA Instruments Q500 TGA Agilent SuperNova SCXRD Cryogenic R-700X SQUID Magnetometer PANalytical XPert3 Powder XRD PHI 5500 XPS Bruker Dimenszion FastScan AFM Atomic Force Microscopy Clean Room & Organic Clean Room Continuous-Wave Terahertz System Focus Ion Beam/ Scanning Electron Microscopy Physical Properties Measurement System Superconducting Quantum Interference Device Terahertz Time Domain Spectrometer X-Ray Diffractometer Atomic Force Miscroscope (AFM) Bruker Atomic Force Miscroscope (BAFM) Clean Room Organic Clean Room Diamond Chemical Vapor Deposition Stystem (CVD) Electron Paramagnetic Resonance Spectrometer (EPR) Focused Ion Beam/Scanning Electron Microscope (FIB/SEM) Lab-18 Sputtering System Laurell Spinner Low-Temperature Magnetotransport Measurement System (LTMT) Maskless Aligner (MA) Magneto-Optical Kerr Effect Microscope (MOKE) 14 T Physical Properties Measurement System (PPMS) Polisher/Grinder SQUID Magnetometer (New SQUID) Wire Bonder (WBND) X-ray Diffractometer (XRD) 3D Printer: Full color 3D printer Microscope: Polarizing Optical Microscope Microscope: Raman Microscope Microscope: Zeiss Axioimager M2 Microscope: Zeiss Axioimager Z2 Microscope: Zeiss Axioskop Microscope: Zeiss Elyra 7 Lattice SIM Wide field based super resolution scope Microscope: Zeiss LSM 710 Confocal MPMS: Quantum Design MPMS SQUID System MS: Bruker AutoFlex Speed MALDI ToF MS: Bruker MicroToF ESI LC-MS System MS: Perkin Elmer Nexion 300 ICP-MS MS: Perkin Elmer Optima 8300 ICP-OES Nanodrop 2000 UV-vis Spectrophotometer Nanofab Cleanroom Support Lab: Auxillary computer workstation Nanofab Cleanroom Support Lab: Parylene Coater Nanofab Cleanroom Support Lab: Universal X-660 Laser Cutter Platform Nanofab Cleanroom Support Lab: Wire Bonder 3D Laser Lithography System-Photonic Professional GT AJA ATC Orion Sputter System Atomic Layer Deposition System (ALDS) Critical Point Dryer (CPD) Dektak XT Stylus Profilometer Dicing Saw Die Bonder (Fineplacer Lambda) E-beam Evaporator Elionix Ebeam Lithography System Ellipsometer FEI Apreo SEM HEX Evaporator Tim Gilheart (gilheart@rice.edu) Mask Aligner (EVG 620) Maskless Photolithography System New cleanroom access NP Flex Optical Profilometer Oxford DRIE (Deep Reactive Ion Etch system) Plasma Cleaner Plasma-Enhanced Chemical Vapor Deposition System (PECVD) Deposition of silicon-based materials for nanofabrication Rapid Thermal Processor (RTP) Reflectometer (Filmetrics) Spin Coaters Wafer Polisher WB Wire Bonder NanoSight NS300 NMR: 500 MHz Varian Inova NMR Spectrometer NMR: 600 MHz Bruker NEO Digital NMR Spectrometer-BRC Chemical analysis of polymers and compounds NMR: 600 MHz Bruker NEO Digital NMR Spectrometer-Keck NMR: 600 MHz Bruker NMR Spectrometer NMR: Bruker 200 MHz NMR Spectrometer NMR: Bruker 500 MHz NMR Spectrometer Odyssey Fc Imager Plasma Cleaner Remove contamination on the surface Potentiostat BioRad CFX96 Real Time qPCR BioRad Thermal Cycler 2×48 BioRad Thermal Cycler 96W FEI Vitrobot FreeZone 4.5L Freeze Dry System Leica Auto Critical Point Dryer Drying of biological and non-biological samples Leica EM UC/FC 7 Ultramicrotome Leica Ultracut Ultramicrotome Leica VT1000S Vibrating Microtome Twin-Jet Electropolisher Profilometer QSense E4 Quartz Crystal Microbalance Spectrometer – Cary Eclipse Fluorometer Spectrometer: PHI Quantera XPS Spectrometer: SPEX FluoroLog-3 Spectrofluorometer w/UV-Vis Sputterer: Denton Desk V Sputter system TECAN Infinite M1000 Pro microplate reader Tecan Spark multimode plate reader Tecan Spark multimode plate reader with Lumin Thermal: TGA-MS Thermal: Thermo-gravimetric Analysis (TGA) VWR -86C Freezer A XRD: Rigaku D/Max (WEST) Ultima II Powder XRD 1s XRD: Rigaku SCXmini Small Molecule Diffractometer XRD: Rigaku SmartLab XRD XRD: Windows 7 Data Analysis Computer XRD: Windows 7 Data Processing Computer XRD:Rigaku Smartlab II XRD Zeiss LSM800 Airyscan Microscope that combines laser point-scanning confocal with super resolution Zetasizer Nano: Malvern Zen 3600 Zetasizer ARES G2 Rheometer BET II: Quantachrome Autosorb-iQ-MP/Kr BET Surface Analyzer Surface analysis BET surface analyzer BET III: Quantachrom Autosorb-iQ-MP/KR BET Surface Analyzer Surface analysis BET: Quantachrome Autosorb-iQ3-MP/Kr BET Surface Analyzer BET: Quantachrome Autosorb-iQ3-MP/Kr BET Surface Analyzer Surface analysis Centrifuge: BC Avanti J-E Centrifuge: BC Avanti J-E Ideal for cellular, genetic, or protein analysis. Centrifuge: BC Avanti J-HC Centrifuge: BC Avanti J-HC Centrifuge: BC Optima L-80XP Ultracentrifuge Centrifuge: BC Optima L-90K Ultracentrifuge Centrifuge: BC Optima L-90K Ultracentrifuge Centrifuge: BC XL-A Analytical Ultracentrifuge Centrifuge: BC XL-A Analytical Ultracentrifuge Circular Dichroism (CD) Spectropolarimeter Costech Elemental Combustion System DNA/RNA analyzer DNA/RNA analyzer Picture of Excella E25 Incubator Shaker A Excella E25 Incubator Shaker A IVIS Imager: Perkin Elmer IVIS Spectrum live animal imager In Vivo imaging system IVIS Imager: PerkinElmer IVIS Kinetic III IVIS Imager: PerkinElmer IVIS Kinetic III LAS 4000 Imager LS6500 Scintillation Counter Mech testing: Biondention Tester (BHT) Mech testing: Bruker PI-85L inSEM nanoindenter Mech Testing: Hysitron TI 980 TriboIndenter Microfermentation System-Biolector I Microscope: AFM North — Bruker Multimode 8 Microscope: FEI Helios SEM/FIB Microscope: FEI Quanta 400 ESEM FEG Microscope: FEI Titan Themis3 Microscope: JEOL 1230 High Contrast Transmission Electron Microscope Microscope: JEOL 2010 Transmission Electron Microscope (TEM) with Cryo Microscope: JEOL 2010 Transmission Electron Microscope (TEM) with Cryo Microscope: JEOL 2100 Field Emission Gun Transmission Electron Microscope Microscope: JEOL 6500F Scanning Electron Microscope Microscope: Nicolet FTIR Infrared Microscope Microscope: Nikon A1-Rsi Confocal Microscope: NX20 AFM Microscope: NX20 AFM FACSCanto II Flow Cytometer FACSCanto II Flow Cytometer Picture of Guava easyCyte HT BG flow cytometer Guava easyCyte HT BG flow cytometer Bench top flow cytometry with direct sampling using a microcapillary system Sony MA900 Cell Sorter Sony SA3800 Flow Cytometer Sony SH800S Cell Sorter Imaging Software: Bitplane Imaris 3D/4D Image Processing Lithographic Tools LaserWriter.jpg UV Mask Aligner (front side and front to back) Laser Writer MLA Interferometric Lithography System 100KV Electron Beam Lithography System (JEOL 9100 FS) Raith 30KV Electron Beam Lithography System Focused Ion Beam System Plasma and Reactive Ion Etching Oxford ICP etching system (2 chambers – 1 loadlock) Oxford 6 Inch ICP March RIE etchers Wet Processing WAFER PRIMING OVEN LAMINAR FLOW PROCESS STATIONS Deposition Small Coater AJA Oxide Sputter System AJA Sputter System (metals) FC2000 Ferrotec Ebeam Evaporator(metals) ICP – CVD Deposition System Nanocarbon Synthesis Facilities Lamda Microwave Plasma CVD system (nanocrystalline diamond deposition) Thermal/PECVD System for Synthesis of CNT and Graphene Seeding Station for UNCD Deposition Metrology SPECTROSCOPIC ELLIPSOMETER SCANNING PROBE MICROSCOPE SURFACE PROFILOMETERS Filmetrics F40-UV OPTICAL MICROSCOPE RESISTIVITY MEASUREMENT SYSTEM SCANNING VIBRATING ELECTRODE SYSTEM VOLTAMMETRY SYSTEM Misc Tools ADT 7200 Dicing Saw Critical Point Dryer Electrical Test and Characterization AJA ATC Orion 8 Sputtering System Helium cryostat Ion-Beam Etching (IBE) and Sputtering System LASSE (Layered Structures for Spin Electronics) µMOKE Nikon Eclipse FN1 Vibrating Sample Magnetometer (VSM) EZ-9 from Microsense Kerr microscope Desposition AJA ATC ORION Series Thermal Evaporation System AJA ATC ORION Series Evaporation System AJA ATC ORION Series UHV Sputtering System CHA Electron-Beam Evaporator Cressington Gold Sputtering Deposition Home-Built Sputter System Neocera Pulsed Laser Deposition Facilities Nickel Electroplating TRION ORION II PECVD/LPCVD System Characterization Dimension 5000 AFM Electrical Test Station HP 4061A Test Station KLA-Tencor Alpha-Step IQ Profilometer Nomarski Microscope CEAN OPTICS NC-UV-VIS REFLECTOMETER Zeiss LSM 5 Pascal Confocal Microscope Etching-Ashing Diener Electronics Asher Supercritical Point Dryer Technics Micro-RIE Series 800 Plasma System TRION Deep Reactive Ion Etching (DRIE) System TRION MINILOCK II RIE System Dicing-Bonding EVG 520IS Wafer Bonder Disco DAD3220 Automatic Dicing Saw, 160mm Microautomation 1100 Dicing Saw West Bond Model 7476E-79 Wedge Wire Bonder Kulicke & Soffa Model 4524 Ball Bonder Kulicke & Soffa (K&S) Model 4124-2: Ball Bonder Furnaces LPCVD Nitride-Tystar TYSTAR TYTAN Mini 3600 Three Stack Horizontal Furnace System Minibrute Furnaces AXIC JetFirst 150 Rapid Thermal Anneal AG Associates Heatpulse 210: Rapid Thermal Annealing System UV-CURE Photolithography OAI Model806 manual Front/Backside Contact Mask Aligner Nanonex NX-B200 Nano-Imprinter Blue_M IGP 6680 Inert Atmosphere Oven Spinner Hood & Wafer Bake Hotplates Chemical Hoods and Millipore DI Water System EVG 620 Aligner Acid Hood Solvent Hood Acid Hood (bay1 ) Acid Hood (bay4 ) FIB and E-beam Writer ZEISS 1540XB CrossBeam E-Beam Writer and Orsay Focus Ion Beam SEM ZEISS Supra 55 VP Scanning Electron Microscope STM RHK UHV 3000 Scanning Tunneling Microscope Teaching Facilities Electrical Test Station – TFAB Gaertner Ellipsometer Headway Spinner Jandel Four-point Probe System with RM3 Test Unit Lindberg Oxidation Furnace Minibrute Diffusion Furnace MJB3 Karl Suss Mask Aligner NRC Thermal Evaporator Veeco FPP-5000 Four-point Probe 0010-00938, ASSY ESC_RF 200MM FLAT DUAL ZONE EMXP 0010-01196, APPLIED MATERIALS, HEATER ASSY, 8″ JMF LT BESC 0010-01714, APPLIED MATERIAL,ASSEMBLY, CATHODE, 200MM FLAT CESC, IS 0010-01714, APPLIED MATERIAL,ASSEMBLY, CATHODE, 200MM FLAT CESC, IS 0010-01714, APPLIED MATERIAL,ASSEMBLY, CATHODE, 200MM FLAT CESC, IS 0010-01933, APPLIED MATERIALS, ASSY,HEATER, 8″, HA12 LONG SHAFT COMMON 0010-01944;AMAT, LAMP HEAD ASSEMBLY 200MM RADIANCE 0010-03244 / HEATER ASSY SNNF 00MM HPTXZ IMP / APPLIED MATERIALS AMAT 0010-03840 / CER HTR ASSY, 200MM PRODUCER / APPLIED MATERIALS AMAT 0010-04449M / HEATER, ASSY, 200MM FC WXZPLUS AMJ / APPLIED MATERIALS AMAT 0010-04451, Applied Materials, ASSY,JMF 200MM SUB ZERO BESC WELDMENT 0010-05856, Applied Materials, HEATER ASSY 300MM DUAL ZONE H17 D13 RIGI 0010-05960, APPLIED MATERIALS, ASSY, CATHODE, 200MM FLAT CESC, IS, 5000 0010-07815, APPLIED MATERIALS, ASSY, 300MM SLT ESC WITH CENTER TAP META 0010-08167, Applied Materials, 300mm Dual Zone Ceramic Heater 0010-08733; MCA ESC JMF 0010-10932 / ASSY, PLASMA APPLICATOR, LIQUID COOLED, RP / APPLIED MATERIALS AMAT 0010-12814 / HEATER ASSY 200MM DUAL ZONE H17 RIGID TE / APPLIED MATERIALS AMAT 0010-13103; 0200-02454; PEDESTAL, GRV,.1MM DEEP PUCK, APPLIED MATERIAL (AMAT) 0010-15210, 0010-34561 /200MM PCIIE, MCA GRV .1MM DEEP PUCK HV / AMAT 0010-16858-001/ PEDESTAL, 300MM SDMCA FDR SLT ESC ASSY (0010-14204-001/ AMAT 0010-18088 / 0100-02571;AMAT, OBSTHROTTLE VALVE ASSEMBLY, HDPCVD LOW K 200MM 0010-18088 / ALUMINUM KRAMER FRAME / APPLIED MATERIALS AMAT 0010-22184, APPLIED MATERIALS, HEATER ASSEMBLY, 300MM MCA E-CHUCK 0010-22400, Applied Materials, HEATER W/BEARING, BIASABLE, LOW TEMP 0010-24076, APPLIED MATERIALS, 300MM MCA SNNF LOW TEMP ESC 0010-24076, APPLIED MATERIALS, 300MM MCA SNNF LOW TEMP ESC 0010-24076CR / CU,REPAIRED E-CHUCK,TA,IESIP / APPLIED MATERIALS 0010-27430, APPLIED MATERIALS, 12″ MCA E CHUCK, HI-TEMP, SNNF 0010-27432 / HEATER PEDESTAL 0040-70176 / APPLIED MATERIALS AMAT 0010-27983, APPLIED MATERIALS, 12″ PIB HT BESC D4 Assy 0010-29710, APPLIED MATERIALS, ASSY, 300MM MCA E-CHUCK 0010-30012, AMAT P5000 OPTIMA MC ROBOT 0010-42030 / HEATER PEDESTAL 300MM / APPLIED MATERIALS AMAT 0010-53085-01 / HEATER PEDESTAL 200MM W/ESC REFURB ESIP-REPAIR 10-24456 / AMAT 0010-56222, AMAT, CERAMIC, DUAL ZONE HEATER 0010-75004; AMAT; LEFT NARROW BODY LOADLOCK CHAMBER AND DOOR ASSEMBLY 0010-75005; Applied Materials, RIGHT NARROW BODY CHAMBER AND DOOR ASSEMBLY 0010-75533 / ASSY, HDP EXTENDED ROBOT / APPLIED MATERIALS AMAT 0010-99060; GAS MODULE SDS (UNIT UFC 1660) 0010-A0129; OPTICAL HEAD (PATTERN) APPLIED MATERIAL, (AMAT) 0032129-000 / PSF REAR CHANNEL ASSEMBLY W/ EXCHANGE / KLA TENCOR CORPORATION 0032131-000 / COLLECTOR ASSY,FRONT,AIT2/3 / KLA TENCOR 0032131-001 / FRONT CHANNEL ASSEMBLY, LEFT SIDE CHANNEL ROBOT END / KLA TENCOR 0036451-000 / TURRET BOX COLECTION, FOLD, FOCUS MIRR 42-JJ978-A / KLA TENCOR 0040-02149, APPLIED MATERIALS, HEATER, COMMON 8″ LONG SHAFT ALN – HA12 0040-02986, Applied Materials, LASED, PEDESTAL, 300MM DUAL HE, HDPCVD 0040-07033 / MC, HA-12, CERAMIC HEATER, 300MM PRODUCE / APPLIED MATERIALS AMAT 0040-48594R / 300MM E-CHUCK REPAIR / AMAT 0040-62258 / CHAMBER, BODY, 300MM, SWLL B / APPLIED MATERIALS AMAT 0040-84820/AMAT ENDURA BATCH LOAD LOCK INDEXER WITH MOTOR ASSY./APPLIED MATERIAL 0040-99859-001 / COIL, LID DPS2 CENTURA CENTRIS / APPLIED MATERIALS AMAT 0060562-005 / POLARIZER WITH EXCHANGE / KLA TENCOR 0090484-000/KLA TENCOR/1063178/COHERENT/INNOVA LASER POWER SUPPLY STARCII-AIT 0090488-002 / AIT-HD-KLA CU AIT LASER STARC II FOR KLA AIT 1086350 / KLA TENCOR 0090-77000, AMAT, ASSY SENSOR VAC PT-613 PM1 0090-90215 / WCHAS WRD INVERTER FOCUS / APPLIED MATERIALS AMAT 0090-90600 / CHAS MDL.FLOOD GUN CONT. / APPLIED MATERIALS AMAT 0190-05395 / TARGET,DIFFUSION BONDED,200MM SIP TA(4N5) 12.279″(0.250″T) / AMAT 0190-05990 / CONTROLLER, MAGLEV ROTATION SYSTEM, 300M / APPLIED MATERIALS AMAT 0190-09841 / RF GEN AE HFV 8000 2MHZ 5KW 480VAC AE 3155083-111 / APPLIED MATERI 0190-12063 / SPECIFICATION, NK EG/EGA ROBOT, M.L., 14 / APPLIED MATERIALS AMAT 0200-01353, APPLIED MATERIALS, 150MM CERAMIC HEATER 02-142398-00 / INOVA ESC, PEDESTAL ASSY, PVD 300MM / NOVELLUS 02-162351-00 / ASSY, BELLJAR, HI PWR, C2SPD-S SPEED DOME / NOVELLUS 02-259925-00 ASSY, BELL JAR, HI PWR, C3 SPD 02-374705-00 BELL JAR ASSY, G10, STD. ,C3 SPD, 0246-08709 / DPS2 ETCH CHAMBER 300MM ESC CATHODE RF HEATED DPS2/ AMAT 100 Horsepower FRP Chemical Fume Scrubber Blower Exhaust Fan to 90,000 cfm or 13 100 Horsepower FRP Chemical Fume Scrubber Blower Fan to 100,000 cfm or 12.5″ sp 111025 /COMPUTER, INCA ENERGY, KLA EV300/ KLA 13″ x 13″ x 13.5″ SEM Amray Field Emmission Scanning Electron Microscope 1430-01 E19001300 / AIR BEARING IMPLANTER SHC80/VIISTA-80 WESTWIND / VARIAN 1975174001 / COHU TEST HANDLER XZ TRANSPORT ASSY PNP / DELTA 2000370073 / MAIN CONTROLLER CPU AMAT UVISION 5 / EDCO TECHNOLOGIES 2010 Coherent Omnibeam 500 Laser Cutting System 2011 ACE KISS 103 Selective machine 2013 Glenbrook Jewel Box 70T Real Time X-ray Inspection System 2013 Koh Young Zenith Lite 3D In-Line Automated Optical Inspection (AOI) 2018 Nordson Nova 102 Selective machine 219480 / HIGH VOLT HV UNIT PS15 FARNELL HIVOLT VARIAN / FARNELL 2328331-01 / BC DRIVE & ELEVATING UNIT (BI) / LAM/SEZ 27-034212-00, 3155038-005B /RFG 3000 POWER SUPPLY W/EXCHANGE / ADVANCED ENERGY 29-0260 / OBJECTIVE LENS, 15X UV / ASET SYSTEM / KLA-TENCOR ITU DRIVE ASSEMBLY / APPLIED MATERIALS AMAT CDM3B ASSY / APPLIED MATERIALS AMAT OPAL MEC-2 ASSY / APPLIED MATERIALS 3155038-004A/ RFG-3000 / ADVANCED ENERGY 3155038-004C / EATON 1908570 RFG-3000 RF GENERATOR / ADVANCED ENERGY 3M87-058595-11 / STAGE HEATER ASSY 300MM,(ELPR NS)/TOKYO ELCTRON LIMITED TEL Mavilor MA-45 VARIAN 4090000 Disc Drive Motor Assy / VARIAN 45-110-2-0767-1 / EMS POWER SUPPLY 7A1 BEAM FILTER MAGNET SUPPLY / EMS VARIAN 4L991-769AN/PREALIGNMENT2 DRIVE UNIT/NIKON 4S992-231 / INTERFEROMETER LASER POWER / NIKON 500 Ton X 16′ 1980 Pacific Mo K500-16 Hydraulic Press Brake. Fabrication ENCL AOD DRV ASSY AIT2 17499-000 / KLA TENCOR O2 SYSTEM UNIT ASSY (CMNB014ANT200) / APPLIED MATERIALS AMAT O2 SYSTEM UNIT ASSY / APPLIED MATERIALS IPU ASSY VER 4.0 MP3600D/E/250W / APPLIED MATERIALS AMAT IPU ASSY REV 4.1.300, RADISYS MP3600D/E/400W / APPLIED MATERIALS G2 OCTANE ASSY / APPLIED MATERIALS AMAT AOD1+ DRIVER ASSY,AIT2 / KLA 516775 AOD PCB 60 Horsepower FRP Chemical Fume Scrubber Blower Exhaust Fan 40,000 cfm at 6″ sp 64″ SS vacuum PVD coating chambers 70031330/ I /O SIDE 1 : 1 RH WHITE OAK / BROOKS AUTOMATION INC 70314510110W / WMOD STAGE CONT.ASSY / APPLIED MATERIALS AMAT 710-659274-20 /PCB,PLL A/D CHANNEL ASSY-KLA/W EXCHANGE(0906RA0-PLAD_AD4 /KLA 710-661726-00 / S.A.T. RANDOM DEFECT FILTER PCB BD 1 / KLA TENCOR 720-14688-00, WIEN FILTER CONTROLLER CHASSIS KLA-TENCOR 740-12852-000 / Z-STAGE, 300MM, 8450, 740-12986-000, 740-12985-000 / KLA TENCOR 780-688026-001 / KLA 21XX THETA STAGE ASSY EXCHANGE / KLA TENCOR 851-073090-006 / COOLING PROCESS STATION CHAMBER / LAM RESEARCH CORPORATION 853-021876-001 / 4520I CHAMBER, UPPER, ISOTROPIC BELL / LAM RESEARCH CORPORATION 900C0336-501 / PLATING POWER SUPPLY 4 ANODE CONFIGURATION RAIDER / SEMITOOL 900C0337-501 / RAIDER BULK POWER SUPPLY CFD4 REV 2 / SEMITOOL 9090-00801 A1031250 / PRE ACCEL POWER SUPPLY QUANTUM 3 / AMAT HITEK POWER 990-0288-140/PULSE POWER SUPPLY PRO SERIES 200-240VAC 1PH OUTPUT 60V 8A-16A/DYNA A45188 / LOWK FF MK3B LF / TRIKON/SPTS TECHNOLOGIES ACE KISS 103 Selective Soldering System Adixen AD30KL Dry Pump, High Vacuum, AD 30 KL, RS1165 ADT / K&S 7100 Dicing Saw 200mm – Good Working Condition  ADVANCED THERMAL SCIENCES ATS BE AEROSPACE CHILLER MP-20Y-GL MP20Y-GL M-PAK ADVANTEST T5781ES MEMORY TEST SYSTEM Agilent – Keysight B1500A Semiconductor Device Analyzer Agilent (Keysight) HP 3173-II (HP 3070) Series II HP3070 HP3713 307x hp307x Agilent B1500A Semiconductor Device Analyzer with Four B1511A Medium Power SMU AMAT 0010-01994 Rev.001, Magnet Assembly, PVD, Endura, Sputter Chamber, 417376 AMAT 0010-21810 Magnet Assy, G-12, Encapsulated Durasource G Type: 417407 Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm AMAT 0010-39337 Assy, Motor Driver Drawer, 417267 AMAT 0010-40280 ROOF TOP, SUB-ASSEMBLY, CGF, DOS. 407225 AMAT 0040-01761 Endura Pre-clean Chamber Body, Sputter, PVD, 424141 AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 417533 AMAT 0040-41924 ESC ASSY, 200MM SNNF DPS HT CATHODE AMAT 0190-77363 Module, Brush Liquid Delivery, Low Flow, 417273 AMAT 3920-00249 Sensor Dry Nova, Xenon Illumination 510-20000-03 Assy, 418390 AMAT 9010-00505 Blade Drive Assembly +/-15, 418588 AMAT Applied Materials 0010-13625 0010-70271 ASSY Weldment Heater 6″ & ASSY 101 AMAT CENTURA DXZ CHAMBER AMAT Endura 300mm PVD Chamber Assembly, Sputter Chamber 4, 452984 AMAT P5000 CVD AMAT VHP Robot 300mm full set AMAT, Applied Materials, 0010-06598, Ceramic Heater AMAT, Applied Materials, 0090-76133, PCB SBC Board, Synergy V452 AMAT, Applied Materials, 0190-01371, Bias match AE, Ultima HDP CVD AMAT, Applied Materials, PVD Endura Heater, 0010-17665 AMR SEMI AUTOMATED WET BENCH Model # 5FT-APS-PVCC Amtec XRF 940 V X-ray Fluorescence Coating Process Measuring System ANELVA C7100 Angstrom SE200BM Spectroscopic Ellipsometer w/ ASTBench TFProbe Spectrometer APC Symmetra MW 2000 kW Input/Output with Distribution Apollo Seiko Janome Soldering Robot Model: JR2404NE Applied Materials 0010-20441 RF Match, PVD 6″ Applied Materials 0021-09601 DPS Metal Upper Chamber Body Assembly Applied Materials 0021-09625 DPS Lower chamber Body. Applied Materials 0040-09094 Chamber, 200MM CVD AMAT CVD Applied Materials 0290-09275 Version 4 Teos Hotbox Intel Precision 5000 APPLIED MATERIALS AMAT MICRO MARK 5000 DOVER INSTRUMENT LASER ASSEMBLY Applied Materials Praxair Ampoule Bubbler, 0195-02636 Applied Materials, AMAT Mirra Mesa CMP Contoure Head 200MM Applied Microstructures MST SPTS MVD 100 Molecular Vapor Deposition System MEMS Aqueous Technologies Trident I Duo LD Batch Wash ASM Assembly Automation BP300-HD Automatic Ball Placement System Spare ASM LPT08 LED Taping and Packaging System ASM MS100 Map Sorter ASML SERV.639.68471 ASML SWS Complete E-Chuck 4022.456.06853 ASSEMBLY, HEATER, 200MM MGF2 COATED, NGK, 0010-05190(0190-04207) Asymtek X-1020 Year 2010 Dispenser SN# X12803 with Dispense Jet DJ-2111 Axcelis Eaton 8250 Ion Implanter Main Body Interface Module, 150MM Bachur & Associates Model: LS-150-5C2 NUV Exposure System Bakma 38″ Chamber PECVD for DLC Thin Film Deposition Diamond Like Coating 5KW Beta Squared Lithography Inc. Micralign Projection Mask Aligner BETTCHER PROCESS SERVICES A60RD BM44421 / STOCKER – I/O – 4X4 – OUTPUT AD 44421 / BROOKS AUTOMATION BM44620 / STOCKER – I/O-2X2 / BROOKS AUTOMATION BOC Edwards ChemFill 1533SS Chemical Delivery System BOC Edwards STP-iXA2205CP Turbomolecular YT63-0Z-000 27000 RPM 1500VA, RS1184 BOC Edwards STP-iXA2205CP Turbomolecular, 27000 RPM, 1500VA, AC200-240V, RS1043 Brooks 9704-0955 Robot, 001-8672-01 Controller, Vacuum Transfer Module, 452559 Brooks 9704-0955 Robot, w/ 001-8672-01 Controller, Wafer Transfer, Cable, 452558 Brooks Automation 002-8500-41 Robot Transfer Module Chamber W/ Cassette Module Brooks Automation Vision Load Port w/Robot – Aligner and Controller Bruce Technologies / BTU / BTI / BDF 41 Diffusion Furnace CANON FPA-2000 i1 WAFER STEPPER MAIN BODY, 150 MM Carl Zeiss MicroImaging Gmbh 37081, Axio Imager.M1m Precision Microscope CASCADE ALESSI REL-6100 Cascade Microtech FormFactor M150 6″ RF Prober Seiwa 888 Microscope 1 Yr. Wrnty Cascade Microtech REL 4800 Manual 8 inch Prober MH DC Probes Mitutoyo MicroScope Cascade Microtech REL 6100 Manual 8 inch Prober MH DC & RF Probes Mitutoyo Scope Cascade Microtech REL-4800 Manual Probe Station Heated Summit 12K Compatible Cell Plating Tool Centrotherm Clean Solutions Abatement System Model CT-BW OH300K4, LAM 9400 Chamber Wide Body PVD, Shell, 0040-20195, Endura, IMP, 424163 Chroma 7936 Automatic Double Sided Post-Dicing Wafer Chip Inspection System Chroma Optoelectronic Source Measurement Burn-In System W/ 6 x 58602 SMU Clean Air Products, Clean Room System – Soft Wall, ISO Class 8, Class 100,000 Clean Room for sale Modular CleanRoom Class 100 to 100,000 / ISO 5 to ISO 8 Clean Room Modular CleanRoom Class 10 to 100,000 / ISO4 to ISO9 Cleanroom for sale clean room class 10 to100,000 / ISO 4 to ISO 9 Cleanroom for sale clean room class 10 to100,000 / ISO4 to ISO9 Cleanroom for sale hard wall clean room class 100 -100,000 / ISO 5 – ISO 8 Cleanroom for sale modular clean room class 100 -100,000 / ISO 5 – ISO 8 COHERENT LASER K2K Coherent VITESSE Laser Diode Set 5W Rudolph Metapulse MP MPII MPIII Computer and Software Retrofit for PlasmaQuest RIE System Astex MKS CTI Systems / Conveyor Technologies MS2 In-Line Laser Marker (2014) CTI-Cryogenics 8128903G004 High Capacity Helium Compressor Refrigeration System Custom Made Celery Cutter with conveyor (great for business). This could cut 12 CUSTOM SPUTTERING SYSTEM W/ 5 SPUTTERING SOURCE & 1 EVAPORATION SOURCE CWxZ Ceramic Heater, 200MM, 0040-46818(ASSY 0010-10252) Cybeq / siltec polisher double side polishers 1994-1997 Dage 4000 Bondtester, BS250, TP5KG, BS5KG, Calibration Fixtures and more DEK Horizon 01i Screen Printer DEK Horizon 02i Screen Printer DEK Horizon 02i Screen Printer Denton Explorer 14 Sputter 2 Target, RF Generator, Matching Network, Turbo Pump Dual Head Automatic Pick and Place Machine QM3000 E11022430 / SCAN AMPLIFIER / VARIAN E11054780 / FILAMENT POWER SUPPLY / VARIAN E11076241 /CONTROL BOX MULTI BANK, VIISTA VISION / VARIAN E11076242 / CONTROL BOX MULTI BANK, VIISTA VISION / VARIAN E11102760 / VARIAN LENS ASSY, HIGH ENERGY / VARIAN E11102760 (208) 982-1862 E22000033 / FA, SCANMASTER II PWR SPLY MDL SM 300 / VARIAN / BROOKHAVEN 25520160 EDWARDS ATLAS MK3B 3 PH 208V 60 HZ 13 AMPS NRF033000 Y35000810 EEJA (Electroplating Engineers Of Japan), 75mm – 200mm (3″ – 8″) Plating System EKRA XACT4 Screen Printer {ID: 6407} 2013 Automatic Screen Printer, 20×20″ board ELECTROGLAS 4090 Prober Probe Station Tri-temp with TP3000 Chiller Electrovert Aquastorm 200 In-line Wash (Torrid Zone) Electrovert Electra 600/F Wave Solder Electrovert Omni Excel 10 Reflow Oven Electrovert Omni Excel 10 Reflow Oven Excellent condition Electrovert Vectra ES Wave LEAD FREE Solder System Vintage 2012, Spray Fluxer Envirotronics ESS ET63 Enviromental Stress Screening & 30HP 1-Stage Compressr Pk ERSA Selective Solder Versaflow 40/50 ES1D80-004612-14RB / ES1D80-004612-14R,STP-A2203W1-U PUMP R / TOKYO ELECTRON TEL ES1D80-005356-14 / ETC ENI MW2-30 RF MATCH TEL UNITY IIE / TOKYO ELECTRON TEL ES1D85-010782-13R / CHUCK-ESC;ES1D85-010782-13R,ESC,SCCM,ASS / TEL ES3D87-002338-14 / DRM ESC 300 MM ELECTRODE BTM / TOKYO ELECTRON TEL Esec 3088 Wire Bonder Esec 3088 Wire Bonder Espec ESS 72-210JW Environmental Stress Screening Test Chamber “We Discount%” EVG 6200 Infinity Mask Aligner EVG Z160603 4″ Bond Chuck Top w/ Z160543 Field Electrode, Z160603125, 451730 Exatron 902 Linear Pick & Place EXCELLENT WORKING ANATECH PLASMA CLEANER SCE600 WITH ADIXEN ACP120 PUMP Expertech Thermco Maxibrute 150-200 mm single stack oxidation furnace FC-9801A / FACTORY MAIN COMPUTER 60A W/ EXCHANGE / NEC Fong Sample Dyeing Machine 60 KG with Controller/Add tank GaSonics 9104 Quartz Chamber Plasma Asher 15698-02, ENI OEM-12B-06 RF Generator GEN II MOD MBE SYSTEM / REACTOR Genus Stratagem Atomic Layer Deposition Chamber, ALD SYSTEM Glenbrook Technologies JewelBox 90C Real-time X-ray Inspection Systems GS Ultratech 1000 Projection Stepper *SJ4 GSI Lumonics WaferMark II HEKEDA TY 250 Glass Cleaner, or wafer cleaner, HKD-700F Ultrasonic Generator HU-M0-1M230-04-L / GAS ANALYZER DALSA PCB’S WITH EXHAUST AND SUPPLY / DALSA HUTTINGER TYPE IS4-13560 Inficon Modul1000 Leak Detector w/ BOC Edwards XDS5 Dry Scroll Dry Pump, RS1067 Inline PCB Laser Marker Simplimatic Automation Cimtrak Model: 3341 Integrated INT1-APM1 300mm Wafer Cleaner Spin Rinse Dry Module H9/10 SRDi 451850 Ionic Contamination Test System OmegaMeter 620 SMD IPA Vapor Dryer (Marangoni Drying), Wafer, Hot N2 Dryer, 453243 IPEC 676 IPEC, Model 372M Avanti CMP Automated Wafer Polishing System JDSU, 75mW ARGON LASER 2213-75TSLKTB, POWER SUPPLY 2113-75SLT, KLA TENCOR AIT Jonas & Redmann New Loading / Un-loading Systems for Solar / Flat Panel / Glass JUKI FEEDER PACKAGE CF081ER CF03HPR AQ02HP 170 feeders total Juki KE-2050RL High Speed Pick & Place / Chip Shooter (2007) Karl Suss MA 200 Litho Mask Aligner 200mm Wafer 210AA057-03, Suss Microtec Karl Suss Ma150C Mask Aligner TSA (Top Side Only) Used Condition Karl Suss Ma150cc Mask Aligner (TSA Top Side Only) Karl Suss MJB-3 Karl Suss RC8 THP 200mm Spin Coater & Progammable Hot Plate, CE Serial Plate. Karl Suss/ Cascade Microtech PA200 8″ inch RF DC Prober Refurb 1 YEAR Warranty Kjellberg Plasma System HiFocus 440i neo. KLA 2122/2132 Tool converted to 2132 by OEM KLA AIT 1 KLA Tencor FLX-2908 Thin Film Stress Measurement System 230v 15A 1Ph 50Hz 453299 KLA Tencor Surfscan 173223 Haze Separator PCB 6100 6200 6400 6×00 board KLA UV-1050 Thin Film Measurement System KLA-Tencor SFS 7600 KLA-Tencor Surfscan SP1/TBI Galvo Assy NEW Koh Young KY-3030 VAL 3D Solder Paste Inspection SPI, Post Solder Inspection Koh Young KY-8030-2 In-Line 3D Solder Paste Inspection (2013) KOSES LASER SEALING TOOL KLFS-201M KOS-01 Kulicke & Soffa Model 4524 Ball Bonder LAM 79-374606-00 Dual Robot Arm, Wafer Transfer, PECVD, Novellus, 453004 LAM 853-021901-001 | 853-021876-001 4520I CHAMBER ISOTROPIC BELL ENI MATCHWORK LAM Novellus Dual Robot Arm, Blade, Wafer Transfer, PECVD, 452982 Lam Research P/N: 853-032190-008 / 9600 MAIN CHAMBER RF MATCH / REFURBISHED Lam Research P/N: 853-330169-666 / ASSY, SPLIT UPPER CHAMBER SHAPED 9600 / NEW Lambda Physik Excimer Laser L1-fbg Lambda ZYGO NewView NV 6300 NV6300 Microscope Large Lot of Stainless Steel High Vacuum Chambers Research Cryo Pumps Nice Large PR coater (made in korea) Lintec RAD 2500 F8 Logitech PM5 polisher/Lapper Lot AMAT Applied Materials DP-COMP CAGE ASSY 0090-A1230 7 Cards Power Control Lot AMAT Applied Materials DP-COMP CAGE ASSY 0090-A1240 7 Cards Power Control LPE2061 EPI Process Equipment LTRA030-SBI-RUD / ROBOT TRACK LEANER S300-112 / PRI LTX Credence Semiconductor Tester, 97173001-07 PDU-Sapphire, 3300034-01, 100251 LTX Fusion HF Test System Lumonics Wafermark II Malema Sensors Reflexion Slurry 3-Line Retrofit System New IFC-7300-001 AMAT Mania Technologe AOI Machine Set MARCH PLASMA CLEANER AP-1000 VERY NICE March PX-1000, Plasma treatment system, March plasma system, March PX-2400 Material Research Corporation MRC 603-III 3-Target Side Sputtering Chamber As Is Matrix 303 Etcher Matrix Integrated System 10 Model 1107 TTW RIE Reactive Ion Etch Plasma 8″ Wafer Metcal Apr 5000 XL latest model Solder rework station APR-5000-XL ser.001534 Meyer Burger TS-23 ID Saw (Also a 2″-6” Wafer Slicing Machine Holding 100 pc Micromanipulator 6″Prober Mitutoyo Microscope Probe Station with Laser Cutter Micromanipulator 8 inch 3000 Volt Prober Cascade Microtech Probes Refub Tek 371A Micromanipulator 8060 Sub-Micron 8” Wafer Prober MJB-3 Mask Aligner MKS ASTRONi AX7670-60-R RPS Plasma Generator (Direct Replacement for 0190-26744) MKS Ozone generator Model AX 8560 Modutek Waste Collection Cabinet, 55 gallon drums, qty 3 capacity, new condition MTS 01 Acid Manual Wet Bench MTS Solvent Bench MV Systems Dual Chamber PECVD for aSi/cSi/cGe Deposition MVP AutoInspector Supra E AOI MVP AutoInspector Supra E AOI Nanometrics 7000-033895 IMPULSE Integrated Metrology OCD & Film Analysis System Nanometrics NanoSpec 8300X Film Thickness Analyzer Wafer, 7000-0519, 7200-2161 NEW KLA-Tencor SPTS E-Chuck Heater 200mm OGFE SC ESC Platen Electrostatic / AMAT New Logitech PP5A PP5D PP5GT / LP50 Polishing Jig / 1PP52 1PP55GT Chemlox NEW Preco Cold Web Laminator/Laminating & Rewind Converting Module Machine 21″ New Wave Accuscribe Titan Laser Scriber New Wave Laser Accuscribe 2150 For Sale New Wave Research AccuScribe 2112 Wafer Scriber Stage & Laser Enclosure New Wave Research Laser System: Ezlaze 532.  New Wave Research Laser System: EZlaze Green – UV 532-355nm  Nikon L2A-AF Autofocus Unit for Eclipse L200A Microscope Nikon NSR G5 Stepper NIKON SPA474L RY/RD AMPLIFIER MODEL 4S066-593-2 FOR S210 S308 S610 SCANNER NIKON YASKAWA RX/RY TRM AMPLIFIER 4S013-784 CLSR-0102B-N2CA FOR S210 S308 S610 Nordson ASYMTEK S-820B, Batch Dispensing System (2011)  – NEW UNUSED Nordson Asymtek S-920N In-Line Dispenser (2013) Nordson ASYMTEK Spectrum S-910N In-Line PCB Adhesive Dispenser Fluidmove XP FmXP Nordson Dage Model XD7500VR Jade FP X-Ray Inspection System Year 2015 Novellus 79-397360-00 Robot Controller, 17-393320-00, LAM, 452976 OAI 2000SM EDGE BEAD REMOVER OEM NEW in Original Sealed Box AMAT Producer 300mm Heater 0010-59798 Original AMAT 200mm Ceramic Heater 0010-02342 Original AMAT 200mm Ceramic Heater 0010-59798 Original AMAT 200mm Ceramic Heater Assy 0010-03840 ;0010-61428 Original AMAT 300mm Ceramic Heater 0010-36736 Oxford Instruments 90 Plus RIE Etching System Oxford Plasmalab 100 RIE OXFORD PLASMALAB SYSTEM 400 SPUTTER COATER Parmi SPI HS60 Pattern Recognition Intelligence 3D Solder Paste Inspection HS60L Perkin Elmer 4400 Sputtering System Perkin Elmer 4400, 3 target DC or RF magnetron sputtering system Perkin Elmer PHI 670xi Scanning Auger Electron Microscope Nanoprobe System Pfeiffer TPH 2101 U P C DN 250 ISO-K, 3P M.No: PM P03 038 B Turbo Pump PHI Lamination press 600 Ton Philips PD7405/08 DCD II HP Double Crystal Diffractometer DCDM 8” Mapping w SBC Philips PQ Ruby Ellipsometer up to 200mm capable. PW PZ2500/00 Cassette Loader Photon Dynamics SV7550 High Speed Color AOI for Printed Wiring Assemblies 453370 Pick and Place Machine QM2000 Pick&Place Surface mount Laser Chip, Array, Detector and Epoxy Dispenser Machine Pixargus Profilcontrol 7 Optical inspection system NEW Plasma Therm RIE Etching System PlasmaTherm RIE Computer and Software Retrofit / Upgrade (single chamber) PlasmaTherm RIE Computer and Software Retrofit for systems with Load Arm Plasmatherm RIE Etching System PS-500 AST Products, Plasma Science Plasma Surface Treatment System *FINANCING** PS8102 / DC POWER SUPPLY BOX 2-39-66235 SK-2000 W/EXCHANGE / DNS PV Wafer ID Laser Scriber / Reader System – IPESCAN005/IRIV03V00 PVA PVA650 Selective Coating Dispensing System w/3 Binks Pressure Pots + Pump PWS P5MS, Pacific Western Systems Wafer Prober, Probe Controller II, 20, 416276 Quad QSP2 PLUS Assembler R. HOWARD STRASBAUGH 6EC POLISHER Refurbished CANON PLA-501F(A)(S) Mask Aligner Refurbished HIRAYAMA PC422R8 HAST Chamber Installed and Running Rehm VXS 3150 Type 634 Nitrogen Reflow Oven Rofin Coherent MPS 3 Axis Laser Welder Starpulse Sp150 Low Hours REDUCED PRICE!! Rofin Coherent Powerline Pico 10 532nm Laser Marker System MicroProcessing Rofin EasyScribe F20 Laser Marker, Fumex FA2P Dust Collection Cabinet, 452990 Roll To Roll Lithography(made in korea) Rudolph F30 300mm Wafer Mounter & Defect Inspection System Lintec Daikin Working Rudolph FE-IIID Ellipsometer SAKI BF-Tristar II Dual Side Automated Optical Inspection (2012) Sandvik MRL Anneal furnace, 18 inch flat zone, with computer and loader SDI SPV Measuring Station 1010 from SemiConductor Diagnostics, Inc. SEHO 8440-F/3.0 Wave Soldering Machine (2006) SEHO SEL-LINE Selective Soldering Machine (2011) *SEHO CFM-500 Included* Seica S20 Flying Probe Tester Selective Solder Machine SS-600-i1, New Selective Soldering System, Lead Free SEMES BAKE OVEN WITH THREE MODULES, SIX OVENS, TMC IFC BOARD, TMC, CABLES, 200MM Semiconductor Diagnostics SDI 210, 210E-SPV, FAST, Wafer Measurement, 423274 Semiconductor Laser Flux and Organic Free Reflow/Soldering System Semics Opus 2 Wafer Prober Semitool (AMAT) Raider Lift-Rotate Assembly with ECD Semitool / AMAT Raider Bulk Power Supply (Model #: 900C0337-501) SEMITOOL ALPHA TOOL Semitool LT-210 / Equinox Lift-Rotate Assembly with ECD Semitool SST408 Solvent Chemical Stripping Resist Remover Tool, SST, 6″, 402322 Semitool WST 308 Solvent Chemical Stripping Resist Remover Tool, SST, 411390 Signatone 12 inch Probe Station Prober Cascade Microtech RF Probe 1 YR Warranty Signatone 8 inch Prober Station for DC RF TD Cascade RPP Probes 1 YEAR Warranty Signatone CM200 8 inch with New Wave Research EZLAZE 3 Laser Refurbuished SMT Assembly line – DEK 265GS, (3) Universal GSM Pick and Place, BTU Reflow Oven SMT assembly line Juki KE-750, Juki KE-760, Dek Horizon i03 SMT Stencil Printer Unique 18inch x 18 Printing System with Precision Adjustment SMT Stencil Printer Unique Large Area Printing System with Precision Adjustment SOLD — CyberOptics QX500 AOI 2010 inspection system in Excellent Condition Sopra Ellipsometer GXR SPEA 4040 Series 5 Flying Probe (2004) SPEC 11002-10235 / SPECTRUM 110020 RF GENERATOR / LAM PN 660-080455R300 / ENI Spectroscopic Ellipsometer TFProbe eSE for Thin Film & Coating Measurements Speedline Aquastorm AQ60 In-Line Printed Circuit Board Wash (2017) SSEC 3308 Coat Developer – Dual Cassette to Cassette STC EP2600 Edge Grinder for 2”-6” Wafers Steed 2 stack 150 mm wet oxidation furnace STOELTING / TREK TRITON In-Line Aqueous Cleaning System, 2007, 30″ conveyor STP-H1000L / TURBO MOLECULAR PUMP / SEIKO SEIKI STP-H1000WA / TURBOMOLECULAR PUMP / SEIKO SEIKI Strasbaugh 6ds-sp cmp 8” polisher 4 elevators Strasbaugh 6EC CMP Chemical Mechanical Planarizer Strasbaugh 7AA Backgrinder Technical Instrument K2IND Microscope, TEL Tokyo Electron MU SPA CE T2 Chamber CF,CN,-,CO Radical Process Reactor Spare Tencor 273163 Particle Area Processor PCB KLA AIT Surfscan 6xxxSurf 0135243-000 Therma-Wave 5241 X-Y-Z Stage, Newport TWI 45-021480, 1302, 2601, Opti-Probe 5240 Thermo Scientific ARL-QUANT’X EDXRF Analyzer, XRF Spectrometer (Needs Service) Thermo VG Scanning Auger XPS/AES Microlab 350 microprobe ***FINANCING*** Thermo VXR X-Ray Fluorescence Measurement System Thermotron WP1791 CH-25-25 Envoronmental Test Chamber “Ask us for Discount%” THESystems Test Cell Fixture G PCB Burn-In Test Cell Assembly With 9 Chambers THESystems Test Cell Fixture H PCB Burn-In Test Cell Assembly With 9 Chambers THESystems Test Cell Fixture I PCB Burn-In Test Cell Assembly With 9 Chambers THESystems Test Cell Fixture J PCB Burn-In Test Cell Assembly With 9 Chambers THESystems Test Cell Fixture J PCB Burn-In Test Cell Assembly With 9 Chambers XP THESystems Test Cell Fixture L PCB Burn-In Test Cell Assembly With 8 Chambers THESystems Test Cell Fixture M PCB Burn-In Test Cell Assembly With 9 Chambers THESystems Test Cell Fixture N PCB Burn-In Test Cell Assembly With 9 Chambers THESystems Test Cell Fixture O PCB Burn-In Test Cell Assembly With 9 Chambers Thomas Swan EPITOR Epison II MOCVD 1×2 Quartz Reactor Lepel RF Heater Deposition Tokyo Electron TEL-EPD  SE2000  Verity SD1024F TOPCON WM-3 Wafer Particle Detection TORR INTERNATIONAL THERMAL EVAPORATOR SYSTEM Trebor Quantum 144 QTM144V480AO4-A 480V Deionized DI Water Heater TWI 45-021480 / THERMA-WAVE 5241 X-Y-Z STAGE, 1302, 2601, OPTI-PROBE / NEWPORT Universal AdVantis AC-7 SMT Pick & Place Universal Genesis GC-30S SMT Pick & Place Universal Genesis GI-14D SMT Pick & Place Machine Universal Instruments 4982C Advantis AX-72 Multi-Function Pick & Place Machine Universal Instruments 4983A Advantis AC-30 Lightning Machine Universal Instruments 6772A MultiMod DIP Insertion Machine Universal Instruments Auto TRIM and MMI Kit 50452601 includes Glass Slug Kit Universal Instruments GSM2 4688A Dual Beam Pick and Place Machine “Upgraded” Universal Instruments GSMxs 5785A Advanced Semiconductor Pick and Place Machine Universal Instruments GSMxs 5785A Advanced Semiconductor Pick and Place Machine Universal Instruments Polaris Pick & Place / Assembly Cell 7516A Universal Instruments VCD 8 (6241F) Axial Inserter / Sequencer Universal Instruments VCD 88HT 6241K Axial Inserter (2013) Used 2001 Excellon Driller complete Printed Circuit Board Pcb Machine Used Cryo Elite Vacuum Deposition Chamber, 36” diameter X 46” high stainless steel Veeco / Wyko NT 1100 Optical Profiling System Veeco Bruker DI AFM Scanner Head Dimension 9000 Series DTIPX – Atomic Force Veeco Carbon Sputter System *SJ5 Veeco DEKTAK SXM Atomic Force Microscope, VENT RAK VD6200-99-1637, 453298 Veeco Optical Profiler – Bruker Contour GT-K, EN 61010-1 Veeco PVD System Control Cabinet veeco spector iontech HBDG ion assist ion beam sputtering system IBAD thin film VERTEQ ST800-41TL VIPER stage Assy / STAGE ASSEMBLY WITH CHUCK 150 200 MM 740-212473-000 / KLA VITESSE / LASER FOR RUDOLPH 0178-639-52 / COHERENT LASERS Vitronics Soltec Delta 3 Lead-Free Wave Soldering Machine (2009) Vitronics Soltec XPM2 Reflow Oven, lead free reflow oven, vitronics reflow WS-70-FEGH / GUN, TFE ASSY / HITACHI Yasui Seiki 3-613 MICROGRAVURE Thin Film Gravure Coating Coater 250cm Wide Yelo1000D Laser Diode Reliability Life Test & Burn In System *SJ2 YESTech YTX-X3 3D X-Ray 00018283-002 / AIT UV TIMING GENERATOR PCB (0051493-002) / KLA TENCOR 0003517-000 / WAFER, VLSI KTR8-2045-16K / KLA TENCOR 0010-01183, APPLIED MATERIALS, ASSY, FILTER BOX, HT CATHODE, DPS 0010-01922 / ASSY, BIASED ELECTRODE AC BOX / APPLIED MATERIALS AMAT 0010-04145; ASSEMBLY THROTTLE VALVE 300MM DUAL FLA 0010-04536, APPLIED MATERIALS, ASSEMBLY, ALL QUARTZ APPLICATOR, RPN ON 0010-05254 / CVD, CENTURA, 200MM DXZ CERAMIC HEATER / APPLIED MATERIALS AMAT 0010-07155, Applied Materials, ASSEMBLY, TOP MATCH, ULTIMA X 200MM, HDP 0010-07946 / ASSY, ESC RF 200MM FLAT DZ HI FLOW OUTER / AMAT 0010-09328; AMPULE ASSEMBLY, TEOS CLOSED LOOP, APPLIED MATERIAL (AMAT) 0010-10623, Applied Materials, ASSY, LIFT,EXZGECO 0010-10957, APPLIED MATERIALS, ASSY DRIVE FC WXZ P5000 W/VITON 0010-12860 / HEATER LIFT ASSY, DXZ / APPLIED MATERIALS 0010-13878; ASSEMBLY PRECLEAN PCII RF MATCH APPLIED MATERIAL (AMAT) 0010-20440, APPLIED MATERIALS, ASSY, 5″ RF MATCH 0010-20442, AMAT, ASSY, 8″ RF MATCH 0010-21669 / ASSY, VECTRA IMP-1 SOURCE / APPLIED MATERIALS AMAT 0010-21676; AMAT PVD ENDURA IMP VECTRA MAGNET 0010-22043, APPLIED MATERIALS, ASSY PVD MAGNET 0010-22156 /ASSY, BESC MOTORIZED LIFT/ APPLIED MATERIALS 0010-23677 / 300MM MCA SNNF LOW TEMP ESC 0010-23677-002 /APPLIED MATERIALS AMAT 0010-35779, Applied Materials, ASSY, MATCH, H-5/H-6 SOURCE, DPS POLY 0010-37176 / ASSY ESC, 300MM LCA DPS/ APPLIED MATERIALS 0010-38023 / ASSY, TI VIEW LID / APPLIED MATERIALS AMAT 0010-40093, APPLIED MATERIALS, E-CHUCK PEDESTAL ASSY, 150MM SF, BIAS QC 0010-70403 / ASSY, G-12 AFS LID / APPLIED MATERIALS AMAT 0010-70751; AMAT, ASSEMBLY DELTA TEOS GAS BOX W/CHEMRAZ ORINGS 0010-90812; AMAT, GAS PANEL 0020-01995 / HY-11 MAGNET 300 MM ASSEMBLED WITH 0020-28491 / AMAT 0020-090660, Applied Materials, CHAMBER BODY 0020-26075; SHEILD UPPER 17.5” 0020885-000 / PCB ASSY, ANALOG BOARD, AIT2 AIT3 WITH EXCHANGE / KLA TENCOR 0021-50053/ LASER DIODE WITH F.O. CABLE MODEL 0129-7082-23S / APPLIED MATERIALS 0021-76556; CUP SHUTTER 300MM PVD 003-1500-02 / VACUUM WAFER TRANSFER ROBOT (MAG 7) / BROOKS AUTOMATION 0035208-001 / PCB PDF ES30 SLOT 6 / KLA TENCOR 0040-05493; 0040-44645;ASSY , ESC/RF, 200MM NOTCH EMAX, APPLIED MATERIAL (AMAT) 0040-18125, Applied Materials, AMAT, LASED, PEDESTAL, 125MM SF MIN 0040-34698 / ADAPTER, VACUUM CHUCK, 300MM, PRODUCER / APPLIED MATERIALS AMAT 0040-36236, Applied Materials, HEATER, TXZ 1500MM DMF 0040-44027, APPLIED MATERIALS, PREFLECTOR PLATE, PROBE INJECT, 300MM RAD 0040-48082 / CHAMBER BODY / APPLIED MATERIALS AMAT 0040-48082 / CHAMBER BODY / APPLIED MATERIALS AMAT 0040-48334 AMAT, LASED PEDESTAL EXTENDED ESC, SNNF W WTM 0040-51066 / SGD, LOWER SHOWER HEAD / APPLIED MATERIALS AMAT 0040-61366, Applied Materials, CHAMBER, DI SEAL, METAL ETCH, EDGE GAS 0040-78138 / PLATEN LOWER LK 300 MM / APPLIED MATERIALS AMAT 0040-83668/HOUSING TALL, 300MM TITAN PROFILER/APPLIED MATERIALS AMAT 0040-87756 / UPPER CHAMBER LINER/ APPLIED MATERIALS 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT 0040-89016, Applied Materials 0041-49184 / CHAMBER LID FROM CENTURA CENTRIS / APPLIED MATERIALS AMAT 0041-50966 / ASSY ESC CENTURA CENTRIS DPS2 / AMAT 0045403-000 / LASER TRACKING AUTO FOCUS MODEL 8000 W/ EXCHANGE / KLA TENCOR 0047044-003 / KONTRON ICS-ADVENT COMPUTER BOX, ASSY / KLA TENCOR 0090-02783 / CNTL, FLEX CPCI CENTURA AP WITH CCM / APPLIED MATERIALS AMAT 0090-90351 /WPRE A CHAS.PSU-SPEC 0190-90291/ APPLIED MATERIALS 0090-91248 /CHASS WIRED 8KW AMAG CONVERTER/ APPLIED MATERIALS 0090-91436 / PRE ACCEL/AMAG CTRL CHASSIS / APPLIED MATERIALS AMAT 0090-91717ITL / PLASMA FLOOD CHASSIS REV. G / APPLIED MATERIALS 0100-14010 / PCB ASSY CONSOLE I/O ASSY / APPLIED MATERIALS AMAT 0100-77001, OBS ASSEMBLY PCB CONTROLLER I/O 01-18-01120 / MVS CONTROLLER PRISM CONTROL / ULTRATECH 01-18-01120 MVS 0127347-000 / PCB ADG PMAC Y POSITION PMAC X POSITION FOR ES30 SLOT 1 / KLA 015049 / Z STAGE ASSY / KLA TENCOR 0190-00313; STATOR MAGLEV ROTATION 0190-07285, Applied Materials, MATCH, AUTO MATCH NETWORK, 13.56MHZ,3KW 0190-07959 0190-08279 / 10KW 480VAC ENI DCG-200Z / APPLIED MATERIALS AMAT / ENI 0190-09843 / RF GEN, 13.56MHZ, 1.25KW, 480VAC, COMDEL / APPLIED MATERIALS AMAT 0190-18116 / RF MATCH, LOW K CHAMBER, HDPCVD, ADVANCED ENERGY 3155031-014 / AMAT 0190-21110 / POWER SUPPLY, DC 12KW W/CE MARK;AE 3152313-002B; MDX-L12M 650/ AMAT 0190-21782, AMAT 0190-21783; HEATER BLOCK FINAL VALVE CLUSTER, IMS. TXZ DLI, APPLIED MATERIALS (A 0190-23671, APPLIED MATERIALS, DC PWR SUPPLY, 20KW, 480VAC, 200VDC ARC TRIP LEVE 0190-36524 TEMPERATURE CONTROL THERMOMETER SEKIDENKO 2000 0200-02814 / DPS+POLY,ESC PUCK,MACHINED / APPLIED MATERIALS 02-135617-00 / ASSY,ESC,150MM,MC / NOVELLUS 0215884-003 / SCD-XTR LIGHT SOURCE COMPLETE / KLA TENCOR CORPORATION 02-259925-00 ASSY, BELL JAR, HI PWR, C3 SPD, “AS IS” 0242-00565 AMAT Kit, Slit Liner Door, Clamp Lid, Viton/C 0242-12663 / FI SERVICE LIFT KIT / APPLIED MATERIALS AMAT 0242-15424, 0010-07409; 200MM EMAX LID 0242-88823 / ASSY, 13″ PVD DEGAS LID WITH BLANK SOURCE / APPLIED MATERIALS AMAT 0270-02530 / ASSY 300MM TOOL VIEW LID 0040-02290 / APPLIED MATERIALS AMAT 0342-1432-0001 / FILAMENT SUPPLY ASSY MOD DTC / AXCELIS TECHNOLOGIES 03-83601-00 / W PCB, MOTHER / APPLIED MATERIALS AMAT 0920-00013 MKS ASTRON 2L RPS FI20620-1 0952-0241-0001C / CLAMP ASSEMBLY 8 IN ESC KAPTON PCB / AXCELIS TECHNOLOGIES 10 Ft 1/4 inch sheer machine  107821001/ ELECTRON FLOOD CONTROL UNIT/ VARIAN 1183280 / SHOWER-E W/ BIAS ASSY / EATON 1187-093732-11 / PCB ASSY / TOKYO ELECTRON TEL 1187170 / SOURCE ASSY INTEGRAL ARC SLIT (MO) / AXCELIS TECHNOLOGIES 15-00003-02 / C1 PLATE,TOP,PROCESS 150MM / NOVELLUS SYSTEMS INC 15-046348-01 / CVD, DOME, LOW POWER, 300MM / NOVELLUS SYSTEMS INC 152465 / BA ROBOT SIN T1-1630+1612 T2 -1536+1543 COS T1 / BROOKS AUTOMATION INC 18-016160 / POWER SUPPLY ASSEMBLY UPR DC P34 WITH EXCHANGE / THERMAWAVE 18-123472 / SOURCE ASSY,UV,AIR TIGHT / KLA TENCOR CORPORATION 19-032015-01 / HEATER BLK,150MM 6″OD PINNED / NOVELLUS SYSTEMS INC 1C-2106-002-0018 / QTZ CHUCK RIGHT SHORT COATED KDNS / DNS DAI NIPPON SCREEN 1D10-150001-E1 / ELECTRODE UPPER (LD-D (HI-TEMP) (ES) / TOKYO ELECTRON TEL 21016401116 / IND PC PII BOX CELERON A / APPLIED MATERIALS AMAT 2187-207323-15 / MANIFOLD ASSEMBLY / TOKYO ELEC. 238740 / GPIO PCB KLA 6100 6200 6220 6420 ***W/EXCHANGE*** / KLA TENCOR 27-290521-00 / GENERATOR, PLASMA, 3.0KW, LITMAS, 1.9-3.2MHZ / NOVELLUS 285714 / PCB DPB DATA PROCESSING BOARD S8000 AIT1 WITH EXCHANGE / KLA TENCOR 29-0156 / OBJECTIVE, 15X / TROPEL 2LA0200970 / GENCOBOT 4 ARM IV / GENMARK 30C63E-A002 / ROBOT CONTROLLER AC 208V 1:2WIRE+PE 50/60 Hz 6.1A / KAWASAKI 3152272-000 / MDX-L12 POWER SUPPLY 0190-70086 / ADVANCED ENERGY 3152313-001A / POWER SUPPLY, DC 12KW W/CE MARK; MDX-L12M / ADVANCED ENERGY 3540-01082 / 8IN HEATER ENDURA PVD / APPLIED MATERIALS AMAT 3839-000148-13/RP-500-13M(T04),180-220VAC 50/60 HZ,2KVA,PEARL KOGYO 500W/TEL 387-00006-000 / PROBE, CONCEN, SOLIDS, ANALOG, 0.05-50 / CELERITY 390361 / RDS223044 .2 METER MONOCHROMATOR/ENDPOINTDETECTOR / AXCELIS TECH 3D Electronic Circuit Printing System (Direct Writing, Maskless Lithography) 3D Surface Profiler – Profilometer – Roughness Meter – SALE! 3D05-200234C11 / COVER, SCREW UEL D424 / TOKYO ELECTRON TEL 3D10-100930-13 / ELECTRODE BTM 12Y HT-IST LIB / TOKYO ELECTRON TEL 3D10-250203-11 / RING,GND GROOVE,FG8 / TOKYO ELECTRON TEL 3D39-050149-41 /CONTROLLER, MATCHING, RF (DAIHEN WMN-50H6)/TOKYO ELECTRON TEL 400110V0011 / TURBO PUMP MAG W 1300 / LEYBOLD VACUUM PRODUCTS INC 4-620-002 / PUMP FLUID FOR PHOTOLITHOGRAPHY MODEL HVP M610 / IDI HIGH VISCOSITY 471-20573-000 / DUAL ION PUMP CONTROLLER WITH EXCHANGE / KLA TENCOR 4S586-957-7 / LINEAR MOTOR CONTROLLER MODEL CLSR-4590-N2CC-1 / YASKAWA ELECTRIC 50414710000 / HVU ASSY, G303/51, A1016640, ADVANCE HIVOLT / AMAT 52-0744 / SE TURRET OPTICS ASSY / KLA TENCOR 52-0904-01 / 450 MHZ COMPUTER / KLA TENCOR CORPORATION 5301 Applied Materials 03-83601-00 PCB Mother Board 5304 Applied Materials 03-83601-00 PCB Mother Board 538590 / PCB ASSY MATROX CORONA REV 6 8M CONFG AIT2, SLOT 1, WEXCHANGE / KLA 58700015/TUBE QUARTZ RIPPLE OR CHAMBER 2800,3000 STEAG AST RTP* W/CERTS*/MATTSON 6-0000-2141-PC / ESC-212B BROOKS PRI CONTROLLER W/EXCHANGE / EQUIPE TECH 676652 / LAMP MODULE #1 RH / APPLIED MATERIALS AMAT 685-093578-002 Lam Research ISI Contr ,DAC,ADC,CPU NEW 685-093578-003 Lam Research ISI Controller & CPU *TESTED* 70021008/G / ASSY, DRIVE CORE, TURNTABLE 70021008-DX / BROOKS AUTOMATION 70022840 / ASSY,LIGHT CURTAIN CONTROLLER W/ MUTE COLT PRI / BROOKS AUTOMATION 70031329 / I/O SIDE 1: 1 LH WHITE OAK / BROOKS AUTOMATION 710-661726-00 / S.A.T. RANDOM DEFECT FILTER PCB BD 1 W/EXCHANGE / KLA TENCOR 710-661729-00 / PCB SAT RANDOM DEFECT PROCESSOR / KLA TENCOR 710-734948-001 / PCB RANDOM DEFECT DETECTOR SLOT 5 / KLA TENCOR 710-774063-001 / PCB DMP2 ES30 SLOT 9 / KLA TENCOR 715-069046-001 / LOWER ESC ASSY, LAM 2300 FLEX EX+ SERIES / LAM RESEARCH 720-02851-500 / DC DISTRIBUTION CHASSIS, 8100 / KLA TENCOR 720-06223-000 / SCHOTTKY CONTROLLER SGCC WITH EXCHANGE / KLA TENCOR 8100 720-06884-000 / COLUMN CONTROL CHASSIS II (CCC II) WITH EXCHANGE / KLA TENCOR 720-06884-002 / COLUMN CONTROL CHASSIS II WITH EXCHANGE / KLA TENCOR 720-06888-000 / FOCUS CONTROL BOARD CHASSIS W/EXCHANGE / KLA TENCOR 720-11774-001 / PCB IDC ASSY ES30 SLOT 2 / KLA TENCOR 720-15074-000 / COLUMN EXTENSION FOR 8450 WITH EXCHANGE / KLA TENCOR 720-21421-001 / PCB IAP ASSY 820-21421-000 ES30 SLOT 4 / KLA TENCOR 720-23475-000 / PCB ATP ES30 SLOT 6 / KLA TENCOR 720-23737-001 / PCB DSM2 ASSY ES30 SLOT 3 / KLA TENCOR 737A131A-SPEC / PUMP W/K535 PS PN235C001A W/K535 CONTROLLER 235C102A / RICOR 750-693047-002 / IMMECOR DUAL PENTIUM RAID IPC CE400 / KLA TENCOR 77-604-0400450-00 / WAFER-CHUCK/GROUNDER (PN WEGYA0-78131012) / HMI 780143418 / ASSEMBLY,ION PUMP,GUN-FIELD EMISSION / JEOL USA, INC 780-14688-000 / WIEN FILTER CONTROL CHASSIS WFCC WITH EXCHANGE / KLA TENCOR 820-19059-000 / HARDWARE CONTROL INTERFACE PCB/ KLA TENCOR 853-017520-242 / COMPUTER, ASSY PC ENVISION / LAM 853-025735-004 ASSY, AT MTCH, STRPR WBLO 1488169 LAM 89429 / LEYBOLD MAG1600 TURBO PUMP / LEYBOLD VACUUM PRODUCTS 9010-01379, CELERITY GAS CARD GeF4 QUANTUM X 9090-01201 / BEAMLINE VACUUM CHASSIS / APPLIED MATERIALS 95-3690 / MICROWAVE WAVEGUIDE ASSEMBLY PEP IRIDIA GERLING GL212 ASTEX / GASONICS 9699554S020 / CONTROLLER TURBO-V1000HT C.U. CONTROLLER WITH EXCHANGE / VARIAN 9800107161 / SYSTEM CONTROLLER SMALL FOR GB4P W EXCHANGE 600VA 50-60HZ / GENMARK A19873-001/TRANSFORMER BOX OFT REV A/RUDOLPH Advanced Energy 3156115-704 RF Generator, 1021925, AE, 453008 Advanced Energy 3156115-704 RF Generator, 1021925, AE, 453009 Advanced Energy 3156115-704 RF Generator, 1021925, AE, 453010 Advanced Energy 3156115-704 RF Generator, 1021925, AE, 453011 Advanced Energy 3156115-704 RF Generator, AE, 453007 Advanced Energy ASTRAL bipolar pulsed dc power supply 1000v WITH pinnacle source AE 3155086-102, MATCH RF 12.56MH 2.5KW AZX 90 DOME, SUB, AMAT 1110-01063 Agilent 5600LS AFM Atomic Force Microscope 8″ 200mm Stage Air Products AP11 3 bottle methane, methane, N2 purge, new cabinet, never used. Alessi Manual Probe Station Model REL 4100A – 14 Day Right of Return AM9090-01162 / ENERGY CONTROL CHASSIS, PXP41L / APPLIED MATERIALS AMAT AMAT 0010-02740, P-CHUCK ASSY, 150MM, CIP99 AMAT 0010-03530 Assembly, Diamond Head Carrier, 418636 AMAT 0010-03530 Assy, Diamond C Head Carrier, ASM, 2492-038, 417448 AMAT 0010-20030 Wafer Orienter Lift Assy w/ Chamber Lid, Notch Finder, 423998 AMAT 0010-21810 Magnet Assembly, G-12, Encapsulated, Durasource, 423143 AMAT 0010-21810 Magnet Assembly, G-12, Encapsulated, Durasource, 423144 AMAT 0010-39736 Lid Assy Clamp, 0021-03277, 0040-39619, 417203 AMAT 0010-92209 Gas Module, SDS, Unit (8160MFC) N20 5 SCCM, 417290 AMAT 0040-09723 Unibody, Etch Chamber w/ .397 Step, Poly Trench, 418269 AMAT 0040-18024, E-CHUCK, 200MM, MKA, SNNF, CVD, 417258 AMAT 0040-31780 Base, Cooling 200MM Cathode, DPS MEC, Base Plate 5200, 326434 AMAT 0040-61366 Metal Etch Chamber, DI Seal, Edge Gas, MXP+, Mark II, 418270 AMAT 0090-06456 Chamber Controller 0041-05806, AS00363-03 PCB 0090-02527, 452419 AMAT 0190-16013 Exhaust Switching Unit TEC-05 Kit 1 & 2, ESC-C2-5-X7, 415168 AMAT 0190-21122 Cobalt MZ Endura Target, 06-08132-00, 20-472D-C0000-1003, 419238 AMAT 0220-21314, Preclean Chamber, D&H-SIN 014. 419672 AMAT 9010-00739 Focus Clamping Box Assy, 9010-00739ITL, 0090-91422ITL, 418523 AMAT Applied Materials 0010-20331 Dual Degas Magnet Driver Working AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is AMAT Applied Materials 0010-22569 300mm PVD Source Assembly Chamber Refurbished AMAT Applied Materials 0240-42172 KIT, 300MM CORROSION RESISTANT DBR BEARING AMAT Applied Materials 0240-63364 KIT, FX FOBOT DRIVER BOX AMAT Applied Materials 0242-02294 RevB KIT EMPX+/MXP+OX,Simcat Com All Pos AMAT APPLIED MATERIALS 150864-50-61 MIRRA CMP HEAD ASSY 200MM TITAN CONTOUR AMAT Applied Materials 401493LCM1 Chamber 1 Endura PVD System Untested As-Is AMAT Applied Materials 405892-C CPI-VMO Chamber C Endura 300mm Incomplete As-Is AMAT Applied Materials 422499-P4-ECH1 Chamber 1 CPI-VMO Untested As-Is AMAT ESC, TESTED, 150MM JMF, HDPCVD, ULTIMA #0040-18136 AMAT EyeD OES End Point System, VERITY SD1024F Spectrometer   AMAT PECVD CHAMBER BASEPLATE 208V 2000W CAST ALUMINUM PEDISTAL HEATER 0041-45761 AMAT Ultima 200MM ESC PART#0040-18219 8inch AMAT, Applied Materials, 0040-32205, Cathode Base 150mm AMAT, Applied Materials, 0200-36118, EDGE RING, 200MM WAFER, BATCH COATED AMAT, Applied Materials, Centura 5200, CVD chamber 6″ config AMETEK ROTRON HEAT EXCHANGER 2068-03 0195-06894 HEAT EXCHANGER W/FAN BELLMOUTH API LTS-1100 Laser Tracker II Plus – New 2005 – w/ accessories & software Applied Air Air Rotation Heater 1,250,000 BTU Natural Gas Applied Materials (AMAT) 0010-22161 Assy, High EFF RF Match, HTESC PH 1 REV A Applied Materials 0010-09328 Teos Ampule, Assy AMAT P-5000 Applied Materials 0010-20697 Dual Degas Driver, Assy. 200V AMAT PVD Applied Materials 0010-20697 Dual Degas Driver, Assy. 200V Endura PVD Applied Materials 0010-20764 PVD Driver 200V, Assy. AMAT PVD Applied Materials 0010-36388 Lamp Module, Assy. DTCU DPS Poly AMAT Applied Materials 0010-70131 Wafer Orienter, Assy. 8″ P-5000 AMAT Applied Materials 3750-01112 TUBE PLASMA SOURCE SAPPHIRE 1/4VCR Astex AMAT Applied Materials AMAT N2 Purge Manifold, 0190-12164 Applied Materials Ceramic Heater With Lift Pins Outside 0010-78912* APPLIED MATERIALS DC DISTRIBUTION BOX AMAT 0090-05777 MODEL:101631-01 Applied Materials Pedestal E-Chuck Assy MCA 300mm 0010-22985* Applied Microstructures MST SPTS 100E Molecular Vapor Deposition System Aqueous Technologies SMT 600LD Cleaner, Functional/Tested, also avail SMT800 Aqueous Technologies Trident ECO-CYCLER Rinse Water Recycler -C DUO Aquila NKD 8000 thin film analyzer Metrology elipsometer **FINANCING*WARRANTY** ASI PS C1180 / PULSE POWER SUPPLY, VIISTA VISION / VARIAN ASM AD896-06 DIE BONDER, 2006 VINTAGE ASM Advanced Semiconductor Materials 2447053-01 ASSY Evaporator RC1 ASML 4022.428.1210, PAS 5000/2500 Main Switch Unit ASSEMBLY, ELECTRICAL, SYNERGY V452 SBC WITH ESSI D Astex AX2050 Microwave Power Generator, RF, FI20195, AMAT 0920-01104, 321120 ASYMTEK FLUID DISPENSING SYSTEM Asymtek X-1010 with Dispense Jet DJ-2100 Asyst Axys 21 Rob Silicon Wafer Robot Agilent Power Supply & Pendant Controller ATMI CONTROLLED DECOMPOSITION AND OXIDATION UNIT (CDO) 863, CE6000905 ATMI Guardian GS4 gas abatement scrubber burn box Automatic Pick and Place Machine QM2100 Automatic Stencil Printer – SMTmax BAY VOLTEX CHILLER HT XCHR DUAL MAG PUMP LT-HRE-1650-9650-WC-DC-SX-DI-AM-S2-R3 BETTCHER PROCESS SERVICES A72RS WET BENCH BG4-6943 / ALS CD PCB ASSY / CANON BG9-3502 /ACC-1/ CANON BM30891 / QUEUE AEROTRAK ENET REV F/ BROOKS AUTOMATION INC BMS-5-3000-VIS-E / 5KV POWER SUPPLY / UNIVERSAL VOLTRONICS BMS-5-300-VIS / HIGH VOLTAGE POWER SUPPLY LEFT WAFER / UNIVERSAL VOLTRONICS BOC Edwards E2M80-EH500 Two Stage Vacuum Pump w/ Booster, L22000010, 453525 Boc Edwards Turbo Pump Iaxa2206c Pn# YT81-OZ-010 Breuckmann Aicon Smartscan 3D-HE Blue 4Mpix Brookhaven 25620094 Scan Amplifier SCANMASTER II SM 3000 Varian E20000173 Refurb Brooks Automation 002-7090-10, AquaTran 7 Wafer Transfer Robot, ATRY. 416853 BROOKS RAZOR 5 AXIS WAFER TRANSFER ROBOT with AEF end effector and controller BT IMAGING LUMINESCENSE IMAGING SYSTEM LIS-R1V6 Bürkle Type BKL Flim Coating System, Rolling Machine, AE 1376 500, 012995-0010 C124362 / GB3 WAFER HANDLING ROBOT/ GENMARK Camalot Smart Stream Dispense Jet System Head Pump Xyflexpro + FXD SmartSteam Camtek Falcon PD 200mm Wafer Inspection System with Basler A201b Camera – As Is CANON FPA-2000 i1 WAFER STEPPER WAFER LOADER, 150 MM Cencorp TR2100 Router Cencorp TR5300 In-Line Router Excellent Condition Chip Pick and Place Tool, Tester, 6422e-AC, 100837 Chip Pick and Place Tool, Tester, 6422e-AC, 100960 CORONA/8/E / PCI FRAME GRABBER PCB OUT OF (750-693047-002, IPC CE400) / MATROX CSZ ZPHS-16-3 5-3 5-SC/AC CyberOptics KS50 PCB Inspection System w Conveyor and Manuals Cybortronics HR3680 Two Door Temperature Chamber –10C to 80C 19″ Telecom Rack D2480-1 / 2-VC-28124, 6-AXIS MOTOR DRIVER W/EXCHANGE / DNS DAI NIPPON SCREEN Dage 4000 PAXY Bond Tester DAIKIN INDUSTRIES BRINE CHILLING UNIT, UBRPD5A1T3, THREE PHASE, 200V, 50 HZ Dainippon Screen DNS 200W Track STEPPER (ASML) INTERFACE MODULE, 200 MM Delatech 859 CDO Delta 3 Vitronics Soltec DNS Dainippon Screen 2ARFH883 Bake Box Parts Set of 2 New Surplus DS1187-094316-11 / 76511 MANIFOLD / TOKYO ELECTRON DS2124-000009-11 / MAGNETIC FLUID SEAL…MMVAHF 24 97 1 / TOKYO ELECTRON TEL DS2143-000001 / HEATER,RIBBON..34136-C01-2993 / TOKYO ELECTRON TEL DS2185-034186-12, A31#2185-034186-12, MANIFOLD BDY.8SEN-L-MS TOKYO ELECTRON Dual Head Automatic Pick and Place Machine QM1500 E11021410 / SYSTEM CONTROLLER ASSY,SOURCE, VIISON / VARIAN E11054630 / MUTLIPOLE POWER SUPPLY / VARIAN E11054790 / CONTROLLER, VIISTA VISION / VARIAN E11075901 / HIGH ENERGY CONTROLLER, E500/E220, VIISTA VISION / VARIAN E11082230 / CRYO PURGE CONTROLLER / VARIAN E11082301 / PLATEN AMPLIFIER VISTA MPX / VARIAN E11087930 / COMMON IO ASSEMBLY / VARIAN E11124060 / VARIAN FLOOD GUN CONTROL POWER SUPPLY / VARIAN E19007280 /HIGH VOLTAGE POWER SUPPLY REV F PS/EX070P025A/GLASSMAN HIGH VOLTAGE E19299230 / DRIVER HIGH VOLTAGEB PULSOR SUPPLY / VARIAN E93013001 / A.E. 3155126-043 S31A-RF-A03-A ASSY, MATCHER RF NAVIGATOR / LAM Ekra X4 Screen Printer Ekra XACT X4 Screen Printer Electroglas 4090 Prober Equilasers EDWS-15 edw laser welder welding station workstation yag ERSA Hotflow 2/20 Reflow Oven – Flexible Profile Setup ESC-212 / CONTROLLER KLA8100 AUGUST NSX WHS WITH EXCHANGE / EQUIPE TECH EV300 STAGE / STAGE ASSEMBLY FOR EV300 CD SEM / KLA TENCOR Fortrend SCARA 155-022067-001 Robot Arm w/ Controller, 110 VAC 50/60Hz, 453102 Four Dimension 4D Model 280 Automatic Four Point Probe Meter, Wafer, 453291 FUJI CP6E-4000 Fusion System Inc. LC 6B 528481 UV Curing w/ P300MT Power Supply GEN II MBE SYSTEM / REACTOR Genmark GB8-MT-98030074 Robot Wafer Assy, MGC 2234-MB3320 Motor Encoder, 423056 GEW3040MA-F2H00-01 / GEW-30A 3D80-000192-18 TEL / ENI graco precision mix 2k plural component paint proportioner GUZIK S1701A MICRO POSITIONING SPINDSTAND GWI Engineering LMF 2000 Web Laser Marking System, Miyachi Uniteck, Fumex HEINZINGER TNQ’S 500 POWER SUPPLY ASML PAS 2500/5000 4022.430.07522 Heinzinger TNQs 500 Power Supply, ASML 4022.430.0752 PAS 5000/2500 Heller 1900W Reflow Oven Honeywell 092977 AMAT Endura Target 200mm TNTLM 4N5 Hughes 2470-V Palomar Automatic Wedge Wire Bonder Aluminum & Gold – Bad CMOS Bat IDI 4-620-002 Cybor HVP M610-B Photolithography High Viscosity Resist Pump iGX600N Edwards A546-31-958 Dry Vacuum Pump iGX Series 1 Hour 200V New Surplus IPEC SpeedFam Novellus 2805-103891 Assy, Platen Spindle Cooled Polisher, 421034 IPG LASER YLP-V2-1-100-10-10 Ytterbium Fiber Laser Irvine Optical BL200 Inspection Station Mainframe Brooks Automation Macro 402837 JDSU ORBOT AMAT APPLIED MATERIALS LASER ASSY 9010038 2213-75SLRAM072 JEOL JSM-6400 F SEM, scanning electron microscope JEOL JWS-7505 Wafer Inspection SYSTEM Scanning Electron Microscope, NORAN EDX JEOL SM-09010 Cross Section Polisher Karl Suss Mask Aligner BSA (Back Side Alignment) Microscope MA6/MA150 Karl Suss/Cascade Microtech PM5 6″ inch RF ThZ Prober Refurburb 1 YEAR Warranty Keyence – Deal Height Measurement KEY-01 KLA Corporation Particle Processor Circuit, 000000164453 KLA Tencor 6420 Below Wafer Assembly 289132 Surfscan S6420 KLA Tencor 750-370919-001 300UV Robot Arm Box w/ Plate, 750-059525-000, 423073 KLA-Tencor P 12 Disk Profiler KOCAT INC. OZONE REMOVAL SYSTEM, MODEL CAT-VOC-SP-60-002 K-Space Associates Inc. kSA ICE Modules w/ Vortran 532-20 Laser diode module and Kulicke & Soffa Model 4524 Ball Bonder LAM 02-389101-00 Robot Transverser Controller, 06-338505-00 23-338505-00, 452430 LAM RESEARCH 853-347146-006 ASSY HTD PDL FTHRU STPR 6” LAM RESEARCH 853-347146-006 ASSY, HTD PDL, FDTHRU, STPR 6” Lam Research AutoEtch 590 / 594 Oxide Plasma Etcher, (4″) 100 MM, S/N 9059 Lam Research Model 590 Oxide Plasma Etcher, 150 MM, S/N 1766 Lam Research P/N: 853-025735-001 / ASSEMBLY 9600 DSQ RF MATCH / REFURBISHED Lam Research P/N: 853-025735-004 / ASSEMBLY 9600 DSQ RF MATCH / REFURBISHED Lam Research P/N: 853-025735-008 / ASSEMBLY 9600 DSQ RF MATCH / REFURBISHED Lam Research P/N: 853-032190-306 / 9600 MAIN CHAMBER RF MATCH / REFURBISHED Lam Research P/N: 853-032294-002 / ASSEMBLY RF T-MATCH / REFURBISHED Lam Research P/N: 853-032294-003 / ASSEMBLY RF T-MATCH / REFURBISHED M-100 / OPTICAL FIBER TEMPERATURE CONTROL SYSTEM 100C AMAT 0190-03571 / LUXTRON MAGNET ASSY 0010-20819 MANNCORP CR-6000 6 Zone SMT Reflow Oven Great Condition MARCH XTRAK Plasma Treatment System Mattson Aspen III CVD Chamber w/ Heater Chuck + Chamber Top MBRAUN MB VOH-600, MB VOV 2673 MD-9201227 / TEL LHP HOT PLATE UNIT / TOKYO ELECTRON TEL MDC Materials Development Corporation Autoloading C-V Plotter Model 986 Microfocus CS100 90kv X-ray machine used in examination of electronic assemblies Micromanipulator 6200 6 Inch RF Prober Probe Station Refurbished 1 Year Warranty Microtec MT-550TV Screen Printer, 453303 MITS AutoLab PCB Prototyping System With Camera w/9 tools Miyachi Unitek LMF2000 20 Watt Fiber Laser Marking System & 2 Bay Enclosure YAG MKS AS1003-14 Chamber Controller AMAT 0090-06456, 0090-02527 PCB, 452420 MKS ENI C5002-05 RF Generator, SKW, 1.8-2.17 MHZ, LAM 660-124460-625, 453027 MKS PICO LEAK DETECTOR MSVAH160000 MASS SPECTROMETER MRL 150 mm single stack oxidation furnace Nanometrics Nanospec 210 AFT / Refurbished / Verfied Operation/ 6 mo. wrty. Neoden 4 PnP Machine w/ Vision, Manual Solder Printer and T5 Oven Included Neoden 4 SMT Pick and Place Machine with Vision (pre-paid tariffs) Neslab HX 750 Recirculating Chiller Thermo Fisher Scientific HX-750W TU-9 452369 NESLAB STEELHEAD-0 NEW ASM PN:16-180621B01 Gate Valve-Modified-Water Cooled VAT PN: 02112-AE44-AAJ1 NEW Hot Roll Laminator – 18”HRL – replaces Dynachem, DuPont HRL Western Magnum NEW LISTING0040-81156 / CHAMBER UPPER LINER 300MM DPS2 / APPLIED MATERIALS AMAT NEW LISTING720-06090-000 / WIEN FILTER CONTROL CHASSIS WFCC / KLA TENCOR NEW LISTING720-14688-00 / WIEN FILTER CONTROLLER CHASSIS / KLA-TENCOR NEW LISTINGTPS/Tenney BTRC Environmental Chamber with Humidity New Tokyo Electron Vertical Diffusion Wafer Heater Heating Chamber VMU-40-009 Newport 1KW Solar Simulator With Power Supply & Cables 92514-1000 & 69920 NEWPORT ROI “DATA STAR” VISION METROLOGY SYSTEM Newport TracQ Basic Solar Simulator w/ Oriel Merlin Radiometry System Controller Nikon 300mm Ceramic Pin Chuck 12 Inch NSR-S204B Step-and-Repeat System Working Nikon 300mm Pin Chuck 12 Inch NSR-S306C 300mm DUV Scanning System Used Working Nikon 300mm Pin Chuck NSR-S205C Step-and-Repeat Exposure System Used Working Nikon Fly’s Eye Box MAN-D34R13B RH-8D-3006-E100D0 NSR-S307E DUV Scanning Used Nikon Fly’s Eye Box MAN-D34R23B HEDS-5540 F14 RH-8C-3006-E100D0 NSR-S205C Used Nikon K-ARX4B1 S-Pure Revolver IU-OSP NSR-S307E DUV Scanning System Used Working NIKON QUEENSGATE INSTRUMENTS POSITION SENSOR UNIT NS2303/A/4S288-278 NIKON QUEENSGATE INSTRUMENTS POSITION SENSOR UNIT NS2303/C, 4S288-273 Nikon S37 Temperature Control TC Rack N6B-A NSR-S205C Copper Cu Working Spare Nordson Asymtek UV6 In-Line Cure Oven (2013) Novellus 79-343925-00 Robot Controller, LAM, 17-408302-00, 17-423264-00, 452977 Novellus CVD RF Match LAM Shower Head 340mm 17-301068-00, 715-283028-001, 453304 OAI TriSol Solar Simulator 1-1.6 kW CIGS Constant Intensity Option 0130-0120-03 OmegaMeter 700 SMD Ionic Contamination System Osaka Vacuum TG1810 Compound Molecular Pump, 453087 Oxford instruments Ionfab 300 Plus Ion Beam etching & deposition system Ozone Sparge Unit OZONE SPARGE UNIT P24480-002 / COMPUTER DUAL PROCESSOR KONTRON / RUDOLPH PD Burn-In System TESTECH 9400 pfeiffer HLT 265 dry helium leak detector vacuum /option agilent scroll pump Plasma Etch BT-1 Plating Rectifier for Hard Anodize / 55 volts / 1000 amps / 2014 Plating Rectifier for Hard Anodize / 55 volts / 2000 amps / 2016 PRE-201 / PRE-ALIGNER, SIDE MOUNTED CONNECTIONS, BROOKS AUTOMATION W-EXCH / PRI Precision Conformal Coating Machine Valve & Automation PVA SN#1311 PS/EX200P010EDR / 3A38 ACCELERATION POWER SUPPLY / GLASSMAN HIGH VOLTAGE PS8098, 2-39-66234 / DC POWER SUPPLY BOX DC1 SK-2000/ DNS PWS P5MS, Pacific Western Systems Wafer Prober Assy, Probe II, 20, Controller QM1100A Desk top SMT Pick & Place Machine, Reflow oven & Stencil,$4K+ in extras! Queensgate Instruments NS2601/B Controller PCB Card Nikon NSR-S620D Used Working R. Howard Strasbaugh 6UR-6 Polishing Machine Reynolds Tech 7 Ft 4 Tank Wet Bench Work Station. Posi Strip Stainless Steel. RIGAKU WAFER X310 WAVELENGH DISPERSIVE X-RAY FLOURESCENCE, FOR PARTS ONLY Robot, Genmark, Gencobot GB4 4/3L, 3LA031268 ROYCE INSTRUMENTS DE35-6 PICK-AND-PLACE MACHINE S705-673375-000 / FRU IMAGE PROCESSOR, DATA CUBE PCI / KLA TENCOR S710-673179-000 / FRU PCA IMAGE PROCESSING CAMERA CONTROLLER BOARD / KLA TENCOR SAES Getters PS4-MT50-R-1 MonoTorr UHP Helium/Argon/Xenon Rare Gas Purifier Schmid Conveyor Oven Model 4k14-62C26-4A, 4 Zone Digital Controllers (reflow?) Seica Firefly SC 002 inline selective soldering machine SEMI GAS SYSTEM MINI GAS ENCLOSURE MGE PLC DUAL SOURCE S052-0206 REB B SEREN MC2 INDUSTRIAL POWER SYSTEM AUTOMATIC MATCHING NETWORK CONTROL Shimadzu TMP-3403LMTC Turbo Molecular Pump Set w/ Control EI-D3403MT & Cables SMC WATER COOLED THERMO CHILLER HEAT EXCHANGER HRW008-H2-NYZ SMT Assembly Machine Universal UIC GSM Pick and Place, Upgraded, w/ feeders, PTF SMT QMT-1100M Tape and Reel Packaging  Soft Wall Modular Clean Room 10’x12’x8′ 115v 4 hepa filters iso 6 class 1000 #16 SONOPLOT GIX Microplotter Desktop System Speedfam Mod. 20B-5 Diamond pellet conditioners, set of 4, Stainless Steel Back Speedline MPM Accela Screen Printer SPEEDLINE MPM SPM SPM-B SPX GS Blue M DCRI-246-E-MP550 Industrial Roll in Batch Oven (Low Hours) SS-IH2-000 / HEATER BLOCK ALD INCONEL HEAT (TT8-IS05-IH2-006) / ZMISC STP-H1301L1 / TURBOMOLECULAR PUMP / SEIKO SEIKI STP-H1301L1B / TURBOMOLECULAR PUMP / SEIKO SEIKI SVG, Silicon Valley group wafer scrubber, SWP-601 / WAFER BACKSIDE INSPECTION / MACTRONIX T1101-01-000-14 / APD CRYOGENICS CRYO TIGER AQUA TRAP / APD CRYOGENICS TECDIA TEC-3005KD Breaker TECHNICS MIM-TLA20 Ion Miller w/ CTI CRYO-TORR 8 Pump  technics PE -11A plasama etcher Technics Plasma Cleaner 853 WITH 85-RIE Tegal Endeavor AT PVD tool – SNAP IO SMC Controller for Process Module TEL EPD  –  SE2000  – Verity SD1024D Temescal BJD-1800 BOX COATER with 8 Thermal Evaporation Sources Tencor 148750 Alpha-Step 300 Profiler, AS-300, 453282 Tepla 300 Autoload PC Microwave Plasma TERADYNE 859-620-97 CIRCUIT CARD ASSEMBLY Teradyne Javelin 1004 Flying Probe Test Machine Thermionics Laboratory High Vacuum Wafer Transfer Module Chamber Robot Interface Thermodynamics Model 9266 Temperature & Humidity Chamber Chamber Thermonics T2500E Temperature Forcing unit -80 to 225 C Calibrated & Warranty Thermotron SMX-64-5-5 Environmental Chamber “Ask us for Discount%” THESystems AFT Fixture D PCB Burn-In Test Assembly w/2 Burn / Test Chambers THESystems Impact 2.x Fixture A PCB Burn-In Test Assembly With 2 Chambers THESystems Impact 2.x Fixture C PCB Burn-In Test Assembly w/2 Burn/Test Chambers THESystems Impact 2.x Fixture E PCB Burn-In Test Assembly With 2 Chambers TMP2001-LME / TURBO MOLECULAR PUMP / SHIMADZU TOKYO ELECTRON TEL GAS PANEL TAC GB-1 2L6-000714-11 TOKYO ELECTRON TEL SMC THERMO CHILLER HRZ010-WS-Z TRIVENTEK DRY ICE BLASTER T2 60HZ, 800W, 110V, 5A, 10-110207 Tropel MicroCat Panther 193 nm Catadioptric Lens UA035/131A / MEIDEN COMPUTER DUAL HD XP-PRO UR033/013A 164GB / MEIDEN U-AFA2M-DUV / MICROSCOPE ACTIVE AUTO FOCUS UNIT / AMAT Ultra T Equipment company UTE Mask Cleaner, PSC122M Photomask Substrate Cleaner ultratech 1500 non mvs stepper Universal GSM1 *upgraded* SMT Pick & Place Universal Instruments Advantis 4982 AC72 PCB Assembler USED Gespac Component Bundle. Over 190 Boards and other components. VAT 12146-PA24-AOI2/0471 Vacuum Gate Valve, Shaft Feedthrough, 452795 Veeco Bruker DI Dimension Hybrid XYZ Scanning Probe Microscope Head / AFM Vitronics Soltec XPM 820 Reflow Oven (480V) Vitronics XPM 820 Reflow Oven, Vitronics XPM Series, XPM820 used, 480v #1609 Vitronics XPM820 SMT Reflow Oven Lead Free capable VPD5-500P Metal Board Depaneler Wave Soldering Machine, Technical Devices Nu Era CV16 WestBond Wire Bonder #5700-45-53-58 & More! XU-MVS3121 / KEYTRON ROBOT ULVAC 4Z-EX W / XU-BDB0603 CONTROLLER / ULVAC Yaskawa XU-RC350D-J03 Dual Arm Wafer Transfer Robot Dual Arm 0003569-000 / PCB ASSY, ANALOG BOARD, SP1-TBI REV AA / KLA TENCOR CORPORATION 001-0000-27 (001-1070-02) / Z-BOT WAFER INDEXER WITH DRIVER BOARD / BROOKS AUTO 0010-02483 / ASSY, 150MM CASSETTE HANDLER, RIGHT (LLB) / APPLIED MATERIALS 0010-02977 / ASSEMBLY, RF MATCH PVD, SUB ZERO BESC/ APPLIED MATERIALS 0010-04941 / ASSEMBLY MAGNET SIP-CU 300MM VERSION / APPLIED MATERIALS AMAT 0010-05406 / CROSS BRAKE MOTOR REMOVAL TOOL ASSY / APPLIED MATERIALS AMAT 0010-05410 / CROSS BRAKE REMOVAL TOOL ASSY / APPLIED MATERIALS 0010-09837, APPLIED MATERIALS, TUNGSTON WAFER LIFT 0010-10286 / HEATER, ASSY, 8 INCH, SR, WXZ / APPLIED MATERIALS 0010-10551, COMPUTER MODULE ASSEMBLY, VGA 0010-11925 / MAGNET ASSEMBLY ENDURA G3 / APPLIED MATERIALS AMAT 0010-13068, APPLIED MATERIALS, ENDPT DETECTOR PRODUCER, PLASMA CELL ASSY 300MM 0010-13321 / ASSY, ROBOT DRIVE, 8 / APPLIED MATERIALS AMAT 0010-13627, APPLIED MATERIALS, ASSY, HIGH EFFICIENCY RF MATCH-BIAS 0010-19109 / ASSY, MAGNET , INCLUDES 0020-01999 / APPLIED MATERIALS AMAT 0010-20221; AMAT, PVD MAGNET TI 6” 0010-20328 DURASOURCE MAGNET ASSY ( AMAT ) APPLIED MATERIALS 0010-20480; ASSEMBLY CASSETTE HANDLER LEFT NBLL WITH WEIGHT (LLA) (AMAT) 0010-20481, AMAT, ASSY 200MM CASSETTE HANDLER (LLB) 0010-20481ASSEMBLY CASSETTE HANDLER LEFT NBLL WITH WEIGHT (LLA) (AMAT) 0010-20818;APPLIED MATERIALS, PVD MAGNET ASSEMBLY AL 0010-21246 / ASSEMBLY, NON-NTM ADVANCED 101 – 8 / APPLIED MATERIALS AMAT 0010-21616; AMAT, MAGNET 0010-21827; ASSY SHEILD 8” 101 VECTRA-IMP REV 4.5, APPLIED MATERIAL (AMAT) 0010-30061; AMAT, ASSEMBLY , GAS BOX , UNIVERSAL DELTA NITRIDE 0010-35457 / EPSILON 300MM ASSY, BASE SUB-LEVEL / APPLIED MATERIAL AMAT 0010-36394, APPLIED MATERIALS, ASSEMBLY COIL H12, DPS POLY 0010-37501 / DUAL VAPORIZER CABINET, PHOENIX, 300MM, GEMINI II/ APPLIED MATERIAL 0010-38743, APPLIED MATERIALS, ASSY, OPTICAL RECESS ENDPOINT MODULE 0010-54317/ ASSY 0041-31311 4 ZONE V2 FIXED FULL SYM CENTURA CENTRIS DPS2S/ AMAT 0010-70131 / 200MM/8″ WAFER ORIENTER AMAT P5000 CENTURA / APPLIED MATERIALS AMAT 0010-70270 / ASSY HP 101 HTR LIFT / APPLIED MATERIALS AMAT 0010-70792 EMXP+/MXP+ OX, CHAMBER LID, CLAMP, CHEMRAZ SUPER E, APPLIED MATERIAL 0010-77296, Applied Materials, ASSEMBLY, NO-LAP, NOTCHED 001-1570-97 / ARMS BROOKS ROBOT FROG LEGS/ BROOKS AUTOMATION INC 0020-10414, APPLIED MATERIALS, AMPULE, TEOS/DOPANT 0021-36095, AMAT RTP SST FRONT PANEL, WBLL 002-7090-04 / WAFER TRANSFER HANDLING ROBOT / BROOKS AUTOMATION II 0040-18053 / ESC,TESTED,200MM SNNF BLUE,HDP-CVD / APPLIED MATERIALS AMAT 0040-18158 / RING, SYM GAS DIST, ULTIMA HDP-CVD / APPLIED MATERIALS AMAT 0040-18200 LASED, PEDESTAL, 200MM SNNF WTM, HDPCVD(0040-18219) 0040-70169 / LOAD LOCK CHAMBER, L.H. LEFT HAND / APPLIED MATERIALS AMAT 0040-76652 / SGD, LOWER SHOWER HEAD, 32RA, 300MM EMAX / APPLIED MATERIALS AMAT 0040-81158 / CHAMBER BODY DPS2 CENTURA CENTRIS 3011602 / APPLIED MATERIAL 0041-05925 / ESC , CERAMIC PLATEN 300MM / APPLIED MATERIALS AMAT 0041-07781 0010-33416 ESC ASSY / ENABLER EPSILON / APPLIED MATERIAL 0041-38660 / CHAMBER BODY, FCVD PRODUCER GT / APPLIED MATERIALS AMAT 0090-06520 / CONTROLLER RTC CPCI ENDURA EXTENDED BLOCK 1 / APPLIED MATERIALS 0090-36276 / ASSEMBLY, REACTOR, DPA / APPLIED MATERIALS AMAT 0090-A6200 / IA CAGE ASSEMBLY, 17 CARD CAGE / APPLIED MATERIALS AMAT 0100-A2390 0090-B0971 / SWIFT CAGE 18 CARD CAGE / APPLIED MATERIALS AMAT 0100-01018, Applied Materials, REM RACK CONTROL 0157382-001 / HIGH VOLTAGE POWER SUPPLY ES30 / KLA TENCOR 0190-11355, AMAT, .650 CALIBR REF.3 CHNL HTR LEVEL 300MM 0190-16362 / DRIVE SYSTEM COMPACT AG 60, 3X200-240V AC, 0.5A, 0.33KW / AMAT 0190-17884 / MP ULTRA ROBOT, ARM AND WOB SENSOR KIT / APPLIED MATERIALS AMAT 0190-25401 / VALVE VALVE PENDULUM GATE 200 THROTTLING (MIRRORED) TPV / AMAT 0190-35236 / LUXTRON M-100 MODEL 100C OPTICAL FIBER TEMP CONTROL SYSTEM / AMAT 0190-38395 FP7525RA / COMDEL 3500W RF POWER SUPPLY MODEL CB3500 /AMAT COMDEL 0190-40086 / WCARD,SBC W/MEZ,33 MHZ 16 MBYTE V36-AMAT / AMAT 0190-44075-001 / 3155301-006 CENTURA ETCH CENTRIS 300MM MATCH / APPLIED MATERIAL 0195-10582 / CENTURA CENTRIS CHASSIS CONTROL BOX / APPLIED MATERIALS AMAT 0195-10755 / CONTROL BOX 2 CHAMBER BMA ADVANTAGE GT-XP UPG101896-P1 / AMAT 0224471-000 / ARGON LASER HEAD,30MW,488NM OEM CUSTOMIZED FOR KLA-TENCOR SURF/JDS 02-261351-00 / ASSY, TOP LEV, IR-DC ESC, DIV COAT, C3 SP / NOVELLUS 02-272808-00 ASSY,TRIPOD,GRND,RF SOURCE,ROW,VITON 2130 02-293421-01 / C3 RF MATCH 300MM REV-A 0806 103006 / NOVELLUS 02-417647-00 / PED ASSY PRE COND LOW PROFILE NO LIFT HOLES / LAM RESEARCH CORP. 0242-24854 / KIT, GVDE, 200MM DPN / APPLIED MATERIALS AMAT 0242-86852 / KIT, SPARES, 1.5K WXZ UWAVE / APPLIED MATERIALS AMAT 0342-1433-0001 / SOURCE GAS CONT ASSY MOD DTC / AXCELIS TECHNOLOGIES 04-306477-00 / KIT,SPINDLE,XPRS,YASKAWA YSC-02D04B02S / NOVELLUS SYSTEMS INC 04708211-01D / SERVO CONTROLLER VARIAN M2000/M2I / VARIAN 05-0234 / FLANGE, PLATEN FEEDTHRU, ALUMINUM OBSOLETE USE 16207-01 / GASONICS 0605-30010 / POWER SUPPLY,BL-292 / ROFIN-BAASEL, INC. 1,000 pounds of used pure silicon sputtering targets polysilicon poly-silicon 100-01519 / QUARTZ CAP / TOSOH QUARTZ, INC 100-6386 / 100-14980, ACCURA FEI 800, SYSTEM CONTROLLER / MICRION 101101408 / DIODE STACK, 320W, CPLT WITH FITTINGS/ ROFIN-BAASEL, INC 105195001 / XP CURRENT INTEGRATOR / VARIAN 10w raydiance ultrafast femtosecond fiber laser CPA system coherent onefive 11070-02 / PCB, Z BOT / BROOKS AUTOMATION 1140-00166 / PSU G2 1KW SPEC, 0190-04408 HITEK A1028760 / APPLIED MATERIALS AMAT 120108355 / COOLING UNIT CABINET L 350W RT40 TYPE H 230V 1PH 9A / ROFIN 120871000 / HSG ASSY,OUTER / LAM RESEARCH CORPORATION 15-129181-00 / WINDOW HATCH COVER 300HDP / NOVELLUS SYSTEMS INC 1560300 / ADVANCE HIVOLT, 3A16 QUAD STEERER LENS, SERIES 330, A101733 / VARIAN 16898-01 / LOCATOR, WAFER 8 INCH / NOVELLUS SYSTEMS INC 18-015517 Thermawave 8X8 X/Y Stage Assembly, refurbished with 90 day warranty. 18-023044 / LASER DIODE 670 NM, CW MAX 5MW W/EXCHANGE / THERMAWAVE 5240 1975175-00 / COHU TEST HANDLER XZ TRANSPORT ASSY / DELTA 1995112001 U / OP HEAD ASSY. TEST HANDLER / DELTA 1995113001 R / IP HEAD ASSY. TEST HANDLER / DELTA 1D10-204338-13 / UPPER ELECTRODE TEL DRM / TOKYO ELECTRON TEL 1D10-204418-12 / UNITYME COVER BELLOWS Y203,RECOATED NON / TOKYO ELECTRON TEL 1ST CONDENSER UPPER Y60-0863-R00 Canon 2006 Nutek Laser Marker NTM 4610-X 21016401250 / ANORAD X-Y CONTROLLER / APPLIED MATERIALS 211904 /TRAP POWER SUPPLY / HUNTING HIVOLT 2213-75 TSLKTB, / LASER, 4 AMPS, 500 MW 487.9NM / JDS 2214-30SLQT/30MW SFS LASER W/EXCHANGE/JDS 2-39-63561 / SPC-2312-UC-A, 2-AE-J0238, ACU SK-2000 KOMATSU AIR CONDITION / DNS 24663032001 / TEL LITHIUS PRO Z KAWASAKI TRANSFER ARM / KAWASAKI 269.328.02 / SCAN HEAD (GENERAL SCANNING) / GENERAL SCANNING INC. 27-130951-00 / 3150274-004 B SE RF MATCH (13.56MHZ) / ADVANCED ENERGY 2805-738144 / AMAT BELL, POLISH SST ASSY (RAM) / APPLIED MATERIALS AMAT 2-AE-J0134/ DNS DAI NIPPON SCREEN / ASSY, RHP MID STAGE LEFT /2-AE-J0134 SCREEN 2-VC-27647 / ELECTRONICS ASSY SK-200 W/GECC,GESC PCB W/EXCHANGE / DNS DAI NIPP 300MM PVD Chamber Kit VERSION 1 / 2ND PVD CIP 0021-26896, 0020-91244 / TOSOH SET 300MM PVD Chamber Kit/CIP 0021-26896,0020-91244,0021-32761,0200-05896/TOSOH SET 3151200-000 / REV B ION SOURCE SUPPLY 44152-00 / ADVANCED ENERGY GENUS 3270381A-01/MCS-E BASE UNIT W/SOLE (UPGRADE) (3270351A)/AKRION 340MCT/TURBO PUMP 340, 89433 3620-01369/LEYBOLD VACUUM PRODUCTS INC 36-0042 / ANALOG POWER SUPPLY 54-0057 WITH EXCHANGE / KLA TENCOR 3D10-150109-11 / BOTTOM-SHIELD-RING,FG8 / TOKYO ELECTRON TEL 4587 Novellus 02-445950-00 Controller MC4, Ethernet, 200M 48200269 / LOWER LAMP FIELD, FOR MATTSON RTP 3000 / MATTSON 4S554-045 4S602-130 AN / DIGIMICRO MOTOR DRIVE UNIT, RETICLE BLIND / NIKON 4S587-295-2 / XU-DV0804V LINEAR MOTOR CONTROLLER / YASKAWA ELECTRIC 50419700000 / OMP ASSY / APPLIED MATERIALS AMAT 504335 / APS2-ALIGN CHAN ASSY. / KLA TENCOR 5458 LAM Research 715-800327-402H ESC Chuck 553157800/ CMPTR,CTC WITH DIGI BOARD / LAM 600366 / AUTOMATIC TEMPERATURE CONTROL / APPLIED MATERIALS AMAT 600366 / AUTOMATIC TEMPERATURE CONTROL / APPLIED MATERIALS AMAT 624811R 677421TAB /ASSY, FIL (FILAMENT), ES3 EATON FUSION / AXCELIS TECHNOLOGIES 64-01-909-841 / RETICLE 6″ 250 THICK 5X UNIVERSAL MATCH PHOTRONICS / BENCHMARK 6DSSP REPLACEMENT KIT RAIL ROBOT X-TRAVEL 242501 STRASBAUGH 700-674958-000 / WAFER HANDLER PCB VIPER 2401 / KLA TENCOR CORPORATION 710-650044-20 / DD ASSY PCB / KLA TENCOR 710-663106-000 / DUAL STEPPER DRIVER PCB / KLA TENCOR 715-331131-001 / MDL RCTN CHMB FIXED GAP SHEILD / LAM RESEARCH CORPORATION 715-801021-838 / LINER UPPER 300MM-Z AXIS (LAM 2300) (R-HD1) / LAM 718-092326-066 / CHUCK, ELECTRO, MJR FLAT / LAM RESEARCH CORPORATION 718-092326-082 / ELCTD,CAP,ESC 8 FLATTED / LAM 720-03646-000 / MOTOR X OR Y,SERVO,BRUSHLESS,48000 ENC W-EXCHANGE / KLA TENCOR 720-06775-001 / DC DISTRIBUTION CHASSIS 780-13181-000 WITH EXCHANGE / KLA TENCOR 73016-09 / FILTER UV-23,313NM+4NM / NOVELLUS SYSTEMS INC 7315-A3-01, 0046190-001 / KLA QUANTOX SYSTEM COMPUTER / KONTRON 740-300 / MICROVISION ROBOT / MICRO VISION 740-615460-001 / HIGH VOLTAGE POWER SUPPLY / KLA TENCOR 774-673033-004 / POWER SUPPLY VIPER 2401 / KLA TENCOR CORPORATION 780-08986-000 / DETECTOR ASSY 8XXX 8100 8250 8450 WITH EXCHANGE / KLA TENCOR 780-13182-000/ET DETECTOR CONTROLLER ETDC WITH EXCHANGE 720-06139-000/KLA TENCOR 78312559000 / CEE SYSTEM POWER SUPPLY / OPAL 796-045449-260 / TMP POWER UNIT EL-RO4M 263-18080-26 (L3) / SHIMADZU 8070-0902-01X / LASER, ZMI 7705 LASER HEAD W/EXCH 471-12148-000 / ZYGO 846-187997-001 / KIT,RTRFT,GB,VXLCFD OXIDE,NSR,1079394(VECTOR EXCEL) / LAM 853-090260-001 / LAM 590 AUTO ETCH GAP DRIVE ASSEMBLY / LAM RESEARCH CORPORATION 8698938R001 / TV 701 SEM TURBO PUMP 54VAC 715HZ 350W / AGILENT TECHNOLOGIES, INC 879-0317-005 / ASML DOSE MODULE FILTER SHUTTER WITH LENSES/ ASML 8890-300SC13 / TERMALINE COAXIAL RESISTOR WATTS 2500 OHME / BIRD ELECTRONIC CORP 9090-01331 / CHASSIS , QX+PFS & ARC PSU / APPLIED MATERIALS 950-219-00 950-977-04 950-978 437-209 Teradyne J973 Printed Circuit Board PCBs 950-824-03 950-186 950-905 950-910 950-906 Teradyne J973 Printed Circuit Board 9699554S004 / TV1000 CONTROLLER, TURBO-V 1000HT / VARIAN A19607-001 REV A / 910 LASER PPM 910-100 / UTI INVENTORY MANAGEMENT SOLUTI A4094A / HP A4094A 715-64 WORKSTATION / HP (HEWLETT-PACKARD) AD-TEC TX10-F090-09-J RF Generator, TX Series, 1000W, 452796 ADVANCED ENERGY 3152326-000 F PINNACLE DC MAGNETRON POWER SUPPLY Advanced Energy AE 3152426-010 MDX Pinnacle DC Power Supply, 12 kW, 453308 Advanced Energy AE 3152426-010 MDX Pinnacle RF Generator, 12 kW, V ~208, 100346 Advanced Energy Apex 5513 Generator (3156116-002) *NEW* Advanced Energy MDX L12M Power Supply Advanced Energy RFPP ICP 20P 2000 Watt 27.12MHZ 8511139050 ADVANCED POWER DESIGNS VXI603-222A POWER SUPPLY AE Advanced Energy VHF Ovation 2560SF 660-034419R011 M/N 3150295-011 S/N 1389731 AE/Advanced Energy MDX-10K MASTER, M/N:2012-041-Q DC POWER Agilent E7996-66403 HXA Card VERIGY Advantest 93000 Wave Scale E7996-66401 AH-GLX712 / ASSY MASS SPECTROMETER SYSTEM METROLOGY TOOL / BRUKER Alcatel ATH 1000 M Turbo Pump , 422192 AMAT # 0040-20010 STANDARD BODY PVD PROCESS CHAMBER AMAT 0010-00304, GRIPPER ASSY 125MM AMAT 0010-01171 Lid Assy w/ Clamp, Etch Chamber, SC19038, TEL, 453237 AMAT 0010-03344 Heater Assy, 8″ JLT .029 AMJ WXZ, 0010-06237, 419055 AMAT 0010-03370 Heater Chuck w/ Alpha 5 Seasoning & Random Surface Finish 423413 AMAT 0010-15669 (0200-02814) ASSY, CERAMIC ESC, 200MM JMF, POLY DPS AMAT 0010-21356 Heater Assy, 8″ VCR w/ 2 TCs And Bellows, 0040-20850, 418712 AMAT 0010-21356 Heater Assy, 8″ VCR w/ 2 TCs And Bellows, 0040-20850, 418713 AMAT 0010-70403, G-12 AFS Lid Assy, PVD, 329618 AMAT 0010-70403, G-12 AFS Lid Assy, PVD, 329619 AMAT 0010-70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E, 423713 AMAT 0010-70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E, 423714 AMAT 0010-70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E, 423715 AMAT 0010-75278 Casette Assy LLB PA200-79MDT Corrosion, 418012 AMAT 0040-07449 LINER, CHAMBER, DIRECT COOLED, EMAX300MM. 407425 AMAT 0040-49203 Bowl, 300mm PPR, IEXC, 420650 AMAT 0040-91661, Source Bushing, Litharge. 417014 AMAT 0041-33992 Assembly, Vacuum, Chuck, Lift, Chamber, 452919 AMAT 0041-59933 Face Plate, Shower Head, Gas Distribution, 452797 AMAT 0190-21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC, 417620 AMAT 0190-21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC, 417621 AMAT 0190-21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC, 417622 AMAT 0190-21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC, 417623 AMAT 0200-35267-002 Ceramic Dome Wear/Semi Proc R 14-7/8in OD 4-1/4in Height AMAT 0240-31726 USG PLIS Pallet w/ Valves and Injectors, Weldment, CH, 420017 AMAT 9090-00202ITL PRE-AYAMG CONTROL CHASS LEAP2. 407447 AMAT 9090-00202ITL PRE-AYAMG CONTROL CHASS LEAP2. 407448 AMAT APPLIED MATERIALS 0010-04941 MAGNET ASSEMBLY SIP-CU 300 AMAT Applied Materials 0010-23983 300mm Brush LDM HiFlow Conversion Assembly New AMAT Applied Materials 0010-42745 ASSY, HIGH EFFICIENCY RF MATCH – BIAS AMAT Applied Materials 0090-36276, ASSEMBLY, REACTOR, DPA AMAT Applied Materials 0240-13020 KIT 300MM SINGLE BLADE ROBOT BEARING PM AMAT Applied Materials 912C0115-05 Tank Control I/O Block UNHTD 2 TKS SST ANALOG AMAT Applied Materials MAGNET 0010-21668 AMAT CDSEM 70202300000 Internal Gate Valve for SEMVision Cx AMAT In-Situ Particle Monitor, 453012 AMAT Magnet, PVD, 417099 AMAT P5000 Etch Chamber Lid Cover, Gas Distribution, 424173 AMAT P5000 Etch Chamber Lid Cover, Temperature Control, Gas Distribution, 424172 AMAT PVD 0010-20287 & 0010-20288 Handler, Assy. LT & RT ( 1 pair) AMAT, Applied Materials, 0010-02616, Assy sym gas ring 24 ports AMAT, Applied Materials, 0010-21676 PVD Endura IMP vectra Magnet AMT85472-3 / TURBOTRONIC PUMP CONTROLLER / LEYBOLD Angstrom SE200BM Ellipsometer, Made in USA in 2017 but Very Little Hours Used, Applied 0010-56222, AMAT, CERAMIC HEATER 300mm, DUAL ZONE 0190-45322 Applied Materials 0010-10758 ASSY, DSGD LID, MXP & MK II CHBR, VCR, P AMAT Etch Applied Materials 0010-10902 Connector, HV Module, Assy. AMAT Etch APPLIED MATERIALS 0010-20000 ENDURA 15V POWER SUPPLY AMAT APPLIED MATERIALS 0010-20211 ENDURA 24V POWER SUPPLY AMAT Applied Materials 0010-21403 MAGNET ASSY DURASOURCE TTN Applied Materials 0010-30001 Degasser, Assy. AMAT CVD Applied Materials 0010-30246 Susceptor, Assy. 150 MM SW Cheese DCS AMAT Applied Materials 0010-30318 Lid, Etch SSGD B/C AMAT MXP Etch Applied Materials 0010-70058 Storage Elevator, Assy. 29 Position AMAT P-5000 Applied Materials 0020-39360 – 0020-39361 Rotation Upper & Lower Bearing Applied Materials 0040-09001 Chamber Body, Standard 4-6″ AMAT CVD Applied Materials 0090-09143 Window Assy, AC Quartz Centered AMAT Etch APPLIED MATERIALS AMAT 0010-48407 CLEAR LID TRANSFER KIT C3 APPLIED MATERIALS AMAT 0041-42362 PLENUM COVER WELMENT LID Applied Materials AMAT 300mm Quartz Lid DPN Plus 0200-04996 Applied Materials AMAT P5000 Flow Assembly, 0010-01548 Applied Materials Inc. 70312800400 HVU High Voltage Ion Implanter Control Panel Aquastorm 100 Electrovert Inline PCB Board Wash Cleaner #FML ASE AMV-1000-27M-ASMGK-L, 27.12MHz(1000W) Match ASM Siemens Siplace MTC 2 Matrix Tray Changer (2012) ASML Nitrogen Purge Unit 4022.666.19811 *NEW* ASML PAS 2500 5000 STEPPER RMS GRIPPER ASSY ASML Wafer Stage X-Stator Assy (new) ASML Wafer Stage Y-Stator Assy (new) Astex AX7650 Remote Plasma RF Generator, RPS, MKS, 397201 ASTeX SXRHC Refurbished w/6-month Warranty ASYS MPS 50 ATX602742 / ATP/80 TURBO ASSEMBLY W/ VAT 9400X-XA11-ADK3 / ADIXEN Baublys 20W Control Laser 9415501204 BG4-6203 / I3 THETA-Z TILT UNIT AND XY STG ASSEMBLY / CANON BG9-3500 / ADP-11 / CANON USA INC BG9-3730 / RC CPU PCB / CANON BH8-1300-01 / CCD OPTF CAP/ CANON BOC Edwards iXL120 Dry Vacuum Pump, iXL120E, 453127 BOC Edwards iXL120 Dry Vacuum Pump, iXL120E, 453141 BP-0011B GERC-0022 / DNS SLAVE BOARD WITH EXCHANGE / DNS DAI NIPPON SCREEN Brooks 001-7600-02 VacuTran 5 VTR5 Transfer Robot Drive Brooks Automation Wafer Robot and Lifter and Control Box with cables and PCBs Brooks Automation, 001-2300-45, Buffer Robot Transfer Cluster Chamber, 416292 Brooks Automation, ATM-407B-1-S-CE-S293, Single Arm Robot w/ EX-Q Wafer Sensor Brooks Equipe PRI VTR 5 001-7600-07 Wafer Transfer Robot *used working Brooks Irvine Optical RUDY Board PCB 015-0920 CAMALOT PRO PLUS Z ASSEMBLY,HI CAP-II P/N 1018578 Camalot PZ Assembly PNZ 47070 Dispenser Xyflexpro 50947 Xyflexpro+ Dispenser CAMALOT Z-AXIS ASSY ACTUATOR FOR PRODIGY P/N 1019499 Canon BG9-1827-000, IMF CPU Board, PCB, BG9-1827, BG8-2073, BH8-0210-01. 418403 Canon BG9-1831-000, Board XY Stage I/F X, BG9-1831, PCB, BH8-0214-01. 418454 Canon BG9-1831-000, Board XY Stage I/F X, BG9-1831, PCB, BH8-0214-01. 418455 CANON FPA-2000 i1 WAFER STEPPER CONTROL CONSOLE CANON FPA-2000 i1 WAFER STEPPER RETICLE LOADER, 150 MM, MODEL SOR 80 CARRIER SILICON CARBIDE 200MM 165 SLOT W 2529696-01 Coorstek CEM Star 2 Plus Open Vessel Microwave Digestion System CEMB-0013 / MAIN CONTROLLER ASSY, ALARM, 60A W EXCHANGE / DNS DAI NIPPON SCREEN CEPB-0015 / POWER SUPPLY BREAKER ASSY DNS 60A W EXCHANG / DNS DAI NIPPON SCREEN CERD-0011 / POWER SUPPLY DNS60A MODEL D1770-1 W EXCHANGE / DNS DAI NIPPON SCREEN CERD-0012 D1770-2 /MOTOR DRIVER 60A SCRUBBER W EXCHANGE/ DNS DAI NIPPON SCREEN CEYD-0011 / POWER SUPPLY MODEL SKW-60A-BVP WITH EXCHANGE / DNS DAI NIPPON SCREEN CHEMGUARD CG 100 BACK PANEL COMDEL 3500W RF POWER SUPPLY MODEL CB3500 /AMAT COMDEL Contact Angle Measurement CON-01 Crefco EB3000/10 Mechanical Shear Fabrication 10 Gauge Capacity 10’ Length Cybeq systems controller 6000 robot with 6100 controller DE3496 / DE3496-SPD Q-III SURFACE PARTICLE DETECTOR EX / DRYDEN ENGINEERING DEK INFEX.HTC.01 Screen Printer (2005) Denton Vacuum DV-502A High Vacuum Oil Diffusion Pump Deposition System Drytek DRIE-100 Cassette Planar Plasma Wafer Etcher System w/Manuals DS2143-000042-11 / HEATER, MANIFOLD GPD / TOKYO ELECTRON TEL DS2180-020625-11 / MICA HEATER 24131-C03-1642 / TOKYO ELECTRON TEL E11031680 /FACILITIES SYSTEM CONTROLLER / VARIAN E11040280 /CTI CRYO CONTROLLER ASSY/ VARIAN E11054710 / WAFER HANDLER PNEUMATIC INTERFACE, VIISTA VISION / VARIAN E11054770 / GAS FLOW CONTROLLER GFC 701 / VARIAN E11076340 / POWER SUPPLY MODULE / VARIAN E11077622 / PMAC INTERFACE CONTROLLER VIISTA / VARIAN E11077623 / PMAC INTERFACE CONTROLLER VISTA / VARIAN E19285080 / MAIN PLAD, HV PULSER, POWER SUPPLY 20KV / 30AMP C1333-2 / VARIAN E22000022 / GLASSMAN POWER SUPPLIES, 20 KV FOR VARIAN E500 / VARIAN EATON EXCELIS IMPLANTER HIGH VOLTAGE POWER SUPPLY 110020020 Eaton Nova/ Axcelis 0342-1432-0001C Filament Power Supply AFT – New No Box Ebara EV-S20N Dry Pump, DKB00455, Vacuum, 1670L/min, 5.0 PA, 3 Phase, 453376 Ebara EV-S20N Dry Pump, DKB00848, Vacuum, 1670L/min, 5.0 PA, 3 Phase, 101257 ECON-023100 / PURGE CONTROLLER, AUTOMATIC, VER. 1.16 / ATMI SYSTEMS Edwards E306A LOGITECH Wax Layer Coating System WCS EDWARDS IPX500 / PUMP,DRY,60-135046-00 / NOVELLUS Ekra E5 Solder Paste Printer ENI ACG-10T RF Generator, AGC-10, AGC 10 416298 ENI Genesis GEW3040MA-F2H00-01 RF GENERATOR with 14 day warranty ENI GHWE-25 Genesis RF Generator, GEW3027MA-F1H00-10,3 KW, 200/208V, 423226 Enseco ETC15-73X150-3-3 Environmental Chamber “Ask us for a Discount%” EQUIPE PRI BROOKS ROBOT HANDLER  ATM-105-1-2-S-RHS 6-0002-0398-SP STRASBAUGH 7AF EQUIPE TECHNOLOGIES ATM-1284 ROBOT. 408313 ES1D10-102222-13 / PLATE LID UPPER / TOKYO ELECTRON TEL EVG | CS50 Cooling Station Exact S.C PE-260B Plasma Etcher – AS IS FI20685, 0920-00046 / MKS ASTEX ASTRON **WITH CERTS**EX FLORINE GENERATOR / AMAT FINAL PRICE DROP Infinity aqueous precision ultrasonic cleaner CLNW-0009 Used FUJI CP6-4000 Gas Automation GmbH SAR-1000-B-CL PCB Depaneling Routing Machine MAKE OFFER!!!!! Genmark Automation GB3 GENCOBOT ROBOT INCLUDING SOFTWARE Genmark Gencobot 4/3l Silicon Wafer Transfer Robot With Controller & Cables GERC-0023.5 / DNS 200, SLOT 5 CARD WITH EXCHANGE / DNS DAI NIPPON SCREEN GLASSMAN HIGH VOLTAGE SERIES LH VARIAN IMPLANTER POWER SUPPLY MODEL LH005R1.0GPS GLASSMAN HIGH VOLTAGE VARIAN IMPLANTER LH SERIES POWER SUPPLY MODEL LH005R1.0JNB Hamamatsu L9570-42 Wafer Stealth Laser Dicing L9571-42 SD Engine & L10349-42 AF HEATER, ASSY, 8″ JHT .029 AMJ WXZ, 0010-03345M High Vacuum Bell Jar Sputtering Equipment with Cryo pump. HOSPITAL HEPAir ENVIRONMENTAL PURIFIER AIR HANDLING SYSTEM ADVANCE CLEAN ROOM iGX600N Edwards A54631958 Dry Vacuum Pump iGX Series 200V New Factory Surplus iGX600N Edwards A546-31-958 Dry Vacuum Pump iGX Series 200V New Surplus IMAGE PROCESSING PCB BG3-1407 GCE MARKET INC intensified RHEED system Physical electronics perkin elmer varian veeco UHV JDSU ORBOT AMAT APPLIED MATERIALS LASER ASSY 9010038R 06317-4308-2 NEW K&S 8060 Bonder KENSINGTON LABS CSMT-2, (8″) 200 MM WAFER SORTER KLA P2 Profiler KLA TENCOR iCOMET INTEGRATED DYNAMICS ENGINEERING 0001112000 COMET SYSTEM KLA Tencor Inspex Eagle Patterned Wafer Laser Inspection System (AS-IS) KLA-Tencor 8100-XPS X-Y Stage Assembly Complete, 8100XPS CD-SEM Metrology 8100XP KLA-Tencor Lens Assy, Lower Octopole/Wein 720-17210-000, 8100-XPS CD-SEM, 8100XP Kollmorgen D101B Spindle Motor, Refurbished (D101B-93-1210-014) L6299-12 / EEW UNIT FOR SK-200, 80B / DNS DAI NIPPON SCREEN Lam (Novellus) 05-134264-00 ASSY ESC, 200mm, Original New Part LAM RESEARCH 300MM PEDESTAL HEATER PED ASSY 719-A07428-001 LAM RESEARCH 853-347146-006 ASSY HEATED PADDLE FEEDTHRU STRP 6” LAM Research Corporation KIT, RTRFT, DUAL POWER SUPPLY Lam Research P/N: 852-011060-001 / UPPER CHAMBER GAP HOUSING / REFURBISHED Lam Research P/N: 853-025903-001 / LOW FREQUENCY AUTO TUNE / REFURBISHED LEED system Physical electronics / perkin elmer / varian / veeco UHV vacuum mbe Leica/Cambridge Leo 435 VP Scanning Electron Microscope SEM leybold ecodry L dry vacuum pump – NEW uhv mks 48cfm Litrex, Model 120 INKJET System, Printer. Ser: 12007-042. 200-250 Vac 5 Amps LPKF ProtoMat S62 Circuit Board Plotter LVF3527A-10B-05 / 27 MHZ GENERATOR REV.. 00C 660-072825-200 / MKS LVF3560A-10B-05 / LAM PN 660-072826-200 /60 MHZ GENERATOR / PE 208V/MKS March PX-500 Plasma Etcher March PX-500 Plasma Etcher, complete as removed from service Mega Fluid Systems MEGApure10SS Chemical Delivery System MicroJoin B-4200-B SERIES Micromanipulator 6200 prober,Refurb Free Ship,1 YEAR Warranty, University Discnt MKN-502-3S2B02-OSC / MICROWAVE OSCILLTOR SECTION TEL TOKYO ELECT / NIHON KOSHUHA MKS ASTEX SMARTPOWER 1.8 KW GENERATOR, MODEL F120161-1 MKS ENI GEW Series RF Power Generator 3.5kW 25.764-28.476 MHz GEW3527-3U 08019 MKS PARAGON (F) AX7710MTS-02 NEW MKS PARAGON AX7700-11 MN3150274-004 / RF MATCH / ADVANCED ENERGY Modutek 72-FH-PVC-C. Wet Bench, RCA Cleaning Station. FOR 4″, 5″ and 6″ wafer Mosaid MS4155 PC Memory Test System Mydata TP9-2 AUTOMATION VISION SYSTEM Mydata/Mycronic MY12 Pick and Place Machine- Hydra & Midas Heads- Agilis Ready NANOMETRICS 9100 NANOSPEC 9100 MINI Z-STAGE 9407-010743 N-2000-1000-02 NEW ASM PN: 1002-370-001 Platen Assembly, Uni HSE New Wave Research – esi – EZLAZE 3 – NW100562 – Laser Nikon 2nd Relay Front Optic Lens NSR-S204B Step-and-Repeat System Used Working NIKON GCTX AMPLIFIER MODEL SPA276EC P/N4S066-012-2 FOR S210 S308 S610 SCANNER NIKON MSZ AMPLIFIER MODEL SPA373DC 4S066-021 FOR S210 S308 S610 SCANNER Nikon Reticle Fine Stage MSC NSR-S205C Step-and-Repeat Exposure System Used NIKON RETICLE LOADER MOTOR ASSEMBLY FOR I-14 SF140 S204 W CHIBA PRECISION MOTOR NIKON S202A SCANNER 200MM RETICLE LIBRARY NIKON SF140 S204I11 STEPPER SCANNER RETICLE LOADER CONTROLLER CHASSIS Nikon S-Pure Revolver NAILL Optics Platform Assembly 4S013-284 NSR-S204B Used NIKON TCM AMPLIFIER SPA476D P/N 4S066-013-1 FOR S210 S308 S610 SCANNER NIKON-GRAT-5X / RETICLE, BENCHMARK TECH, VISUAL DIAGNOSTIC TEST, .04UM / NIKON OEM-6A-02 / OEM-6A RF GENERATOR XL / ENI OMAX 50X-1000X 10MP USB3.0 Super Speed Digital Infinity Polarizing Microscope OMAX 50X-1000X Infinity Polarizing Metallurgical Microscope+9.0MP Digital Camera Ontrack 10-8084-002, Queu Water Assembly, Cassett, Loading, Rinse. 417372 Orthodyne M360B Heavy Wire Bonder  oxford instruments 7516 cryogen free EDS EDX for SEM and FIB say goodbye to LN2! P15080709 / ACCELERATOR DRIVE POWER UNIT (VIISTA VISION) / VARIAN P5000 SPUTTER Process Kit. AMAT, Used, Individually Cleaned and Sealed. PBMS2B / PORTABLE BARATRON MEASUREMENT SYSTEM / MKS PCB Bare Board Stacker Model: 3232 Simplimatic Automation Cimtrak Philips EPC8-66-2-128 61-0296-36 i486-based VMEbus CPU Board | for PG 1220 Rack Philips EPC8-66-2-128 61-0296-36 i486-based VMEbus CPU Board | for PG 1220 Racks pick and place machine DDM Novastar LSF40 Pillarhouse Cadet Automatic Soldering 4 Pos. Rotary Solder Pot w Manuals #A18B Plasma-Therm 2486 Reactive Ion Etcher Plating Rectifier for Electro Polish / 48 volts / 1000 amps / 2018 PM10AL4763-611060 / RETICLE .250 THICKNESS DPI KRF 7043 MATTE / NIKON PM97AL0220 / RETICLE .250 THICKNESS NI609P DUPONT / NIKON PM97AL4658 / RETICLE .250 THICKNESS NI609P DUPONT / NIKON Premium Desktop 386SX/16 Prometrix CTC/FT FT-500 FT-530 Film Thickness Probe PROBE STATION by VEECO – MONARCH LABS Inc PRX-10-1500N-VSE-H17 /HIGH VOLTAGE POWER SUPPLY / UNIVERSAL VOLTRONICS PRX-10-1500N-VSE-H17*E19011810 / HIGH VOLTAGE POWER SUPPLY/ UNIVERSAL VOLTRONICS PS/PG020R050-CT / HV POWER SUPPLY (VIISTA VISION) / GLASSMAN HIGH VOLTAGE PVA 2400 Automated Dispensing System. Conformal Coating Machine PVA TePla Plasma Pen Atmospheric High Density Plasma Cleaning / Etching System R2045HMT / RETICLE .250 THICKNESS / NIKON R2205HA / RETICLE .250 THICKNESS / NIKON R2205HCD / RETICLE .250 THICKNESS / NIKON R2205HMF / RETICLE, 6 INCH, .250 INCH THICK / NIKON R2504HCH / RETICLE .250 THICKNESS / NIKON R2504HCH / RETICLE, 6 INCH, .250 INCH THICK / NIKON R2504HMF / RETICLE, 6 INCH, .250 INCH THICK / NIKON Radiant Surge Technology Solar Simulator, Omron S8VS-06024, SY5140-5GZ, RS1038 Rainbow Technology Systems Panda Coater Phototool Coating & UV Curing Machine RF Power 7621482040 RF Match, AMAT 0190-71100, 5000 Watts, 13.56MHz, 423357 RF Power Products Advanced Energy RFPP ICP 20P 2000 Watt 27Mhz Plasma Generator RFPP – RF Plasma Products RF-20H PLASMA GENERATOR 7500000002 SE100 208V 2000W Royce Instruments System 550 samsung SWB700 Bonder SBS Technologies DCPMC64 CompactPCI Conduction-Cooled Dual 64-Bit PMC Carrier Schleuniger PS 9500 Wire Stripper With COMPLETE Tooling Schmidt PRC 4000 Press Control & 2x 415 Servo Press Modules 4.5kN Force, 200mm SEICA S20 Series Flying Probe Tester (4 Probe System) Semitool 240 S-3-1-E-ML Spin Rinse Dryer Ship Today! – Inficon IC6 Deposition Controller – Demo/Mint !! / 6 mo. wrty SMC THERMO CHILLER HRZ010-WS TOKYO ELECTRON TEL TAC-3PPZZZZ SPEA C320-MX Semiconductor Tester w/ M300 Manipulator SPEA C320-MX Semiconductor Tester w/ M310 Manipulator Speedline Electrovert Vectra 450/F Wave Soldering Machine ST-860 / WAFER DRYER WITH SPINDLE 12AC 6.5 AMPS 100MM / STI SEMITOOL Steag Wafer transfer system 8″ from AWP 200mm TECSEM Steag Wet bench 8″ from AWP 200mm Stencil Printer – MPM Accuflex by Speedline Technologies – Used – Works Well Sturtz Aluminum window door profile machining and cutting fabrication center SURFSCAN CALIBRATION WAFERS – VLSI/KLA-TENCOR Suss | 1023260, Complete Spacer/Clamp Mechanism Synergy Motorola V452-B 73641-01 73694G01 72423G03 RF-2 Upstream VME CompactPCI T100H-5002-5 / TOPAZ 100 / MGE TecHarmonic EHTVS SYSTEM, ENHANCED HIGH TEMPERATURE VORTEX WATER SCRUBBER Teikoku DXL-8600HS-CE 200mm Fully-automatic Wafer Taper Laminator TEL 1810-122006-11, BODY, UEL..G2L, NEW IN AN ORIGINAL PACKAGING TEL Alpha 8 IK-9X3-212 Manifold Flange Plenum With Mounting Plate, Used Tel Tokyo Alpha 8 Flange Assembly, Used Tel Tokyo cvd Flange Assembly, Alpha 8, Used TEL Tokyo Electron 3D10-100596-13 Depo Shield UED DT-40 TEL Tokyo Electron ADH Adhesion Process Station TEL Lithius No Front Cover Spare TEL Tokyo Electron Process Chamber Manifold Flange Plenum, Used TEL Tokyo Electron Process Chamber Manifold Flange Plenum, Used TEL Tokyo Electron RE3Z80-000318-11 E-SEAL, ILG 300 HT Tencor 80-00100 Benchtop Profilmeter w/ 80-00110 Printer & 80-00177 Stylus, KLA Teradyne J973 950-826-51 950-823-56 956-184-50 950-987 Printed Circuit Board PCB Thermco lpcvd Flange Assembly, Used Thermco Rear Flange Assembly, Used Thermotron SM-8C Temperature Humidity Environmental Testing Chamber Tokyo Electron (TEL) 3D10-150018-11 PLATE, EXHAUST (FC400 D32) TOKYO ELECTRON TEL HEATER ASSEMBLY CERAMIC SH MB3C87-001791-13 TPS Thermal Product Solution Tenny Junior TUJR Temperature Range -75 to 200C TS-4000-V.V2 / TS-4000-V KIT,SERVICE MONITOR,EVC / BERKELEY PROCESS CONTROL INC TUBE SILICON CARBIDE W/CVD COATING 2515784-01 SAINT- GOBAINABRASIVES UA012-282A / MEIDEN MAIN CONTROLLER / DNS DAI NIPPON SCREEN Ultratech 60-08-0296 Power Line Conditioner for Model 1500 Wafer Stepper Ultratech Stepper MVS Controller/Prism Control 01-15-03775 ULTRON SYSTEMS INC (USI) Model-UH102 UV Cure system Universal Instruments 6287 VCD Axial Component Insertion Machine Vacuum Deposition Chamber- Stand Alone Universal Module Varian 105212001 Wafer Handler Assembly V87-305353 350DE 300XP VSEA Refurbished Varian Genus 61425 Power Supply 3151200-000E VAT 0530X-CA24-ABM3/0002 Slit Valve, Gate, Rectangular Insert L-VAT, AMAT 452972 VAT PENDULUM HIGH VACUUM GATE VALVE 65150-PHCQ-BX01/0001 VAT, 10846-XE28-AXQ1/0002, VACUUM GATE VALVE VEECO ION MILL HIGH VOLTAGE FEED THROUGH Veeco RF 350 or RF 1201 Ion Mill shielding 4 sets Veeco Wyko NT3300 Optical Profiler Profilometer System Vi Technology 5K Series AOI Automated Optical Inspection Vitronics XPM820 SMT Reflow Oven Lead Free capable VM16A-203-0075-RE 801-5409-01 /PCB COGNEX IMAGE PROCESSOR WITH EXCHANGE/ COGNEX Wentworth PML 8000 PML8000 Analytical Manual 8″ Wafer Prober Microscope XGT221-46236-2C1-X176 / ACTUATOR DMOS5 SMC SLIT VALVE / SMC YASKAWA ELECTRIC ROBOT XU-RCM845-COO YASKAWA Robot Controller ERCR-NS01-B004 Yield Engineering System YES-RCM3, 2352876-00 / HEATER 6KW -08 1 PILLAR / LAM KYOSAN DFK45-Z 4.5kW RF UNIT (#2988) KYOSAN DFK45-Z 4.5kW RF UNIT (#2989) KYOSAN DFK45-Z DFK45Z-JA4E 4.5kW 27MHz DC UNIT (#2949) KYOSAN DFK45-Z DFK45Z-JA4E 4.5kW 27MHz DC UNIT (#2987) 500 Silicon Wafers, various grades, sizes and types 000-1293-02; BROOKS MTR5 WAFER ROBOT ARM, BROOKS ROBOT 001-0000-41 / Z-BOT WAFER INDEXER / BROOKS AUTOMATION 0010-01320 (Partial) / HEATER LIFT ASSY,TICL4 TIN / APPLIED MATERIALS AMAT 0010-03323, Applied Materials, HEATER, HEATER, ASSY 8″ NHT .029 EXTRA WIDE POCK 0010-03324, Applied Materials, HEATER, ASSY 8″ NLT .029 EXTRA WIDE POCK 0010-03338, Applied Materials, ASSY HEATER 8″ SR OSCR WXZ 0010-03341, Applied Materials, HEATER, ASSY, 8″ NHT-NT .029 AMJ WXZ 0010-03342, Applied Materials, HEATER, ASSY, 8″ NLT-NT .029 AMJ WXZ 0010-03347 / HEATER ASSY,TUNGSTEN,WXZ,FULL COVERAGE,AMTWD5 /APPLIED MATERIALS 0010-03369, Applied Materials, ASSY HEATER 8″ NHT-NT .029 OSCR WXZ 0010-05223, AMAT, ASSEMBLY, 200MM PLASMA CELL, END PT DETE 0010-05398, APPLIED MATERIALS, BRUSH MOUNT ASSY, DRIVE 0010-05669 / ROLLER ASSEMBLY 1, MEG HVM 300MM / APPLIED MATERIALS AMAT 0010-09225; P5000 WAFER ROBOT HANDLER, APPLIED MATERIAL, (AMAT) 0010-09335 / LAMP MODULE ASSY,6 TEOS +4-6 / APPLIED MATERIALS AMAT 0010-09337 / LAMP MODULE ASSY 200MM 5000 CVD / APPLIED MATERIALS AMAT 0010-09979, Applied Materials, ASSY LAMP MODULE AU-PLATED BASIC 0010-10244 / SYSTEM ELECTRONIC XT/ APPLIED MATERIALS 0010-10721 / ASSY, TOP LID, DSGD, METCH 5000 POS / APPLIED MATERIALS AMAT 0010-10721; AMAT, ASSEMBLY TOP LID DSGD METCH POS B & D 0010-12090K / DIGITAL HYBRID, MXP+, ETCH / APPLIED MATERIALS AMAT 0010-13152, APPLIED MATERIALS, ASSY, IHC CHAMBER D & SHC (50 SCCM) 0010-15816 / ASSEMBLY, GVDE, DPN 200MM / APPLIED MATERIALS 0010-19026 / STD PVD DEAGAS CHAMBER QUARTZ VIEWPORT LID/ APPLIED MATERIALS AMAT 0010-20221 / PVD MAGNET 6 INCH TI / APPLIED MATERIALS AMAT 0010-20351 / 5-6 INCH DEGAS LAMP MODULE 350C PVD / APPLIED MATERIALS AMAT 0010-20525 / RF MATCH PVD/PC II0 / APPLIED MATERIALS AMAT 0010-20754 / OBS PEDESTAL LIFT ASSEMBLY PRECLEAN 2 / APPLIED MATERIALS AMAT 0010-20943; AMAT, ASSEMBLY HEATER 8” HIGH TEMP SIX FINGER 0010-21127 Magnet Assembly Ti Dura Source 13, AL, APPLIED MATERIAL (AMAT) 0010-21788; AMAT, PVD MAGNET 0010-21810 / AMAT MAGNET / APPLIED MATERIALS AMAT 0010-21844 / ENDURA MAGNET AMAT / APPLIED MATERIALS AMAT 0010-24539/ VEIWPORT LID, ENDURA 2 PVD CHAMBER/ AMAT 0010-25893 / PVD RF MATCH PRECLEAN II 200MM / AMAT 0010-30421, 0010-03349, Applied Materials, ASSY HEATER 8″ NHT .29 OSCR WXZ 0010-31177 / 300MM MAGNET /APPLIED MATERIALS 0010-35713 / ASSEMBLY,FAN MODULE,DOME,DPS CENTURA / APPLIED MATERIALS AMAT 0010-36618 / DPS DOME ASSEMBLY 0200-39137-B / APPLIED MATERIALS AMAT 0010-37138, APPLIED MATERIALS, DXZ HEATER ASSY, 8″ HI-TEMP ALUM, VER 2. 0010-37145 / ASSY, CERAMIC CHUCK, 150MM, THK CBL, N-D / APPLIED MATERIALS AMAT 0010-38166; AMAT, ASSEMBLY CHAMBER LID , PHASE III TRENCH 0010-40240, IHC Assembly 0010-40296, APPLIED MATERIALS, ANTENNA-DOME ASSY,DOS,MARK IV,CHAMBER 0010-54318 /ASSY 4 ZONE V2 FIXED F CENTURA CENTRIS DPS2 / APPLIED MATERIAL 0010-70166 / STORAGE ELEVATOR 15 SLOT/ APPLIED MATERIALS 0010-70264 / HP ROBOT DRIVER ASSEMBLY / APPLIED MATERIALS AMAT 0010-75405; AMAT, ASSEMBLY HOT CAN CVD 0015-00356; APPLIED MATERIALS, CHAMBER SET POWER SUPPLY AND CHMBER SET SERIPLEX 001-5500-02 / WAFER TRANSPORT ARM ROBOT / BROOKS AUTOMATION 001-6300-03 / WAFER TRANSFER ROBOT/ BROOKS AUTOMATION 001-7600-07,001-1570-97,001-1984/MTR-7 ROBOT, W/ARMS, TEACH PENDANT/ BROOKS AUTO 0020-23027 / INDEXER HOUSING, RIGHT / APPLIED MATERIALS AMAT 0020-23349 / HEATER INSULATOR HUB / APPLIED MATERIALS AMAT 0020-26225 AMAT, ADAPTER FOIL COL 1.25:1 COH TI SST 0020-39360 / RACE UPPER,BEARING UPPER ROTATION, RTP / APPLIED MATERIALS 0020-76637 / ENDURA BATCH LOAD LOCK 300MM 28 WAFER CASSETTE ASSY / AMAT 0020-79039 / HOUSING SPINDLE ASSY, 300MM / APPLIED MATERIALS AMAT 0021-01273 LINER POLY MXP PLUS 1/4 THICK REDUCED 0021-03721 Applied Materials RTP XE Chamber Reflector Plate *NEW* 0021-09154, AMAT, COVER CLEAR LID, ENHANCED UNIBODY 0021-09154; COVER CLEAR LID ENHANCED UNIBODY, APPLIED MATERIAL (AMAT) 0021-19705 / SHIELD, UPPER, 60/70 MM P.D., 300MM / APPLIED MATERIALS AMAT 0021-55308 / CENTURA CENTRIS DPS2 UPPER CHAMBER LINER / APPLIED MATERIALS AMAT 002-4485-02 / PCB 002-4484-03 BOARD CPU I386 / BROOKS AUTOMATION INC 0035987-000 / AIT-PS-KLA COHERENT INNOVA POWER SUPPLY / KLA TENCOR 0040-03897,0040-41017, / LID ASSEMBLY, 200MM TICL4 TIN / APPLIED MATERIALS 0040-03932 / ADAPTOR UPPER, SIP-CU 290MM, WATER COOLE / APPLIED MATERIALS AMAT 0040-06170, ADAPTOR LOWER SIP TA 0040-08136 / SUPPORT, LIFT CYLINDER ,300MM CATHODE, D / APPLIED MATERIALS 0040-09094, Applied Materials, CHAMBER 200MM 0040-18080 / RING,GAS NOZZLE, HDPCVD / APPLIED MATERIALS AMAT 0040-18101, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP) / APPLIED MATERIALS 0040-20100 / MAGNET / APPLIED MATERIALS 0040-20190; AMAT, OEM, STANDARD BODY PVD PROCESS CHAMBER 0040-20548 (LOT OF 5) / MANIFOLD BUFFER CHAMBER (LOT OF 5) / AMAT 0040-24127 / PM CHAMBER FIXTURE, 200MM TXZ / APPLIED MATERIALS AMAT 0040-32530 / CHILLER PLATE,SERP WATER CHANNEL ROOF, I / APPLIED MATERIALS 0040-32530 Chiller Plate, SERP Water Channel Roof, IPS Chamber 0040-32680; AMAT, PLATE CLAMP LID 0040-35134, AMAT CAP EXHAUST 0040-37265 / BASE, COOLING, 200MM CATHODE, DUAL HE DPS / APPLIED MATERIALS AMAT 0040-37642, 0021-13741 / DPS UPPER LINER ASSY PART OF CENTURA CENTRIS / AMAT 0040-37964 /SUPPORT PLATE QTZ BELL JAR / APPLIED MATERIALS 0040-39032; APPLIED MATERIALS, PLATE NICKEL PLATED TI-XZ 0040-39728 / ASSY,ARM,RIGHT R.M.,200/300 PRODUCER ROB / APPLIED MATERIALS AMAT 0040-55456 / BELLJAR, 21 OD 300MM PC XT/XTE / APPLIED MATERIALS AMAT 0040-60980 / LID SGD SHOWER HEAD 300MM EMAX CHAMBER LID / APPLIED MATERIALS AMAT 0040-70407 / 300MM PEDESTAL ASSEMBLY / APPLIED MATERIALS AMAT 0040-76577 or 0240-44887 AMAT, WEIGHT 300MM 5ZONE PROFILER 0041-00817 / LOWER CHAMBER ADAPTER RADIANCE 300 MM / APPLIED MATERIALS AMAT 0041-08938 / ESC,TEST 300MM, DUAL HE, CVD / AMAT 0041-12156 / REFLECTOR PLATE INJECT 300MM RADIANCE PLUS / APPLIED MATERIALS AMAT 0041-48290 / FACILITY PLATE FULL SYM ZONE CATHODE / APPLIED MATERIALS AMAT 0045755-000 / ASSY, ANALYZER, MGF2 / KLA TENCOR 00-678549-01 / POWER SUPPLY, LOW VOLTAGE / VARIAN 0090-00330, AMAT, ASSEMBLY, ELECTRICAL, PVD AL/TTN INTER 0090-00398, Applied Materials, ASSY., ELECTRICAL, HDPCVD 300MM, INTERLO 0090-01432 /ASSY TC AMP LOW TEMP BESC / APPLIED MATERIALS AMAT 0090-01433 /ASSY AMP/OT CU SZ CHILLER/ APPLIED MATERIALS 0090-36399, Applied Materials, AMAT, SHELL ASSY, 195MM SEMI NOTCH 0090-A4861 / CIB3 DR ASSY DIG. CONT / APPLIED MATERIALS AMAT 0100-09002, Applied Materials, PCB ASY VME SBC 0100-09006 / INTELLIGENT INTERFACE / APPLIED MATERIALS AMAT 0100-20327, Applied Materials, PCB ASSY. WAFER DETECT 1 0100-76042 / ASSY, PCB EWOB AND OTF/CENTERFINDER W/ EXCHANGE / APPLIED MATERIALS 0110-09006 /PCB CENTERFINDER SBC ASSY (0100-35024, 030035005) /APPLIED MATERIAL 013501-027 / PCB, PARALLEL INTERFACE / BROOKS AUTOMATION INC 013501-027-25 / ERGOSPEED PARALLEL INTERFACE / BROOKS AUTOMATION INC 0150-35567, AMAT, C/A MAIN FRAME UMBILICAL #1, 55 FT 0150-35571, AMAT, C/A PNEUMATIC’S UMBILICAL, 55 FT 015-0800-01 / BROOKS IRVINE LOT SORTER APS2000 ROBOT / BROOKS AUTOMATION 01651-011 / ETC-INVAX CERAMIC ELECTROSTATIC CHUCK / INVAX TECHNOLOGIES 01-81150-00 / REDUCER BOX ASSY MO61-FD-301 904-1016 / APPLIED MATERIALS AMAT 0190-00969, EBARA ET300WS 0190-01898 / MODULAR DNET IO CONTROLLER / APPLIED MATERIALS AMAT 0190-07964 ,DC24M-Z141300110A / PWRSP 40KW 480VAC ENI MASTER(20KW) DNET/ AMAT 0190-07969/PWRSP 60KW 480VAC ENI SLAVE(20KW) DNET DCG-200Z-S00/APPLIED MATERIALS 0190-09845W / CLX-2500 RF GENERATOR F91336R3 / COMDEL 0190-12122 / 6-PORT ROTARY UNION, 200MM MULTI-ZONE / APPLIED MATERIALS AMAT 0190-13068 / POWER SUPPLY, A/C ESC (3153106-000) / APPLIED MATERIALS AMAT 0190-13407 / PDX-500,GEN RF 325-375KHZ 500W 190-230VAC / APPLIED MATERIALS AMAT 0190-18146, Applied Materials, ETO RF GEN 13.56 MHZ SSD DRIVER,ULTIMA 0190-22250W | Amat Kensington 4-Axis Robot 0190-22269 / SERVER SYSTEM IBM 8836-PCG / AMAT 0190-23318 / IBM FRONT END SERVER / APPLIED MATERIALS AMAT 0190-23318-001 / IBM E SERVER X SERIES 306 8836 PCG / APPLIED MATERIALS AMAT 0190-23942 / 300MM DPS (OPUS) ESC / APPLIED MATERIALS 0190-27049w ae ovation 35162 3,5kw,162 MHz RF power supply 3150861-002 0190-2838,3155166-013 / NAVIGATOR RF MATCH ASSY / AMAT 0190-34492 / COMET AUTOMATCH P/N 20031426 13.66MHZ / APPLIED MATERIALS AMAT 0190-37105 / VAT VALVE CENTURA CENTRIS DPS2 / AMAT 0190-41508 / 3155132-013 TOP MATCH CENTURA CENTRIS 300MM / APPLIED MATERIAL AMAT 0190-42463, B-5002-12054 / MKS ENI RF GEN SPECTRUM 5KW 1.8-2.17 MHZ / AMAT 0190-A1844B /UVISION 5 DOVER MODEL DMM2310 POWER SUPPLY /APPLIED MATERIALS AMAT 0190-B0150B NEUNER 3-32-0025-000 / ADV LDU ESI OEM 1KHZ AL / AMAT 0195-07896 / 300MM ENDURA FUSE BOX 700A REVISION 01 / APPLIED MATERIAL AMAT 01-E27171 /EXPANDER ASSY (6 INCH WAFER) (W=212MM)/ ASM 0200-00175 /DOME CERAMIC, POLY DPS CHAMBER/ APPLIED MATERIALS 0200-00248, Cell Top, 197mm ID. CERAMIC IECP 02-00072-00 / ASSY,NITRIDE TERM,PNL W/ EXCHANG! / NOVELLUS SYSTEMS INC 0200-09763, AMAT, RING,CLAMPING,NOTCH,AL, 200MM,1.11 HT,FI 0200-10153 / PLATE, COVER, 8″ HEATER, ALUM NITRIDE * / APPLIED MATERIALS AMAT 0200-18062 / DOME 14 ID HDPCVD / APPLIED MATERIAL AMAT 02-00215-00 / ASSY,GENEVA DRIVE (200MM) WITH EXCHANGE / NOVELLUS SYSTEMS INC 02-00374-00 / PCA,TERMINAL PANEL C1 NG (ALL) W/ EXCHANG! / NOVELLUS SYSTEMS INC 0200-39132 / COVER 8″, HEATERDXZ, PATTERNED / APPLIED MATERIALS AMAT 0200-39132 AMAT Plate, Cover 8″, Heater DxZ, Patterned 0200-39295 / DPS DOME 300MM / APPLIED MATERIALS AMAT 0200-89012, AMAT, SUSCEPTOR, TEMPERATURE CALIBRATION 02010-BA24-1008 / SLIT VALVE ACTUATOR VAT / VAT 0202078-000 / COMPUTER, V2 REBUILD 0202077-000 WITH EXCHANGE / KLA TENCOR 02-10387-0046 / 2 LINE BELL JAR DOME C2 SPEED / NOVELLUS 0210X-CA24-BJS1 / GATE SLIT VALVE / VAT 02-162452-00 ASSY, PED, STA 2 300MM VECTOR 02-168925-00N / ASSY,PEM,300MM,SABRE XT(SABRE NON 3D) / LAM / NOVELLUS SYSTEMS 02-283805-00 / ESC REV-C 300MM / NOVELLUS 0242-01912 / KIT, 1.5KVDC POWER SUPPLY, HTESC / APPLIED MATERIALS AMAT 0242-03045, kIT, VME CONTROLLER SHORT 0242-07456 / KIT, APPLICATOR, 1.5KW WXZ UWAVE / APPLIED MATERIALS 0242-09588 AMAT Etch, Kit, Magnet Driver, CMF 0242-09589 / KIT, MXP+/EMXP+ SWAP,CLAMP LID, 5200 / APPLIED MATERIALS AMAT 0242-20063 / KIT, INNER FLAT BI-FOLD DOORS,CENTURA / APPLIED MATERIALS AMAT 02-428979-01 / ASSY,IRIS CELL,CELL1(SABRE NON 3D) / NOVELLUS SYSTEMS / LAM 02-463840-00 / ASSY,PUMP DOSE,3 CHEM,BATH 2(SABRE 3D) / NOVELLUS SYSTEMS / LAM 030-001059 / CAR, INNER DEPO SHIELD RECOAT / NXEDGE 0386-186-001 PLASMA BOWL CERAMIC VEECO 04-308076-00 / KIT, ATH SHIELD, CELL 3, 300MM(SABRE NON 3D) / NOVELLUS / LAM 04715359-01 / INTELLIGENT PROCESS COMPUTER / VARIAN 04-722791-01 / CPI VPW2870B4, 460-480 INPUT VOLTS, 20A, 50-60HZ, 3P / NOVELLUS 0500-00224 / HEATER SUSCEPTOR 3-CHANNEL LEVELING SYSTEM / APPLIED MATERIALS AMAT 0550-01013 / SENSOR -ANALY-RGA TRANSPECTOR COMPACT / APPLIED MATERIALS AMAT 0660-01857; CARD PENTIUM 133MHZ128MB RAM VME BUS D, APPLIED MATERIAL (AMAT) 0660-90093, Applied Materials, CARD, LOOP CONTROLLER 0920-01047 / GEN RF 13.56MHZ 200W / APPLIED MATERIALS AMAT 0920-01105; 0040-36436: GEN. MICROWAVE MAGNETRON HEAD 2.45GHZ, APPLIED MATERIAL 1000 D-V / BEAM CURRENT INTEGRATOR/ BROOKHAVEN INSTRUMENTS LOCKHEED SANDERS 101115782 / POWER-LINE 12E LASER MARKING RESONATOR MODULE / ROFIN-SINAR 1035588001 / DOSE PROCESSOR ASSY / VARIAN 104354001 / PCB SUBASSY RDAC / VARIAN 105695 / MP ULTRA ROBOT, ARM, AND WOB SENSOR KIT / AMAT 108588002 / DOSE PROCESSOR ASSY ION IMPLANT SYSTEM / VARIAN 10897-60002 / 10897B LASER AXIS CONTROL PCB / HP HEWLETT-PACKARD 11000044 / TCR POWER SUPPLY 20S50-2-D-0967 / VARIAN 11004390 / FLAT & NOTCH SENSOR ASSY / AXCELIS 110434 / CKA, X AND Y ZYGO MEASUREMENT BD 98XX / ELECTRO SCIENTIFIC INDUSTRIES 1105-100361-12 / PEDESTAL QUARTZ FINNED WITH SPACER TEL / TOKYO ELECTRON 1110-01046 /NTWRK MATCH 12.56MHZ 2.5KW AZX 90 DOME R AE 3155086-002 REV A/ AMAT 1110-01046; APPLIED MATERIALS,NETWORK12.56MHZ 2.5KW AZX 90 DOME R AE 3155086 1180900 / BELT DRIVE – INA 14344 UPD TO 1193490 SPDL/CHG SENSOR 11S3291/ AXCELIS 12XANAMORPHICTELESCOPEAIT1ONLY/12X ANAMORPHIC TELESCOPE OPTICAL CYLINDER/TROPEL 13.56MHz RF Power Supply [RF Generator & RF Matching Network/Tuner] 13002NP-159V7 / OXT,L3,ELECTROSTATIC CHUCK, YTTRIA COATED / TOKYO ELECTRON TEL 133673 / CU,DRIVE/ARM,ATM ROBOT,ATR8 RELIANCE,IMR / BROOKS AUTOMATION II 133673R / ROBOT, ATR8 DRIVE ARM MKS / BROOKS AUTOMATION INC 14-119386 /TECH 80 5240 2ND NO. 14-015680-MODEL5641 WITH EXCHANGE / THERMAWAVE 15132-01 / ROBOT, WAFER MOVER, 3 AXIS,1-0000-27 / BROOKS AUTOMATION 1522600 / PCB ASSY CREONICS SAM EX YSCAN / AXCELIS TECHNOLOGIES 1526670 / PCB ASSY PLASMA FLOOD 2 INTERCONNECT / AXCELIS TECHNOLOGIES 17410-05 / BRUSHLESS SERVO MOTOR,MODEL BLF2924-10-0-S-017) / PACIFIC SCIENTIFIC 179700 / GASGUARD AP10 CONTROLLER 200033107 / AIR PRODUCTS 18-015208 / HTR ASSEMBLY OBJECTIVE 100X WITH EXCHANGE / THERMAWAVE 18-015517 / STAGE ASSY X-Y 8X8 PACT OP2600 OR TP500 / THERMAWAVE 1D10-101965-12 / ELECTRODE BOTTOM C-ESC 88 DRM / TOKYO ELECTRON TEL 1D10-102848-13 / BAFFLE PLATE TEL DRM / TOKYO ELECTRON TEL 1D10-102848-13 20 Inch (diagonal) SEMIXICON porous ceramic vacuum chuck table 20007030 / TEMP CONTROLLER AIH-124QS-T5 / KOMATSU 2007 New Wave Research Pegasus PIV Laser System – Model: 60021 2008891-00 / SEZ 203 LAMINAR FUNNEL FOR PROCESS CHAMB / LAM RESEARCH CORPORATION 2015 LAUDA Ultracool S.L. UC-0080 Chiller 2015 YAG TQL-LCY500-0505 CNC Laser Engraving 2168-000007-11 /THYRISTOR CONTROL UNIT CU162U4Z3FA /TEL TOKYO ELECTRON 219790 / PS15 CONVERTER SERIES 900 CONVERTER UNIT / FARNELL 219860 / PS15 PRE ACCEL POWER SUP WALLIS HI-VOLT 2A2 SERIES 900 C.U. / FARNELL 2212041 / MOTION CONTROLLER MOTOR DRIVER ASSEMBLY / JORDAN VALLEY JVX 2212041 2336948-00 /SENSOR OXYGEN PRESENS / LAM 2-39-45431 / CP CONTROLLER COOLING PLATE FRD-4 W/EXCHANGE / DAINIPPON SCREEN 24436-QA41-BEC3 / VACUUM ANGLE VALVE, NW80 / VAT 2532 RF Power Products 7610807010 RFPP AM-10 Automatching Network. 27-255798-00N, 3152603-010 / E-WAVE POWER SUPPLY / NOVELLUS 2-818410-A / PCB SPIN DRIVER HPTEC AG120A-S02 / HITACHI 2-8227713-A / LAM ASH CHAMBER BASE / LAM RESEARCH CORPORATION 28877157 / U500 ULTRA ES13497 PCB / KLA TENCOR 29-0260 /15X OBJECTIVE / KLA-TENCOR 2L39-000009-21 / DAIHEN RTGA-30A1 RF GENERATOR / TOKYO ELECTRON TEL 2L39-000021-31 / POWER SUPPLY -1800V 4A 50/60HZ 25A / TOKYO ELECTRON TEL 2L39-000046-23 / HPK6R3ZI-TE8-PULSE P.S. KYOSAN / TEL 2L39-000048-54,JFK85TH-TC5 / KYOSAN RF GEN 8.5KW 3.2 MHZ / TEL 2L39-000066-31 / DAIHEN RTGA-30B RF GENERATOR / TOKYO ELECTRON TEL 3 ETO HDPCVD RF Generator Rack, Source Generator, AMAT HDP, 423089 3001107 / LAMP FIELD TOP COMPLETE WHITE DE / MATTSON 3030-14301 / CONTROLLER FLOW RATIO 500/5001/4 VCR, DNET, STD R / AMAT 30712300000 / DUAL ION-PUMP CONT. ASSY 929-7003/S003 VARIAN **W/ EXCH** / AMAT 30712370100; FDPM ASSEMBLY; APPLIED MATERIAL, (AMAT) 30716500100 / WHC ASSY, OPAL COMPUTER 2101641136 / APPLIED MATERIALS AMAT 30D60E-A006 / ROBOT CONTROLLER / KAWASAKI 3151801-004 0190-13025/HDP,RPS,ATOMIC FLRN. GNRTNG. RPS,RF6000W/APPLIED MATERIAL 3152412-119 / DC POWER SUPPLY PINNACLE / ADVANCED ENERGY 3153107-000D / E-CHECK CE IKV INVERTER PCB / ADVANCED ENERGY 3155107-001 / RFG 1251 RF GENERATOR 2.4KW 208VAC 3-PH 50-60HZ / ADVANCED ENERGY 316L SS Glove Box High Vacuum Carlisle 356824 / THETA STAGE ACTUATOR ASSY/ KLA-AIT I AND AIT II 360249/ 360 STABLE ION GAUGE CONTROLLER SET (AXCELIS 1914400)/ GRANVILLE-PHILLPS 3870-02693; AMAT, VALVE GATE 8” PNEUMATIC ACTUATOR CONFLATE UHV SST 3870-05190 / EPSILON NOR CAL THROTTEL VALVE WITH INTELLISYS / AMAT 3D05-350028-11 / INSULATOR ESC ENCLOSURE / TOKYO ELECTRON TEL 3M80-039529-13 / MODULE CONTROLLER,500W / TOKYO ELECTRON TEL 3M87-024252-29 / MODULE CONTROLLER TRIAS STD / TOKYO ELECTRON TEL 4.5 KW 230 Volt Imtec Acculine Heater Assembly (2 Heaters Included) 400-00103 / CONTROLLER-PROGRAMMABLE PLC-5/V30B TEXT 61-0255-40 / CFM 41777-01 / CONTROLLER, E500/E220 / GENUS 4202036 / STABILIZER- LASER, MODEL # LPC-LUM/ LUMONICS 421-31-6440-1 / CU1316640 QTZ BOTTLE HQDR / KOMATSU 42486-00 / INJECTOR VACUUM CONTROLLER / GENUS 42570-00 / ELECTRODE MANIPULATOR / GENUS 42635-00 / CONTROLLER, E500/E220 / GENUS 45833-00 / TILT SCAN INTERFACE PCB/ VARIAN 46200-00 GETSPARES (208) 982-1862 4596 Veeco FPP5000 Four Point Probe 4600D-20250 / POWER TEN POWER SUPPLY 250 AMPS 20 VOLTS 190-253 VAC 3 PH / VARIAN 468451/POWER SUPPLY HV 300MM ES/AXCELIS TECHNOLOGIES 471-05868-000 / CONTROLLER,VAC GAUGE GP 360 / KLA-TENCOR 4K177-955-4 / RETICLE TRANSFER ROBOT NSR-S204B SCANNING SYSTEM / NIKON 4S018-727 / IM-COM PCB REV A / NIKON 4S288-271 / POSITION SENSOR UNIT NS2300-D / NIKON 50412500201 / SEE/TF SEMVISION CARD CAGE SYSTEM / APPLIED MATERIALS AMAT 50416500100 / WTC CAGE ASSY AND 4 PCB / APPLIED MATERIALS 50427800000 / SMC/G CARD CAGE ASSY / APPLIED MATERIALS AMAT 50480-1538 /ASSY FORK HAND 4 WITH GUIDE / KAWASAKI 50515110000/SCAN DO PRO II 1291-AMT/APPLIED MATERIALS AMAT COMMUNICATIONS SPECIA 5116C / CYBOR PHOTO RESIST PUMP / CYBOR CORP (IDI CYBOR) 5132-05026-D /CHUCK DIECAST DAS-2000 / DAEJIN SEMITECH 5163 Avtech AVOZ-B3-B Pulsed Laser Diode Driver 52-0879 / ND FILTER ASSY UV12XX W/EXCH / KLA TENCOR 538698 / CPU, KLA SP1-TBI, 550 MHZ, 92-005649-00X / KLA TENCOR 5-39-06701/VACUUM PUMP (B730) NASH_ELMO 10004762 010/SCREEN SPE USA LLC 5-39-15601/THERMOCONTROLLER POWER (MAIN) ETM832A-DNF-L-G2/SCREEN SPEUSALLC/ORION 5491 Leybold MAG Drive 2000 (MD2000) Controller 550-22555-000 / PCB VME PMAC2 VME DELTA TAU 602413-551 / KLA TENCOR 5600 AE Advanced Energy PDX900-2N (0190-08677-002) RF Generator 58700001 / CHAMBER QUARTZ TUBE 8″ / MATTSON 58700015 / REPAIRED TUBE QUARTZ RIPPLE OR CHAMBER / RUTHERFORD QUARTZ 58900086 / WAFERTRAY FOR 6″, FOR LINER PLATE AND HOTLINER REPLACEMENT / MATTSON 5UOT058969 / MECHANICAL CONTROLLER PRESSURE / KOKUSAI SEMICONDUCTOR EQUIPMENT 5UOT080542 / GAS,PATTERN,PANEL,THIN SI3N4 HTO / KOKUSAI SEMICONDUCTOR EQUIPMENT 5UOT274863/INTERLOCK UNIT IL100B(32) Z3/KOKUSAI SEMICONDUCTOR EQUIPMENT 6 Each – Signatone S-926 Micropositioners / Vacuum / Perfect / Refurb/ 6 mo wrty 600369 / W AUTOMATIC TEMP CONTRO / APPLIED MATERIALS AMAT 600422 / ASSY, SCR PACK 50 HZ 7600/7800 / APPLIED MATERIALS AMAT 6147800 / EMS POWERR SUPPLY / VARIAN 627A-14880 / 1 TORR MKS 127A-13608 BARATRON PRESSURE TRANSDUCER / PHILLIPS 65044-PH52-0004 / VACUUM PENDULUM CONTROL VALVE / VAT 660-060191-001 Lam Research Power Supply SPM-5 677719 / WIDE RANGE THIN FILM MONITOR / VARIAN 678635 / W PCB, POWER SUPPLY / APPLIED MATERIALS AMAT 681723 / MOTOR DRIVER PCB SCHEM 681720 / APPLIED MATERIALS AMAT 685-017705-605 / LRC027/REMOTE AC. CONTROL BOX / PENINSULA CONTROL PANELS 6-A6-F0033 / SPINDLE UNIT MPC 10045718-1 / SCREEN SPE USA LLC 703-0295-01 / BOARD,COMPUTER,MCSI / BROOKS 703-0295-01 GETSPARES.COM 70509111000; HOT DETECTOR FEEDTHROUGH, APPLIED MATERIAL (OPAL) 70512599000 / FRAME ASSY WITH 5 BOARDS SGS / APPLIED MATERIALS 70-7013924 / ES3 COMPUTER ASSY, ASHER EATON FUSION / AXCELIS TECHNOLOGIES 7100-5999-01C / SLIP RING SET RTP ASSY SF CRMSHLD NOTCH 8IN, / STEAG ELECTRONIC 710-212470-000 / VIPER DISTRIBUTION BOARD ASSY, 740-211936-000 / KLA TENCOR 71-252989-00 / WAFER CARBON 300 CALIBRATION NO NOTCH / NOVELLUS 715-001841-001 / GAP ADJUST HOUSING / LAM RESEARCH CORPORATION 716-041983-011 CERAMIC CHAMBER LINER LAM 718-094756-082 / ELCTD CAP, GD RING, ESC, 8” JEIDA / LAM 720-15593-000 /STAGE CONTROLLER MEI UNIV300MM -8300,8450,300MM W-EXCHANGE /KLA 73000100 / PSSYST INTERFACE MODULE CONTROL 100-240VAC 50-60HZ/ LUDL ELECTRONICS 737A505A / PUMP & HEATER LV VSEA UES INPUT 52+0.5 VDC 240W 45HZ / RICOR CRYO 750075 / 605E ROBOT CONTROL / WTM 76-0005-001 /GENERATOR, MEGASONICS TRANSDUCER FINE JET / LAM 77-606-0800510-000 / MODULE CONTROLLER CHASSIS / HERMES MICROVISION INC HMI 780-10727-002 /PCB, HCI HARDWARE CONTROL INTERFACE CDSEM 8XXX 820-06224-003/ KLA 790-097959-012 / ASTEX SAPPHIRE CPS APPLICATOR FI30049 / LAM RESEARCH 796-901160-202 / VAT GATE VALVE ASSY 65048-PH52-BIV1 / LAM 2300 FLEX EX + / LAM 80-095-278RW PCB MICROPRCS CONTROLLER W/SLOW PROM 8033235 /CRYO TORR CTI 8R ANSI ROUGH LINE AND DIODE CONN/ CTI CRYOGENICS 8R PUMP 8052300G001 / 8011 CONTROLLER, 50 60HZ, 450VA / CTI CRYOGENICS 8070-0102-01 / 6MM LASER HEAD ZMI SERIES 400MW CLASS II / ZYGO 8070-0902-03X / LASER HELIUM NEON 1.0 MILLIWATT CLASS II LASER / ZYGO 810710 / PUMP, LOW TEMP PROCESS EA-40VEW / STEAG ELECTRONIC SYSTEMS 825 / TEL CLEAN TRACK LHP UNIT / TOKYO ELECTRON TEL 839-015129-001 / ASSY,ELCTD,OUTER 17 IN, SI, BONDED / LAM 839-028491-001 / UPPER GAP BELLOWS LAM / LAM RESEARCH CORPORATION 839-028491-001/UPPER GAP BELLOWS/LAM 853-!- &032776-001 / TCP9600SE LIFTER Assembly 853-012123R001 RFRB ASSY ARM DRIVE (HARMONI 1509066) 853-013541-002 ASSY THROTTLE VALVE, HTD SO # 1218018 853-032776-001 / LAM DSQ PADDLE LIFTER ASSY, MDC 996406, SE M061-LS02 / LAM 853-032776-002 LAM Research Paddle Lifter Assembly 853-12600-102 / HINE INDEXER, 590, 490 AUTOETCHER, 853-1280-010 / LAM RESEARCH 87123 / COM-PPC-330MHZ (MVME2604 712 I/O) / ELECTRO SCIENTIFIC INC 879-7120-002 /PCB ASSEMBLY851-8240-007(ADSP-2100)854-8302-003A(AFA DAUGHTER/ SVG 9090-01143 / LASER SENSOR CHASSIS, PX41M / APPLIED MATERIALS AMAT 9103400374 / FAST PULSE CONVERTER /CANON 92-005696-XXX 20-005697-003 / XUV MERCURY IMAGE COMPUTER / TRENTON TECH INC 92670-05-1 / AC POWER DISTRIBUTION CHASIS KLA-TENCOR EV300 / AMRAY 94-2675 / GASONICS COMPUTER 3510 94-2673 PROCESS CONTROLLER / NOVELLUS 95-3155 / CLUSTER MISC CONTROLLER GASONICS PEP3510 PEP-3510 9698923 / TURBO PUMP TV 551 NAVIGATOR / VARIAN 9699049S020 / TURBO-V 550 MACROTORR PUMP KLA 8450 WITH EXCHANGE / VARIAN 9699049S020 / TV 550 PUMP / VARIAN 9699448S003 / TURBO-V 2000 ICE C.U. / VARIAN 9700-6321-01 / LPO 2200 ASCII SI VIPER 2401 / ASYST TECHNOLOGIES 99-66566-01 / EXHAUST FLOW CONTROLLER AND PCB (99-80315-01) / SVG A16044 / ASSY UV DATA ACQUISITION CARD / RUDOLPH RESEARCH CORP. A16963 / ASSY MEASUREMENT PROCESSOR / RUDOLPH RESEARCH CORP. A220-200BH50-0215 Fluoroware / Entegris 200mm Wafer Carrier A220-300BH26-0215 Fluoroware/Entegris 300mm Wafer Carrier A220-300BH52-0215 Fluoroware / Entegris 300mm Wafer Carrier A4020-90215HGE / CASSETTE TRANSFER ARM ASSY CARRIER ARM ROBOT / TOKYO ELECTRON AA300EX-187B / KEYTRAN IV ROBOT ARMS ASSEMBLIES / ULVAC TECH AA300EX-1YJ / ROBOT ARMS COMES W/ SET OF R10177 / ULVAC TECH Accuflex Z-Axis Controller P/N 1009264 ACRO TEL DAIKIN CHILLER OPERATOR INTERFACE UBRP4C91IN 3D80-000711Y4 ADE Corporation Microsense 6035 Slice Sensitivity Gauge MicRhoSense 6035-150 ADE Microsense, KLA Tencor, Wafer Sight Thickness Gauge , controller Inst. Kit. Adixen A.603P Vacuum Pump w/ 112970 Controller, Alcatel A3D2161020000, 453179 Adixen A.603P Vacuum Pump w/ Adixen 112970 Controller, Alcatel A3D2161020000 Adixen Alcatel AD63KH RM63KH Mechanical Booster Dry Pump Blower w/ warranty ADTEC AX-5000W-AKT RF Generator, AX-5000W, 5000W 13.56 MHZ. 423389 ADTEC AX-5000W-AKT RF Generator, AX-5000W, 5000W 13.56 MHZ. 423390 Advanced Energy 12KW Pinnacle 3152363-004A Power Supply w/ 4 Month Warranty Advanced Energy 2223-003-B AE MASTER POWER SUPPLY Advanced Energy 3150110-000 RF Match, 24 VDC, 3000 Watts, 13.56MHz, 423321 Advanced Energy 3150110-000 RF Match, 24 VDC, 3000 Watts, 13.56MHz, 423358 Advanced Energy AE 2224-013-D MDX Magnetron Drive, Generator, RF, RS1045 Advanced Energy AE 3150273-005, RF Match, Mercury 10013, Novellus. 417121 Advanced Energy AE 3152362-011 Pinnacle DC Magnetron Power Supply, 100355 Advanced Energy AE 3152391-401 DC Power Supply, Pinnacle II, 20 KW, 100353 Advanced Energy AE 3152391-401 DC Power Supply, Pinnacle II, 20 KW, 100354 Advanced Energy AE 3152436-348 DC Pinnacle Plus RF Generator, 10kW, 453247 Advanced Energy AE 5034-003-B RF Matching Network, MFA Heatsink, 417555 Advanced Energy AE A3L1A000BA110A010A Apex 5513 RF Generator, 5.5 kW, 13.56 MHz Advanced Energy AE A3L1A000BA110A010A Apex 5513 RF Generator, 5.5 kW, 13.56 MHz Advanced Energy APEX 10 Kw/13 RF Generator – AS IS Advanced Energy LMII 10kW RF HV High Voltage Transformer ADVANCED ENERGY PINNACLE 3152417-228A RF GENERATOR ADVANCED ENERGY PINNACLE MDX – 480 V. input 1,500 V. D.C. output. 12 K.V.A. Advanced Energy Sparc-le V Pulsing Power Supply Sparc-le V #4 – 6 mo Warranty Advanced Energy Sparc-le V Pulsing Power Supply Sparc-le V #5 – 6 mo Warranty Advantech IPC-622BP-40RZ, 6U 20-Slot Rackmount Chassis, IPC-622 w/ Power Supply AE 3159273-005 Mercury 10013 RF Match, Novellus 27-256558-00, 408576 AE Advanced Energy 3155162-037C matching network 10kw 13.56mhz Navigator 10013 AE ADVANCED ENERGY E’WAVE 3152603-001A GENERATOR AE ADVANCED ENERGY E’WAVE 3152603-014 GENERATOR AE ADVANCED ENERGY HFG 2501 GENERATOR 3155090-000 B AE ADVANCED ENERGY PINNACLE 20kW GENERATOR M/N 3152412-233 AE Navigator 13.56MHz 8KVP 85A 0190-19023-001 / RF MATCH 3155132-004 B Affinity 35891 Chiller Heat Exchanger, PAB-020T-DD44CBD2, CH6000-HF, 422760 AG Associates Heatpulse 210 RTP Rapid Thermal Processor Agilent U1091AC50 U1051A Acqiris CC105 TC890 PXI 8570 Time-to-Digital Converter Air Scrubber – Viron International Air Scrubber – Viron International AIXTRON GENUS 100028412 GAS BOX AUX TMA RAD 38850-00 R.2 110002478 S/N 44186-01 AIXTRON GENUS METRON AIN, HEATER ASSY 42075-00-004 S/N 628502 ALLEN-BRADLEY 1785-BEM/B BACKUP EXPANSION MODULE. 407370 AMAT 0010-03002 Assy, Clamp Ring, Lower, 200mm, Pre-Clean, 0040-07962, 424115 AMAT 0010-03338 Assy Heater 8″ SR OSCR WXZ w/ 0190-01403 Thermocouple, 419056 AMAT 0010-03372, WxZ Heater Assy, 8 Inch OSCR Seasoning, 417000 AMAT 0010-09935, MONOCHROMATOR MODULE ASSY, ENDPOINT, PRECISION 5000 AMAT 0010-10132 ASSY SUSC SQ P21 150mm, BWCVD SR REV.B AMAT 0010-10758 Rev.A, DSGD Lid, MXP & MK II Chamber, VCR, Assembly. 417296 AMAT 0010-13268 AMAT 0010-20130 Blade Assy 8 Inch Buffer Robot 0020-21105 0020-70272, 0020-70285 AMAT 0010-21958 8″ Shield B101 AMAT 0010-28024 Head Sweep Assembly, NSK XY-FR-E131178, 300MM, CMP, LK, 452907 AMAT 0010-36715, EQ Magnet Assembly, 6″, 0010-20675, 422560 AMAT 0020-10186, 5000 8″ CVD Etch Chamber Lid, 200mm, Gas Box. 417330 AMAT 0021-09438 Fan Top Shell, DTCU DPS POLY, 417888 AMAT 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT, USED AMAT 0041-49935 REV 01 Faceplate, Coated, Direct Cooled *OPEN BOX! PLEASE READ!* AMAT 0090-36399 ESC 0021-38725 PEDESTAL 407380 AMAT 0100-01132 Rev.001, VAL-001-1633-01, PCB Assy, Chamber RF Filter. 417584 AMAT 0190-03150 Target Diff Bond TI w/o C’bore Durasource, 417481 AMAT 0190-03150 Target Diff Bond TI w/o C’bore Durasource, 417482 AMAT 0190-20140 Target, TI, Diffusion Bonded, 11.3″ x 0.46″, Praxair MRC, 417616 AMAT 0190-20140 Target, TI, Diffusion Bonded, 11.3″ x 0.46″, Praxair MRC, 417617 AMAT 0190-20140 Target, TI, Diffusion Bonded, 11.3″ x 0.46″, Praxair MRC, 417618 AMAT 0190-20140 Target, TI, Diffusion Bonded, 11.3″ x 0.46″, Praxair MRC, 417619 AMAT 0190-22159 AMAT 0200-18062 Rev.3, Applied Ceramics 91-01017A, Dome, 14 ID HDPCVD. 418248 AMAT 0240-26390 Kit, Gamma 2 W/B Chamber Extender, 194mm, 0040-23486, 423350 AMAT 0240-92743, KIT,INSERT,150MM,0 DEG AMAT 0242-76387 Kit, Retrofit, Plastic Bearings, 419230 AMAT 0660-00223, Industrial Panel PC, 15″ LCD w/ Touchscreen, Advantech. 419136 AMAT 0660-00223, Industrial Panel PC, 15″ LCD w/ Touchscreen, Advantech. 419153 AMAT 0920-00149 RFG TRUMPF SSM 3000 EtherCAT USED WORKING TESTED AMAT 1400-50046, IR-FB, (AMJ) Sensor, Temperature Fiber Thermometer. 418082 AMAT Applied Materials 0010-03323 Heater Assy 8” NHT .029 Extra Wide Pock *new* AMAT APPLIED MATERIALS 0010-21465 MAGNET ASSY TI DWA SOURCE 1 DURASOURCE AMAT Applied Materials 0010-30421 Heater Assy 8” JHT .029 OSCR WXZ *new surplus* AMAT Applied Materials 0040-31992 Base Weldment 150MM ESC Simple Cathode AMAT Applied Materials 0041-43374 CAP, INJECT, 2 PORT, ROW EPI, 300MM AMAT APPLIED MATERIALS 0190-01632 RF ASSEMBLY 72ft COAXIAL CABLE 0190-01632 AMAT APPLIED MATERIALS 0190-01769 CENTURA RADIANT PLUS RF GEN CABLE 75 FT AMAT Applied Materials 0195-07511 Centura AP Primary AC Rack HDP-CVD Working AMAT Applied Materials 0242-44072 KIT, 300MM PCII INNER OUTER SHIELD ASSY AMAT Applied Materials 219T0958-05 Hub Backing Plate Vortex Chuck Precision AMAT APPLIED MATERIALS 72 FT. CABLE P/N 0190-01378 AMAT In-Situ Particle Monitor, 453013 Amat Magnet Assembly 0010-20223 C,11.3″ TiN w/Rem Assy ‘M’ Made by Magic PVD CVD Amat Varian Particle Beam Scan Amplifier Assy 0342-0678 AMAT# 0190-76043 Synergy Microsystems V440 SBC PCB, 68040 CONTROLLER AMAT, Applied Materials, 0010-03349 (0010-30421) AMAT, Applied Materials, 0010-76149, HTHU Heater 6″ USED AMAT, Applied Materials, 0190-35961, OBS CALIBRATED OPTICAL SENSOR/CABLE AMAT, Applied Materials, Assembly Bearing 6″ & 8″ HEWEB, NEW AMAT, Applied Materials, HDP CVD Ultima lid AMAT, Applied Materials,0100-00645, 0100-01097, PCB slit valve inter AMPLIFIED RESEARCH AR MODEL 60S1G4AM3 60S1G4A 0.7-4.2GHZ 60 WATTS AMPLIFIER(LB1) AP300 / PUMP / WHITE KNIGHT APEX 3000/13 -AE Advanced Energy – F/RA GENERATOR-237846 Applied Ceramics DOME, TOP FEED, 300MM HDPCVD ULTIMA X 18 IN ID, AMAT 0200-01347 Applied Materials 0010-02419 Clamp Lid, Assy. Emax Kalrez AMAT Applied Materials 0010-09806 Gas Box, WSI 150 MM AMAT Applied Materials 0010-09928 Controller Box, ESC AMAT P-5000 Etch Applied Materials 0010-09940 Gas Box, WSI CVD AMAT Applied Materials 0010-09968 Throttle Valve, Heated AMAT Etch Applied Materials 0010-10973 & 0010-00860 Kit, H.O.T. Pack 365 NM AMAT Etch Applied Materials 0010-20768 PVD Magnet, G-12 8″ APPLIED MATERIALS 0010-20810 MAGNET, ASSY, G-12, ENCAPSULATED Applied Materials 0010-21678 Shield, Assy. Vectra IMP TI ADV 101 Endura PVD Applied Materials 0010-70057 LLC Lid, Assy. 29 Position AMAT P-5000 Applied Materials 0010-76036 Mini Controller, Assy. W/Teos Option Applied Materials 0010-76543 Heat Exchanger, AMAT-1 208V APPLIED MATERIALS 0010-76693 MAGNET ASSY, G3 MAGNET Applied Materials 0020-19001 Spacer, Slit Valve SHO AMAT Centura Applied Materials 0020-34297 TOP COVER, SSGD, R2 CHAMBER AMAT Etch Applied Materials 0040-09221 Chamber Body, 200 MM AMAT SAVCD Applied Materials 0040-09221 Chamber Body, 200 MM SAVCD APPLIED MATERIALS 0040-09557 AMAT USED, CHAMBER BODY ASP Applied Materials 0040-31992 BASE, WELDMENT,150MM, ESC, SIMPLE CATHODE AMAT Etch Applied Materials 0040-32042 Base Weldment AMAT Etch APPLIED MATERIALS 0090-00330 PVD AL/TTN Interlock Module Applied Materials 0090-09143 Window Assy, AC Quartz Centered Applied Materials 0090-91419ITL / 0090-90983ITL Arm Gripper Controller Applied Materials 0200-09994 Ring Inner, ALN, 8″/5MM,JMF,201, WXZ AMAT CVD Applied Materials 0200-10173 Plate, Cover 200 MM DXZ Heater A.K.A 0200-36630 Applied Materials 0200-36630 Plate Cover, Heater 8″ DXZ AMAT CVD APPLIED MATERIALS 8″ AXZ PLUS HEATER 0010-37969 Applied Materials AMAT 0010-19666 Assy Rotation 300mm HTF / EPI APPLIED MATERIALS AMAT 0010-19899 ASSEMBLY WAIST, fixed wing upper APPLIED MATERIALS AMAT 0010-19900 ASSEMBLY WAIST, fixed wing lower APPLIED MATERIALS AMAT DRIVER ASSY FAST SCAN, 5PUG87-000606-11 APPLIED MATERIALS AMAT ENDURA CUBS CLEAN AMPOULE 0010-32021 HEATER VESSEL APPLIED MATERIALS AMAT ENDURA CUBS CLEAN AMPOULE HEATER VESSEL 0010-32021 APPLIED MATERIALS AMAT MIRRA ERM CHAMBER 148G, DEONIZED WATER CHAMBER, BAU148G APPLIED MATERIALS AMAT QUANTUM X IMPLANTED ARC CONTROL DSP 9090-01251 REV B APPLIED MATERIALS AMAT QUANTUM XR80 IMPLANTATION ARC CONTROL DSP 0100-01976 Applied Materials AMAT Susceptor, 0200-01947 Applied Materials AMAT VAT 15028-FA24-1002, 0190-02238 Applied Materials AMAT Veriflo Valve Man., 0050-84735 APPLIED MATERIALS AMAT Y203 COATED SCREEN POLY ETCH DPSII 300MM 0020-87791 NEW APPLIED MATERIALS AMAT YASKAWA XU-RCM6901 WAFER LOADER ROBOT CMP REFLEXION MIRRA APPLIED MATERIALS CHAMBER LID WSI 0010-09940 APPLIED MATERIALS CVD/BW 5000 GAS BOX 0010-09247 APPLIED MATERIALS LOADLOCK 0190-37105 AXIOM CONDOR VAT VALVE 0430X-BA24 AMAT APPLIED MATERIALS PRECLEAN CHAMBER PROCESS KIT 0040-20561 APPLIED MATERIALS UPPER MAGNET ASSY 0010-30591 Aremco Accu-Coat 3230-BL Pneumatic Lab Bench Screen Printer AS IS/UNTESTED ENI DPG-10, 250 KHZ, 1250W, APPLIED MATERIALS ASM 1012-274-01 KIT – ADAPTER HLS VESSEL TO ASM 180 TD+/LEAK DETECTOR/ALCATEL VACUUM PRODUCTS ASM PN 2051524-01 Heating Element, ASM DFS HT Furnace ASM PN: 54-123388A01 Controller-System-Mecca Module, MN: 80.80.37.676 Chess ASML 300 MM TWINSCAN SCANNER WAFER PIN CHUCK TABLE 4022 481 41253 SEMIXICON ASML GRIPPER ASSEMBLY FOR PAS 2500/5000 4022-451-04002 WITH 4022-435-0190 ASML PAS 2500 5000 STEPPER HINDS PHOTOELASTIC MODULATOR ELECTRONIC HEAD DPEM 200 ASML PAS 2500 5000 STEPPER TESA BOX ASML PAS 2500 RETICLE TABLE ASSEMBLY 4022.430.0351 ASML PHOTO RETICLE HOLDER 4022.431.01061/26010606.1 ASML Scanner FBA TIS DUV SWS Sensor ASML TWINCSCAN SCANNER LENS ASSEMBLY 4022.656.21202 ASML USED 4022.454.39742 Carl ZEISS Assembleon AX-5 Series ProDrive Placement Controller PA 1800/01 – 4022 591 1078 ASSEMBLY OMEGA+ CARRIER 210762-001 NAURA AKRION INC ASSY BEFFER BLADE HP PLUS HTHU 200MM, 0010-75512 ASTeX – FI20606 – MICROWAVE MATCH, Smart Match Astex ASTRONex FI80132-R Astex AX3060PSK-1 Smart Switch Astex FI20061, FI20104, FI20099, AMAT 0920-01072, 3750-01129, Microwave, 422422 Astex FI20065/FI20106 SmartMatch Applicator, AX7610-3, AMAT 3750-01114, 422423 Astex/MKS 1.8 kW Microwave Downstream Plasma Source, Smart Match, Mag Head +More ASTEX/MKS PARAGON AX7700IPS-01 (IPN: 0190-39484) Asyst FAB 200mm Wafer Handling Robot 04300 Asyst Technologies 6010-1010-01 WAFER MANAGEMENT SOFTWARE W/ HP CPU ATM-207-2-S-CE / ROBOT (6-0002-0660-SP) AIT WITH EXCHANGE / EQUIPE TECH Autocollimator AX7651 / REMOTE PLASMA SOURCE GENERATOR / ASTEX Axcelis 1526670 Plasma Flood 2 Interconnect PCB Assembly PCB #1426670 Azimuth ACE 400-WB Channel Emulator w/ 14 day warranty. BD4-7497 / CHUCK REMOVAL/CLEANING TOOL I 4 – I 5 / CANON Bede Scientific QC-1A X-Ray Diffractometer XRD System BG4-2102 / TTL-AF CPU / CANON USA INC BG4-3835 / CPU20 II / CANON USA INC BG4-4078 / AF CPU / CANON USA INC BG4-5975-R00 / I1/I3 EXPOSURE LAMP POWER S. SB-15202AP / CANON BG4-7237 / CANON 3000 SERIES OPTF LED BOX / CANON BG5-0855-000 / ROBOT, ASSY, SCH THETA, 300MM / CANON BG9-2160 / RC IF PCB / CANON USA INC BG9-3715 /TILT WZ I/F PCB/ CANON USA INC BG9-3718 / XY STAGE IF PCB / CANON BG9-3727 / X-Y CPU PCB / CANON Bi-Level Tilt Conveyor, Bi-Level Transport System Conveyor, Multi-Level Conveyor Bio-Rad Microscope – 30 day warranty BLUE M ELECCTRIC OVEN DCW-146-C-MP550 SN; DC9148 BM-W845 SMT Lead Free Reflow Oven From 1 Click SMT Technology BOC Edwards iGX100N Vacuum Pump, 453518 BOC Edwards iGX6/100M Vacuum Pump, iGX6/100M200V, 453196 BOC Edwards iGX6/100N Vacuum Pump, NRY09S000, 208V, 3PH, 60HZ, 453183 BOC Edwards IGX600M Vacuum Pump, 453184 BOC Edwards IGX600M Vacuum Pump, 453186 BOC Edwards iGX600M Vacuum Pump, 453190 BOC Edwards iGX600M Vacuum Pump, IGX600M 200V, 453189 BOC Edwards iGX600M Vacuum Pump, iGX600M 200V, 453195 BOC Edwards iGX600M Vacuum Pump, iGX600M 200V, PA66-(GF25)-FR, 453191 BOC Edwards IGX600M Vacuum Pump, LAM 796-050890-0010, 453187 BOC Edwards iGX600M Vacuum Pump, LAM 796-050890-010, 453182 BOC Edwards NWH896030 TCU 40/80 Plus w/ Lonworks Chiller, Heat Exchanger, 453089 BOC Edwards STP-XH2603C Turbomolecular Pump Control Unit BOC Edwards W-75 Wet Scrubber for Exhaust Gas Abatement BOC Edwards W95000000 TCU 40/80 Chiller, Heat Exchanger, 453090 BOC Edwards WLAM4D6900 Chemical Mover Cabinet, Acid, Filtration, 453287 BOC EDWARDS XDS35I BPP8 / ASSEMBLY,BATCH TRANSFER REPLCMNT,RECIF / RECIF INC BRAND NEW Leybold dryvac 251 dryvac+blower package Brand new NPP new power plasma NKG-15km 360-440 Khz 15kw plasma generator supply Branson/IPC 4055 Plasma Surface Treatment system Brewer Science 10 Intelligent Hot Plate Spinner Photoresist Coat Spinner USA Brooks 11070-02 PCB DNC Robot Controller 3500 Rev.D BROOKS 300mm LOAD PORT Vision Model Number: 162770-32/G Untested!!! *SEM6 Brooks Automation 194600-FRU End Effector 450 mm Vacuum Wafer Transfer NEW (5700 Bruker Nano Inc. Electron Beam Optics ASSY 23-169096-000 Bruker Nano Inc. Motor Gearbox ASSY 60-023168-000 Buehler Beta Grinder Polisher with Vector Power Head Metallurgical Sample Prep BW Tek BTC261E cooled InGaAs SWIR spectrometer 870-1600nm software ocean optics C-4110-567-0001 / FREX,POR,HEAD,CERAMIC / EBARA CAMALOT Z-AXIS ASSY,HI-CAP, DIRECT DRIVE HD-Z AXIS REFURBISHED P/N 47071R Canon BG9-1842-000, Board IME I/F, PCB, BG9-1842, BH8-0222-01. 418404 Canon BG9-1842-000, Board IME I/F, PCB, BG9-1842, BH8-0222-01. 418405 Canon Y60-0981-000-000 Plain Parallel Plate, TEL SL03014, 401459, 453325 Cascade Microtech Summit 12000 REL 4800 6100 Dark Box Enclosure University Disnt CE10MHSK8YR entegris gatekeeper hydride gas purifier matheson nanochem NH3 ASH3 CEDARBERG Heavy Duty Rotary Phase Converter 8100-007 CEDARBERG Heavy Duty Rotary Phase Converter 8100-008 CEE Brewer Science 1300 Wafer Hot Plate Bake System Precision Hotplate WARRANTY CEMP-0018X4 / MAIN DISPLAY PANEL LCD / DNS DAI NIPPON SCREEN Cencorp TR-2100 router Ceramic Puck for Surfscan 64X0 End Effector Surf 6420 6400 339830 CESA-804X6 / DRVR (R) MOTOR BOX SERVO PK DNS80A/CACR-03-DS3BUHY5 / DAI NIPPON Cesar 1310 / CESAR 1310 RF GENERATOR / DRESSLER Cherusal TM-101PR-MKIII Pulse Heated Bonding Machine With Kowa Cameras CKD VALVE SYSTEM CONTROLLER VEC-SHA8-X0340 VEC-VH8-X0331-2 VEC-CA8-X0312-3 Coherent VITESSE Laser Diode 5W POWER SUPPLY Rudolph Metapulse MP MPII MPIII Comdel CX-600S 13.56MHz RF Generator FP3114RA CX-600A CX-600 COMET TCP Match 1034138 Lam 660-243028-200 RF Generator Computer Module Controller, Novellus, 453100 CONCEPTRONIC HVN 102 Conveyor belt OVEN solder soldering circuit board assembly Control Micro 20W Fiber Laser 06036 Coorstek Silicon Carbide Vertical Furnace Boat TEL KOKUSAI ASM, 200mm 220 Slot CPI 73154711 Cathode High Voltage Tank, 731547, 423786 CPI CPW2870B10 Power Supply, 27-251231-00, 460/480V, 58A, 50/60Hz, 3PH, 421032 CPS500AS 0190-13320 / FP0112RE13.56 MHZ RF POWER SUPPLY / AMAT CPX 0500M / COMPAX CONTROLLER 951-100200 / PARKER CRD320010CVTY / ASSY, PLASMA TUBE, ES3 EATON FUSION / AXCELIS TECHNOLOGIES CryoGenics, CTI-8116081G006 CS1B14-000011-12 / HEAT EXCHANGER..AIH-64QS-T5 KOMATSU MODEL 20000300 / TEL CS1B14-000011-12 / HEAT EXCHANGER..AIH-64QS-T5 MODEL 20000300 / KOMATSU TEL CT2985-428252-16 / CHAMBER (ACT8-ADH) CHAMBER ASSY / TOKYO ELECTRON TEL CTI Brooks, 8033264 Cryo Pump, Cryo-torr 8R, 414681 CTI Cryogenics 8116557G001 On-board 8 MS Cryopump, Helix, Brooks, 453088 CTI Cryogenics 8120704G011 On-Board Waterpump, Vacuumpump, 060-Series, 453094 CTI Cryopump On board 8 CTI-Cryogenics 8120944G001 Helix On-Board Waterpump 3918059G002 W Module, 452691 CTI-Cryogenics 8120944G001 Helix On-Board Waterpump With Controller! CTI-Cryogenics IS-8F P/N: 8185037G00? Cryopump Vacuum Pump CYBEQ 8000 PER4MER / SINGLE ARM WAFER ROBOT, 0125-7002, 30-010-01/ IDE Cybeq Systems, 6100 Robot, Wafer Robot, With Hama Labs DRM100 Laser, NOT TESTED Dainippon Screen GEYH-003 controller with GEIC control card and cables DEK 265 Horizon automatic stencil printer with green camera DEK ELA Automatic Inline Screen Printer – AS IS Parts or Repair Denton 502A evaporator with Inficon XTC controller and Chiller DOUBLET3NOSECONEAIT1ONLY / OPTICAL CYLINDER NOSECONE D3 FOR KLA AIT / TROPEL Dr. Schenk ISM.CD 208 ISM 5178 Optical Disc Defect Scanner Dressler RF Generator, Cesar 136, 287-230 V, 6.3 A, 600 W, 13.56 MHz, 416473 DS2110-361026-11 / REDUCING, 100A X 80A / TOKYO ELECTRON TEL dual boc edwards 10kw supersource single crucible UHV electron beam guns MBE E104354004 / RDAC PCB ASSY / VARIAN E11000090 / QUAD MAGNET POWER SUPPLY LAMBDA EMI TCR 20S50-2-D-0967 / VARIAN E11021390 / INTERFACE BOX, E500/E220 / VARIAN E11024570 / CONTROLLER, VISTA, E500/E220 / VARIAN E11054791 / ARC POWER SUPPLY ASTEX SCH-80 (VIISTA VISION) / VARIAN E11054800 / GAS CONTROL INTERFACE, E500/E220 / VARIAN E11072980 / DOSE CONTROLLER / VARIAN E11075890 / SOURCE MANIPULATOR CONTROLLER / VARIAN E11078751 / WAFER HANDLER PNEUMATIC INTERFACE / VARIAN E11094610 / I/V INTERFACE, E500/E220 / VARIAN E11097240 / CONTROL CHASSIS / VARIAN E11115130 / WAFER LIFT CONTROLLER, E500/E220 / VARIAN E11117551 / DOSE CONTROLLER / VARIAN E11128310 / DOSE CONTROLLER RIGHT / VARIAN E11144020 / MANIPULATOR CONTROLLER / VARIAN E11290090 / MANIPULATOR CONTROLLER 48V-1 48V-2 24V 15V -15V / VARIAN E15004060 / DIGITAL IO RELAY INTERFACE / VARIAN E15004080 / INTERFACE LOGIC PCB / VARIAN E15006110 / 225 WPH INTERFACE PCB / VARIAN E63F1N1A3A1ENN / GLI COMPONENT SENSOR / GLI, INTERNATIONAL Ebara 323-0015 Cryocompressor 2.1 w/ 323-0060 Cryopump, FS-8, 450694 Ebara ESR100WN Dry Vacuum Pump w/ Controller, 453176 Ebara ESR100WN Dry Vacuum Pump, 101250 Ebara ESR100WN Dry Vacuum Pump, 453177 Ebara EV-S100N Dry Pump DKF00124, Vacuum, EMB-EVS2, LAM, S100N, 10000L/m, 101346 Ebara EV-S100N Dry Pump, DKF00124, Vacuum, EMB-EVS2, LAM 796-108048-010, 101335 Ebara EV-S100N Dry Pump, DKF00129, Vacuum, EMB-EVS2, LAM 796-0108048-010, 101288 Ebara EV-S100N Dry Pump, DKF00246, Vacuum, EMB-EVS2, LAM 796-0108048-010, 101304 Ebara EV-S100N Dry Pump, DKF00247, Vacuum, EMB-EVS2, 200-220VAC, 50/60Hz, 101311 Ebara EV-S100N Dry Pump, DKF00287, Vacuum, EMB-EVS2, LAM 796-108048-010, 101275 Ebara EV-S100N Dry Pump, DKF00292, Vacuum, EMB-EVS2, S100N, 10000L/min, 101282 Ebara EV-S100N Dry Pump, DKF00480, Vacuum, EMB-EVS2, 200-220VAC, 50/60Hz, 101310 Ebara EV-S100N Dry Pump, DKF00870, Vacuum, EMB-EVS2, 200-220VAC, 50/60Hz, 101303 Ebara EV-S100N Dry Pump, DKF01023, Vacuum, EMB-EVS2, 10000L/min, 3 Phase, 101263 Ebara EV-S100N Dry Pump, DKF01817, Vacuum, EMB-EVS2, LAM EV-S100N, S100N, 101277 Eckel Anechoic Industrial 2-Door Chamber OD: 80 x 74 x 50 ID: 64 x 62 x 46 Edwards Auto 306 Cryo Evaporator – Model / Order No: E09011000 / M529120 Edwards iH 1000 Edwards TS75W1002 63033 EDWARDS WATER COOLER MOTOR D 112M AND D 90L WITH HOFFMAN CONTROL UNIT EKRA X5 Automatic Solder Paste Screen & Stencil Printer for Electronic Component Electroglas 4090 DPS 3 Camera Electroglas DPS 3 CAMERA ASSY,4080/4090 P/N: 278148-001 Electroglas ESD Probe Stations EMITECH K1250X CRYO PREPARATION UNIT ENI MW-25D-05M1 Matchwork-25D RF Match, 452582 ENI MW-25D-05M1 Matchwork-25D RF Match, 452583 ENI Power Systems LPG-6AL-21321, Low Frequency RF Generator. 416346 Enigis Hyprez 15LM115V Wafer Polishing Lapping Machine,115v, 5A, AMT, 453288 Entegris PIPW15C3S PROTEGO+ IPA Purifier 1500 15NM 3/8″ S300 ENVIRONMENTAL CHAMBER -75C-+200C TPS TUJR EP1676 /SHIELD / IPS LTD Equipe ATM 2211 3 Axis Robot ES1D10-101446-12 / PLATE..BAFFLE, C (DRM2) / TOKYO ELECTRON TEL ESBX80-7090-11 / END EFFECTOR TEL TELIUS TAPER / TOKYO ELECTRON TEL ESC-210 / CONTROLLER ESC210 / EQUIPE TECHNOLOGIES ESC-212 / CONTROLLER KLA8100 AUGUST NSX WHS / EQUIPE TECHNOLOGIES, INC Esec 3088 Wirebonder Ball-Wedge Bonder Espec, Model PV-331, Vertical Temp Industrial Cycling Industrial Oven ETN23A-SC-B / HEAT EXCHANGER PELTHERMO / ORION EVG | 4in/100mm Bond Chuck EVG | 5in/125mm Bond Chuck EVG | 6in/150mm Bond Chuck EVG | 6in/150mm Bond Chuck EVG | 6in/150mm Bond Chuck EVG | 6in/150mm BSA Wafer Chuck EVG | 6in/150mm Ceramic Bond Chuck EVG | CV6200933, 2in/50mm Proximity Wafer Chuck AUT-200 EVG | Small Pieces Bond Chuck FESTO BV-50-100 Pneumatic Feed Unit Type 3646 FI80131 / ASTEX ASTRONEX REMOTE PLASMA SOURCE 0920-00131W / MKS Flexlink E1400 Magazine Loader (160911) Flipper Conveyor, Inverter Conveyor for Double Sided Assembled PCB, NEW Fluid Storage & Dispensing System FormFactor TK5561A PDFAST-F 180 1X32 Probe Card FSI PHOTORESIST 5000A MF-26A MEGAPOSIT BULKFILL CABINET FTS Kinetics RCD151ZLAM Chiller, Thermal System Refrigeration, LAM, 423635 FUJI CP-6 CHIP SHOOTER WITH 8MM FEEDERS – LOW HOURS Fusion Instruments Kevex Delta Plus X-Ray 4952 5232 4461 Hitachi S520 Microscope GEN X 00 8 STD / FRAME GRABBER BOARD GENESIS 720-04 LC MATROX 63039620244 Genesis 623-4202 ICP 200 Quick Regen Cryopump, 8″, Ebara, 60-125932-00. 423394 Genetix ClonePix FL Automated Clone Screening Colony Picker w/ Jun-Air OF302-10S Genmark Gencobot 4/3l Silicon Wafer Transfer Robot Genmark Gencobot 7S/3L Wafer Robot, 7S050009, 3L7S050005, 423055 GENMARK GPR SERIES 700397013 WAFER TRANSFER + CONTROLLER S08RG VER  S4 GEPB-0011X5, 2-VC-31400/ POWER DISTRIBUTION,SK-200/ DNS GERA-001 / TR 10-AXIS DRIVER ASSY D2410-2 SK200 WITH EXCHANGE / DNS GF01-SD360-VS202-100 200X4WX4T-ST / DIAMOND GRINDING WHEEL / DISCO Grieve AA-500 Industrial Laboratory Oven “Ask us for Discount%” H4-7047 / M6751AD 250V AC-24V DC POWER SUPPLY / ADVANTEST Hamamatsu C7041-01T CCD VRA Fine Camera Nikon S7961-1008 NSR-S205C Working Spare HARMONIC DRIVE YASKAWA AC SERVO MOTOR SGMSV-50DPA-YA11 5KW CNC 7333795160 SPA-90 HASKRIS WATER CHILLER REFRIGERATOR INDOOR LX005-AI-3XXXDA-1 Heater Block 6 “- 8″ PN 80.80018.0303 used on Mattson Aspen II HEPA Filter Module, Cleanroom Ceiling with Blowers, Lights, Return Grills. HERAEUS 90163168 5105-100058-B1 QUARTZ VARIABLE FIN PEDESTAL HFK 15Z-TW1 / 1.5KW RF GENERATOR 1.5 OUTPUT 450 KHZ / KYOSAN ELECTRIC Hirata Teradyne Handler HSP Calabration Kit HT02006-C02 / MOTOR,ASSY,PAC (LAM 421417500) / LAM Hughes 2460-II Automatic Gold Wire Ball Bonder with Accessories – Palomar Hughes HMC-2460 Automatic Wire Bonder System WD-8700D1 w/ WV-5350 Video Monitor Hughes Model 2500 Hybrid Automatic Die Bonder with Manual Huttinger Elektronik 952236 2000w DC POWER SUPPLY Huttinger Elektronik TruPlasma MF 3010 Plasma Cutter Generator Huttinger Trumpf TIG30 DC Plus 400V 48A 30kW Plasma Excitation DC Generator Huttinger Trumpf TIG30 DC Plus 400V 48A 30kW Plasma Excitation DC Generator IGC FI-5A Polycold Cryogenic Refrigeration Unit, R2000 Series, 940068-12, 423424 IMTEC ACCUHEAT IN-LINE HEATER 98-000-2266 12KW 208V NEW CHEMICAL BENCH WAFER IMTEC ACCUHEAT IN-LINE HEATER 98-000-2266 9KW 208V NEW CHEMICAL BENCH WAFER IMTEC Tank Process Dual 200mm QUARTZ ACCUBATH QRT/S-A2002-51 IN-2000 L2-RM / OZONE ANALYZER DUAL CHANNEL / IN USA, INC. INDRAMAT PERMANENT MAGNET SCAN SERVO MOTOR 112A-3-VD-1-B/130-A-1/I00625/S005 INFICON 921-407-G1 CONTROLLER Inficon IC/5 Deposition Controller Model 760-500-G2 with 6 month Warranty Innotec DS-28C High Vacuum Batch Sputtering System Chamber – As Is / For Parts Innovative Robotics 4 Axis Scara Wafer Robot  08001-041-011-W01-0J1 FANUC INR-244-117C – SMC THERMO-CON CHILLER Ion Tech ID-3500 Advanced Energy w/Large Bell Jar Ion Beam Coater Evaporator IotPal Corporation Model ISC-4 Spin Coater with Vacuum Pump (up to 4“ substrate) IotPal Corporation Model ISC-8 Spin Coater with Vacuum Pump Iwaki FF-20BT2 Pneumatic Drive Bellows Pump, 450761 Jandel RM3-AR Multiposition 4 Point Wafer Probe w/ Remote, 451056 JOT Automation Magazine Loader J213-52.2/2 (2013) JOT In-circuit Test Handler PN: J401-03 Julabo TCU1 High Precision Recirculating Chiller Heat Exchanger New NIB Presto Julabo TCU2 High Precision Recirculating Chiller Heat Exchanger New NIB Presto Karl Suss | 8in BSA Custom Chuck Karl Suss | BA6 Bond Aligner 4in/100mm Fusion Chuck Karl Suss | BA6/BA8 Bond Aligner 4in/100mm BSA Chuck Karl Suss | BA6/BA8 Bond Aligner 4in/100mm BSA Chuck Karl Suss | BA6/BA8 Bond Aligner 4in/100mm BSA Chuck Karl Suss | BA6/BA8 Bond Aligner 6in/150mm BSA Chuck Karl Suss | MA150/MA200 Mask Aligner 3in/75mm BSA Chuck Karl Suss | MA150/MA200 Mask Aligner 6in/150mm Chuck Karl Suss | MA200 Mask Aligner 8in/200mm Chuck Karl Suss | MA6 Mask Aligner Proximity Maskholder (2.5in x 2.5in) Karl Suss | MA6/BA6 Mask Aligner 6in/150mm Lower Bond Chuck Karl Suss | MA6/MA8 4in/100mm BSA Chuck for 1mm Thick Wafers Karl Suss | MA6/MA8 4in/100mm BSA Chuck for 3mm Thick Wafers Karl Suss | MA6/MA8 4in/100mm BSA Chuck for 5mm Thick Wafers Karl Suss | MA6/MA8 Mask Aligner 5in/125mm BSA Chuck Karl Suss | MA6/MA8 Mask Aligner Chuck, Pieces: 0.75in x 1in Karl Suss MA56 Mask Aligner Karl Suss MA6/MA8 3″wafer Mask Holder Karl Suss MA6/MA8 4″ wafer BSA chuck Karl Suss MA6/MA8 6″ wafer Mask Holder Karl Suss MA6/MA8 BSA scopes Kashiyama MU600X-005 Dry Vacuum Pump, 3 Ph, 3Wire+G Line, 50/60 Hz, 30 A, 453197 Kawasaki NS110C-B001 Chuckbot 4K192-238-4 NSR-S307E 300mm DUV Used KLA Instruments 720-450025-00 KLA TENCOR EV300 AC POWER DISTRIBUTION CHASSIS KLA-Tencor | 0020419-002 Edge Grip Wafer Chuck KLA-Tencor | 712-404561-00, 8M Main Memory Board KLA-Tencor | 740-451952-00, Elevator Assembly KOKUSAI CQ1710 CPU, Made In Japan Working Kulicke & Soffa 7500 / Tokyo Seimitsu A-WD-5000A Wafer Dicing Saw – AS IS L08RPE.2S / ROBOT CONTROLLER FOR 40220-05355082 / GENMARK LAM 853-040482-600 RF AUTOMATCH REV C LAM 857-189084-711 Kit, Showerhead, 300mm, WCVD, Novellus 16-289070-00, 452732 Lam Research / P/N: 660-007613-001 / +/-15 & +5 VDC POWER SUPPLY / REFURBISHED LAM RESEARCH 4520 RAINBOW GAS PANEL- INTACT WITH MFC FOR AR, O2, CHF3, CF GAS LAM RESEARCH 660-091820-001 / Switching Power Supply LAM RESEARCH 8” PROCESS KIT 4420 LAM RESEARCH 853-032776-002 / TCP9600SE Paddle, Lifter,ASSEMBLY Lam RESEARCH ESC 839-019090-633 LAM Research Harmonic Arm Drive Assembly 853-012123-004 LAM RESEARCH UPPER REACTION CHAMBER HOUSING 715-330105-001 LAM RF Match, Custom, 424265 Leybold D65B TRIVAC Vacuum Pump w/ RUVAC WSU250 Blower Booster, 453524 Leybold MAG 1000CT Turbo Pump Leybold MAG W1300CT Turbo Pump Leybold Turbovac TMP-361C Turbo Pump, Refurbished Leybold TW 701 Turbo Pump, 800051V0025, 59V 48000 rpm Leybold TW 701 Turbo Pump, 800051V0025, 59V48000rpm Lightwave Laser Model M210G-532-2500 Longhill LH830 Wafer Mounter Lot of 4 each / ENI DCG-100 DC / DCG2D-A031100021I – 500v & 800v models Wrnty Lot of three Argus FS1028 Flat Quartz Crystal Soldering System LUN-004-001 / TRUSTED HIGH PERFORMANCE ENCRYPTION CHRYSALIS-ITS / LUNA M AND W SYSTEMS, RPC2/28W-RNB, FLOWRITE RECIRCULATING COOLING SYSTEM M AND W SYSTEMS, RPC2/28W-RNB, FLOWRITE RECIRCULATING COOLING SYSTEM M M MICROMANIPULATOR PROBE STATION SYSTEM Magnescale BL55-005NEA5T01 Laserscale Amp with Read Head Nikon NSR-S620D Used Malema MFC-8000-T2104-052-P-001 Flow Controller, Refurbished and Calibrated. Manix CF-21340 Taped Radial Feeder Attachment with Die MARCH INSTRUMENTS PLASMOD PLASMA ASHER CLEANER ETCHER, ACG-3 RF GENERATOR Markem U-1477 Semiautomatic Tray Marker Marking Machine “Ask us for Discount%” Marpet 1204W Wedge Bonder Materials Research Corporation MRC RIE 61 Sputtering System. Ion Etcher Mattson 934-17003-00 AT Motor Driver STP-2M(PC) Aspen II MBE XHV mdc vacuum conflat UHV magnetically coupled sample entry loadlock AMAT MEA-000-200-250 / FAN ASSY WESLAN MOTORIZED IMPELLER KIT/ LAM RESEARCH CORP MGS Rotary Pick and Place Machine Model RPP-421 460V Micrion FEI 9500 peabody Focused Ion Beam Stage Micromanipulator E225868 MicroSense – FCM-10 – Magnetic Field Control Module – Used MICROTECH WET BENCHES QTY 2. Looking for swift sale, please make offer MILLI-Q, INTEGRAL WATER SYSTEM, WITH DISPENSER AND STORAGE TANK Mirtec MV-7L In-Line Automated Optical Inspection (AOI) MKS ASTEX AX3060PSK-1 SMART MATCH MKS Astron Astex Generator, AX7651 (Novellus FI20656-1 / 27-149580-00) MKS ASTRON hf-s AX7645 Remote Plasma Head * ASTRONHF-S AX7645RH-01 27-282770-00 MKS Astron RPS Remote Plasma Source, 452385 MKS AX7700MTS-01 MKS Instruments ASTeX FI80131 ASTRONe Industrial Remote Plasma Source RPS MKS MICROWAVE SMART POWER MODEL FI20161-1 MKS PORTABLE FLOW TRANSFER STANDARD, MODEL PFTS2A MKS UTI QUALITORR REMOTE STATION CONTROLLER RACK APPLIED MATERIALS MKS-AX7650- ASTEX REACTIVE GAS GENERATOR MORAT DRIVE SYSTEM COMPACTA AG 60 206-240V 60 HZ 6-60-AG60-AMATO MPM Corp. SP200 Semi Automatic Automated Circuit Board Screen Printing Machine MRC ECLIPSE SOFT ETCH PROCESS KIT 4653879-0002 MS14-2 / CURRENT INTEGRATOR / GENUS MWD-55LD-01, 3D80-000076-V4 / AUTOMATCH,13.56 MHZ, 100-220V 50-60HZ / TEL N860-3750-T101 / KEYBOARD FUJITSU DNS AS-2000 W EXCHANGE / DNS DAI NIPPON SCREEN Neat 330UP Programmable Motion Controller w/ Stage Neoden T5L SMT Reflow Oven (pre-paid tariffs) Neslab Merlin M150 Recirculating Chiller Thermo Fisher Scientific 100094 Working Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 450825 NEW Applied Materials/AMAT 0020-70275 Faceplate, 300mm NEW Applied Materials/AMAT 0040-53688 Gas Box *NO BLUE BOX!* NEW ASM PN: 04-179611-01 In-Kit-Susceptors-DTS-P8300 (Susceptor Polygon) NEW ASM PN: 04-188653-01 Kit-Reactor Gate Valve Intlk-Ph1 NEW ASM PN: 91210-35118 High Speed Switching, Manifold Valve KITZ SCT NEW Genmark SMALL 9800106571 Robot System Controller ASM PN: 54-115407A12 NEW LAM RESEARCH 715-030002-001 RING, FILLER UPPER NEW LISTINGAMAT Applied Materials 0010-76015 P5000 Cleanroom 8″ Robot with 0040-09037 Spare NEW Nordiko 8000/8550 Electrode Shutter Drive Actuator HFUC-32 Spinea Heason NEW Plasma Cleaner, Plasma treatment system, plasma asher, plasma system, march SEREN R601 RF Generator Power Supply 2021 model NEW Traverser Shuttle Conveyor, SMT Traverser Conveyor, Line Combiner Conveyor New/NOS Komatsu AIH-65QS-LM3 Temp Controller NIBCO 922275-003 CFS Rev.1, PVC-I NSF-PW D2467 8″, Valve, Assembly. 417047 NIHOH KOSHUNA RF GENERATOR 200-208V, 10K, MODEL; MKS-050B-PS-V/3Z80-000036-V3 Nikon 2nd Relay Rear Optic Lens NSR-S204B Step-and-Repeat Scanning Used Working Nikon 4G746-090 AIS/BFP Plate NSR-S306C DUV Scanning System Used Working Nikon 4G746-103 AIS/BFP Plate NSR-S307E 300mm DUV Scanning System Used Working Nikon 4G746-103-2 AIS/BFP Plate NSR System Used Working Nikon 4S066-021 MSZ Amplifier SPA373DC NSR 4S013-684-1 System Used Working Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR-S204B Used Nikon KBB18530-00 WL3 Slider NSR-S204B Step-and-Repeat System 4S013-212-3 Used Nikon KBB18530-00 WL3 Slider Rail NSR-S204B Step-and-Repeat System Used Nikon Optiphot 200D Nikon Ring Chuck 200mm NSR-S204B Step-and-Repeat Scanning System Working Surplus NIKON SF WAFER LOADER CONTROL CAB ASSY Z-X3-B10701RU NIKON WAFER CHUCK ASSEMBLY / LEVEL UP 15P-09-1285M / NIKON Nikon WL4 Slider Rail NSR-S205C Step-and-Repeat Exposure System Used Working Nordson 1601211 CW2 UV Cooling Wave Microwave Light Source, Novellus 423488 Novellus 04-311910-00 PM KIT, CUP REBUILD, APC 1MM, 300, SBR,-XT NOVELLUS 15-268083-00 INOVA, CERAMIC DOME, OEM, USED, EXCELENT COND. NOVELLUS CONCEPT GAMMA CERAMIC DOME SHIELD 15-377294 NOVELLUS TRUST AUTOMATIONS AXIS SPINDLE CONTROLLER ROBOT 27-278697-00 REV B Novellus Type 02-00399-00 HTR ISO Filter Rev G OEM Photonics Polychrome 2 Illumination Unit MG04 & Control Unit SA3 OEM-25A-01M5 / RF GENERATOR / ENI Oerlikon Frequency converter MAG.DRIVE digital – Profibus Part no. 400035V0013 Oerlikon Leybold MAG W 600 iP 410600V0525 ISO-K Turbo Pump w/o Controller OGP Smartscope Flash Video Measuring Machine OL40010334 / HI VOLT SERIES 400 CONTROLLER / HITEK POWER Olamef TP6/PR-F Machine OMAX 50X-1500X 5MP USB3 Digital Infinity Polarizing Metallurgical Microscope OMAX 50X-1500X USB3 14MP Digital Infinity Polarizing Metallurgical Microscope OMAX 50X-1500X USB3 18MP Digital Infinity Polarizing Metallurgical Microscope OMAX 50X-787.5X Trinocular Petrographic Polarizing Microscope+1080p HDMI Cametra OPTIPHOT 200 / MICROSCOPE 100-120VAC 3A 50/60HZ / NIKON Orthodyne Electronics M360C Heavy Wire Bonder Machine 700W 95-264 VAC Osmium sputtering target Os 99.9% 2″ diameter x 0.25″ thick: ACI ALLOYS P15836 / LINEAR TRACK SYSTEM, 300MM 20.5 6-0002-0196-SP LTRA 030-SB-RUD /BROOKS Pacific Trinetics Corporation BF-200 Semi-Automatic Blanker/Framer – PTC Group PC61910P07485 / POWER MODULE, TOSHIBA GCI6720G050B / TOSHIBA PCI02B2GH 910-07061 / SGS PCB KLA 003099-000 901-40044R.1C XUV IMG / MERCURY COM PCP4J256H-Q1 900-07034/PCB VANTAGE RT7410-HCD 901-40044R.1C XUV IMAGE COMP/KLA PDS-105C-KPM4-S01 / CHEM MONITORING SYSTEM,PUMP / IWAKI AMERICA PE-25 Plasma Etcher, Plasma Etch, Plasma Cleaner, BRAND NEW, Made in USA PE-40HBH-S016 / HIGH TEMPERATURE BELLOWS PUMP / NIPPON PILL Pegasus 2000 DSP Dual sided prober Perkin Elmer 16-025 Heat Exchanger, Deionizer, 451852 Perkin Elmer Sputtering System 2400 Sputter Deposition Coater Unit UNTESTED READ PFD3-322A / BELLOW PUMP,TEFLON,12GPM-1 FLARE FLUID / KINETICS CHEMPURE SYSTEMS PFD3-322S / BELLOW PUMP TEFLON 12 GPM-1″ FL. SLURRY / ASTI Pfeifer Turbo Molecular & Roughing Drag Pump System & Controller & Warranty Pfeiffer Turbo Molecular Pump HiPace 1200U with TC 1200 with warranty PFEIFFER Vacuum HiPace 1500 Turbo Pump DN 250 ISO-F Flange w/ TC1200 PB + Valves PGI00030ZH00 / TUBE-HEATER HEATER TUBE / YOUNG-TECH CO, LTD PG-RR-B0D1 / ATM WAFER TRANSFER ROBOT / CANON PILine Controller C-867.260, 110044706, SHIPSAMEDAY Plasmatherm | 790 Lower Chamber Plasmatherm 8.375″ Diameter Complete ICP Electrode Assembly Plasmatherm PECVD PT530 System Plasmos SD 4003 200mm Automated Ellipsometer AF-750 Lang MCC 12 + MP 4000 As-Is POWER SUPPLY ASSY – 5141010 PRE-201-CE / PRE-ALIGNER, SIDE MOUNTED CONNECTIONS, BROOKS AUTOMATION / PRI Promation LLD Magazine Handler ProMix Easy Electronic Proportioner PS WX20N50.0Y69 / HIGH VOLTAGE POWER SUPPLY, VISTA VISION /GLASSMAN HIGH VOLTAGE PS/EX180P5A, GLASSMAN HIGH VOLTAGE POWER SUPPLY, S/N: M906379-02LE (DRIV) (AMAT PS066011-110 / LAMBDA ELECTRONICS 110V NOMINAL / VARIAN PS-ER20N15 / ELECTRON SUPPRESSION / GLASSMAN HIGH VOLTAGE PTS06001UNIV / TRISCROLL 600 DRY VACUUM PUMP,1 PHASE MOTOR 1201006416 / VARIAN QI Queensgate Instruments NS2300D Controller 4S288-213-1 NSR Used Working Quad IVC Assembler Queensgate NS2300/A Position Sensor 4S587-005 NSR-S205C System Used Working Queensgate NS2300/D Position Sensor Unit Nikon 4S288-213 NSR-S205C Working Spare Queensgate NS2300/D Position Sensor Unit Nikon 4S288-213-1 NSR-S307E DUV Used Quintel Mask Aligner 86D06 Quintel mask aligner q4000 IR Rapid Thermal Annealing Processing RX Series System, Freight Item Reflow Oven Vitronics Soltec Reynolds Tech 7 Ft 4 Tank Wet Bench Work Station. Excellent Condition. RFPP RF30S RF Generator, Mattson, 418894 RFPP WATER COOLER RF POWER SUPPLY 208 VAC 50/60 HZ 3 PH MN3150013-001 ROFIN STARWELD HRX SW 90 CARL BAASEL LASERTECH WELDING YAG LASER 1064NM 3PH Rorze PR700L1528-204-131-2 WAFER TRANSFER + CONTROLER + PROFACE TEACH PENDANT  RORZE RR701L0914-204-204-1 DUAL ARM WAFER TRANSFER ROBOT Rorze RR716L1523-3A6-211-1 Wafer Transfer Handling Robot Royce 550 Pull Test System RPG-50A / RPDG-50A-00 AC IN-3/PE-200-208V +/- 10% 30A 50/60HZ OUT 5KW / MKS RS 3060CJ / ELECTRON TUBE / THALES Rudolph / August NSX 90 Inspection System RUDOLPH MetaPulse MPII MPIIIa EOM P23441 and P23440 Pump Probe S-2192 / ELECTRODE 14 RING 1610 / SAMSUNG AMERICA INC Saint-Gobain Norton 3413899R, 311504-N, Furnace Insert, Silicon Carbide. 417046 Samsung CP40CV Pick and Place Machine SAMSUNG INDUSTRIAL PC, VOYAGER PBP08P3400 INTEL CELERON 2GH L623A829, 512MB DDR SCHLUMBERGER CREDENCE LTX TEST HEAD PCB 494000850 77911544 IS90EF2CO5421 SCREEN SPE USA/DNS Electronics 5-F1-D2949-01 WTR CHUCK Seagull’s High Capacity Air Bearing Spindle, Collet Clamp Included. Very High Ef Seiko Seiki SCU-H1301L1B Turbomolecular Pump Control Unit 796-360188-001, 422581 Seiko Seiki SCU-H1301L1B Turbomolecular Pump Control Unit 796-360188-001, 422582 SEMES PLASMART PATH FINDER AUTO MATCHING GENERATOR PF05100-3B36S-1 5KW SEMES PLASMART PATH FINDER PFDUAL-6B36A-1 INTELLIGENT AUTO MATCHING MACHINE SEMES PLASMART TECHNOLOGY PATH FINDER AUTO MATCHING GENERATOR PF05100-3B36S 5KW Semitool | 810R0011-01, 6in/150mm Teflon Clamshell, BAL 0-25 Semitool | 810R0011-01, 6in/150mm Teflon Clamshell, BAL 20-25 Semitool, 1-Phase, SRD, Spinner Rinser Dryer, 4″, 416296 SEREN POWER SUPPLY 1000 WATTS 47-63 HZ CONTROLLER L1001 SHIMADZU CORPORATION TURBO PUMP TMP3203LMC-K1 Signatone 6” Manual Wafer Prober Simplimatic Cimtrak PCB Board Inverter / PCB Flip Conveyor Model 3050 Simplimatic Cimtrak PCB Conveyor Slide & Turn / Rotator / Turn Unit Model 3040 SMC HRZ004-L1-NY Thermo Chiller, 453093 SMC HRZ010-WS Thermo Chiller, Heat Exchanger, 453097 SMC HRZ010-WS Thermo Chiller, Heat Exchanger, TEL TAC-3PPZZPP, 453101 SMC HRZ010-WS-Z Thermo Chiller, Heat Exchanger, 453091 SMC HRZ010-WS-Z Thermo Chiller, Heat Exchanger, 453095 SMC THERMO CHILLER AIR COOLED REFRIGERATED HRS024-A*-20-* E19311190 REV A NEW SMT Magazine Unloader Conveyor, NEW, 2 Lanes or Single, NG OK SMT MAX QM-1100A AUTOMATIC PICK-AND-PLACE MACHINE SRS RGA 200 SSM Solid State Measurements INC. SSM 470I CV MEASUREMENT SYSTEM Stainless Steel Hot Chuck Concentric rings for vacuum connection 200mm Staubli Automation RX60 CR zygo Cleanroom Robot with 14 day warranty Stepper, Ultratech 6” Chuck with Mirrors corts Stepper/Mask Aligner Lens? KB-02? 4 1/2″ Stoelting Trek Triton InLine PCB Aqueous Washer STP-301H / PUMP, SEIKO, S9300 / EDWARDS STS Load Lock Controller Surfx Atomflo T Atmospheric Plasma Generator Controller 27.12MHz 300W 110-240V Surfx Atomflo T Atmospheric Plasma Generator Controller 300W 27.12MHz 110-240V Suss | 1023248, Complete Spacer/Clamp Mechanism Suss | 610MS889, Z Axis Motor Suss | 860214001D, Motion Control Board 4Axis/T5, W5 Suss | LH0602148, 3/8in Gap Lamp House Suss | LH0699183, 1/2in Gap Lamp House Suss | Z Axis Shaft, Nut, Bearings, Bracket, Gear SYS68K / FORCE 30 R2 COMPUTER, 5907092, 5907048,CPU-30ZBE 58ED3960 / FORCE Tabai HROV82-315-PSLATCH AC Temp/Humidity Chamber W/PS Rack And Driver Cage TDK Corporation TAS450 Wafer Load Port Type A2 Untested For Parts or Repair SEM TE-024496.3A / PCB HX+300 CHILLER DISPLAY WITH EXCHANGE / NESLAB Technical Devices Nu / Era Wave Solder System Technics 500-II Plasma Etcher and Asher System  Tel 4U Server W/Peak760VL2, VPM-8100X-000, DN3-PCU-1-E V1.2.8, 43-800115 *TQ833 TEL Tokyo Electron 384 ADH Pin Stand Station 2985-403464-W1 ACT12 200mm Used TEL Tokyo Electron 3D10-100596-13 Depo Shield UED DT-40 TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Spare TEL Tokyo Electron 51161-802-001 Interface Block Robot IRAM Lithius w/300mm Fork TEL Tokyo Electron 847 Adhesion Process Station 2985-429208-W4 ACT12 200mm Used TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12 200mm Used TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12 200mm Used Working TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12-200 200mm Used TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12 200mm TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12 200mm Spare TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12-200 200mm TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12-200 Working TEL Tokyo Electron ES3D05-200123-12 Depo Shield QZ-LV TEL Tokyo Electron IRA Interface Robotics Arm ACT12 300mm No Pincette Used TEL Tokyo Electron P-8 PCB Card Cage Controller MVME 147-023 Used Working TEL Tokyo I/O 4 Stage Carrier, Used TEL Tokyo I/O Port Module Carrier, Used Telemark 123-1003-6 Power Cabinet, TT w/ 123-1009-1 Emission Control Mod. 453205 TEMESCAL “SuperSource” 6 POCKET E-BEAM SOURCE (GUN), VERY CLEAN TEMESCAL VES 2550 2 GUN ELECTRON BEAM EVAPORATOR, Tenney Benchmaster BTRC Temperature & Humidity Test Chamber TF926719-001, 12-11192-5 / CLEANSTREAM SOLID STATE COOLING SYSTEM1100/H3000 Thermco TMX computer cabinet, 4 tube 5204, complete as removed from service thermionics UHV 4 crucible 6kw electron beam gun evaporator on 12″ conflat MBE thermo vacgen vacuum generators VG scienta HPT 4 axis heated cooled translation Thermonics T2427 Temperature Forcing unit -80 225 C Calibrated Warranty CFC Free Thermonics T-2500-75 -80C 225C Precision Temperature Forcing System Qty 2 AS IS Thermonics T2500E DUT Temperature Forcing Unit Thermonics T2500E DUT Temperature Forcing Unit Thermonics T-2500E Precision Temperature Forcing System Thermonics T-2500E Precision Temperature Forcing System Thermonics T-2650BV Benchtop Precision Temperature Forcing System -60°C to 200°C THERMTEC MULTI TUBE FURNACE WITH ARGU GAS CONTROL 4FT LONG 6″ DIAMETER THESystems Impact 2.x Fixture B PCB Burn-In Test Assembly w/ 1 Test/Burn Chamber TMC Stacis 2000 Complete Active Piezoelectric Virbration Control System TMC-7i-740 / PULNIX CAMERA W/EXCHANGE THERMAWAVE 52XX / PULNIX TOB1069 / BOARD IO CSB #03 CPC-T0069A-13 LITHIUS PRO / TOKYO ELECTRON TEL Tokyo Electron 1187-058130-13 Manifold 8S-L-A3-W-I-1 A21187-058130-13 KS0063-013 Tokyo Electron ES1D10-204338 Upper Electrode TOP RC TWINSCAN XT 1950H1 ASML 4022.639.94732 NEW STILL WRAPPED trumpf huettinger truplasma mf3010 10kw 200-600 khz rfpp AE advanced energy Trumpf Lamp Power Supply Unit CW 0760874 New In Crate turnkey mobile RGA cart with oil free pumping, computer software inficon / mks UA014/546A / HOST CONTROLLER SK2000 2-VC-41424? MEIDEN / DNS DAI NIPPON SCREEN Ultratech 1500 Reticle slider Ultron Systems, In. UH 130 Die Matrix expander Ultron Systems, Inc. Exposure system UH101 ULVAC CRYOGENETICS CRYO SUPER TRAP PUMP, CRYO-T10E-RM, NEW ULVAC CRYOGENICS COMPRESSOR UNIT C30ZR-S1 CRYO COMPRESSOR C30 ZR S1 ULVAC-RIKO Desktop Infrared Furnace, Model MILA 5000 , MDG. ZR079608-0, Power AC Universal FlexJet 09, on head camera 7 spindle complete unit Universal Instruments PCB Shuttle Gate Conveyor Model# 5374i Universal Instruments PCB Shuttle Gate Conveyor Model# 5374i Universal Instruments Radial 8 – 6380A Add On Sequencer Module 47040003 Universal Lightning Head Assy (49510706) Used Aoi Machine RTI 7500 CR Technology Automatic Optical Inspection Machine UW200 UW200Z UW-200Z Quartz Wafer Guide V20215A / COMPUTER VIPER 2401 / KLA TENCOR CORPORATION Varian 7698931 TV-1001 SEM Turbo Pump VARIAN 9294011S002 929-4011S002 / MULTIVAC ION PUMP CONTROLLER KLA 780-14095-000 Varian 9699361K Turbo Pump w/ SQ158 Contoller, 422570 VEC-R-V80B0W2-400H / SYSTEM VALVE CONTOLLER / CKD CORPORATION VEC-VF8-X0001 2112-000119-11 / VALVE CONTROLLER &TUBE VACUUM / CKD CORPORATION Veeco Dektak 8 Programmable Stylus Surface Profiler VEECO Di SIGNAL ACCESS MODULE,NANOSCOPE SCANNONG PROBE MICROSCOPE CONTROLLER Veeco Metrology Group Illuminator Control Scanner Amplifier Surface Profiler Veeco Solus Ion Source Controller Model FC-FN Low Power, Part Number: 428301 Veeco Solus Ion Source Controller Model FC-FN Low Power, Part Number: 428301 Veeco Wyko NT9100. VEI9007850 / POWER MODULE SERIES 3000 OL/3000/353/02 / ADVANCE HI-VOLT Ventex 8671 VEN-0019-00V Ceramic PIN Wafer Chuck 200mm TIC Coated Verigy 93000 E8012A Pin Scale 400 Channel Card P/N: E8012-68511 VERITY EP200MMD .2 METER MONOCHROMATOR VERTEQ S600-42 VESTA 300MM ELECTROSTATIC CHUCK 1201B-48512 VESTA 300MM ELECTROSTATIC CHUCK 2X004T-00111 VESTA 300MM ELECTROSTATIC CHUCK 2X30B-80811 VESTA 300MM ELECTROSTATIC CHUCK 3400IT-07913 Vitronics ISO5006 Solder Reflow Oven 208 VAC 3-Phase – No Computer / Manual VL-50S / LITEMATIC FORCE MEASUREMENT 957460 / MITUTOYO VPD3-1S MOTORIZED PCB DEPANELER Wafab inc. 3000 watt recirculating heater Wafab inc. WHO-5232312 3000 watt recirculating heater Wyko HD 2000 Optical Profilometer XP POWER Comdel CLX1000 RF Generator FP1248R3 AMAT PN 0190-07556 Yaskawa Electric ERCR-NS01-B004 Robot Controller YASKAWA ELECTRIC JAPAN ROBOT AUTOMATION TRANSFER SYSTEM XU-ACL 4230 Yaskawa Wafer Robot XU-RC350D-D91 Dual Arm Transfer with Warranty Yokogawa/ Ando AQ6331 Optical Spectrum Analyzer YR-CRJ3-A00 / ROBOT MOTOMAN CS023-003277-1 / YASKAWA ELECTRIC YR-CRJ3-A00 Zeiss SEM Wafer Probe Stage 6 motorized probe positioners camera 14 day warranty Z-X3-B3606RU / MOTOR CONTROLLER 24V 10A / NIKON Zygo Mark IV XP Interferometer System w. Computer – Parts/Repair – 6174-0100-01 Zygo Mark IV XPS Interferometer System w. Computer – Parts/Repair – 0500-0200-01

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers