SemiStar Corp.
SemiStar Corp is a privately owned company that provides comprehensive technical and business development solutions in high-tech manufacturing and research, with applications in the semiconductor, MEMs, biomedical, nanotechnology, solar, LEDs sectors. More specifically, SemiStar Corp. specializes in providing high quality reconditioned semiconductor equipments and express spare parts delivery worldwide.
Based in the heart of Silicon Valley, our experienced management and engineers are dedicated to ensuring optimal quality and customer satisfaction through a timely support system. Our team is committed to being your long term business partner to help you achieve your business goals.
hermal annealer, Lindberg furnaces,Plasma therm ICP (deep RIE), Plasma therm PECVD, Plasma term RIE, STS PECVD, PlasMos ellipsometer, Ozone stripper, Alpha step profilometer, Veeco Dektak profilometer, Filmetrics Profilm3D Profilometer Tencor Alpha-Step 200 Profilometer AST VCA Optima XE Zeiss Axiotech Microscope Cascade Probe Station PDMS Alignment Tool 3D Systems Figure 4 3D Printer Motic BA310MET-T Microscope CorSolutions PDMS Port Creator Disco DAD 2H/6T Wafer Dicer Fisher Muffle Furnace Lindberg/Blue M Tube Furnace Blue M Oven Lindberg/Blue M Oven Unitron Z10 Stereo Zoom Microscope Leica Stereo Zoom Microscope Electro-Technic Products BD-20 Corona Treater Harrick PDC-32G Plasma Cleaner PDMS Station Brewer Science Cee 100 PVD Chamber Stratasys Mojo 3D Printer Universal M-25 CO2 LASER Engraver SUSS MicroTec PMC-150 Cryoprober Keysight PNA Network Analyzer N5127B DC-67 GHz Lakeshore CPX Cryogenic Probe Station Zurich Instruments HF2LI Lock-in Amplifier Cascade Microtech Model 9000 Manual RF Probe Station QuikLaze-50 Multi-Wavelength Laser Trimming Station JFP Microtechnic Flip Chip Bonder WestBond 747677E Three-Way Convertible Manual Bonder Cincinnati Sub-Zero MicroClimate Temperature Chamber Zyvex tDriver 1600 Micro-Electro-Mechanical (MEMS) Control Station Lithography Equipment DUV Exposure Station and Aligner MicroWriter ML3 Pro Metrology Equipment Ellipsometer Reflectometer Atomic Force Microscope (AFM) Scanning Electron Microscope (SEM) NIR-UV-VIS Spectrophotometer Measuroscope Optical Microscope Plasma etching (Deep Reactive Ion Etching) Analytic Probe Contact Profilometer Optical Profilometer Processing Equipment Plasma Asher Hot Plate Station Non-vacuum Oven Denton Plasma Coating Xanthos Hood Probestation (Zeus) PE50 O2 Plasma Asher Oxidation Furnace-Wet Teaching Bay Diffusion Furnace (MRL P-Type) Teaching Bay Diffusion Furnace (MRL N-Type) Hydra Wet Hood Chimera Wet Hood Wafer Bonder 3-Zone Tube Furnace Ebeam Evaporator RTP Oxford ICP/RIE Back-End Processing Critial Point Dryer Lapping and Polishing Tool LatticeGear Scribing Tools MA 1006 Dicing Saw Chemical Vapor Deposition EasyTube Diffusion Furnace Fiji Plasma ALD GSI 2000 PECVD SSI Solaris RTA Etching AutoGlow 200 Plasma Cleaner Oxford 100 ICP RIE Oxford 80+ ICP RIE Oxford Cryo Bosch DRIE XeF2 Silicon Etcher Lithography EVG 620 Mask Aligner Heidelberg DWL-66 Laser Mask Writer Laurell Spinners YES HDMS Prime Ovens Metrology Filmetrics F54-UV Reflectometer Four Point Probe Station KLA P-7 Stylus Profiler Olympus BH-2 Optical Microscope Woollam Ellipsometer Zeta-20 Optical Profiler Sputtering and Evaporation Denton E-Beam Evaporator KJL Sputterer Nano38 Thermal Evaporator PETE E-Beam Evaporator PECVD PEALD AJA Magnetron Sputter SuSS MJB4 Contact Aligner Vacuum Oven Chemical Hoods Deposition Equipment E-Beam Evaporator Lithographic Tools LaserWriter.jpg UV Mask Aligner (front side and front to back) Laser Writer MLA Interferometric Lithography System 100KV Electron Beam Lithography System (JEOL 9100 FS) Raith 30KV Electron Beam Lithography System Focused Ion Beam System Plasma and Reactive Ion Etching Oxford ICP etching system (2 chambers – 1 loadlock) Oxford 6 Inch ICP March RIE etchers Wet Processing WAFER PRIMING OVEN LAMINAR FLOW PROCESS STATIONS Deposition Small Coater AJA Oxide Sputter System AJA Sputter System (metals) FC2000 Ferrotec Ebeam Evaporator(metals) ICP – CVD Deposition System Nanocarbon Synthesis Facilities Lamda Microwave Plasma CVD system (nanocrystalline diamond deposition) Thermal/PECVD System for Synthesis of CNT and Graphene Seeding Station for UNCD Deposition Metrology SPECTROSCOPIC ELLIPSOMETER SCANNING PROBE MICROSCOPE SURFACE PROFILOMETERS Filmetrics F40-UV OPTICAL MICROSCOPE RESISTIVITY MEASUREMENT SYSTEM SCANNING VIBRATING ELECTRODE SYSTEM VOLTAMMETRY SYSTEM Misc Tools ADT 7200 Dicing Saw Critical Point Dryer Jeol JBX-5500FS Electron Beam Writer Nanonex NX-2004 ABM Mask Aligner Thermionics eBeam Evaporator AJA ATC-2200 Denton Desk II Brewer Cee 200X KRI KDC75 Oxford Instrument Plasmalab System 100 ICP Oxford Instrument Plasmalab System 80 Fume Hoods Allwin AW 410 Ultraviolet Ozone Cleaning System Characterization X-ray Photoelectron Spectroscopy Electron Microprobe Scanning Electron Microscope ICP-MS ICP-OES RAMAN Combustion Elemental Analyzer (CHN) Leica GZ Stereo Microscope Spectroscopic Ellipsometer WYKO NT1100 3-D Profiling System Lithography and NanoImprint Electron Beam Nanolithography System Nanonex NX1000 NanoImprint OAI Mask Aligner Model 800 Thin-film deposition Angstrom Engineering 6-pocket E-Beam Evaporator IntlVac – Nanochrom I Sputterer Oxford Instruments – Atomic Layer Deposition (ALD) System – OpAL Nanonex_Ultra Plasma etching Oxford Instruments – RIE-ICP PlasmaPro 100 Cobra Oxford Instruments RIE NGP80 Sample Preparation VWR Vacuum Oven – AFM – Asylum MFP3D – Confocal Laser Scanning Microscope – Zeiss LSM 700 – Contact angle – Dataphysics OCA15plus – Dynamic Light Scattering (DLS) – AvidNano W130i – Optical Microscope – Olympus BX51 – Optical Stereo Microscope – Leica M80 – Scanning Electron Microscopy Focused Ion Beam (SEM-FIB) workstation – Tabletop microscope TM3030 Plus Hitachi – Drying oven – Electro-optical bench – Glove Box – Heated vacuum desiccator – Langmuir–Blodgett – NMR Spectrometer – Precision Balance – Rotovapor – UV/Vis Spectrophotometer – Agilent 4155C semiconductor parameter analyzer + Cascade Microtech M150 manual probe station – Agilent 4155C semiconductor parameter analyzer + Everbeing C-2 Mini manual probe station – Biorad/Nanometrics HL5500 Hall effect systems – Jandel Four point prober – Keithley 4200 SCS semiconductor parameter analyzer + Janis ST-500 cryogenic probe station – Keysight B1500A semiconductor parameter analyzer + Cascade Microtech EPS 150 manual probe station – Potentiostat Gamry Reference 600 – Heidelberg µPG 101 Tabletop Micro Pattern Generator – Hot plates – Spinners for resist coating and development – Suss MA6 UV mask aligner – Suss substrate conformable nanoimprint lithography (SCIL) – Trion Phantom 3 reactive ion etcher (RIE-ICP) – Zeiss Axioscope 5 optical microscope – AJA ATC-1300 F Sputtering – AJA ATC-1800 F Sputtering – AJA Orion-8 Sputtering – AnnealSys As-One 100 Rapid thermal processing – ELETTRORAVA PECVD – HOSITRAD PECVD – Resistive and e-beam evaporators – Speciality Coating PDS-2010 Parylene coater – “3 chamber” PECVD – “3 target” home-made sputtering – “Home-made” e-beam evaporator – “Pfeiffer” sputtering – FTIR – Thermo Nicolet 6700 – Luminescence Spectrometer – Perkin Elmer LS55 – Micro Plate Reader – Raman Microscope – Reninshaw Qontor – Spectrometer UV-Vis_NIR – Perkin Elmer Lambda 950 – Spectroscopic Ellipsometer – Horiba-Jobin Yvon – Sun Simulator – SPI 240A – TGA-DSC – STA 449 F3 Jupiter – X-ray diffraction – PANalytical Xpert PRO CHARACTERIZATION 3D Optical Profiler – Zygo Atomic Force Microscope – Bruker Edge Contact Angle Measurement – VCA Optima XE Electrical Test Station Microscope – Nikon LV150 Reflectometer – Filmetrics F20 Spectroscopic Ellipsometer – J.A. Woollam alpha-SE Stylus Profilometer – Veeco Dektak-8 DEPOSITION Atomic Layer Deposition – Arradiance GEMStar XT-P E-beam Evaporator – AJA Parylene Coater – SCS Labcoter2 Parylene Deposition System Plasma Enhanced Chemical Vapor Deposition (PECVD) – STS LpX CVD Sputter I – AJA Orion Sputter II – AJA Orion Thermal Evaporator – Denton Vacuum Explorer 14 ETCHING – ASHING Deep Reactive Ion Etcher (DRIE) – STS LpX Pegasus Plasma Cleaner – Samco PC-300 Reactive Ion Etcher (RIE) – Samco RIE-10NR Xenon Difluoride Etcher – Xactix FURNACES Furnace – Tystar Rapid Thermal Processor – AW-610 PACKAGING Laser Cutter – LPKF ProtoLaser R Wire Bonder -Ball- iBond5000 Wire Bonder – Wedge – West Bond 747677E PHOTOLITHOGRAPHY Convection Ovens – Blue M DCC-146-C-ST350 Develop Hood Mask Aligner – Suss MABA6 Mask Aligner – Suss MJB4 Maskless Aligner – Heidelberg MLA150 Maskless Aligner – Heidelberg uPG501 Microscope – Nikon LV150 Polyimide/SU8 Hood Spinner Hood Ultraviolet Flood Exposure System – Inpro Technologies F300S Vacuum Oven – YES WET PROCESSING Acid Hoods Critical Point Dryer – Tousimis Automegasamdri – 915B, Series C Ultrasonic and Megasonic Cleaners Characterization 1540XB FIB/SEM Stacks Image 173 Tencor P7 Profilometer Stacks Image 177 1530 SEM Stacks Image 175 Woollam Ellipsometer Stacks Image 179 Leica Stereozoom Stacks Image 183 Zeiss Axioskop Stacks Image 181 Mitutoyo Finescope Stacks Image 197 Lithography Neutronix-Quintel NXQ4006 Mask Aligner Stacks Image 107 Solitec 5110 coater/developer Stacks Image 111 Karl Suss MA6 Mask Aligner Stacks Image 109 CEE 200 spinner Stacks Image 113 Zeiss 1530 e-beam Lithography Stacks Image 117 Yield Engineering YES-3TA HMDS oven Stacks Image 115 Zeiss 1540XB FIB lithography Stacks Image 119 Innopsys Innostamp Stacks Image 121 Deposition Angstrom e-beam Deposition Stacks Image 91 IKO Electroplating Bench Stacks Image 95 Custom e-beam Deposition Stacks Image 93 Filgen Osmium Plasma Coater Stacks Image 97 STS PECVD Stacks Image 101 Edwards Auto500 Sputtering Stacks Image 99 Etching Trion Orion RIE Stacks Image 235 Miscellaneous Grey Lab Spinner Stacks Image 223 Ozone Cleaner Stacks Image 221 Spin Rinse Dryer Stacks Image 219 Dicing Saw Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Matrix 105, Matrix 205, Matrix 303, Matrix 403,Matrix 106,Matrix 104, Matrix 102,Matrix 101, Matrix 10, System One Stripper, Model 105, System One Etcher, model 303, model 403,Matrix 1107, Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher, Plasma Asher, Plasma Descum, Dry Clean, Downstream Asher,Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics Aura 1000, Gasonics Aura 2000, Gasonics Aura 3000, Gasonics L3510, Gasonics Aura 3010, Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean,Gasonics AE 2001, Gasonics AE 2000LL Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Plasma RIE ,Reactive Ion Etch System, Reactive Ion Etch System, Tegal 901e, Tegal 903e, Tegal 901e TTW, Tegal 915,Tegal 701,Tegal 703,Tegal 801,Tegal 803,Tegal 981e,Tegal 903e,Tegal 915, Tegal 965, Tegal 405, Tegal 401 Plasma Etcher, Please Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Lam AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690, Lam AutoEtch 790, Lam Rainbow 4400, Lam Rainbow 4420, Lam Rainbow 4428, Lam Rainbow 4500, Lam Rainbow 4520, Lam Rainbow 4528, Lam Rainbow 4600, Lam Rainbow 4620, Lam Rainbow 4628, Lam Rainbow 4700, Lam Rainbow 4720, Lam Rainbow 4728, Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics AE 2001, Microwave Etcher, Microwave Plasma Etcher, Microwave Etch, Downstream Plasma Etch Rapid Thermal Process, Modular Process Technology, Rapid Thermal Processing, Rapid Thermal Anneal, Rapid Thermal Annealing, Rapid Thermal Oxidation, Rapid Thermal Nitride, RTA, RTP, RTO, RTN,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associates, Heatpulse 210, Heatpulse 410, Minipulse 310, Heatpulse 610, Heatpulse 610I, AG Heatpulse 410, AG Heatpulse 610, AG Heatpulse 210, AG Minipulse 310, 4100 , 4100S 4108, 8108 ,Atmospheric Rapid Thermal Process, Vacuum Rapid Thermal Process, Furnace, Oven, Thermal Furnace, Thermal Process, Thermal Processing,JIPELEC, ag2146,JetClip,JetStar, AST SHS2000, AST STEAG 2800, ssintegration, Rapid Thermal Oxide,JetFirst ,Mattson, annealsys, heatpulse ,ag 2146,Koyo Thermo Systems,AST STEAG-MATTSON 2800, STEAG-MATTSON 2900, STEAG-MATTSON 3000, heat pulse, Solaris, Eclipse ,modularpro, RLA-1000, AG Heatpulse, rapid thermal processor, Steag AST SHS2000, Solaris 75, Solaris75,STEAG Electronic Systems ,eng-sol, Annealsys, RLA-3000, Engineering Solutions ,Solaris 150, Rapid Thermal Annealer , AS-Master ,modularpro,RTO ,Modular Process Technology, Solaris150,AS-One,AS-Micro, ADDAX, JetFirst, JetLight, JetStar, MPT-600S,MPT-800S, MPT-600XP, MPT-800XP, MPT-3000, Jipelec Jetfirst 150,Jipelec Jetfirst 200, JETFIRST 100,AnnealSys AS-One, RTP-3000, ULVAC, Ulvac Technology MILA 3000 ,Rapid Thermal Annealing, ULVAC RTA-2000,ULVAC RTA-4000,ULVAC RTA-6000,ULVAC RTA-8000,ULVAC RTA-12000, EasyTube® 3000EXT, CVD Equipment Corporation, Dr. Eberl MBE-Komponenten GmbH, AO 600,Rapid Thermal Annealing System,MBE Components,MBE Systems,OCTOPLUS 300,OCTOPLUS 400,OCTOPLUS 500,OCTOPLUS 500 EBV,OCTOPLUS 600,OCTOPLUS 600 EBV,OCTOPLUS-O 400,Thin Film Systems,Organic Deposition System,Rapid Thermal Annealing,MBE Components,Thin Film / CIGS / CZTS / CdTe,ECM, Annealsys AS-Premium, Annealsys Zenith-100, Annealsys AS-One, Annealsys AS-Master, Jipelec JetStar, Annealsys AS-Micro, Jipelec JetLight, Jipelec JetFirst 100, Jipelec JetFirst 200, Jipelec JetFirst 300, CreaTec Fischer & Co. GmbH, Rapid Thermal Anneling System, Mini MBE System,Growth System, Research Linear Transfer System,RTA System,UHV Shuttle System, SemiTEq JSC, Molecular-Beam Epitaxy Systems (MBE),PVD Systems,RTP & RTA Systems,ICP/RIE/PECVD Systems,Components and accessories, STE RTP150, STE RTA100, STE ICP200 , ADVANCE RIKO, Inc., RTP-mini, Atmospheric Thermoelectric Module Evaluation System F-PEM,Mini Lamp Annealer MILA-5050,infrared lamp heating system, ULTECH CO.LTD,Real RTP-100,Real RTP-100,Real RTP-150,Real RTP-Mini,SPUTTER,DRY ETCHER,PECVD,ALD,E beam evaporator,Thermal Evaporator, SJ High Technology ,Tube RTA,R-8160,drawer type RTA, R-401, Dai-ichi Kiden Co.,Ltd., Combustion furnace,High vacuum heating system,Vertical high temperature heating system,Induction heating system(elevating),Ultra high temperature heating system, Koyo Thermo Systems Co.,Ltd., Premtek Technology Co, 技鼎股份有限公司,技鼎股份有限公司,VF-5900 300mm Large Batch,VF-5700 300mm Mini Batch,VF-5300,8 inch,Large Batch,VF-5100,8 inch,Wide-Range Batch,VF-3000,8 inch,Low-Cost Mini Batch,VF-1000,Small Production and R&D,VFS-4000,Large Bore Vertical Furnace,Koyo Thermo Systems Co.,Ltd.,Model 200 Series Horizontal Furnaces for Mass Production and Experiments,Model 206A Horizontal Furnace for PV Production ,Model 206A Horizontal Furnace for PV ,Production,RLA-3100 Lamp Annealing System for Rapid Thermal Processing,RLA-1200 Lamp Annealing System for Rapid Thermal Processing,RLA-1200 Lamp Annealing System for Rapid Thermal Processing,SO2-12-F Heated-air Circulating Type Clean Oven for 300-mm Wafers,CLH Series High-Temperature Clean Ovens,CLH Series High-Temperature Clean Ovens,VFS-4000 Large Bore Vertical Furnace,VF-5300HLP Activation Annealing Furnace,VF-5300H Vertical Furnace for Gate Insulating Film Formation,VF-5300H Vertical Furnace for Gate Insulating Film Formation,RLA-4106-V Lamp Annealing System for Contact Annealing,RLA-4106-V Lamp Annealing System for Contact Annealing,VF-3000HLP Activation Annealing Furnace, VF-3000HLP Activation Annealing Furnace,VF-3000H Vertical Furnace for Gate Insulating Film Formation,VF-3000H Vertical Furnace for Gate Insulating Film Formation,RLA-3100-V Lamp Annealing System for Contact Annealing,RLA-3100-V Lamp Annealing System for Contact Annealing,Rapid temperature rise annealing furnace RTP_Table ,RTP_Table type rapid temperature rise annealing furnace,Rapid temperature rise annealing furnace RTP_SA ,RTP ,semi-automatic rapid temperature rise annealing furnace,Rapid temperature rise annealing furnace ,RTP_Auto ,automatic rapid temperature rise annealing furnace,RTP600V,RTP600Z,RTP500Z,RTP500V,RTP500SV,快速退火炉,北京东之星应用物理研究所,快速升溫退火爐,estarlabs,Glovebox+PVD,2D material CVD,LPCVD & furnace,Vacuum Sintering Furnace,RTP,Plasma Doping (PDS),ASHER,Wet bench,Crystal Si solarcell Mgage 200, Mgage 300, M-gage 200, M-gage 300,Sheet Resistant measurement, Metrology, Tencor M-Gage 300,Tencor M-Gage 200, sheet resistance, sheet resistance Measurement, Semiconductor Equipment, Semiconductor metrology Equipment, KLA-Tencor, Tencor, Sonog-age 200, Sono-gage 300, Sonogage200, Sonogage 300, Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450,Perkin-Elmer 4480, Perkin-Elmer 2400, Perkin Elmer 4400, Perkin Elmer 4410, Perkin Elmer 4450,Perkin Elmer 4480, Perkin Elmer 2400, Sputter, Magnetron Sputter, Diode Sputter, DC Sputter, RF Sputter, DC Magnetron Sputter, RF Magnetron Sputter, Co-sputter, Reactive Sputter, MRC, MRC 603, MRC 903, MRC 602, MRC 902, MRC 604, MRC 904, MRC 924, Plasma Etch, Dry Clean, Bias Function, Cathode, Load lock, Degas, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment,, Thin Film, Metal Thin Film, Thin Film Deposition, PVD, Physical Vapor Deposition Singulus,singular, pecvd,Plasma Enhanced Chemical Vapor Deposition, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment Furnace, MRL, MRL 4 Stack,Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment ,快速退火炉,速升溫退火爐,保护气氛快速退火炉,保護氣氛快速退火爐,快速退火爐,红外灯加热,红外灯快速退火,卤素灯加热,高温计,红外高温计,等离子去胶机,等离子刻蚀,深刻蚀,各向同性,各向异性,等离子清洗,溅射台,蒸发台,磁控溅射台,直流电源溅射,高频溅射,自动刻蚀机,自动去胶机,手动去胶机,手动刻蚀机,干法去胶机,湿法去胶机,干法刻蚀机,湿法刻蚀机,半导体设备,半导体旧设备,半导体中古设备,半导体前道工艺设备,半导体后道工艺设备,半导体前道设备,半导体后道设备,半导体量测仪器,半导体量测设备,美国制造,紅外線燈加熱,紅外線燈快速退火,鹵素燈加熱,高溫計,紅外線高溫計,等離子去膠機,等離子蝕刻,深刻蝕,各向同性,各向異性,等離子清洗,濺射台,蒸發台,磁控濺射台,直流電源濺射,高頻濺射,自動蝕刻機,自動去膠機,手動去膠機,手動蝕刻機,乾式去膠機,濕式去膠機,乾法蝕刻機,濕蝕刻機,半導體設備,半導體舊設備,半導體中古設備,半導體前道製程設備,半導體後道製程設備,半導體前道設備,半導體後道設備,半導體量測儀器,半導體量測設備,美國製造 Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Matrix 105, Matrix 205, Matrix 303, Matrix 403,Matrix 106,Matrix 104, Matrix 102,Matrix 101, Matrix 10, System One Stripper, Model 105, System One Etcher, model 303, model 403,Matrix 1107, Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher, Plasma Asher, Plasma Descum, Dry Clean, Downstream Asher,Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics Aura 1000, Gasonics Aura 2000, Gasonics Aura 3000, Gasonics L3510, Gasonics Aura 3010, Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean,Gasonics AE 2001, Gasonics AE 2000LL Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Plasma RIE ,Reactive Ion Etch System, Reactive Ion Etch System, Tegal 901e, Tegal 903e, Tegal 901e TTW, Tegal 915,Tegal 701,Tegal 703,Tegal 801,Tegal 803,Tegal 981e,Tegal 903e,Tegal 915, Tegal 965, Tegal 405, Tegal 401 Plasma Etcher, Please Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Lam AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690, Lam AutoEtch 790, Lam Rainbow 4400, Lam Rainbow 4420, Lam Rainbow 4428, Lam Rainbow 4500, Lam Rainbow 4520, Lam Rainbow 4528, Lam Rainbow 4600, Lam Rainbow 4620, Lam Rainbow 4628, Lam Rainbow 4700, Lam Rainbow 4720, Lam Rainbow 4728, Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics AE 2001, Microwave Etcher, Microwave Plasma Etcher, Microwave Etch, Downstream Plasma Etch Enviro,ENVIRO-1XaENVIRO-1Xa ,2CENVIRO-Optima,Luminous NA,NA-8000NA-1300NA-1500 ,Multifilm,NE-550EXaNE-950EX, APIOSNE-5700Deep, Oxide Etching ,NLD-570EXaRISE System,RISE Series,Cluster Systems,SME SeriesuGmni Series,SRH SeriesULDiS Series,SMV-500FRoll-coater,SPW SeriesIn-line Systems,SIV SeriesSDH-4550LR&D,CS-200, 300mm,ENTRON-EXENTRON-EX2,Evaporation Roll Coater,EW SeriesR&D/Pilot Production,Ei-5In-line Systems, Ei-H series,Si Processing,IMX-3500SOPHI-200/260 ,SiC,IH-860,Brazing Furnace,FB SeriesFHHn series ,Vacuum Sintering,FSC SeriesVacuum,Induction Melting,FMI Series,Vacuum Heat Treatment,FHB-60CFHV Series,Single-Substrate,CC Series,CME Series,In-line Systems, ULGLAZE Series,Lyophilizer,DFB Series,Micropowder Dry, UPD-400D,FM Series,DFR Series,Centrifugal Type,CEH-400B,ULVAC Rapid Thermal Process, Modular Process Technology, Rapid Thermal Processing, Rapid Thermal Anneal, Rapid Thermal Annealing, Rapid Thermal Oxidation, Rapid Thermal Nitride, RTA, RTP, RTO, RTN,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associates, Heatpulse 210, Heatpulse 410, Minipulse 310, Heatpulse 610, Heatpulse 610I, AG Heatpulse 410, AG Heatpulse 610, AG Heatpulse 210, AG Minipulse 310, 4100 , 4100S 4108, 8108 ,Atmospheric Rapid Thermal Process, Vacuum Rapid Thermal Process, Furnace, Oven, Thermal Furnace, Thermal Process, Thermal Processing,JIPELEC, ag2146,JetClip,JetStar, AST SHS2000, AST STEAG 2800, ssintegration, Rapid Thermal Oxide,JetFirst ,Mattson, annealsys, heatpulse ,ag 2146,Koyo Thermo Systems,AST STEAG-MATTSON 2800, STEAG-MATTSON 2900, STEAG-MATTSON 3000, heat pulse, Solaris, Eclipse ,modularpro, RLA-1000, AG Heatpulse, rapid thermal processor, Steag AST SHS2000, Solaris 75, Solaris75,STEAG Electronic Systems ,eng-sol, Annealsys, RLA-3000, Engineering Solutions ,Solaris 150, Rapid Thermal Annealer , AS-Master ,modularpro,RTO ,Modular Process Technology, Solaris150,AS-One,AS-Micro, ADDAX, JetFirst, JetLight, JetStar, MPT-600S,MPT-800S, MPT-600XP, MPT-800XP, MPT-3000, Jipelec Jetfirst 150,Jipelec Jetfirst 200, JETFIRST 100,AnnealSys AS-One, RTP-3000, ULVAC, Ulvac Technology MILA 3000 ,Rapid Thermal Annealing, ULVAC RTA-2000,ULVAC RTA-4000,ULVAC RTA-6000,ULVAC RTA-8000,ULVAC RTA-12000, EasyTube® 3000EXT, CVD Equipment Corporation, Dr. Eberl MBE-Komponenten GmbH, AO 600,Rapid Thermal Annealing System,MBE Components,MBE Systems,OCTOPLUS 300,OCTOPLUS 400,OCTOPLUS 500,OCTOPLUS 500 EBV,OCTOPLUS 600,OCTOPLUS 600 EBV,OCTOPLUS-O 400,Thin Film Systems,Organic Deposition System,Rapid Thermal Annealing,MBE Components,Thin Film / CIGS / CZTS / CdTe,ECM, Annealsys AS-Premium, Annealsys Zenith-100, Annealsys AS-One, Annealsys AS-Master, Jipelec JetStar, Annealsys AS-Micro, Jipelec JetLight, Jipelec JetFirst 100, Jipelec JetFirst 200, Jipelec JetFirst 300, CreaTec Fischer & Co. GmbH, Rapid Thermal Anneling System, Mini MBE System,Growth System, Research Linear Transfer System,RTA System,UHV Shuttle System, SemiTEq JSC, Molecular-Beam Epitaxy Systems (MBE),PVD Systems,RTP & RTA Systems,ICP/RIE/PECVD Systems,Components and accessories, STE RTP150, STE RTA100, STE ICP200 , ADVANCE RIKO, Inc., RTP-mini, Atmospheric Thermoelectric Module Evaluation System F-PEM,Mini Lamp Annealer MILA-5050,infrared lamp heating system, ULTECH CO.LTD,Real RTP-100,Real RTP-100,Real RTP-150,Real RTP-Mini,SPUTTER,DRY ETCHER,PECVD,ALD,E beam evaporator,Thermal Evaporator, SJ High Technology ,Tube RTA,R-8160,drawer type RTA, R-401, Dai-ichi Kiden Co.,Ltd., Combustion furnace,High vacuum heating system,Vertical high temperature heating system,Induction heating system(elevating),Ultra high temperature heating system, Koyo Thermo Systems Co.,Ltd., Premtek Technology Co, 技鼎股份有限公司,技鼎股份有限公司,VF-5900 300mm Large Batch,VF-5700 300mm Mini Batch,VF-5300,8 inch,Large Batch,VF-5100,8 inch,Wide-Range Batch,VF-3000,8 inch,Low-Cost Mini Batch,VF-1000,Small Production and R&D,VFS-4000,Large Bore Vertical Furnace,Koyo Thermo Systems Co.,Ltd.,Model 200 Series Horizontal Furnaces for Mass Production and Experiments,Model 206A Horizontal Furnace for PV Production ,Model 206A Horizontal Furnace for PV ,Production,RLA-3100 Lamp Annealing System for Rapid Thermal Processing,RLA-1200 Lamp Annealing System for Rapid Thermal Processing,RLA-1200 Lamp Annealing System for Rapid Thermal Processing,SO2-12-F Heated-air Circulating Type Clean Oven for 300-mm Wafers,CLH Series High-Temperature Clean Ovens,CLH Series High-Temperature Clean Ovens,VFS-4000 Large Bore Vertical Furnace,VF-5300HLP Activation Annealing Furnace,VF-5300H Vertical Furnace for Gate Insulating Film Formation,VF-5300H Vertical Furnace for Gate Insulating Film Formation,RLA-4106-V Lamp Annealing System for Contact Annealing,RLA-4106-V Lamp Annealing System for Contact Annealing,VF-3000HLP Activation Annealing Furnace, VF-3000HLP Activation Annealing Furnace,VF-3000H Vertical Furnace for Gate Insulating Film Formation,VF-3000H Vertical Furnace for Gate Insulating Film Formation,RLA-3100-V Lamp Annealing System for Contact Annealing,RLA-3100-V Lamp Annealing System for Contact Annealing,Rapid temperature rise annealing furnace RTP_Table ,RTP_Table type rapid temperature rise annealing furnace,Rapid temperature rise annealing furnace RTP_SA ,RTP ,semi-automatic rapid temperature rise annealing furnace,Rapid temperature rise annealing furnace ,RTP_Auto ,automatic rapid temperature rise annealing furnace,RTP600V,RTP600Z,RTP500Z,RTP500V,RTP500SV,快速退火炉,北京东之星应用物理研究所,快速升溫退火爐,estarlabs,Glovebox+PVD,2D material CVD,LPCVD & Furnace,Vacuum Sintering Furnace,RTP,Plasma Doping (PDS),ASHER,Wet bench,Crystal Si solarcell Mgage 200, Mgage 300, M-gage 200, M-gage 300,Sheet Resistant measurement, Metrology, Tencor M-Gage 300,Tencor M-Gage 200, sheet resistance, sheet resistance Measurement, Semiconductor Equipment, Semiconductor metrology Equipment, KLA-Tencor, Tencor, Sonog-age 200, Sono-gage 300, Sonogage200, Sonogage 300, Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450,Perkin-Elmer 4480, Perkin-Elmer 2400, Perkin Elmer 4400, Perkin Elmer 4410, Perkin Elmer 4450,Perkin Elmer 4480, Perkin Elmer 2400, Sputter, Magnetron Sputter, Diode Sputter, DC Sputter, RF Sputter, DC Magnetron Sputter, RF Magnetron Sputter, Co-sputter, Reactive Sputter, MRC, MRC 603, MRC 903, MRC 602, MRC 902, MRC 604, MRC 904, MRC 924, Plasma Etch, Dry Clean, Bias Function, Cathode, Load lock, Degas, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment,, Thin Film, Metal Thin Film, Thin Film Deposition, PVD, Physical Vapor Deposition Singulus,singular, pecvd,Plasma Enhanced Chemical Vapor Deposition, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment Furnace, MRL, MRL 4 Stack,Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment Eaton Reliance 850 ,ASML KLA Pro Systems Lam Research ASM International Kokusai Electric Hitachi High-Tech Corporation Ichor Systems Russell Co., Ltd PJP TECH Maestech Co., Ltd SurplusGLOBAL Ebara Technologies, Inc. (ETI) ULVAC TECHNO, Ltd. SCREEN Semiconductor Solutions Canon Nikon iGlobal Inc. Entrepix, Inc Axus Technology ClassOne Equipment Somerset ATE Solutions Metrology Equipment Services, LLC SEMICAT, Inc. SUSS MicroTec REMAN GmbH Meidensha Corporation Intertec Sales Corp. TST Co., Ltd. DISCO Corporation Bao Hong Semi Technology Genes Tech Group ACE PILLAR CO.,LTD. 羅昇企業股份有限公司 ALLIED SUPREME CORP. 上品綜合工業股份有限公司 Ares Green Techonlogy Corporatiom 榮眾科技股份有限公司 ASCENTEX INDUSTRY CORPORATION 技高工業股份有限公司 ASIA IC MIC- PROCESS,INC. 亞泰半導體設備股份有限公司 Branchy Technology Co.,Ltd” 倍強科技股份有限公司 C SUN MFG.LTD 志聖工業股份有限公司 Calitech co.,LTD 瑞耘科技股份有限公司 CHPOMA ATE TNC. 致茂電子股份有限公司 CHUNGSHANINSTITUTEOSCIENCE& TECHNOLOGY 中山科學研究院第二研究所 E-CON TECHNOLOGY CO.,LTD 易控自動化科技股份有限公司 Epicstream Technology Inc 德碩科技股份有限公司 EROS TECH CO.,LTD. 銥洛斯科技有限公司 EVERPRECISION TECH CO.,LTD. 禾宇精密科技股份有限公司 Executuve Strategy Group Marketech International Corp 帆宣系統科技股份有限公司 GeMeTec Taiwan 德商捷密(股)公司台灣分公司 GRACE DERWEY CO.,LTD 德瑋企業有限公司 Grand Plastic Technology Corporation 弘塑科技股份有限公司 HEATA ENTERPRISECO.LTD 太郁企業有限公司 HIWINTECHNOLOGIESCORP 上銀科技股份有限公司 Indusial Technology Reswreh Institute Energy & ResouresLaboratories 工業技術研究能源與資源研究所 INSTRUMATICCORPORATION(IMC) 儀鍵科技股份有限公司 JAPPERAUTOMATION&VISIONSYSENS.LTD 爵鵬有限公司 JC.s Chunson LIMITED 沖成有限公司 Jumpanny Enterprise CO.Ltd 毅企業有限公司 JUSUN INSTRUMENTS CO.LTD 志尚儀器股份有限公司 Keteca Electronics CO.Ltd 碁達科技股份有限公司 King Mechatronics Co.Ltd 華東半導體工業股份有限公司 LIM CHEMICAL CO.LTD 霖豐股份有限公司 Maludai Technology Corp 丸大科技股份有限公司 Mechanical Industry Research Laboratories Industrial Technology Research Institute 工業技術研究院機械工業研究所 MERIC SEMICONDUCTOR Inc 美瑞特半導體股份有限公司 MITUOYO TAIWAN CO.,LTD 台灣三豐儀器股份有限公司 Nanmat Technology Co.,Ltd 南美特科技股份有限公司 Nano-Architect Research Corporation 晶研科技股份有限公司 NENIN MECHANICAL CO.,LTD 寧英機械自動控制有限公司 NIAN HONG PIPE FITTINGS CO., LTO 年鴻管件股份有限公司 Osin Net Taiwan Corp. 日商台灣應信科技網路股份有限公司 PERFECTDYNASTY TAIWAN LTD. 嘉智實業股份有限公司 Premtek International Inc 技鼎股份有限公司 ProSys Technology integration, Inc. 鐠德科技股份有限公司 SAN CHIH SEMICONDUCTOR CO.,LTD. 尚志半導體股份有限公司 San FuChemical.,Ltd 三福化工股份有限公司 Scientech Corporation Hsin Chu-Office. 辛耕企業股份有限公司 SOLOMON TECHNOLOGY CORP 所羅門股份有限公司 Standard Technology Corporation 資騰科技股份有限公司 Sunway Sicentific Corporation 尚偉股份有限公司 Sysage Technology Co , Ltd 聚碩科技股份有限公司 TACHIA YUNG HO MACHINE INDUSTRY CO , LTD 大甲永和機械工股份有限公司業 TAISIL ELECTRONIC MATERIALS CORP 中德電子材料股份有限公司 Taiwan Valva and Fitting Co.. 台灣管件股份有限公司 TECO Electric & Machinery Co..LCD 東元電機股份有限公司 TEST RESEARCH,INC 德律科技股份有限公司 Uni-Tek System,Ins. 優力特科技股份有限公司 Wafer Works Corp 合晶科技股份有限公司 Yu Chuan Technology Enterprise Co ,,Ltd 友荃科技股份有限公司 ABON-TECH INTERNATIONAL CORP. 亞邦國際科技股份有限公司 ACER TWP CORPORATION 第三波資訊股份有限公司 AIR PRODUCTS ASIA ELECTRONICS 美國氣體化工產品亞洲有限公司 AKT,INC 美商業凱科技股份有限公司台灣分公司 AMPOC FAR EAST CO,.LTD. 揚博科技(股)公司 ARCO INFOCOMM,INC. 亞格數位股份有限公司 ASCENTEX INDUSTRY CORPORATION 技高工業股份有限公司 ATLAS TECHNOLOGY CORP. 聯宙科技股份有限公司 AU OPTRONICS CORP 友達光電股份有限公司 C SUN MFG LTD. 志聖工業股份有限公司 CHAIN-LOGIC INTERNATIONAL 長洛國際股份有限公司 CHENG MEI INSTRUMENT CO.,LTD 政美儀器有限公司 CORNING DISPLAY TECHNOLOGIES TAIWAN 台灣康寧顯示玻璃股份有限公司 DA CEI TRADE CO.,LTD 大熹企業有限公司 TOYO INDUSTRIAL CO.LTD. 臺灣區代理,東祈業股份有限公司有限公司 U.K.TECHNOLOGY CORP 凱科技股份有限公司 UNAXIS BALZERS LTD 百瑟系統股份有限公司 UREXPRECISION.INC 泓進科技股份有限公司 USHINE PHOTONICS CORPORATION 聯享光電股份有限公司 USHIO TAIWAN.INC 優志旺股份有限公司 VARIAN TECHNOLOGIES ASIA.LTD.TAIWAN BRANCH 美商亞洲瓦里科技(股)台灣分公司 VERO VERIA CORPORATION 惠亞工程有線公司 VISIONOPTO TECHNOLOGY CO.LTD 泓岳光電股份有限公司 WU JII INDUSTRY CO LTD 梧濟工業股份有限公司 RISSHI CO, LTD RISSHI CO, LTD SAMURAI SPIRITS INC 邑富有限公司 ENTECH CORPORATION 辛耕企業股份有限公司 SEKISUI( HONG KONG) LIMITED TAIWAN BRANCH 香港積水有限公司台灣分公司 SEMI TECHNOLOGY CO, LTD 強森股份有限公司 SEMICONDUCTOR EQUIPMENT&MATERIALS INTERATIONAL 美商斯麥公司 SHIBAURA MECHATRONICS TAIWAN CO 台灣芝浦先進科技股份有限公司 SID TAIPEI CHAPTER 國際資訊顯示學會中華明國總會 SOLAR APPLIED MATERIALS TECHNOLOGY CORP 光洋應用材料科技股份有限公司 SUNWAY SCIENTIFIC CORPORATION 尚偉股份有限公司 TAIWAN TFT-LCD ASSOCIATION 中華民國台灣薄膜電晶體液晶顯示產業協會 TECNHOS ASIA INC 太科有限公司 3S SILICON TECH, INC 斯利康科技股份有限公司 TOTAL TECHNOLOGIES, LTD 同皇企業有限公司 DEMPA PUBLICATION 電波新聞社 DOTOP MEDIA CO.,LTD. 龍璟文化事業股份有限公司 DYNACOLOR,ITD. 彩富電子股份有限公司 ELLIPSIZ LTD. 晶儀科學儀器股份有限公司 EVER TECH INSTRUMENTAL CO.,LTD. 俊永科技股份有限公司 FLYING TIGER KJ CO.,LTD 科基企業有限公司 FONG KAI INDUSTRIAL CO.,LTD 泓凱工業股份有限公司 FU WEI OPTOELECTRONICS TECH.CO.,LTD. 富偉光電股份有限公司 GRACE DERWEY CO.,LTD. 德瑋企業有限公司 GROUP UP INDUSTRIAL CO.,LTD. 群翊工業股份有限公司 HEADWAY ADVANCED MATERIALS CO.,LTD. 展宇科技材料股份有限公司 HERMES-EPITEK CORP. 漢民科技股份有限公司 HITACHI ELECTRONICS ENGINEERING CO.,LTD. 勤友企業股份有限公司 HIWIN TECHNOLOGIES CORP. 上銀科技股份有限公司 HOYA CORPORATION MASK DIVISION 台灣豪雅股份有限公司 INFO WORKSTATION CO.,LTD 資訊工房股份有限公司 ITRI MATERIALS RESEARCH LABORIES 工研院工業材料研究所 JIM&STEVE TRADING CO.,LTD 龍誼企業股份有限公司 KETECA ELECTRONICS CO.,LTD. 碁達科技股份有限公司 KING MECHATRONICS CO.,LTD 華東半導體工業股份有限公司 KING SON INSTRUMENT TECH CO.,LTD 慶聲科技股份有限公司 KROMAX INTERNATIONAL CORPORATION 奇裕企業股份有限公司 MERCK DISPLAY TECHNOLOGIES LTD 默克光電科技股份有限公司 MERCK-KANTO ADVANCED CHEMICALS LTD 伊默克化學科技股份有限公司 ABETECH TAIWAN INC. 明技國際股份有限公司 ABON-TECH INTERNATIONAL CORP 亞邦國際科技股份有限公司 ACETEAM CORPORATION 煒群科技股份有限公司 ADTEC PLASMA TECHNOLOGY CORPORATINO LIMITED 純化國際有限公司 ADVANCED ENERGY INDUSTRIES,INC 台灣艾儀有限公司 ADVANTEK TAWIAN INC 怡凡得股份有限公司 A.E.ADVANCED ENGLINEERING LTD. A.E.ADVANCED ENGLINEERING LTD. AIR PRODUCTS ASIA INC. 美國氣體化工產品亞洲有限公司 ALLIED SUPREME CORP. 上品綜合工業股份有限公司 ALLIS ELECTRIC CO.,LTD. 亞力電機股份有限公司 AMPOC FAR- EAST CO.,LTD. 揚博科技股份有限公司 ANELVA CORPORATION 日商安內華股份有限公司 APPLIED MATERIALS TAIWAN 台灣應用材料股份有限公司 ARENA INSTRUMENT CO.,LTD. 鼎嚮科研股份有限公司 ASHLAND UNION ELECTRONIC CHEMICAL CO., 連仕電子化學材料股份有限公司 ASI ADVANCED SEMICONDUCTOR INSTRUMENTS GMBH 純化國際有限公司 ASIA IC MIC- PROCESS,INC 亞泰半導體設備股份有限公司 ASM INTERNATIONAL N.V.TAIWAN BRANCH 英屬開曼群島商先藝半導體科技有限公司台灣 公司 ASTEC GMBH ASTEC GMBH ATLAS EQUIP MENT CORP. 聯宙企業有限公司 ATS PRODUCTS INC. ATS PRODUCTS INC. BALAMAN CO.LTD 臂力人有限公司 BOC EDWARDS 愛德華先進科技(股)公司 BRIGHT SHELAND INTERNATIONAL CO.,LTD. 旭然國際股份有公司 BROOKS AUTOMATION INC. 布魯克斯自動化機械股份有限公司 CARL ZEISS JENA GMBH 台灣儀器行股份有限公司 CHENG MEI INSTRUMENT CO.,LTD. 政美儀器有限公司 CHIEFTECH PRECISION CO.,LTD. 直得科技股份有限公司 CHING HSIANG PRECISION CO,LTD. 精鑲精密股份有限公司 CHI YHEI TECH INTERNATIONAL CO.,LTD 七益科技國際股份有限公司 CHUNG LIAN CO.,LTD. 中連股份有限公司 JC`S CHUNSON LIMITED 沖成有限公司 CHROMA ATE INC. 致茂電子股份有限公司 COOKSON SEMICONDUCTOR PACKAGING MATERIALS 確信半導體封裝材料 CST ASIA INC. 展躍科技股份有限公司 DAE-HA INTERNATIONAL TAIWAN CORP. 台灣大河國際有限公司 DAIKIN INDUSTRIES,INC. 日商大金工業株式會社 DAINPPON SCREEN MFG.CO.,LTD. 網屏股份有限公司 DAITO ELECTRON CO.,LTD 日商大都電子股份有限公司 DALUX INTERNATIONL CO.,LTD 宇資企業股份有限公司 DELTA DESIGN SINGAPORE PTE LTD.TAIWAN BRANCH 新加坡商利特股份有限公司台灣分公司 DENKEN ENGINEERING CO.,LTD. 登肯科技股份有限公司 DINSON TECHNOLOGY INC. 鼎森科技股份有限公司 DURATEK INC. 慶康科技股份有限公司 EBERTS ELECTRONICS CO.,LTD. 伊柏洱企業有限公司 E+H EICHIHORN + HAUSMANNGMBH E+H EICHIHORN + HAUSMANNGMBH ELLIPSIZ CO., 奕力公司 ENI TAIWAN LIMITED 台灣億恩埃股份有限公司 ETRNAL CHEMICALCO.,LTD. 長興化學工業股份有限公司 EVER TEAM INTERNATIONAL CORP. 九佳科技股份有限公司 EVER- ISLAND CORPORATION 九介企業有限股份公司 EVERLIGHT CHEMICAL INDUSTRIAL CORP. 台灣永光化學工業股份有限公司 FANSYS CO.,LTD. 恆馳國際股份有限公司 FAR EAST DENKEN TECH CORPORATINO 遠東登肯科技股份有限公司 FEEDBACK TECHNOLOGY CORP. 翔名科技股份有限公司 FORTREND TAIWAN SCINTFIC CORP. 富創得科技股份有限公司 FREUDENBERG 科德寶集團 FULL BRIGHT 福宮通商股份有限公司 G&N GMBH G&N GMBH GOLD STONE DEVELOPMENT CO., 彰京開發有限公司 GOLDEN-TECH TECHNOLOGIES INC. 矽英科技股份有限公司 GRACE DERWEY CO.,LTD. 德瑋企業有限公司 GRAND PLASTIC TECHNOLOGY CORP. 弘塑科技股份有限公司 GRANDWAY TECHNOLOGY INC. 冠緯實業股份有限公司 GREAT DOMAIN ENTERPRISE CO.,LTD 鴻碩企業有限公司 HIGH STORAGE SYSTEM CO.,LTD. 高密集實業股份有限公司 HI-TONE INTERNATAIONAL CO.,LTD. 星揚國際有限公司 HOMWELL CO.,LTD. 宏屹企業股份有限公司 HWA SHU ENTERPISE CO.,LTD. 樺塑企業股份有限公司 ICS TECHNOLOGY CO.,LTD. 愛迪亞科技股份有限公司 IDEALTECH INDUSTRY CO.,LTD 愛迪亞實業有限公司 INABATA & CO.,LTD. 華稻股份有限公司 INFICON 英福康有限公司 INSTURUMATIC CORPORATION 華鑑科技股份有限公司 INTEGRATED PLASMA INC. 臺禹科機股份有限公司 INTEGRATED SERVICE TECHNOLDGY INC 宜特科技股份有限公司 JEM TAIWAN PROBE CORP 台灣傑睦股份有限公司 JERCY-SMART TECH CORP 業實科技有限公司 JET TECHNOLCGY CO.,LTD. 捷智科技股份有限公司 建誼企業股份有限公司 JUSUNG ENGINEERING CO.,LTD. 俊尚科技有限公司 KEITHLEY INSTRUMENTS INC. 英屬蓋曼群島商 KETEDA ELECTRONICS CO.,LTD. 美商吉時利儀器股份有限公司台灣分公司 KETECA ELECTRONICS CO.,LTD. 碁達股份有限公司 KEYENCE TAIWAN CO.,LTD. 台灣基恩斯股份有限公司 KIMPSION CORPORATION 訊程實業股份有限公司 KING LAI INTERNATIOMAL CO.,LTD. 新萊實業有限公司 KINGROUP AUTOMATION INDUSTRY CORP. 群錄自動化工業股份有限公司 KINIK PRECISION GRINDING CO., 金敏精研股份有限公司 KORNIC SYSTEMS CORPORATION 韓商科尼克電子股份有限公司 KOYO THERMO SYSTEMS ASIA CO.,LTD 光洋林博股份有限公司 KORMAX INTERNATIONAL CORP. 奇裕企業股份有限公司 KYOCERA ASIA PACIFIC 京瓷亞太有限公司 LEE CHANG YUNG TECHNOLOGY CORP. 李長榮科技股份有限公司 LEE-TECH CO.,LTD. 巨晶科技有限公司 LEYBOLD VACUUM 台灣萊寶真空股份有限公司 LIGHTHOUSE WORLDWIDE SOLUTIONS 新加坡商萊浩斯國際股份有限公司 LINTEC ADVANCED TECHNOLOGIES(TAIWAN),INC. 琳得科先進科技股份有限公司 MACTRONIX INC. 倫昇科技股份有限公司 MARKETECH INTERNATIONAL CORP. 帆宣系統科技股份有限公司 MATTSON TECHNOLOGY,INC. 美商得昇科技股份有限公司台灣分公司 MERCK-KANTO ADVANCED CHEMICALS LTD. 伊默克化學股份有限公司 METRON TECHNOLOGY(TAIWAN)LTD. 美呈科技有限公司 MICRONICS JAPAN CO.,LTD. 旺矽科技有限公司 MITUTOYO TAIWAN CO.,LTD. 台灣三豐儀器股份有限公司 MKS INSTRUMENTS,INC. 美商安愷儀控股份有限公司 MORECO TECHNOLOGY INC. 瑞耘科技股份有限公司 MPP FASTENER CORPORATION 晨鈞股份有限公司 NAPSON CORP 德技股份有限公司 NECMACHINERYCORPORATION 日商日電機械股份有線公司 NISSO ENGINEER CD,LTD 日商日電機械股份有線公司 OMRON TAIWAN ELECTRONIC INC 台灣歐姆龍股份有限公司 OPTICAL GAGING (SINGAPORE)PTE.LTD 台灣歐基玻股份有限公司 ORIENT SERVICE CO,LTD 東服企業有線公司 PARKER HANNIFIN TAIWAN CO.LTD 臺灣派克漢尼分股份有限公司 pinpointek corporatiom 晶友股份有限公司 PREMTEK INTERNATIONAL INC 技鼎股份有限公司 RASCO AGSMOL ENTERPRISE CO LTD 莫斯企業有限公司 RIKEN KEIKI CD LTD 理研實驗股份有限公司 RISSHI CO LTD RISSHI CO LTD ROFIN-SINAR LASER VAIWAN 德商羅光雷射科技有限公司台灣分公司 SAINT-GOBAIN NORTH KK TAIPEI OFFECE 聖戈班集團日商諾頓股份有限公司台北辦事處 SANLIEN TECHNOLOGY CORP. 三聯科技股份有限公司 SCIENTECH CORPORATION 莘耘企業股份有限公司 SCIENTEK CORPORATION 科技股份有限公司 SE TECHNOLOGLES 雙城科技股份有限公司 SEKI TECHNOTRON TAIWAN 協基科技股份有限公司 SEMI PLASTIC CO LTD 水興實業有限公司 SENJU METAL INDUSTRY CO LTD TAIWAN BRANCH 日商千住金屬工業股份有限公司台灣分公司 SEZ AG 瑟思半導體設股份有限公司 SHBASOKU TAIWAN CO LTD 台灣芝測科技股份有限公司 SHIBAURA MECHATRONICS TAIWAN CORPORATIOM 台灣芝浦先進科技股份有限公司 SHINKAWA TAIWAN CO LTD 新川半導體機械股份有限公司 SIERRA INSTRUMENTS INC 湯英科技有限公司 SINGIN MACHINE CORP 新晶科技股份有限公司 SINOM CORPORATION 子子嘉企業有限公司 SONG JAAM TECHOLOGY CO LTD 崇展科技股份有限公司 SPEEDFAM- IPEC TAIWAN LTD 美商艾貝克國際有限公司台灣分公司 SPEEDLINE TECHNOLOGIES ASIA 台灣辦事處 SPIRE TECHNOLOGLES (TAIWAN)LIMITED 魏巖股份有限公司 STAMDARD TECHNOLOGY CORP 資騰科技股份有限公司 SUNWAY SICENTIFIC CORPORATION 尚偉股份有限公司 SUPERB AIR FILTER CORP 順寶企業股份有限公司 TAIWAN PURITIC CORP 台灣儀器行股份有限公司 TAIWAH VALVE AND FITTING CO 和淞科技股份有限公司 VASK TECHOLOGY INC 台灣管件股份有限公司 TERADYNE INC 美商泰瑞達股份有限公司 3S SILICON TECH INC 斯利康科技股份有限公司 TOKYO CATHOODE LABORATORY TAIWAN INC 東京探針股份有限公司 TOSOH GROPG(TOSOH QUAZRTY CO LTD) 台南石英科技股份有限公司 TROY ENTERPRISE CO LTD 洛泰爾企業有限公司 TRUTEK CORPORATOIN 博磊科技股份有限公司 TUSON CORPORAION 杜商股份有限公司 UANGYIH INDUSTRIAL CO LIT 晃誼實業有限公司 UNI-TEK SYSTEM.INC 優力科技股份有限公司 USHIO TAIWAN INC 優志旺股份有限公司 VARITECH INTERMATIONA/ 星楊國際有限公司 VIEETECH TAIWAN CO LTD 微拓科技股份有限公司 WONIK TAIWAN QUARTZ CO LTD 台灣圓益石英股份有限公司 XINTEC INC 精材科技股份有限公司 YU-TECH INSTRUMENIT CO LTD 鈺晟國際有限公司 ZMC TECHNOLOGIES PET LTD 前景企業有限公司 ABETECH TAIWAN INC. 明技國際股份有限公司 ALLIS ELECTRIC CO.,LTD 亞力電機股份有限公司 ASHLAND UNION ELECTRONIC CHEMICAL CO., 連仕電子化學材料股份有限公司 ASIA IC MIC- PROCESS,INC 亞泰半導體設備股份有限公司 ASTEC GMBH ASTEC GMBH ATS PRODUCTS INC. ATS PRODUCTS INC. BALAMAN CO.LTD 臂力人有限公司 BRIGHT SHELAND INTERNATIONAL CO.,LTD. 旭然國際股份有公司 CARL ZEISS JENA GMBH 台灣儀器行股份有限公司 CHING HSIANG PRECISION CO,LTD. 精鑲精密股份有限公司 CHI YHEI TECH INTERNATIONAL CO.,LTD 七益科技國際股份有限公司 CHROMA ATE INC. 致茂電子股份有限公司 COOKSON SEMICONDUCTOR PACKAGING MATERIALS 確信半導體封裝材料 CST ASIA INC. 展躍科技股份有限公司 DAIKIN INDUSTRIES,INC. 日商大金工業株式會社 DAINPPON SCREEN MFG.CO.,LTD. 網屏股份有限公司 DENKEN ENGINEERING CO.,LTD. 登肯科技股份有限公司 DINSON TECHNOLOGY INC. 鼎森科技股份有限公司 DURATEK INC. 慶康科技股份有限公司 E+H EICHIHORN + HAUSMANNGMBH E+H EICHIHORN + HAUSMANNGMBH ELLIPSIZ CO., 奕力公司 ENI TAIWAN LIMITED 台灣億恩埃股份有限公司 FANSYS CO.,LTD. 恆馳國際股份有限公司 FAR EAST DENKEN TECH CORPORATINO 遠東登肯科技股份有限公司 FREUDENBERG 科德寶集團 FULL BRIGHT 福宮通商股份有限公司 G&N GMBH G&N GMBH HIGH STORAGE SYSTEM CO.,LTD. 高密集實業股份有限公司 HI-TONE INTERNATAIONAL CO.,LTD. 星揚國際有限公司 INSTURUMATIC CORPORATION 華鑑科技股份有限公司 INTEGRATED PLASMA INC. 臺禹科機股份有限公司 INTEGRATED SERVICE TECHNOLDGY INC 宜特科技股份有限公司 JET TECHNOLCGY CO.,LTD. 捷智科技股份有限公司 建誼企業股份有限公司 JUSUNG ENGINEERING CO.,LTD. 俊尚科技有限公司 KEYENCE TAIWAN CO.,LTD. 台灣基恩斯股份有限公司 KINIK PRECISION GRINDING CO., 金敏精研股份有限公司 LEYBOLD VACUUM 台灣萊寶真空股份有限公司 MACTRONIX INC. 倫昇科技股份有限公司 MATTSON TECHNOLOGY,INC. 美商得昇科技股份有限公司台灣分公司 MKS INSTRUMENTS,INC. 美商安愷儀控股份有限公司 NAPSON CORP 德技股份有限公司 NECMACHINERYCORPORATION 日商日電機械股份有線公司 NISSO ENGINEER CD,LTD 日商日電機械股份有線公司 RISSHI CO LTD RISSHI CO LTD SEMI PLASTIC CO LTD 水興實業有限公司 SINGIN MACHINE CORP 新晶科技股份有限公司 SONG JAAM TECHOLOGY CO LTD 崇展科技股份有限公司 SPEEDLINE TECHNOLOGIES ASIA 台灣辦事處 SPIRE TECHNOLOGLES (TAIWAN)LIMITED 魏巖股份有限公司 SUPERB AIR FILTER CORP 順寶企業股份有限公司 TAIWAN PURITIC CORP 台灣儀器行股份有限公司 3S SILICON TECH INC 斯利康科技股份有限公司 TOSOH GROPG(TOSOH QUAZRTY CO LTD) 台南石英科技股份有限公司 TROY ENTERPRISE CO LTD 洛泰爾企業有限公司 VARITECH INTERMATIONA/ 星楊國際有限公司 WONIK TAIWAN QUARTZ CO LTD 台灣圓益石英股份有限公司 XINTEC INC 精材科技股份有限公司 YU-TECH INSTRUMENIT CO LTD 鈺晟國際有限公司 ZMC TECHNOLOGIES PET LTD 前景企業有限公司 ACE PILLAR CO.,LTD 羅昇企業股份有限公司 ADVANCED THERMAL SCIENSES CORP. 台控科技股份有限公司 ADVANTEC CO.,LTD ADVANTEC CO.,LTD AEHR TEST SYSTEMS TAIWAN 美商艾爾測試系統股份有限公司台灣分公司 AGEJET TECHNOLOGY INC. 亞聚捷科技股份有限公司 ALCATEL VACUUM TECHNOLOGY ERANCE 阿爾卡特真空科技股份有限公司 ALMATEC 建誼企業股份有限公司 APEX DYNAMICS.,INC. 台灣廣用動力股份有限公司 APPLIED CERAMICS TAIWAN 美商應陶股份有限公司台灣分公司 ARCO INFOCOMM,INC 亞格數位股份有限公司 ASCENTEX INDUSTRY CORPORATION 技高工業股份有限公司 ASHLAND TAIWAN CO.,LTD. 台灣亞仕藍股份有限公司 ASM PACIFIC TECHNOLOGY LTD. 香港商先導自動器材有限公司台灣分公司 ASML ASML NORDSON PACIFIC INC.,(ASYMTEK)TAIWAN BRANCH 美商諾森股份有限公司 AUGUST TECHNOLOGY 美商佑福科技有限公司台灣分公司 BEYOND BROADBAND NETWORKS,INS. 凱裕股份有限公司 BIONICS INSTRUMENT CO.,LTD. 百歐尼仕科技股份有限公司 BUSCH SEMICONDUCTOR VACUUM GROUP 台灣普熙股份有限公司 CANON SEMICONDUCTOR EQUIPMENT TAIWAN,INC. 佳能半導體設備股份有限公司 CANTUS TECHNOLOGY CORP. 昕鈦科技股份有限公司 CHALLENTECH INTERNATIONAL CORPORATION 佳霖科技股份有限公司 CHIEF UP INTERNATIONAL CORPORATION 奇灝國際有限公司 CHIKAL TECHNO CO.,LTD. 千加科技股份有限公司 CLARIANT(TAIWAN)CO.,LTD. 台灣科萊恩股份有限公司 CST ASIA INC 英屬維京群島商展耀科技股份有限公司台灣分 司 DAINIPPON SCREEN ELSCTRONICS (TAIWAN)CO.,LTD. 迪恩仕科技股份有限公司 DAS DUNNSCHICHT ANLAGEN SYSTEME GMBH 德商薄膜分析系統有限公司 DEMPA PUBLICATIONS INC. 電波新聞社 FAR EAST DENKEN TECH CORPORATION 遠東登肯科技股份有限公司 DH INSTRUMENTS,INC. 榮帥電機有限公司 DIGITIMES PUBLICATION INC. 電子時報(大椽股份有限公司) DOU YEE LIMITED 道益有限公司 DOW CORNING TAIWAN INC. 台灣道康寧股份有限公司 DRESSLER HF-TECHNIK GMBH 晶研科技股份有限公司 DTEK SEMICON TECHNOLOGY CO.,LTD. 昶驎科技股份有限公司 EBARA CORPORATION 台灣荏原精密股份有限公司 ELECTROGLAS,INC. 美商伊智科技股份有限公司台灣分公司 ENTEGRIS,INC. 美商英特格股份有限公司台灣分公司 EO TECHNICS CO.,LTD. 伊歐科技股份有限公司 ESCORT SEAL CO.,LTD. 漢昇油封實業有限公司 EUROX CO.LTD. 台灣友絡股份有限公司 EVG-JOINTECH CORP. EVG-JOINTECH CORP. FEI COMPANY 美商麥克里昂股份有限公司 FINTEX INDUSTRY CORP. 技達工業股份有限公司 FOI CORPORATION 東來科技股份有限公司 GRANDOME TECHAOLOGY INC. 巨唐技股份有限公司 GRANDTREND CORP. 新流有限公司 HAKUTO TAIWAN LTD. 伯東國際通商股份有限公司 HAPPY POLE,LTD. 家榮股份有限公司 HAUMAN TECHNOLOGIES CORP. 豪勉科技股份有限公司 HELIX TECHNOLOGY CORPORATION 宏冷科技股份有限公司 HERMES-EPITEK CORPORATION 漢民科技股份有限公司 HERSMEY VALVE CO.,LTD. 鐶琪塑膠股份有限公司 HIGHLIGHT TECH CORP. 日揚科技股份有限公司 HITACHI HIGH-TECHNOLOGIES CORPORATION 日商日立全球先端科技股份有限公司 HIWIN MIKROSYSTEM 大銀微系統股份有限公司 HONLYCO.,INC. 宏立有限公司 HPL TECHNOLOGIES INC. HPL TECHNOLOGIES INC. HYPERSONIC INC. 瀚軒股份有限公司 HYPERVISION INC. 美商超視界科技股份有限公司 INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE(ITRI) 工業技術研究院 INFO WORKSTATION CO.,LTD. 光電科技雜誌 INTRACO TAIWAN CORPORATION 台灣穎傳科技有限公司 ITC TECHNOLOGY TAIWAN CORPORATION 忠創科技股份有限公司 JIPAL CORPORATION 巨沛股份有限公司 JSR CORPORATION TAIWAN BRANCH 日商捷時雅股份有限公司台灣分公司 KANG SHENG CO.,LTD. 港勝企業有限公司 KAO DUEN TECHOLOGY CORPO RATION 高敦科技股份有限公司 KAO FONG MACHANCRY CO.,LTD. 高鋒工業股份有限公司 KINETICS 台灣凱能提克股份有限公司 KING MECHATRONICS CO.,LTD. 華東半導體工業股份有限公司 KISHISANG &CO.,LTD. 台岸股份有限公司 KITZ SCT CORPORATION 日商北澤系統科技股份有限公司 KLA-TENCOR CORPORATION 美商科磊股份有限公司台灣分公司 LESIN ENTERPRISE CORP. 雷欣實業股份有限公司 LEYBOLD VACUUM TAIWAN,LTD. 台灣萊寶真空股份有限公司 LIGHTHOUSE WORLDWIDE SOLUTIONS 新加坡商萊浩斯國際股份有限公司 LIH YUAN ENTERPRISE CO.,LTD. 立源興葉股份有限公司 MIRL/ITRI 工業技術研究機械技術研究所 NAPSON CORPORATION NAPSON CORPORATION OPTIVIZ TECHNOLOGY INC. 詳維科技股份有限公司 PHILIPS TAIWAN LTD. 台灣飛利浦股份有限公司 QUATEK CO.,LTD. 德技股份有限公司 RASCO AG 莫斯企業有限公司 RECIF 台灣瑞斯福股份有限公司 SCS HIGHTECH INC. 矽晶源高科股份有限公司 TACHIA YUNG HO MACHINE INDUSTRY CO.,LTD. 大甲永和機械工業股份有限公司 TAIWAN VALVE&FITTING CO.,LTD. 台灣管件股份有限公司 WINLIGHT ENTERPRISE CO.,LTD. 英永誼實葉有限公司
SiC Wafer Processing Equipment.
Silicon carbide MOSFETs have the characteristics of low on-resistance and small switching losses, which can reduce device losses and improve system efficiency, and are more suitable for high-frequency circuits. It is widely used in the fields of new energy vehicle motor controller, vehicle power supply, solar inverter, charging pile, UPS, PFC power supply and other fields.
By OEM:
- Applied Materials
- ACCRETECH
- Engis
- Revasum
- DISCO
- Wolfspeed
- SiCrystal
- II-VI Advanced Materials
- TankeBlue
- PVA Tepla
- Materials Research Furnaces
- Aymont
- Takatori
- Meyer Burger
- Komatsu NTC
- KLA Corporation
- Lasertec
- Aixtron
- LPE Epitaxial Technology
- VEECO
- AMEC
- NuFlare Technology Inc.
- Taiyo Nippon Sanso
- ASM International N.V
- Naura
- Logitech
- 3D-Micromac
- Synova S.A.
- Visiontec Group
- Nanotronics
- TASMIT
- Inc. (Toray Engineering)
- Angkun Vision (Beijing) Technology
- Beijing TSD Semiconductor Co.,Ltd.
- Zhejiang Jingsheng Mechanical & Electrical
- Shanxi Semisic Crystal Co.,Ltd.
- Shenzhen Naso Tech Co.,Ltd.
- TDG Holding
- Xin San Dai Semiconductor Technology
- PNC Technology Group
- Hebei arashi whale photoelectric technology
- Nanjing Jingsheng Equipment
- Beijing Jingyuntong Technology
By Type
SiC Thinning and CMP
SiC Deposition Equipment
SiC Epitaxy/HTCVD Equipment
SiC Thermal Processing Equipment
SiC Etch and Clean Equipment
SiC Ion Implant
SiC Patterning Equipment
SiC Metrology and Inspection Equipment
SiC Wafer Bonders
By Application
SiC Substrate Processing
SiC Epitaxy Processing
SiC Devices Fabrication
The global SiC Wafer Processing Equipment market is projected to grow from US$ 4984 million in 2024 to US$ 15330 million by 2030, at a Compound Annual Growth Rate (CAGR) of 20.6% during the forecast period.