Description
Please contact us for the availability of the following used semiconductor equipment and parts
[Pls use “CTRL+F “key button to search the model/key word you are interested in]
The items are subject to prior sale without notice. These items are only for end users.
1 | STS Multiplex DRIE Tool (Bosch Process) 1 set (ICP) |
2 | STS Multiplex ICP Bosch Process 1 set ICP |
3 | STS MESC Multiplex ICP SN:17343 1 set (ICP) |
4 | Multiplex ICP MACS Bosch Process 1 set (ICP) |
5 | STS multi-chamber Cluster 1 set (ICP) |
6 | STS Mutiplex ICP 1set (ICP) |
7 | Tegal 903e plasma dry etch 1 set (Plasma Etch/RIE) |
8 | Tegal 903e plasma dry etch 1 set (Plasma Etch/RIE) |
9 | Tegal 903e plasma dry etch 1 set (Plasma Etch/RIE) |
10 | Tegal 903e plasma dry etch 1 set (Plasma Etch/RIE) |
11 | Tegal 903e plasma dry etch 1 set (Plasma Etch/RIE) |
12 | Tegal 903e plasma dry etch 1 set (Plasma Etch/RIE) |
13 | Branson IPC 3000 1 set (Plasma Asher/Descum) |
14 | Matrix 105 1 set (Plasma Asher/Descum) |
15 | Matrix 205 1 set (Plasma Asher/Descum) |
16 | Matrix Bobcat 209S 1 set (Microwave Plasma Asher/Descum) |
17 | Matrix Bobcat 209S 1 set (Microwave Plasma Asher/Descum) |
18 | Gasonics L3510 1 set (Microwave Plasma Asher/Descum) |
19 | Gasonics L3500 1 set (Microwave Plasma Asher/Descum) |
20 | System One Etcher Matrix 302 Plasma Etcher 1 set (Plasma Etch) |
21 | Matrix System One Stripper Matrix 103 1 set (Plasma Etch) |
22 | Matrix System One Stripper 1 set (Plasma Asher/Descum) |
23 | Matrix 303 Plasma Etcher 1 set (Plasma Etch) |
24 | Lam AutoEtch 590 1 set (Plasma Etch) |
25 | Lam Auto Etch 590 1 set (Plasma Etch) |
26 | Plasma Therm 700: 1set (PECVD+Plasma Etch) |
27 | Plasmatherm SLR 720 RIE Etcher (RIE) |
28 | AMP-3300 : 1 set (PECVD) |
29 | Heatpulse 8800 1 Set(RTP) |
30 | Heatpulse 8108 4 sets (RTP) |
31 | Heatpulse 4108 4 sets (RTP) |
32 | Heatpulse 4100 4 sets (RTP |
33 | Heatpulse 610 2 sets (RTP) |
34 | Mini-Pulse 310 1 set (RTP) |
35 | Heatpulse 610 1 set(RTP) |
36 | RTP-3000 1 set (RTP) |
37 | Edwards QDP80 + QMB500: 1 set (Pump) |
38 | Fisher Scientific Chiller 1set Chiller |
39 | PolyScience 9102A Chiller 1 set Chiller |
40 | PolyScience 9105 Chiller 1 set Chiller |
41 | NESLAB SYSTEM II: 2 sets(Chiller) |
42 | Neslab CFT-25 Recirculator 1 set Chiller |
43 | Neslab RTE-110 Chiller 1 set Chiller |
44 | Thermo Fisher Scientific Chiller 1 set Chiller |
45 | Thermo Fisher Scientific Neslab 7 1 set Chiller |
46 | ThermoRack 10-R6AF-3G30-10-S2: 1set (Chiller) |
47 | EG 1034: 11 sets were refurbished,like new and 7 sets are used not test. (Probe) |
48 | EG4085: It is complete, working condition, tested. (Probe) |
49 | Olympus MX50A-F with Al100-L6 1 set ( Metrology) |
50 | Nanometrics 210 Nanospec AFT 1 set ( Metrology) |
51 | HP 4062 and testers: 6 sets. Will fully test before shipping. (Tester) |
52 | Hitachi FE-SEM S-5000 1 set |
53 | 000000-1841-805 DC X Motor Unit, 000000-1332-169, 000000-1332-146, 418826 |
54 | 000-6295-45 MCC 94V Board |
55 | 01-2833 Mounting bracket |
56 | 03-130470-00 Pendant, Hoist control for Novellus |
57 | 07739001 hamlin PCB board |
58 | 0P76003 JITTER GENERATOR |
59 | 1 Lamp 388 Indicator M01268-CQ-11-02O |
60 | 1 lot of 7 Xilinx XC7354 Complex Erasable Programmable Logic Device, 54 Cell |
61 | 1 Moeller PKZM0-2.5, 1 Moeller PKZM0-1.6, protected manual motor contactors |
62 | 1 set of Asyst 9701-4142-01, 9701-4143, 3200-4358-01, 399352 |
63 | 1 set of Asyst 9701-4142-02, 9701-4143-01, 3200-4358-01, 3000-4358-01, 399351 |
64 | 1 wafer handler robot end effector |
65 | 10 Brooks handler calibration wafer test, 200mm, semitool 90006-11, NEW |
66 | 10 Collets, 10-00184-00 stainless steel, look new, price for 10 |
67 | 10 Cooper Bussman HJM-HH Fuse Holder Panel Mount, 326141 |
68 | 10 Cooper Bussmann LPJ-40SP Low-Peak Dual-Element Time-Delay Fuse Class J 423416 |
69 | 10 Cooper Bussmann LPJ-40SP Low-Peak Dual-Element Time-Delay Fuse Class J 423417 |
70 | 10 Furon DV2-144NCD2, P/N 1103307 solenoid valve, 24 VDC, 50/60, S077 |
71 | 10 IDEC RH1B-4, Relay, AC24V, 67Y19. 416606 |
72 | 10 Kinetics Gas Line, Tescom 74-24P1KR920-067, Pall SGLFPF6402VMM4, Ametek |
73 | 10 LM76 Inc L408-6 Ceramic Coated Liner Motion Bearings, 422372 |
74 | 10 NEW 006 Oring 2-006, SC1107, TEL 22-120990-00 |
75 | 10 NEW Carlo Gavazzi EDM TC TYPE J INPUT PROG LOCK, 5100637 |
76 | 10 new general electrics miniature lamps model 388 |
77 | 10 NEW Grenne rubber co. E17020270 Rubber gaskets, 811-52480 |
78 | 10 NEW Intel 869477, 2nd level interconnect |
79 | 10 NEW Keyence FS-V12P Fiber optic sensors. |
80 | 10 NEW LAMBDA LFS39-12, Power supply, 12VDC Power supplies |
81 | 10 NEW Perlast 22-291392-00 Oring, 2-279, G67P, 329.80 x 3.53. 408634 |
82 | 10 New Tru-6960-0SNX, Coax Cable connector for RF Cable ,Tru Connector, |
83 | 10 New Vari-Size Cord Grip HUBBELL HBL4720C, 15 Amp Plug |
84 | 10 Novellus 011005-002-101104, 962977 Rev. A, Insulator. 415379 |
85 | 10 Novellus 24-10257-00 BRG. Gate Valve New Style 1/4ID X 7/, Needle Bearing |
86 | 10 Parker 3/8 HHP-SS, 01HP-6C, Hollow Hex Plug Adapters. 416481 |
87 | 10 Parker 3/8 HHP-SS, 01HP-6C, Hollow Hex Plug Adapters. 416506 |
88 | 10 Parker VCR Fitting Gaskets,Contoured Retainer, 50-8V-2LP-R |
89 | 10 photo contact mounting block-16 |
90 | 10 SMC KPL04-01, 400547 |
91 | 10 Sony UPP-110S, TYPE I (Normal) High Quality Printing Paper 110mmx20m. 416688 |
92 | 10 Sumitomo Electric SCP6828-MECH Transceiver GBIC |
93 | 10 Sumitomo Electric SCP6GXX-GL SFP Optical Transceiver Module Surplus, 325900 |
94 | 10 Thomas West SCF 815-C350-6 Wetpads, Pads, VLSI 63140073, 423365 |
95 | 10 varian 04764001 plate resistor |
96 | 100 legris fittings 3175-36-11 |
97 | 100 new 0060-02136 label, 0-300ML, scale, 5.8275″ L X 1/2″ |
98 | 100 SMC fittings KQL07-35S-X2 |
99 | 100 SMC fittings KQT07-36S-X23 |
100 | 100 TIL 144 L8019 Slotted optical switch |
101 | 100 Tokyo Electron Mini-Circuits SRA-1 Demodulator |
102 | 1000 Tokyo Electron D124559 Roller, Black ( 20 Per Pack) |
103 | 1000 Tokyo Electron D124955 Roller, Black |
104 | 10892-506 Window, 260RH, 423306 |
105 | 11 new PCB ASSY VACUUM SENSOR 99-80184-02 SVG 80184C |
106 | 11 Square D 2NR-301-001 Current Transformer |
107 | 11 UG564/U RF Union BNC Male to VHF Female Silver Plate |
108 | 116335 381163500 |
109 | 12 Horiba Stec SEC-4400 MFC, SiH4, 200 SCCM |
110 | 12 KINGSTON KVR MEMORY 1 GB |
111 | 12 new HBC-558 solid state relay opto 22 480D45-12-NOV120 novellus 61-338317-00 |
112 | 12 NEW Klarez 006 Oring, 2-006 compound 4079, 1/8 x 1.4 x 1/16 inch,22-127983-00 |
113 | 12 Position gas manifold W/ Mott filters, Tescom Pneumtic valve, Nupro valve |
114 | 12″ Vacuum Tube KF50 |
115 | 120 new Thomson 18281 Super8 Cartridge ball Bushing Linear Bearings |
116 | 121 new signet 37-8883 insulator filament |
117 | 125mm Chuck, pedestal. 416914 |
118 | 13 Assorted 512 MB memory |
119 | 13 RF Gender Changer UG-565 A/U Silver Plated, 423322 |
120 | 13 VICOR VI-263-CU, convertor M24V/8.3 ALT, Novellus 27-147 251-00 |
121 | 130 legris fittings 3108 04 11 3108-04-11 1/8 npt |
122 | 13-0072-250 |
123 | 14 M.E.C. Tech MEC83306-1060L Cover, Screw, #8-32, Lowpec, 417129 |
124 | 14 SMC SV1200-5FU-X7 Solenoid Valve |
125 | 14 SMC SY3440-5L0Z Pneumatic Manifold |
126 | 14, 2 GB memory HMT325R7BFR8C-H9 T7 AB, 240-PIN DIMM 256MX64 DDR3 |
127 | 15 Eppendorf 25 ml syringes, 423326 |
128 | 15 McDaniel Controls Inc. AB 00724 0-100 PSI Gauge 1/8″ NPT |
129 | 15 New ATMT 500467-041415 Smart Cap Key, JSR-1860-35 |
130 | 15 NEW Berkeley Process controls MIO-A-2-610 I/O module 950973 |
131 | 15 new BUSS fuses 448H min. fuse MDL V 2-1/2 A 0910-01323 |
132 | 15 NEW McDaniel Controls SDLK Pressure Gauge 2900-0051-05, 0-100 PSi |
133 | 15 new Metric Bolt Peek Material M6-15-Hexagon Head, EK/BT-MG-15 |
134 | 150 Micron 1 GB memory, 1RX8 PC3-8500P-7-00-AP |
135 | 150mm Single Wafer Shipping Box, Empak Z150-01, 395674, 395675 |
136 | 16 Mouser Electronics 172-E09-213R911 Conn D89 Female Solder DIP NKL |
137 | 16 NEW 3880-01008 washer FLAT #10 .438OD x .219ID x .49THK SST |
138 | 16 NEW Perlast 22-173564-00 Oring, white perlast G71H, 53.57 x 3.53 |
139 | 16 used WAGO 264 DIN rail Terminal blocks |
140 | 160296-0002 Assy, Cable, Power, FCC To DAFA-PM2 Amp, 415500 |
141 | 17 new signet 37-7149, varian support 07149001 |
142 | 18 NEW SVG 914224-001 Gas, Mini, Right angle, ASML AVIZA, WJ |
143 | 18 SMC KQH01-32-X23 air fittings quick connect |
144 | 18 VCR 1/2 Inch Gasket with Retainer 4JR-9.52RE-RG-Ni-O |
145 | 187 M.E.C. Tech MEC83106-1040L Cover, Screw, 1/4-20. 423157 |
146 | 18800045 SRV/S06D06 SCHALTER MICRO- mit ROLLE roller micro switch |
147 | 19 memory 1 GB, Crucial , Infineon, 1GB 240-PIN DIMM 128Mx64 DDR2 |
148 | 19 Motorola 5646050-101 Motorola SRF5347H Transistor 402379 |
149 | 19 NEW NAIS AFPE806 FP-e Panel Cover |
150 | 191 Tokyo Electron D126943 Tab, W/H, Cal, 412819 |
151 | 19801004800874 JZ29A-01 MEIDEN, MU24A30756 |
152 | 1998 DSP research INC CIREXX2M-0 94V-0 4500 BOARD |
153 | 2 ASSY ENCODER UNIT 3FC111AL06300, OMRON E6H-CWZ6C ENCODER |
154 | 2 F4980001 reflectors or shields |
155 | 2 03-124010-00 Rev.A, 1203, Cable Assembly. 420159 |
156 | 2 445S70 O-Ring, 568-455, S70, VMQ, 423356 |
157 | 2 455S310 O-Ring, 568-455, S70, VMQ, High Temp, 423354 |
158 | 2 AC Power supply 0-15 VOLTS |
159 | 2 ACME Electric PS2L-1000, Programmable solid state 1000 With Load |
160 | 2 ADAPTEC AHA2940W SCSI-SE PCB |
161 | 2 ADTEC RF GENERATOR AX-2000EU, Novellus 27-286651-00, AX-2000EU-N |
162 | 2 Advanced Energy PDX2000 Plasma Drive RF GEnerator |
163 | 2 Advanced Motion Controls B25A20FACQ Brushless PWM Servo Amplifier Drive |
164 | 2 AEI 4003-0522-01 Block, Bracket. 423181 |
165 | 2 Allen-Bradley 800T-XD4 Contact Block, 421009 |
166 | 2 Ametek, Pittman Motor, N2342A212, 2048 CPR, 11.623 V/KRPM, 641 174-2R |
167 | 2 AMP UG-57B/U/ 50896 RF Adaptor, 423441 |
168 | 2 Amphenol UG-349A/U Coaxial Adapter, 423439 |
169 | 2 APC SUA1500RM2U SMART-UPS 1440VA 980W USB 2U RACKMOUNT BATTERY BACKUP UNIT |
170 | 2 APTech AP3550RX 2PW FV4 FV4V8 Valve Assy, SR4-120-6T-0007-S10-25, LAM, 423058 |
171 | 2 APTech AP3600SM4PWMTW4FV4TW6TW4CHP 4 Way Gas Valve, Max Pressure 3000 psi |
172 | 2 Assy, 14-8885-077, Snsr, Rotary Actr, CMC, Assembly, Sensor |
173 | 2 Astro Tool 70-41AP6, Pneumatic basic frame |
174 | 2 Asyst 6900-2061-01 |
175 | 2 Asyst 4003-2050-01 Arm, Crossing Automation, Brooks, 401056 |
176 | 2 Asyst 9701-1190-01 Cable Assembly, Assy |
177 | 2 Asyst 9701-2143-01 wafer mapper, assembly, FRU, OPT, 3200-1229, 4002-6446-01 |
178 | 2 Asyst 9701-5065-01, Dual Arm Assembly, 4002-6446-01. 416631 |
179 | 2 Asyst Technologies 3200-4346-04 REV A PCB board, 3000-4346-03 |
180 | 2 Asyst Technologies 9701-1059-02A PCB board, Fab 3000-1209-03 REV A |
181 | 2 ATMI 500467-040520, Smart Cap Key |
182 | 2 Ball Valve, 1 1/2 inch, stainless steel, CW617N, MOP5, PN16, DN32 |
183 | 2 BANNER QS sensor Q126EQ connector Ultrasonic TEACH with TURCK U0935-39 |
184 | 2 Boc Edwards APG-M-NW16 Active Pirani Gauge, D02171000 |
185 | 2 BOC Edwards APG-M-NW25 ST/ST Active Pirani Gauge, 422592 |
186 | 2 BOC Edwards APG-M-NW25 ST/ST Active Pirani Gauge, 422593 |
187 | 2 BOC EDWARDS QMB250F Mechanical Booster Blower |
188 | 2 Brooks 4002-9746-01 Robot End Effector, 9701-3180-01 |
189 | 2 Carlo Gavazzi VDE 0660 Semiconductor Contactor |
190 | 2 Centronics Interface PCB Board 068486-003 |
191 | 2 Centronics Interface PCB Board 068486-003 |
192 | 2 Centronics InterfacePCB Board 068486-003 |
193 | 2 Chemraz N026207874, SC520 Compound 520, 3.10 x 0.103 inch Oring, 78-2879 |
194 | 2 Cohernet AVIA 266 HEAD Board, Assy 0179-040-00 PCB board, FAB 0171-702-00 |
195 | 2 Contemporary Controls EISK8-100T 10/100 Mbps Ethernet Switch |
196 | 2 Conxall 8280-4PG-513K Mini-Con-X Plastic Connector OnTrak 70-0010-173 421606 |
197 | 2 Crossing Automation Robot Assembly SLR0708, 0168004, 1-603-890-6001, PCB 55008 |
198 | 2 Dainippon SEPC-0065 TU controller, 2-VC-13234 |
199 | 2 Delta Design 1666339503 Microstepper ITR, PCB assy |
200 | 2 DelTron Precision Linear Slide 363552020 |
201 | 2 Disco Carbon Brush Assembly NCB00005 |
202 | 2 Disco ES MODM016 Packing Duct |
203 | 2 Disco ES MODMJ020 Jig, Demount (1) |
204 | 2 Disco ES MODPH05651A Micron Filter Element |
205 | 2 Disco ES MOELH11351 Filter Element Kuroda F55-07722P |
206 | 2 Disco ES MOGCH710–AY Solenoid Valves 401880 |
207 | 2 Disco MOENT062, Abosrber. 417140 |
208 | 2 Disco MOGAH18402C Nakaya Brush, 422317 |
209 | 2 Disco MOGCH055—Y Brush R Nakaya, 416897 |
210 | 2 Disco MOGCH606–AY Flow Meter, Water, 1-7, 9402020, 9308001, 422232 |
211 | 2 Disco MOGCN023—Y Mist Collector, Filter Element, 422207 |
212 | 2 Disco MOGCR014–AY, Timing Belt. 423123 |
213 | 2 diversified technologies 651200978, Intel i386 computer board |
214 | 2 Ebara A70W Vacuum pump, controller, missing some parts |
215 | 2 Ebara controller for mechanical pump |
216 | 2 Edwards APG-M-NW16 Active pirani gauge, D02171000 |
217 | 2 EFI electronics MBP120/208Y, Linemaster MBP, surge protection 0175-770261 |
218 | 2 ELO touch system, 14 inch touch screen 311-40SD5-11, ST-0SD5 V1.1, 362743FTM |
219 | 2 Entegris 221-60 Self-Retracting Coilded Tubing, PFA, Teflon, 3/8″, 842, 423376 |
220 | 2 EOS ZVC60NT12AA, AC adapter, 12 VDC OUT |
221 | 2 Eye Pieces |
222 | 2 FC-SM40-TUR-NS, Water Flow Checker, AC/DC 100V, 0.25A, 20W. 416683 |
223 | 2 Finisar FCM-8519-1-T4 Mod. Def. 4 Active Copper GBIC |
224 | 2 fittings 2250-111349 1/8 tubing 3 way tees |
225 | 2 flow meter water output to magtrn water output 95-3658 |
226 | 2 FUJIKIN 316L-P SURFACE MOUNT GAS DIAPHRAGM KL60M002, |
227 | 2 Fujikin Pneumatic Valve FUDDFL-71L-6.35-2-316LP-ANT |
228 | 2 Fujikin UBV-14C manual ball valve, SS |
229 | 2 Furon st Gobin UPM2-F1212-M SP, 952452, PTFE 2 way diaphragm valves |
230 | 2 Future Star 154-040 Meter Flow 1000-4000CC Flare FTG |
231 | 2 Gas distribution panels w/ 3 way valves, manual flow meters, and check valves |
232 | 2 gas inlet pipe PY2 Anelva A17-03824 1/4″ VCR |
233 | 2 Gas Line 258-19371-00/A Cal-Weld |
234 | 2 GaSonics A90-031-03 PCB Plasma/Lamp Failure Detection |
235 | 2 GE 30 Amp 3 Poles-3 Overloads 783166-40816 |
236 | 2 GE Fanuc IC693ALG220G, 4 point analog voltage input module A/I I/O, 956287 |
237 | 2 General Electric CR354AC3AB3, 30 Amp, 3 Poles, 3 Overloads without Enclosure |
238 | 2 Genuine Cisco XENPAK-10GB-LW, 10GBASE-LW, 10-2267-01, 397970 |
239 | 2 Genuine Cisco XENPAK-10GB-SR, 10GBASE-SR, 800-25213-01, 397971 |
240 | 2 GORDOS / Opto 22 model 0AC5Q Relay output modules |
241 | 2 Granville Phillips 275 801 Mini Convectron |
242 | 2 Ham-Let EV80-V-EP Ultra Clean Diaphragm Valves |
243 | 2 Ham-Let EV8C-FV-L83-EP Diaphragm Valve, UCV Eco Series |
244 | 2 Ham-LET UCV 2LDS8Q-57358 Shutoff Valve, 1/2 inch VCR Conn |
245 | 2 Ham-Let UCV EV80-V-EP Diaphragm Valve |
246 | 2 Heated solvent tanks Hersteller Thielmann container systems |
247 | 2 Hitachi 545-1114 Aperture Plate, Objective Lens, 422301 |
248 | 2 Horiba Stec LF-210A-EVD Liquid Mass Flow Controller TDMAT, 0.1g/min, 10814304 |
249 | 2 Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1g/min, IV0LF-COMBO-O2 |
250 | 2 Horiba Stec LF-310A-EVD Liquid MFC, mass flow controller, TEB, 0.5g/min,107856 |
251 | 2 Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.5g/min, Mass Flow Controller, 10670 |
252 | 2 Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.2 g/min, Mass Flow Controller, 331 |
253 | 2 Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25g/min, 111285 |
254 | 2 Horiba stec LF-410A MFC, LF-410A-EVD, TEOS, 1.5 g/min |
255 | 2 Horiba stec LF-410A MFC, mass flow controller, LF-410A-EVD, TEOS, 3.0 g/min |
256 | 2 Horiba Stec LF-410A-EVD Liquid MFC mass flow controller, TEOS,7.0g/min, 107661 |
257 | 2 Horiba Stec LV-F50PO LFC 1@25 ccm, and 1@ 20 ccm TEOS |
258 | 2 Horiba stec MFC Mass Flow Controller LF-410A LF-410A-EVD TEOS 3.0 g/min S1108 |
259 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, C2F6, 50 SCCM, SEC-4400MC-UC |
260 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, He gas, 10 SCCM range |
261 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, He gas, 10 SCCM, S251400025 |
262 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, He gas, 10 SCCM, S282210247 |
263 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, He gas, 500 SCCM, S281605211 |
264 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, SiF4 gas, 100 SCCM, S271202493 |
265 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, SiF4 gas, 100 SCCM, S272400441 |
266 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, SiF4 gas, 100 SCCM, S281408002 |
267 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, SiF4 gas, 20 SCCM, SEC-4400MC |
268 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, SiH4 gas, 200 SCCM, S252105171 |
269 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, SiH4 gas, 200 SCCM, S252402835 |
270 | 2 Horiba Stec MFC SEC-4400, SEC-4400M, SiH4 gas, 200 SCCM, S271304884 |
271 | 2 Horiba Stec MFC SEC-4400, SEC-4400MC SiF4 gas, 100 SCCM range, SEC-4400M |
272 | 2 Horiba Stec MFC SEC-4400, SEC-4400MC, Cl2 gas, 100 SCCM, S611497 |
273 | 2 Horiba Stec MFC SEC-4400, SEC-4400MC, SiF4 gas, 100 SCCM, S651295 |
274 | 2 Horiba Stec MFC SEC-4400, SEC-4400MC-UC, CF4 gas, 100 SCCM range, SEC-4400M |
275 | 2 Horiba Stec MFC SEC-4400, SEC-4400MC-UC, SF6 gas, 50 SCCM, SEC-4400MC |
276 | 2 Horiba Stec MFC SEC-4400, SEC-4400RC, C3F8 gas, 50 SCCM range |
277 | 2 Horiba Stec MFC, SEC-4400MC SEC-4400MC-146, SiH2Cl2, 200 SCCM 400 SCCM, 325379 |
278 | 2 Horiba Stec SEC-4400 MFC, SEC-4400M, CF4, 50 SCCM |
279 | 2 Horiba Stec SEC-4400 MFC, SEC-4400M, SiH4, 100 SCCM, S272302 |
280 | 2 Horiba Stec SEC-4400 MFC, SEC-4400M,HCL, 500 SCCM |
281 | 2 HUSSEL H320P Plug IEC 320 Compatible |
282 | 2 Inficon 353-500 LI-9496 Balzers |
283 | 2 Insulator, Chuck Body 500661-00, PTFE Material |
284 | 2 IOMEGA PC2 B/F, SCSI CARD, 8 BIT ISA, 01351906-00, PC2B50F, PC2F |
285 | 2 iso centering rings, o-ring, 10 inch, used |
286 | 2 JEI-1040012 Motors, JEI 1040012, |
287 | 2 Johnson Controls A25AN-1E, Warm Air Control w/ Manuel Reset. 416414 |
288 | 2 Kensington Labs 4000-6002 Axis Board |
289 | 2 Kepco 0024782 Robot Power Supply 27-053701-00. one unit with cables. |
290 | 2 KEYENCE FIBER OPTIC SENSOR FS-TIP, 399415 |
291 | 2 Keyence FS-V1 Novellus Ipec Speedfam 956289 Keyence sensors |
292 | 2 Keyence FS-V11 Fiber Optic Photoelectric Sensor, 423873 |
293 | 2 Keyence FU-5FZ Fiber Optic Sensor Head Cable, 422231 |
294 | 2 Keyence KV-10T micro PLC |
295 | 2 KF 25 Vacuum Bellow |
296 | 2 Kinetics Fluid System Gas Line Ametek Pressure Regulator, Swagelok 6LV-DAVR4-P |
297 | 2 Kinetics Fluid System Gas Line, Tescom 250, Millipore C7MM2983C, USG Meter |
298 | 2 Kinetics Fluid System Gas Line, Tescom 250, Pall SGLFPF6402VMM4, USG Meter |
299 | 2 Kinetics Gas Line, Entegris, Tescom 44-2213-242-010, ATC L2A-VRGF, Swagelok |
300 | 2 Kinetics Gas Line, Ham-Let HM20 4VKLQ M4, 316 VIM/VAR, Tescom 60, Ametek, Pall |
301 | 2 Kinetics Gas Line, Swagelok 6LV-DAVR4-P-NW, APTech AP1010SM 2PW FV4 FV4 SB |
302 | 2 Kinetics Gas Line, Swagelok 6LV-DAVR4-P-NW, Tescom 60, Ametek Meter |
303 | 2 Kinetics Gas Line, Tescom 150, Swagelok B-45S8, Ashcroft |
304 | 2 Kinetics Gas Line, Tescom 44-2213-242-010, Ashcroft, USG |
305 | 2 Kinetics Gas Line, Tescom 60, Pall SGLFPF6402VMM4, Swagelok 6LV-DAVR4-P Ametek |
306 | 2 Kinetics Gas Line, Tescom 74-24P1KR920-067, Pall SGLFPF402VMM4, Swagelok |
307 | 2 Kinetics Gas Line, USG Meter, Tescom 250 |
308 | 2 KIP inc 651165 Solenoid valves |
309 | 2 Koganei 200-4E2 Solenoid Valve, AC100V. 423158 |
310 | 2 Koganei AFDPG-12, Air Cylinder, Gripper, Actuator, Pneumatic, 20X150, 402482 |
311 | 2 Kokusai Electric DN-130P, Heater Over Temperature Protection Unit 202086031 |
312 | 2 Kollmorgen MT1506B1-E2C1 Servomotor, goldline series, Ipec novvelus 968601 |
313 | 2 LAM 130616800 Rev.003, 125B, Clamping Collar. 417854 |
314 | 2 LAM 492-17304-00 Manifold, Solenoid, M6, 793713-ECOSYS, 5 Of SV1A00-5FU Valve |
315 | 2 LAM 701D-054242-007 Lift Shock Industrial Gas Spring GS 100799-1 |
316 | 2 LAM 715-011531-011 LRC Electrode |
317 | 2 LAM 715-221532-140 Rev.E2, Clamp, BLKHD, NW40. 419543 |
318 | 2 LAM 716-021894-001 Gasket, Bell Jar Clamp, Lower, RGS LAR01-1251-0005, 420816 |
319 | 2 LAM 796-009364-001 Vacuum bellows assemblies, NW80, 20, 20 inch long |
320 | 2 LAM 796-220745-001, Flange, CF, 1.33, Blank, Non-Rotatable, MKS HPS. 418542 |
321 | 2 LEM Module Type HA 200-SRU, Current Transducer, Driver . 416403 |
322 | 2 Leybold seal, turbo ISO ring 887061027 |
323 | 2 Linear stage X axis, DS4-200-C-5G-X23-OE4-LP2-HP1-BS-EO-CLN and HT23-397 |
324 | 2 LPM controller for CVC equipment |
325 | 2 M-3-30120 Electrode, Body, Made of Teflon, 423853 |
326 | 2 Malema M-10000-T2021-00, 954258 Flowmeters, 0.3-3.0 L/m, 0-10 VDC output |
327 | 2 Masterflex cole parmer 7553-07 washdown modular controllers AC3003A1 |
328 | 2 Mclennon stepper servo motor, 5D6MDC30600, P522-DC024-G23-2450 |
329 | 2 MDC 1″ Dual TC Feed Through, Omega |
330 | 2 Merlin Gerin C60N, Circuit Breaker 1 Pole, 15 Amps |
331 | 2 Metron 0429-01 Switch, Liq Lev, PP, NO/NC, 1/4P, Horiz Float |
332 | 2 metron manifold weld mount ETCH A119756 |
333 | 2 MFC Mykrolis Mass Flow Controller FC-2902MEP5-T, 5 SLPM, Gas N2 |
334 | 2 Millipore Waferguard Filter , 0.2 micron+ 0.1 micron W/4 O-Rings. 423067 |
335 | 2 Mini-Circuits Splitter ZMSC-4-1, 0.1-200 Mhz |
336 | 2 Mitsubishi MR-J2S-20B Servo Motor 417009 |
337 | 2 MKS baratron 127AA-00001A, 1 torr, S19947 |
338 | 2 MKS baratron 722A-28414, 5 Torr with calibration sheet in box |
339 | 2 MKS HPS 100312705 Seal, Centering Ring Assembly, NW40, S/V, 419602 |
340 | 2 MKS HPS division, 947 Convection Enhanced Pirani Pressure, 947-A-220-TR |
341 | 2 MKS HPS HPS 152-0040K ISOLATION VALVE, USED |
342 | 2 MKS HPS Products 901P series Loadlock Transducer, 901P-11, 9-30VDC |
343 | 2 MKS Instruments 625B23TCEFU Baratron, 2000T 100C trip WITH PAPERWORK |
344 | 2 MKS MASS FLOW CONTROLLER 5877, He, 10 SCCM |
345 | 2 MKS Type 250 pressure flow controller, 250B-1-D and 250C-1-D |
346 | 2 Moeller ZM-6-PKZ-2, adjustable Trip block Manual Contactor |
347 | 2 MW300-F-C and 2 MW300G-C, 300mm silicon storage case carrier |
348 | 2 MYKROLIS Intelliflow AASGD40W1 MFC, Mass Flow Controller, N2 1000 SCCM, 325071 |
349 | 2 NAIS AKA82101 Switch Mounts |
350 | 2 National display system DM-X15/ZN, touch screen assy 90X0080, 15 inch |
351 | 2 Net Gear JFS516 16-Port Fast Ethernet Switch 17-262853-00, 423257 |
352 | 2 Netgear FA310TX, FA32B95047264 Network Card |
353 | 2 NEW Linear actuator 400MM rail two long NP105, 95086-52 |
354 | 2 NEW Asyst 6900-2333-01 Bar Kit IPC, SIMCO, LV Bar Ionizer, 5051150, 24 VDC |
355 | 2 NEW ASYST 9701-3806-01 REV C, BIZ AOB001 |
356 | 2 new BD-0024286 SiC/SiC SUS316 |
357 | 2 new boxes of 50 Gilson distritip syringes 125 uL capacity |
358 | 2 NEW bushing, 7/8 shaft, 3/4 L, T500, 33-0008-025 |
359 | 2 NEW Carlo Gavazzi BO R5, 4 Relay digital output module power supply |
360 | 2 NEW Carlo Gavazzi BQ TRX Temperature resistance input module, TC: J,K,E,S,T |
361 | 2 New Chemraz 520 oring 78-2879, 3.10 x 0.103 inch, GT part # 262 07874 56520 |
362 | 2 new Chemraz 9012-SC513 Compound 513, 9.25 x 1.78mm, 78-2732 Oring. 329373 |
363 | 2 new CYM3096, 6900-1890-01 Sensor |
364 | 2 NEW Disco ES MOHEH056 Flow Meter |
365 | 2 new F2907001 PCB assy. data logger pre scale, ECI-C-6 94V1 |
366 | 2 NEW Fluorosilicone 2-010 Oring, AS568-010, Blue, 70, 22-373616-00, 328446 |
367 | 2 NEW Fluorosilicone 2-012, AS568-012, Blue, 70, 22-373617-00 |
368 | 2 NEW Fluorosilicone 2-116, AS568-116, Blue, 70, 22-373610-00 |
369 | 2 NEW Fluorosilicone 2-330 Oring, AS568-330, Blue, 70, Novellus 22-373613-00 |
370 | 2 NEW Fluorosilicone 2-336, AS568-336, Blue, 70, 22-373625-00 |
371 | 2 NEW Fluroware SA8-8FN-1 Adapter 1/2″ SAN 1/2″ Flare |
372 | 2 NEW FORESIGHT PROCESSING CT1310-496964-14 PIPE JOINT |
373 | 2 new Gelman sciences carbon capsule 49850 |
374 | 2 NEW HAM-LET HM20-4VKLC-GF4 UCV HM SERIES DIAPHRAGM VALVE |
375 | 2 New Hitachi 3-830027-A, Asher Reflector- Legacy |
376 | 2 NEW Horiba Stec MFC, SEC-7440M, 5 SLM, O2 gas, mass flow controller |
377 | 2 NEW Kalrez 225 oring, 2-226 compound 8101UP, 2 x 2-1/4 x 1/8 inch, 78-2285 |
378 | 2 NEW KF 40 CENTERING RING, SEAL 211-992. 329371 |
379 | 2 NEW KF50 Vacuum Bellows NW-50, 7 inch |
380 | 2 NEW Koganei A040E1 Solenoid valves |
381 | 2 NEW Kokusai 3ROT036154 gas flex lines |
382 | 2 NEW LAMBDA LFS-42-28-K Ipec speedfam Novellus QT330174, 28V, 5A power supply |
383 | 2 New Lighted Pick-Up Tools Replacement Lamp 05515 |
384 | 2 NEW LVQ20-Z07N-8 viper valves |
385 | 2 NEW Millipore WGGB12S02 Filter 0.5 um, 12 STK T-Line GAS |
386 | 2 New MKS KF40 Vacuum Foreline Bellows |
387 | 2 NEW NAIS FP1 Control Unit AFP12217B Version 2.0, Power 100-240V AC, Matsushita |
388 | 2 NEW Novellus 03-115745-00 Cable assy interlock switch GB |
389 | 2 New Novellus 15-164339-00 Insulator RF Conductor Coil |
390 | 2 New Novellus 31-119083-00 Contact Socket, 500 Amps |
391 | 2 NEW Novellus 94-34505-60 PCB board, I/O genus, 1569-04 |
392 | 2 new Novellus O-ring, 22-169084-00, Compound G71H, white perlast |
393 | 2 new ontrak 13-0072-025 bracket left spring robot |
394 | 2 new OPTO 22 model ODC5Q |
395 | 2 New PALL 12075, Polypure capsule filters 1.0 um EFA1500cm |
396 | 2 NEW Parker TRIPLELOK-SS, MALE Fitting, |
397 | 2 NEW Perlast 22-291392-00 Oring G67P Compound, 329.80 x 3.53. 329374 |
398 | 2 new RUSSARD 06952001 screw, lead |
399 | 2 New SMC KDM10-03, pneumatic manifolds (KDB20), 10 position |
400 | 2 NEW SMC SS5V1-DUO02376 Pneumatic manifold |
401 | 2 NEW Square D, 50 amp, 2 ph, 240 V circuit breakers |
402 | 2 NEW Swagelok 3 Port ALD6 Diaphragm Valve, ALD |
403 | 2 NEW TEL ES028-001057-1, 811-23937, VA2050, NW50, Fitting, Flaged. 321103 |
404 | 2 NEW TriMag SF-1572 active line filter, 60A, 440VC, 47-63Hz |
405 | 2 New UE precision ES3000D-18 Staset precision pressure switch built in display |
406 | 2 New ultratech stepper 02-20-04358 END EFFECTOR PREALIGNER |
407 | 2 new ultratech stepper crossmask 2244 s-150 OH-15-02836-02 |
408 | 2 NEW Ultratech stepper 05-15-07112-02, ribbon cables |
409 | 2 NEW Ultratech stepper 05-20-02729-02, X limit, switch assy |
410 | 2 new ultratech stepper scross mask assy. UTS crossmask SP1981-8763 |
411 | 2 new varian 07268001 rod connector |
412 | 2 new varian 07476001 roller ball screw |
413 | 2 new varian 07519001 light rod |
414 | 2 new varian 07562001 insulating spacer |
415 | 2 new varian 2520020 boot neoprene |
416 | 2 new varian 2520020 bumper wafer guide |
417 | 2 new varian 2541547 O-ring spec |
418 | 2 new varian 3136342 IC RETRIGGERABLE |
419 | 2 new varian 4830038 bridge rectifiers |
420 | 2 new varian bushing 07572001 |
421 | 2 new varian gear carriage worm 07485001 |
422 | 2 new varian RT angle drive 2595022 |
423 | 2 NEW VAT 72657-01 Aluminum gate valve plate, 32 x 222, Novellus 60-10072-00 |
424 | 2 NEW VAT 78175 -R1 KIT, Gate, with Viton seal, Novellus 63-254381-00 |
425 | 2 NEW Zygo technical instrument 260-00034-01 PCB board Schematic 660-00034-01 |
426 | 2 NHBB ME-1100DL BRG ANLR Cont, Bearing, MS7000ADFP4S, MBB, 700ADFP4S, 420836 |
427 | 2 Nissin NI92-0596, P.F.G. Coil Assy. 416898 |
428 | 2 Nor-Cal Products AIV-1002-NWB Manual Angle Isolation |
429 | 2 Novellus 02-130754-00 CU, 196MM, Ceramic Ring/Bush |
430 | 2 Novellus 03-00145-00 Rev.B, C08460 0200, Wire-Grid, Wrist Elbow. 419549 |
431 | 2 Novellus 03-104557-00/B Interface Cable, 422726 |
432 | 2 Novellus 15-123659-00 Base cassette nest tilter |
433 | 2 Novellus 17-283255-00, Plate, Weight, Mix Bowl, Top HTR, C25EQ-X. 417036 |
434 | 2 NOVELLUS 26-81656-00 SENSOR MOUNT 402481 |
435 | 2 Novellus 34-158773-00 Precision Sensors, 20 torr switch E48W-H99, PV48W-102 |
436 | 2 Novellus 63-266700-00 Brooks Mapper assy, ATR7, brooks rear. |
437 | 2 Novellus cooling hose with connecting fitting 11-256751-00, 20.5 inches long |
438 | 2 Novellus cooling hose with connecting fitting 11-256785-01, 062705, 102540 |
439 | 2 Novellus Speedfam IPEC 810-738370 Vexta PK564ANA-TG30, Stepper Motors |
440 | 2 Omega DP25B-S Novellus Speedfam 2000-111517, Digital strain gage panel meter |
441 | 2 Omega Surface Probes 88221/88222/88223/98221 |
442 | 2 OMRON S82K-01524 POWER SUPPLY |
443 | 2 Omron Type GJ-3A1B-B-W1 Volts DC24V |
444 | 2 Ontrak 91-0006-017 Ring, RTNG, EXT, 422290 |
445 | 2 Opto 22 DA4 Digital Analog Converter Module, 422837 |
446 | 2 Oriental Motors 5IK60AF-50RA, Induction Motors 100V, 1.4A, 15uf |
447 | 2 Oriental Motors Speed Control Pack SSP-1 |
448 | 2 Oxford Applied Research ATM103, Auto Tune controller for RF match |
449 | 2 P-230, V44-G03 O-Ring Seals, OO 00981 401932 |
450 | 2 pacific scientific 1.8 degree step motor E21SCHT-LDN-SS-02 |
451 | 2 Parker 4-4 P2HLZ-SS Valve |
452 | 2 Parker 4V-CO4L-1/3-V-SS-PP O-Ring Poppet Check Valve |
453 | 2 Parker Compumotor OS series stepper motor, bipolar, OEM5740DS-10369 |
454 | 2 Pasternack PE9125 RF Adapter, 423440 |
455 | 2 PEPPERL+FUCHS, K-Series 71851 Solenoid Driver, KFD2-DS-Ex1.48 |
456 | 2 Phasetronics 1Z1-12/2425-X16 SCR power control |
457 | 2 PHOENIX CONTACT MCR-T/UI-E, MODULE, TEMPERATURE MEASURING TRANSDUCER |
458 | 2 Pillow blocks Pacific simplicity bearings PWN 8 508326-00 |
459 | 2 Plastomatic PR025B-PV, DI water regulators |
460 | 2 Polytron Devices P33-1-0VPLB and P37-0VPLB-07, Regulated Power Supply, 420884 |
461 | 2 Potter & Brumfield Circuit Breaker W93-X112-25, 3 Pole, 25 Amps |
462 | 2 Precision Sensors, 20 torr switch E48W-H99, 34-158773-00, Precision PV48W-102 |
463 | 2 PRI BM302960200 Brooks 30296 Brooks assy BD Motor Prog Xfer |
464 | 2 Purolite PCL-1317D Filter, Deionizer, 270-1-5-0103, 270-1-5-4405, 422214 |
465 | 2 RF Bulkhead Connector TRU-7870-55X, Tru-Connector Corp |
466 | 2 RF CABLE 0191598227 REV B, 430″ long |
467 | 2 RF Coax Bulkhead Connector GenRad 874-PB8A |
468 | 2 RF Coax Connector 90 degree plate, UG270U |
469 | 2 RF Coax Connector Amphenol S3250 |
470 | 2 RF Coax Connector Silver Plated Type N MIL-C-39012 |
471 | 2 RF Low Frequency Bulkhead AMP 74869, 83-798-50-239A |
472 | 2 ROBO CYLINDER RCA-S-RMA, cylinder driver IAI, 322980 |
473 | 2 ROBO CYLINDER RCA-S-RSA, Mounted sylinder driver IAI |
474 | 2 Seeka PT30NAL, Photo Sensor, Left. 418497 |
475 | 2 Semi Gas Systems CS-350A Cylinder Scale Monitor, Span model GCS-300 |
476 | 2 sensor boards 304556-1 ML6295-45 |
477 | 2 Setra 2231100PAEB2CD1M Pressure Transducer, Model 223, 0-100 PSIA, 13-30 VDC |
478 | 2 sets of Asyst 9701-4142-02, 9701-4143-01, 3200-4358-01, 3000-4358-01, 399353 |
479 | 2 sets of Cable for series connection, 0.1M sets, SFB-CSL01, TEL 0801-5222-00 |
480 | 2 Sick VE-2P2438, Through Beam Photoelectric Sensor. 416600 |
481 | 2 siemens 3TF2010-0BB4 |
482 | 2 SIKA electronic TD32500 digital flow and volume measurement instrument |
483 | 2 Single Gas Manifold, MFC Aera FC-980C Wf6 gas 10 Sccm Veriflo 397575 397574 |
484 | 2 SMC NAF2000-NO2-C Air filter separator |
485 | 2 SMC NVJ3123 Solenoid Valve, 401361 |
486 | 2 SMC VNB104CS-N8A Process Valve |
487 | 2 SMC VNB-204AS Process Valve |
488 | 2 SMC XLG-16-X1085 Vacuum Isolation valves KF16 120C capable |
489 | 2 STEC AFC-202 MFC Mass Flow Controller 202 N2 gas, 200 SLM range, repaired |
490 | 2 STEC Horiba MFC Mass Flow ControllerLF-A40M-A-EVD Liquid TEOS Flow 4g/min |
491 | 2 Stec LF-210A-EVD Liquid Mass Flow Controller TDMAT, 0.1g/min, Horiba Stec |
492 | 2 Stec LF-310A liquid flow MFC Mass Flow Controller LF-310A-EVD TEPO 0.25 g /min |
493 | 2 Stec LF-310A-EVD Liquid Mass Flow Controller TEPO 0.25g/min |
494 | 2 Stec LF-310A-EVD Liquid Mass Flow Controller TEPO 0.2g/min |
495 | 2 Stec LF-410A-EVD Liquid Mass Flow Controller TEOS 1.5g/min |
496 | 2 Stec LF-410A-EVD Liquid Mass Flow Controller TEOS 3.0g/min |
497 | 2 Stec LF-410A-EVD Liquid Mass Flow Controller TEOS 3g/min |
498 | 2 Stec LF-410A-EVD Liquid Mass Flow Controller TEOS 7.0g/min |
499 | 2 Stec LF-410A-EVD Liquid Mass Flow Controller TEOS 7g/min |
500 | 2 Stec MFC Injection valve Metal Seat UCV IV-2410AV-03 |
501 | 2 Stec MFC SEC-4400MC, Ar 500 SCCM, with calibration sheet. |
502 | 2 STEC MFC, Mass Flow Controller,SEC-4400MC N2, WF6, used |
503 | 2 Stec SEC-4400 MFC Mass Flow Controller O2 gas, 400 SCCM range, Repaired, S2541 |
504 | 2 Stec SEC-4400 MFC, SEC-4400MC, WF6 gas, 300 SCCM flow rate |
505 | 2 Stec SEC-4400 MFC, SEC-4400MC, WF6 gas,5 SCCM flow rate |
506 | 2 SULLAIR SV-10 Facility Vacuum system controller assembly, RSVS150 AC, Piping |
507 | 2 Sumitomo SU-01C72 Aviza 815008-418 Ozone generating unit |
508 | 2 Sunx Rec-32-100 Rotary Encoder, 422684 |
509 | 2 SVG 99-80266-01 Station CPU Resist coater ASML Litho |
510 | 2 Swagelok 6LV-DAFR4-C Stainless Steel Sealed Diaphragm Valve |
511 | 2 Swagelok Fittings 1/4 in comperssion x 1/4 npt fe |
512 | 2 Swagelok Microweld tee fittings 6LV-4MW-3 price for all 2 units |
513 | 2 Swagelok SS-63TS12-JLK-SI 3/4″ Valve, 2way Ball, 3/4″ 3870-06011 |
514 | 2 Swagelok SS-BNV51-C Sealed Bellow Valve |
515 | 2 TCR 20S30 source magnet power supply electronic measurements |
516 | 2 Tegal 59-011-001, Linkage Top PL Latch, 416899 |
517 | 2 TEL 210-17046-00 Support, Blower Tube, EQS-300 |
518 | 2 TEL Tokyo Electron SJ00006R Rotary Joint For Top Ring, Union, SP-CS34, 423648 |
519 | 2 TEL TYB41Z-1/PC Interface pcb, tokyo electron 1D81-000396-12 PCB board |
520 | 2 TEL, Tokyo Electron SR00609, 8″, Extension Ring, MIT w/ Data Sheet. 418401 |
521 | 2 TEL, Tokyo Electron, SR00444 O-ring, Aflas, .139 x 8.038″, 012F08038, 423116 |
522 | 2 Tescom .20 MPa, 30 PSIG, Manual Diaphragm, 12-1B11TGS2C-030, RT051106A |
523 | 2 Thermawave 186469-300 PCB, DC Motor 1V2 |
524 | 2 tokyo electronics AIR CYLINDERS 72 KST 09391 , SMC 10-CMFN20-185-XC1 |
525 | 2 Tokyo Ohka 3KV-300PF Variable Condenser, Capacitor, 6310, RF Match, 421882 |
526 | 2 Tylan 2900 MFC Mass Flow Controller FC-2950M-EP FC-2900M-EP N2 2 SLPM S5064 |
527 | 2 Tylan 2950 MFC FC-2952M 4V metal, Co, 200 SCCM, CHF3, 150 SCCM. 326894 |
528 | 2 Tylan MFC 2900 series FC-2900M, N2 Gas, Range 20 SLPM, SMC9202012, 9205031 |
529 | 2 Tylan MFC FC-261, H2 gas, 10 SLPM range, FC-261V, FC-261FV |
530 | 2 TYLAN MFC Mass Flow Controller 2900 series PC-5900U, HE 50 SCCM |
531 | 2 Tylan MFC Mass Flow Controller, FC-780CHT, 100 SCCM, N2, S9303, S9403 |
532 | 2 Tylan MFC Mass Flow Controller, FC-780C-HT, UC, 20 SCCM, O2, S9605, S9606 |
533 | 2 Tylan MFC, FC-2900MEP, CL2, 30 SCCM |
534 | 2 Tylan MFC, FC-2900MEP, O2, 20 SLPM |
535 | 2 Tylan MFC, FC-2900MEP5-4V, BCL3, 200 SCCM. 405319 |
536 | 2 Tylan MFC, mass flow controller, FC-261, H2, 10 SLPM, S603DS001 |
537 | 2 Tylan MFC, mass flow controller, FC-261, H2, 30 SLM |
538 | 2 Tylan MFC, mass flow controller, FC-261,H2, 10 SLPM, SAC301104 |
539 | 2 Tylan MFC, mass flow controller, FC-2900MEP, CL2, 30 SCCM |
540 | 2 Tylan MFC, mass flow controller, FC-2900M-EP, O2, 20 SLPM |
541 | 2 Tylan MFC, mass flow controller, FC-2902V-T, CF4, 1550 SCCM |
542 | 2 UNIT 8101, UFC-8101, 10 SLM Ar gas, and 10 SLM 2% O2/Ar |
543 | 2 Unit MFC Mass Flow Controller, UFM-9150 He, 6 SLM and 3 SLM |
544 | 2 UNIT MFC UFC-1100A, O2, 10 SLM gas range, C11-68056, mass flow controller |
545 | 2 UNIT MFC UFC-1100A, O2, 10 SLM gas range, C11-79828, mass flow controller |
546 | 2 Unit MFC, mass flow controller, UFC-1500A, WF6, 30 SCCM range, S90TS |
547 | 2 UNIT MFC, mass flow controller, UFC-1501, N2, 10 SCCM Gas Range, C15-18360 |
548 | 2 Unit MFC, UFC-1100A, 15%PH3/SIH4, 100 SCCM range |
549 | 2 Unit MFC, UFC-2050A N2 Gas, Range 30 SLM, |
550 | 2 Used MILLIPORE CDLD-21S06-EMC 0-100 Torr |
551 | 2 Used Berkeley Process controls GM06BB00L AC brushless servo motors Look unused |
552 | 2 Used Chuck Assembly 6″ 01-20-04076-06 |
553 | 2 Used Chuck Assembly 6″ 01-20-04705-06 |
554 | 2 used MAC manifold block with 20 MAC 34B-L00-GDCA-1BX, 24 VDC |
555 | 2 used MKS 128AA-00001B, BARATRON 1 TORR |
556 | 2 used MKS 141AA-00001BB-S BARATRON 1 TORR |
557 | 2 used Tylan FC-260 MFC, N2 100 SCCM, H2 4 SLPM |
558 | 2 USTC Water Chiler Hose w/ Temperature Control Plate for Chip Qualify 10134UH |
559 | 2 Vacuum Pipe, Line, KF50, NW50, 12 inch length, Foreline |
560 | 2 Varian 07737001 assy. TC amplifier PCB |
561 | 2 Varian 8104224451, Window. 420050 |
562 | 2 varian F4578001 Faraday bias |
563 | 2 Varian Systems 04-709953-08 Rev.AP, Distribution, Panel, Plate. 417098 |
564 | 2 Vat gate valve Novellus 02-115275-00, MFG part number F02-60499/0619 |
565 | 2 VAT, Minigate Valve, 01032-UE44-ADP1/0009, clean |
566 | 2 Veriflo regulator valve APR1SK24, pressure regulator 44200268,0-50 PSI, 406866 |
567 | 2 Vexta Stepping Motor PH268-23 2-Phase 1.8 Degrees |
568 | 2 Volgen ESK50V-1515W Power Supply, 15v 45W 50/60HZ Novellus 27-10053-00, 423238 |
569 | 2 Watlow DC11-27P5-000, 75A Solid state power controls |
570 | 2 Watlow Din-A-Mite Power Switching Device |
571 | 2 WATLOW SD TEMPERATURE CONTROLLERS SD6C-HCJA-AAAF |
572 | 2 Way Data Transfer Switch Box Network Connectors |
573 | 2 X Linear Stage, 420655 |
574 | 2, IRIE KOKEN IFSV40-C-3, Inline vacuum isolation valves KF40. metal bellows |
575 | 20 DISCO MODV015060A DRESSING BOARD, ROTARY SURFACE GRINDER DIAMOND WHEEL 401691 |
576 | 20 Ham-Let 3041944 7108L SS 1/4 Cap,SS,For end of tube, Tube OD |
577 | 20 Ham-Let SS Tube Caps, 3/8″, 7108L, SS 3/8, Cap and fitting |
578 | 20 LM76 Inc L408-6 Ceramic Coated Liner Motion Bearings, 422374 |
579 | 20 Motorola 5646050-101 Motorola SRF5347H Transistor |
580 | 20 Mouser Electronics SSL-LX5093LGD LED 5MM Green Diffused |
581 | 20 New Aromat HC4E-HP-DC12V, AROMAT AP34414498K, HC-Relay |
582 | 20 New EEJA CP-032 Vacuum, Pad, #2 E393-2506K |
583 | 20 NEW McDaniel Controls SDLK Pressure Gauge 2900-0051-06 |
584 | 20 new varian 07136001 filament signet 37-7136 |
585 | 20 Novellus 22-123711-00 O’rings viton, black, -032. 329382 |
586 | 20 Tokyo Electron Arizona Inc, D126943, TAB, W/H. CAL. 0126943. 415745 |
587 | 20 Tokyo Electron Arizona, TEL, D126943, Rev.3, TAB, W/H, CAL. 415327 |
588 | 2000 NEW Osram LG T670 Top Led |
589 | 2000 NEW Osram LWT673 Hyper top led |
590 | 2000 Osram LW E6SC Power Top LED, 6LWE6SCU2AAX00, Q65110A4813. 420193 |
591 | 21 NEW Klarez 108 Oring, 2-108 compound 8575, 0.237 x 0.103 inch, 22-155842-00 |
592 | 21 Solenoid Valves |
593 | 233100000 sensor level optical 3 |
594 | 233-2700-79 Outer Shield |
595 | 233270097 Cup, Outer, Feedthru, IMP |
596 | 233553093 Lamp connector XENON |
597 | 24 M.E.C. Tech MEC83106-1076L, Ground Plate Ring, A/A. 417202 |
598 | 24 Vented Aluminum Cap CPTHDAL6061VNT, CPTHAL6061-1724 Size 9 16-18 |
599 | 25 ELPIDA 1 GB MEMORY, 1Rx8 PC3-8500R-7-10-AP, 1Rx4 PC-2-3200E-333 |
600 | 25 idec BNH30W TERMINAL BLOCK, DIN RAIL, 1POS, 18-10AWG |
601 | 25 new Swagelok diffuser fitting, 1/8 inch plug brass vent, 22-292965-00 |
602 | 25 SMC SY114-6MZ PNEUMATIC SOLENOID VALVE with two screws for each one |
603 | 250 Metron 2509-0120-02 Spring Post, 0.94 x 0.38 |
604 | 26 5521A27 Sqr drive socket adapter 1/4 SQ FEMx3/8″ SQ Male 1.0″L STL Chrome Plt |
605 | 26-82822-00 |
606 | 275 convectron gauge controller granville-phillips 275098-1 |
607 | 275 convectron gauge granville-phillips 275100-1 |
608 | 275 MINI-Convetron Granville philips 275821 helix technology corporation |
609 | 28 New EBM PAPST – 3212J/2H4P – FAN, PWM, 12VDC |
610 | 282-000024-01 Interface Cable, 423849 |
611 | 282-00031-01 Camera Video Cable, 423851 |
612 | 2L-1603P PS/2 to Master View CPU Switch CS-128, 3m, 423167 |
613 | 3 A30W Ebara mechanical vacuum pumps, 1 w/exhaust gas dilution, water cooled |
614 | 3 A30W Ebara mechanical vacuum pumps, extra control box, 3 sets power cables |
615 | 3 Acculex DP-2002 Digital Panel Meter, 405379 |
616 | 3 Alicat PC-15PSIG-O-V3/10IN, CP MFC, Mass Flow Controller |
617 | 3 ALLEN-BRADLEY BUL 1492, A-B 1492-GH010 SERIES B |
618 | 3 Aluminum Vacuum Chambers, 18″x18″x6″ with various ports and flanges |
619 | 3 AMP-D-0645-139 Vacuum chamber rings with radiation o’rings, anodized aluminum |
620 | 3 Asyst 9701-2490-02 Pittman Motor Encoder, Radial, LWR, N2342A212 |
621 | 3 Asyst, Crossing Automation PCB Circuit Board 9700-6464-03, 9700-6464-02 422868 |
622 | 3 Automated Wafer Transfer System, UTM-3500NS Wafer Robot Control, Asyst CS-3500 |
623 | 3 AVAYA Lucenet SD-6752-02 DEF CAB SRP R0 151019, Power supply 1217B, 1217A |
624 | 3 Banner D12SN6FP Fiber Optic sensor switch |
625 | 3 Banner Plastic Fiber Optic Sensor D12SN6FP |
626 | 3 BANNER QS18UPAQ5 sensor QD connector Ultrasonic TEACH with TURCK U0935-39 |
627 | 3 Bayside GM090-C2A1B-001, 971041, Gear motors |
628 | 3 Beckman Industrial 6173R 2KL.5, Potentiometer, 6173R2KL.5, 416628 |
629 | 3 Berkeley process controls ASM-81-A-0/A-00-LB/10/6:1, 950614 Motors, 5000 RPM |
630 | 3 BNC female to RF UUG349A/U male union |
631 | 3 BOC EDWARDS D37215000 FLASH MODULE HIGH VACUUM INTERFACE 401865 |
632 | 3 BOC Edwards D37215000 Flash Module High Voltage Interface 402006 |
633 | 3 BOC Edwards D37215000 Flash Module High Voltage Interface 402007 |
634 | 3 BOC Edwards D37215000 Flash Module High Voltage Interface 402008 |
635 | 3 BUSCH HS4B10-12 |
636 | 3 Bussman 98059 3 Slot Fuse Holders w/ 9 FRN-R-40 Fused |
637 | 3 Carlo Gavazzi RHS45A H/s 1 phase AHS45A Heat sink assembly |
638 | 3 Celetron CSS150-4002-M-B 150 Watt Open Frame Power Supply |
639 | 3 Centronics Interface PCB Board 068486-003 |
640 | 3 Centronics Interface PCB Board 068486-003 |
641 | 3 Centronics Interface PCB Board 068486-003 |
642 | 3 Chemraz O-ring 1.359 x 0.139 416704 |
643 | 3 Chemtec Equipment co. TQ504115, Flow Switch, 100ml/min Novellus 34-160330-00 |
644 | 3 CKD corporation FWG21-6-Z-D2GB, New |
645 | 3 Compact QJM97-3143-B, 60-162879-00 Lift Assembly, Novellus 02-152880-00 |
646 | 3 Dayton 4X726 Ball Bearing Pillow Block Unit, 1 inch Bore |
647 | 3 Endevco 4948 control racks with 12 signal conditioners 2775A and 5 charge amps |
648 | 3 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
649 | 3 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60, S5067 |
650 | 3 GaFS-812, Connfemale 1/2 x 3/4, 416878 |
651 | 3 Genuine Cisco XENPAK-10GB-LW+ 10GBASE-LW, 10-2267-01, 403658 |
652 | 3 Genuine Cisco XENPAK-10GB-LW+ 10GBASE-LW, 10-2267-01, 403664 |
653 | 3 Guide Teflon TRA, MODRT062, 402317 |
654 | 3 Hager L 116 Circuit Breaker, L116, 420665 |
655 | 3 HAM-Let UCV HM20 4VKLQ GF4 ISLT, 1/4 inch VCR connection |
656 | 3 Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1 g/min, IV-LF-Combo-02 |
657 | 3 Horiba Stec SEC-4400 MFC, SEC-4400M, NH3, 300 SCCM. 325158 |
658 | 3 Horiba Stec SEC-4400 MFC, SEC-4400M, SiH4, 100 SCCM, S27220. 324978 |
659 | 3 IDEC RH1B-4, Relay, AC24V, 67Y19. 416605 |
660 | 3 IPEC 0720-104387 Bracket, 423258 |
661 | 3 IPEC Speedfam Novellus AC2185A1, Telemecanique XVAC921 Audible Sounders |
662 | 3 Kemraz 9269-SC513 8.734 x 0.139 in O ring |
663 | 3 KF40 Vacuum Bellow NW-40 |
664 | 3 Kinetics Gas Line, Swagelok 6LV-DAVR4-P, 6LV-DAVR4-PX-C, Pall, Ametek Meter |
665 | 3 Lambda 48-48, Power Supply, 48V, 20.5A, LFS4848 Novellus QT330136 |
666 | 3 Malema M-10000-T2021-00, 954258 Flowmeters, 0.3-3.0 L/m, 0-10 VDC output |
667 | 3 Mallory Sonalert Buzzer SC648H |
668 | 3 MKS 124A-11848 Baratron Pressure Transducer, 2000 Torr, 416543 |
669 | 3 MKS 141AA-0010BB-S Baratron 3 Torr, Vacuum Switch Type 141 |
670 | 3 MKS 253A Throttle Valve KF50 Flange Exhaust Control Valve |
671 | 3 MKS baratron 124A-11848, 2000 TORR, S 8263A |
672 | 3 MKS baratron 124A-11848, 2000 TORR, with calibration sheet, repaired. |
673 | 3 MKS baratron 127AA-00001E, 1 torr, sealed bag |
674 | 3 MKS CV16-K1K1-NCZZ-F12 Compact Vacuum Valve |
675 | 3 MKS HPS 325 MODUCELL VCR connection VACUUM GAUGE |
676 | 3 Moeller K25A Circuit Breaker 402037 |
677 | 3 Mouser Electronics 172-E09-113R911 9 POS. DIP/SO. Male D-Sub Connectors |
678 | 3 Mouser Electronics 172-E25-213R911 Conn DB25 Female SLD DIP NKL |
679 | 3 Mouser Electronics 636-180-062-113R911, 62P Male Vt Dip Sol Connector |
680 | 3 Mouser Electronics 636-E50-112-911, 172-E50-112-911 50 POS. DIP/SO Male |
681 | 3 MYKROLIS AAVGD40W1 intelliflow MFC Mass Flow Controller, N2, 5000 SCCM, 325075 |
682 | 3 NEW Linear actuator 400MM rail two long NP105, 95086-52 |
683 | 3 new retaining cup 42781-00 |
684 | 3 NEW AFP8532 Panasonic C-NET Adapter |
685 | 3 NEW ASYST 05096-001 Novellus 19-166404-00 Solenoid sub assembly |
686 | 3 NEW ASYST 9701-3806-01 REV C, BIZ AOB001, 398613 |
687 | 3 new Banner SE61EMHS photoelectric high speed emitter 25971 |
688 | 3 NEW Berkeley process control GM08-C-A-00-N : 06-D-L-08-A, motors, 3600 RPM |
689 | 3 NEW Carlo Gavazzi BO AV, 1 CH, Analogue output module, 20mA/10V |
690 | 3 NEW Carlo Gavazzi BO R2, 2 Relay outputs Power supply module |
691 | 3 NEW Carlo Gavazzi BP L, Universal Power supply 24..48V DC/AC |
692 | 3 NEW Carlo Gavazzi EDM TC TYPE J INPUT PROG LOCK, 5100636, E5100636 |
693 | 3 NEW Chemraz 112 Oring, 2-112 chemraz SC513, 22-136505-00. 329399 |
694 | 3 NEW ECI Tech LU0600 PEEK valve, 8 way distribution, syringe, 22-153569-00 |
695 | 3 NEW EUROTHERM 808 Temperature controller, 808 RS4 controller, 27-125058-00 |
696 | 3 NEW Fluorosilicone 2-030, AS568-030, Blue, 70, Novellus 22-373614-00, 328446 |
697 | 3 NEW Fluorosilicone 2-177, AS568-177, Blue, 70, Novellus 22-373618-00, 328443 |
698 | 3 NEW Fluorosilicone 22.50 x 1.29 Oring, Blue, 70, Novellus 22-373622-00 |
699 | 3 new FSCMC-92180 |
700 | 3 NEW GAS LINE 10-124283060 FITTING PIPING 04-8134593-00 |
701 | 3 new Greene Tweed Chemraz 9248-SC520 4.734 x 0.139 in. 329378 |
702 | 3 new Greene Tweed Chemraz 9248-SC520 4.734 x 0.139 in., 22-174296-00 |
703 | 3 new HBC controls HBC-824-3, heater solid state relays on heat sink |
704 | 3 NEW HPS Division KF50 Vacuum Bellows NW-50, 7 inch, REV A |
705 | 3 New Johnson Matthey Sputtering Target 59 Al, 2 Si, PN 037-0173-23 |
706 | 3 new kachina 233-5052-91 block shield |
707 | 3 NEW Kalrez sahara Oring K17120 8375 KALREZ, 22-10407-01, 17.680 x 0.128 INCH |
708 | 3 NEW KF40 Vacuum Bellow NW-40, 10 Inch NW-40, VF-155-10-SF, Foreline Hose |
709 | 3 NEW Klarez 233 Oring, 2-233 compound 8085UP, 2.859 x 0.139 in, 22-324838-00 |
710 | 3 New Motor control I/O boards CRR804/5 in sealed bags, no chips |
711 | 3 NEW NAIS AFP8502 Motor Driver I/F Terminal 2-Axis Type |
712 | 3 New Novellus 15-120130-00, 326928 |
713 | 3 New OGURA Clutch RNB 0.2G DC-24V US Digital E3 9701-3985-01 |
714 | 3 NEW PA182, Fluoroware 70-109681-00 Process casettes |
715 | 3 NEW Panasonic ANPV3700 Micro Imagecheker Camera SW Unit, Matsushita Electric |
716 | 3 NEW Parker 45900124 Regulator, F-M, mod SQMICRO130E106549, |
717 | 3 NEW Perlast 22-169086-00 Oring, White perlast G70H-326SC, 30.65 x 5.33 |
718 | 3 NEW Proteus switch 800 series, proteus 0504SA4, 24 VDC, 30 mA |
719 | 3 new Saginomiya WEV-150GLW, Brass Solenoid valves 220VAC |
720 | 3 NEW Swagelok SS-BN3847-C, 3870-01424, Bellows valve, SC-11 |
721 | 3 NEW THK RSR12VM, AC2174B1, Linear motion gauide rail, 2RSR12ZVMUUT220 |
722 | 3 new Todd products SC24-11C, power supplies, 115/230VAC 6A/3A w/ paper work |
723 | 3 NEW Ultratech stepper 03-15-05746 EPROM, Centent, CN0170 |
724 | 3 new varian 07514001 platen gear mod |
725 | 3 new varian 70575001 housing vaporizer |
726 | 3 Novellus 0175-7000066, Square D, MG24427, Circuit breaker, 1P 3A |
727 | 3 Novellus 03-104547-01 Interface Cable, 422732 |
728 | 3 Novellus 22-149793-00 Magnetic Coupling for Actuator, Load Lock, Vector |
729 | 3 Novellus 22-169086-00, material white perlast G70H |
730 | 3 Omron APR-S Phase Reversal Relay, 200/220 VAC, 50/60Hz, PFC-A6, |
731 | 3 Omron relies G6DS-1A 24V DC, GS 2-M4X15, CIJ-RY4-OS-16P |
732 | 3 ORIENTAL MOTOR 5RK40GK-A2M, MOTOR REVERSIBLE, A.C Magnetic brake |
733 | 3 Oriental Motor Model PH266L-01B Vexta Stepping Motor 2-Phase 1.8/STEP |
734 | 3 Pall CFF92HEFFK, Filter, Hi-Flo, Sol-Vent, DCF, 0.2 micron. 419360 |
735 | 3 PALL PGA Gaskleen filter assembly GLFPF6IOIVXM4AM, 750 PSI, 0.003 Micron |
736 | 3 Pittman GM8724H105-R1, LC-COG, Stepper Motor, 12VDC, 96:1 Ratio. 416512 |
737 | 3 Potter & Brumfield SSRQ-240D20, Quad Solid State Relay, 400488 |
738 | 3 Power Triade ARX-X589, HP08186824 |
739 | 3 Proteus switch flow sensor, 507822-00, Model: 0150C24 |
740 | 3 Renco Encoders 79992-032, RCH20, Polish Arm Drive Motor, Novellus 33-278384-00 |
741 | 3 RF Coax Bulkhead Silver Plated, AMP 225409-1 |
742 | 3 Sanyo Denki 103H7126-5590, DC step motor, 1.8 deg/step, Rorze type RM26A3S |
743 | 3 SBC B9300600AB18710822 Single board computer W/P4 2.0 Ghz CPU and 1 GB Ram |
744 | 3 Siemens PLC, 6ES7 235-0KD22-0XA0, 6EP1 331-1SL11, 6ES7 214-1AD23-0XB0 |
745 | 3 SMC AR2000-M5B-1-X528 AIR REGULATOR |
746 | 3 SMC VQD1151W-6L0 Solenoid Valve |
747 | 3 STEC LF-310A-EVD, TEPO 0.25 g/min liquid flow control |
748 | 3 STEC LF-310A-EVD,TEPO, 0.25g/min liquid flow controller |
749 | 3 STEC MFC Mass Flow Controller SEC-4400M BCl3 100 SCCM, used |
750 | 3 Stec MFC SEC-4400M, NH3, 300 SCCM. 324387 |
751 | 3 Swagelok 6LV-DAVR4-P-C bellows sealed isolation valves |
752 | 3 Swagelok, Cajun SS-8-RB-6 1/2″ MNPT X 3/8″ FNPT 401912 |
753 | 3 Takex Wide Sensors SS20-TR40, Input 12-24V DC, 150mA. Output 30V DC, 100mA |
754 | 3 Tektronix 92A96 Probe Interface Housing 418829 |
755 | 3 TEL, Tokyo Electron SR00609, 8″, Extension Ring, MIT w/ Data Sheet. 418402 |
756 | 3 Timken NTA-613 boaring needle, thrust |
757 | 3 Tru FSCM-92180, RF Bulkhead Connector, 423404 |
758 | 3 Tylan MFC, mass flow controller, FC-260, FC-261, H2, 20 SLPM |
759 | 3 Tylan MFC, PC-5900UV, HE, 50 SCCM |
760 | 3 Tylan Millipore CDLD-21S06 Capacitance Diaphragm Guage |
761 | 3 UG-2731 RF Elbows HF Male to VHF Female, Silver Plated |
762 | 3 Ultratech stepper 03-20-03084 IC, Eprom, VX147, ODD |
763 | 3 Unit 1660 MFC UFC-1660 Cl2 50 sccm, SiH4 200 sccm,He 200 sccm |
764 | 3 Unit MFC 1660, UFC-1660 Ar 100 SCCM, BCl2 50 SCCM, Cl2 500 SCCM. |
765 | 3 used Eurotherm RSDA 25A 500V LDC Solid State Relay+Heatsink, 3.5-28 VDC |
766 | 3 used MKS 122AA-00100BB, BARATRON 100 TORR |
767 | 3 used MKS 128AA-00010B BARATRON 10 TORR |
768 | 3 used SteamTrap Nicholson TA504, DH-13-04, Size 1/2 |
769 | 3 Vacuum Flange with KF16 connection and ISO bellow flange, for Vacuum pump |
770 | 3 Varian 111164002 Couplings with flanges |
771 | 3 Varian 4500133, Thermal Overload Relay, 3PH, Square D, Case 9065. 420160 |
772 | 3 Vexta PK564ANA-TG30 5-Phase 0.024/Step DC1.4A, 421016 |
773 | 3 Wasco 34-309953-06, Vacuum switches, 15 TORR decreasing, 115VAC |
774 | 3/8-18 x 3/8-18 x 1.3/4L Female Couple Brass Nickel |
775 | 30 Ham-Let SS Tube Caps, 3/8″, 7108L, SS 3/8, fitting and cap |
776 | 30 new dump beam, con 44103-00 |
777 | 30 new SMC FITTINGS KQP-11 |
778 | 300 seastrom PTFE washers 5612-6R-40 |
779 | 300mm ceramic chuck, AL191, TEL 1150-000-009 |
780 | 31 Gasket Front Plenum 7310-9308-01 |
781 | 31-15-64 20x WF lens |
782 | 34 M.E.C. Tech MEC83306-1060P Cover, Screw, #8-32, Lowpec, 417128 |
783 | 36 New SQUARE D C60N CIRCUIT BREAKER 6Amp 277VAC MG24504 |
784 | 37 OEM Group 80-202-034, Grommet 5/16ID 1/2DIA HOLE. 416700 |
785 | 39 used KF 40 clamps, NW40 flange clamp |
786 | 3COM DELL NTWK CARD ETHERLINK XL PCI RJ 45, 03-0148-200 |
787 | 3COM HUB 8/tpm 8 Port network adapter |
788 | 3COM RTHERLINK III PCI LAN CARD 03-0046-010 BOARD |
789 | 3COM THERLINK XL PCI BOARD 03-0148-000 REV A |
790 | 3D Instruments 25565-33B21HGP, Direct Drive Gauge. 416498 |
791 | 3M 3359-50 Cable Assembly |
792 | 4 Misubishi F-20CM-5U Positioning Counter programmable controller modules |
793 | 4 3BR00882 Linear Motion Bearing, Sleeve, S/L, LM, 420404 |
794 | 4 Advanced Motion Controls B25A20FACQ Brushless PWM Servo Amplifier power cable |
795 | 4 Astech AT2-100RA, RF Match, 115 VAC, 50/60Hz, 350W. 422973 |
796 | 4 BALLUFF INDUCTIVE SENSOR BMOA-AMP-D-PU-C2 10-30 VGC |
797 | 4 Banner D12EN6FP Fiber Optic sensors Look new, sell as used |
798 | 4 Berkeley process controls ASM-81-A-0/A-00-LB/10/6:1, 950614 Motors, 5000 RPM |
799 | 4 BNC Coax Terminators Female |
800 | 4 BOC Edwards B271-58-501 Coseal, NW40, KF-40, Viton, Vacuum Flange. 419137 |
801 | 4 Carlo Gavazzo 5100560 EDM Analog Output, 422274 |
802 | 4 CB1 DAADA2-PAB0XBL-BS4000X, 2 Pole, 40 Amp, Circuit Breaker |
803 | 4 CDO Cooling Tower Screen, 422-57-020, 421227 |
804 | 4 Centronics Interface PCB Board 068486-003 |
805 | 4 Centronics Interface PCB Board 068486-003 |
806 | 4 Centronics Interface PCB Board 068486-003 |
807 | 4 Chemraz 129 SC513, O-Ring, 1.549 x 103. 416698 |
808 | 4 copper standoffs, vented, 506227-00 |
809 | 4 Cosel UAW500S-24 Power supply 24V 22A |
810 | 4 Cutler-Hammer, D2, WMS1D02, Circuit Breaker, 1 Pole, 15 Amp |
811 | 4 Diavac Limited LCAVB-25H (2), LCAV-25HKT, LCAV-25H Angle Valve |
812 | 4 Disco Engineering Service MOHLC047 Fastener |
813 | 4 ECI Technologies TQ503298, Flow Switch Assy, 100ml/min Novellus 34-160330-00 |
814 | 4 Edwards model 1570 pressure monitor analog |
815 | 4 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
816 | 4 GASKLEEN FILTER ASSEMBLY, 70886-11 in line gas filter, NEW |
817 | 4 Ham-Let UCV EV80-V-EP Diaphragm Valve |
818 | 4 Heinemann AM1-A8-A Circuit Breaker 1 Pole, 12.5 Amps |
819 | 4 Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, Mass Flow Controller |
820 | 4 Horiba stec MFC Mass Flow Controller LF-410A LF-410A-EVD TEOS S0403 |
821 | 4 Horiba Stec MFC SEC-4400, SEC-4400M, SiH4 gas, 200 SCCM, S281302355 |
822 | 4 Horiba Stec SEC-4400 MFC, mass flow controller, SEC-4400MC, SiH4, 300 SCCM |
823 | 4 Horiba Stec SEC-4400 MFC, SEC-4400M, SiH4, 100 SCCM, S28220182 |
824 | 4 HPS NW40 Flange, Blank-Off, SS-304, 401933 |
825 | 4 Inficon AG LI-9496 Balzers CDG045-SD, 100 m Torr 365-301 |
826 | 4 International power devices QWS2412HS-Q, DC-DC convertor |
827 | 4 IPEC speedfam novellus 972856, siemens 3TF32 11-0BB4, contactor, 3ph, 24VDC |
828 | 4 Kinetics Gas Line, Swagelok B-45S8, Tescom 150, Pall SGLFPF6402VMM6/8 |
829 | 4 Kinetics Gas Line, Swagelok B-45S8, Tescom 150, USG Meter |
830 | 4 Kinetics Gas Line, Tescom 44-2213-242-010, Pall SGLFPF6402VMM4, Swagelok |
831 | 4 Metron D124729-U Clamp, MTG |
832 | 4 MFC, UNIT UFC-1660, CF4 100 SCCM, Cl2 200 SCCM, N2 20 SCCM, He 200 SCCM MFC |
833 | 4 Micro I/O MIO-A-4-600 Analog voltage 4 point input modules Industrial Control |
834 | 4 Millipore Waferguard Filter, W/4 O-Rings. 423069 |
835 | 4 Mitsubishi K65HM, Thermo Paper for Video Copy Processor. 415988 |
836 | 4 MKS baratron 124A-11848, 2000 Torr Range, with calibration sheet. S249A |
837 | 4 MKS baratron 124A-11848, 2000 TORR, sealed bag |
838 | 4 Mouser Electronics 172-E09-113R911 9 POS. DIP/SO. Male D-Sub Connectors |
839 | 4 NEW Linear actuator 400MM rail two long NP105, 95086-52 |
840 | 4 New 26-81544-00 Nut, spring support, |
841 | 4 NEW Bayside GM090-C2A1B-001, 971041, Gear motors |
842 | 4 NEW Carlo Gavazzi BO R2, 2 Relay outputs Power supply module, SKK231006 |
843 | 4 NEW Carlo Gavazzi BP L, Universal Power supply 24..48V DC/AC, SKK2010294 |
844 | 4 NEW Carlo Gavazzi BP LUniversal Power supply, SKK201022 |
845 | 4 NEW Carlo Gavazzi BQ TRX Temperature resistance input module, TC: J,K,E,S,T |
846 | 4 NEW Carlo Gavazzi EDM TC TYPE J INPUT PROG LOCK, 5100637, 050200/103298 |
847 | 4 NEW COSEL PAA150F Power supply 12V, 13A, Novellus 27-169458-00, PAA150F-12-N |
848 | 4 NEW COSEL UAW125S Power supply 52V, 125W, Novellus 27-143752-00 |
849 | 4 new DNTRAK 13-0072-047, switch, pivot, Robot |
850 | 4 NEW Dupline analog transmitter, 4 Isolated DIN Current G34396470024 |
851 | 4 new E17043060 spool lip seal wafer lift |
852 | 4 new in OEM packaging, K TEC electronics, cable P/N 0150-01125-SPOT |
853 | 4 NEW Kalrez 227 Oring, 2-227 compound 8575U, 2.109 x 0.139 inch, 22-287999-00 |
854 | 4 NEW KF40 Vacuum Bellow NW-40, 10 Inch QF40 |
855 | 4 NEW MKS baratron 850BRDPCB3GD, 250 Psia, with paper works |
856 | 4 NEW Omron E2K-C25ME1 Capacitive Proximity Switch, 10 to 40 Volts |
857 | 4 NEW Panasonic ANPD050-25 Matsushita Electronics, PD50, 0,5A 24V |
858 | 4 new shield endplate botE17037063 |
859 | 4 New SMC 10-CMD2L20-100-C73L3 Air Cylinder with 3 sensors |
860 | 4 NEW SUNX EX-26A-PN, Sensor Photoelec sourcing 73042-41D PNP DARK ON |
861 | 4 new VARIAN 2235016 valve solenoid valve 5080GB |
862 | 4 OnTrak 33-0004-042 Seal Rotary, 5/8, 421904 |
863 | 4 Oriental motors PK596-NBC Vexta, 5 phase stepper motor, 0.72 step DC, 1.4A |
864 | 4 parker veriflow 100851, 45100528, model 955A0PPLPNCSFSMF, High purity Valve |
865 | 4 Phasetronics modules 999800, each with 3 PCBA 307 064-500-204, interlogic |
866 | 4 Seba SCRSF-SL-030-P3/3-CMN Dimmer Module |
867 | 4 sets of Asyst 9701-4142-01, 9701-4143, 3200-4358-01, 399303 |
868 | 4 SMC NVJ3223Y SOLENOID VALVE PRESS 15-100PSI 24VDC 401758 |
869 | 4 SMC SSS5YJ3-ULD960167, 3 position air manifold with SYJ3140 solenoid valves |
870 | 4 SMC SYJ3143-5LOZ, Steven Energy, Valve, 4-Way Solenoid. 416915 |
871 | 4 SMC XLG-16-X1085 vacuum isolation valves, KF16, Right Angle, w position switch |
872 | 4 Stec MFC Mass Flow Controller SEC-730M A1, N2 gas 20 SCCM |
873 | 4 STEC MFC, mass flow controller, SEC-4400M, Cl2, HBr, 200 SCCM, 330053 |
874 | 4 Swagelok SS-4-WVCO-1-4ST, VCO Straight Connector. 417173 |
875 | 4 Synchroflex 101-530078, SB07204, SP-GY11, 5/300 Low Load Timing Belt. 416916 |
876 | 4 Thomson 3140-01017 Super Pillow Block 416705 |
877 | 4 Timing Belt, 233-3927-08, 0710-701245, E5CX, 422853 |
878 | 4 Tylan MFC, mass flow controller, FM-3900MEP, N2, 500 SCCM |
879 | 4 units of Novellus orings 22-120429-00 |
880 | 4 US Filter Stainless Filter housing, DI water Facility 3″ piping, shutoff Valve |
881 | 4 US Filter, Gelman Stainless Filter housing, Facility 3″ piping, shutoff Valve |
882 | 4 used MKS 122AA-00100BB, BARATRON 100 TORR |
883 | 4 Varian 102934001 Aperture, 2nd, Graphite, 102934001-5, 420206 |
884 | 4 Varian 2820006100 Clamp, Speed, 423918 |
885 | 4 VAT 253941 Kit, Bellows feedthrough Novellus 63-336567-00 |
886 | 4 Veriflo regulator valve APR1SK24, pressure regulator 44200268, 0-50 PSI |
887 | 4 Zygo 260-00102-01 PCB Board |
888 | 40 new DIGI-KEY 1 channel opto coupler trans dip PS2501-1-ND |
889 | 4003-0518-01 Block Assembly, 420411 |
890 | 40082-01 Chamber lid with Omega OS137-3-V2 infrared temp sensor on kf50 flange |
891 | 401-01816-01-A, Objective Filter Assy, Light Source, 401-01627-AA, Zygo. 420144 |
892 | 4014-0352-5005 Beam Scanner Body, 420913 |
893 | 40531 A9164 REV C BOARD |
894 | 48 M.E.C. Tech MEC83106-1040L Cover, Screw, 1/4-20. 423156 |
895 | 486 MINI PCA board 35-1007-01 REV F |
896 | 5 ABB S 283 UX, circuit breakers, 240V, 20A, 3 phase, Look unused, sell as used |
897 | 5 new Greene Tweed Chemraz NO17835083SS592 350.83 x 1.78 mm |
898 | 5 Black box, 2 channel VGA booster AC074A |
899 | 5 Cajon Company SS-8-RB-6, Fitting, 1/2″ MNPT x 3/8″ FNPT. 418463 |
900 | 5 CAR BOARD J1 NEST 1CT BOARD BM21721L01 |
901 | 5 Centronics Interface PCB Board 068486-003 |
902 | 5 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
903 | 5 GMP Seal Forces Tester Lamps 6 Volts C-143-JA-902 |
904 | 5 Horiba stec MFC Mass Flow Controller LF-410A LF-410A-EVD TEOS 4.0g/min S107646 |
905 | 5 Horiba Stec SEC-4400 MFC, SEC-4400M, BLC3, 100 SCCM |
906 | 5 Infineon V23818-H18-L37, Laser Transciever, 95/004307 |
907 | 5 IPEC Speedfam Novellus 952363, Entegris Q4000 Ball valve |
908 | 5 LAM 716-051050-001 QTZ, outer spacer, PLT, react UPP |
909 | 5 Littelfuse 03455LS2H Fuseholders, 3453LF2 040, 3455LST 020, H903 097, 416552 |
910 | 5 Micron 512 MB server memory, 1RX8 PC2-6400F-555-11-A0 |
911 | 5 MKS 100314913 STAINLESS STEEL BELLOWS 401833 |
912 | 5 Mouser Electronics SSL-LX5093LYD LED 5MM Yellow Diffused |
913 | 5 NEC L-72V1, NX8341TN-185B Laser diodes |
914 | 5 NEW 242 EPDM 0 -Ring |
915 | 5 new BAL SEAL 316HB-309 Canted Spring Seal for IPEC Speedfam Novellus AC2714A1 |
916 | 5 NEW Carlo Gavazzi 5100637 EDM TC Type J Input program lock |
917 | 5 NEW Carlo Gavazzi BO R2, 2 Relay outputs Power supply module |
918 | 5 NEW Carlo Gavazzi BP L, Universal Power supply 24..48V DC/AC, SKK2010234 |
919 | 5 NEW Carlo Gavazzi RM1A48D50, SSR RM 480V 50A DC IP |
920 | 5 new Exxus Tech RR-P2-5 8/18 KF25 to KF16 adapter with two 1/4″ VCR ports |
921 | 5 new Greene Tweed Chemraz 9248-SC520 4.734 x 0.139 in., O-ring 22-174296-00 |
922 | 5 new kachina block shield 233-5052-90, API-707, AP1-707 |
923 | 5 new Koganei filter element E-15mm |
924 | 5 new koganei filter element E-30 |
925 | 5 new LB1640N BIDIRECTIONAL MOTOR DRIVER WITH BREAKING FUNCTION |
926 | 5 NEW Millipore 302922-008 Electroglas, wafergard 0.1UM resist filters |
927 | 5 New Novellus 15-130760-00 Screw, Flat, Hex, 6-32x |
928 | 5 NEW NT International 4400-02-F03-B12-A Electronic Flow meters 1-5 L/m, 955622 |
929 | 5 NEW PARKER FSCR-128-T TUBE FITTINGS |
930 | 5 NEW Power one HCC15-3-A, power supply, +-12V or +-15 VDC |
931 | 5 NEW Sentrol 301-CT-06K Ipec speedfam 0125-101881 Safety interlock switch |
932 | 5 NEW Teflon Seal 2.40 OD x 1.97 ID, 70887-15 Canted Spring |
933 | 5 new TIMES machine EZ-600-NMC type N male plug |
934 | 5 NEW Times microwave systems EZ-600-NMC, 3190-355, type N-male plug |
935 | 5 New UE precision EA100D-32 Staset precision pressure switch, 406868 |
936 | 5 NEW Volgen ESK50U-1515W Power supply, Dual 15V output, 45 watt, 27-10053-00 |
937 | 5 Novellus 0700-701140, Ipec Speedfam Novellus, Lip Seal 200mm |
938 | 5 Pillar Fitting CS-6A, CS-W2A, Cap Sleeve, FU713881, U713881-808-1, 422216 |
939 | 5 Position gas manifolds with isolation valve |
940 | 5 QLogic Fibre Channel HBA Card FC5010409-60 QLA2340 |
941 | 5 SMC Air pot, NRBC037-025 |
942 | 5 SMC VZ110 Solenoid Valve Manifold VZ1120, 420649 |
943 | 5 Stec SEC-4400 MFC, SEC-4400MC, WF6 gas, 200 SCCM flow rate |
944 | 5 SWAGELOK 90 degree 1/4″ compression 316 KTF, 931523-11 |
945 | 5 SWAGELOK cooling hose W/ connecting fitting, 588N-E, 810994 00, Parflex 588N-6 |
946 | 5 TEL 1381-658459-12 connector PCB, 648180 |
947 | 5 Thomas West SCF 815-C350-6 Wetpads, Pads, VLSI 63140073, 423379 |
948 | 5 Toshiba VFS9-2015PM-WN Transistor inventor, motor protection device, 969533 |
949 | 5 Tyco Electronics amp 206705-2 9-way RCPT connectivity |
950 | 5 UG567 A/U High Frequency RF to Coax Elbow, 423405 |
951 | 5 UNIT 1660 MFC, UFC-1660, CL2, N2, SF6, Ar, C2HF5, different gas range. |
952 | 5 Unit MFC, UFC-1660, (CH3O)3B, Ar, N2, C4F8, HBr, Different gas range. |
953 | 5 Vacuum Flange with KF16 connection and ISO bellow flange, for vacuum pump |
954 | 5 Varian 842 vacuum ionization gauge, 115/230 volts |
955 | 5 VQ1200NY-5-X35 DeviceNet EX160-SDN1 Pneumatic Manifolds |
956 | 50 Anixter M12C60KCSSJ/912TQC 1.75 x 60mm Socket Head Cap Screw, 423114 |
957 | 50 Legris Fittings 3109-60-14, 90 Degree, 3/8 Tube, Elbow, 423981 |
958 | 50 NEW Picolight PL-1LD-00-S40-C7, 10G, 850nm, TOSA |
959 | 50 NEW Teflon Seal 1.9 OD x 1.5 ID, 70887-03 Canted Spring |
960 | 50 SMC fittings KQH11-34S-X23 FTG CONN ML 1/8NPT X 3/8T SST 2250-737784 |
961 | 502622 BM303030200 |
962 | 504287071, FPO-3527, O-Ring, Seal. 416806 |
963 | 506718-01 Cable Motor, Power Left Spindle ODI 4800 |
964 | 53 Tokyo Electron Mini-Circuits SRA-1 Demodulator |
965 | 577328 Relay board |
966 | 59-5467, 3-8481-00237, VMT-5770, R-Motion Feedthrough 100KG. 419678 |
967 | 6 cleaned shields 233-3056-57, CC, LG clamp API-492 |
968 | 6 Aera Connector Box CB-08 |
969 | 6 AMP, TC-DITOP Thermocouple Controls 402287 |
970 | 6 Berkeley process controls ASM-81-A-0/A-00-LB/10/6:1, 950614 Motors, 5000 RPM |
971 | 6 BOC Edwards D129267 shield ring, Metron, shield ring, BP, 100mm, GaAs, SPUT-SS |
972 | 6 Carlo Gavazzi Universal Digital Meter BD35, 3 1/2 digital red colour display |
973 | 6 Centronics Interface PCB Board 068486-003 |
974 | 6 Disco MODV015060A Dressing Board, Rotary Surface Grinder Diamond Wheel, 423105 |
975 | 6 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
976 | 6 Horiba Stec MFC Injection valve IV-2410AV-02H |
977 | 6 Horiba Stec SEC-4400 MFC, SEC-4400M, SiH4, 500 SCCM. 324825 |
978 | 6 KOGANEI V030E1 SOLENOID VACUUM VALVE DC24V 0-750MMHG 401861 |
979 | 6 MKS baratron 750B11TCE2GK, 10 TORR, 0-10 VDC |
980 | 6 Mouser Electronics 172-E15-213R911 Conn DB15 Female SLD DIP NKL |
981 | 6 Mouser Electronics 180-015-213R911 15P Fem Vt Dip Sol NorComp D-Sub Connectors |
982 | 6 Mouser Electronics 636-180-015-213R911, 180-015-213R911, 15P Fem Vt Dip Sol |
983 | 6 New Eppendorf combitip, 50 ml combitips |
984 | 6 NEW Fluorosilicone 19.33 x 1.39 Oring, Blue, 70, 22-373624-00 |
985 | 6 NEW Fluorosilicone 2-011 Oring, AS568-011, Blue, 70, Novellus 22-373607-00 |
986 | 6 new Greene Tweed Chemraz 9346-SC520 4.1 x 0.21 in., O-ring, 22-177296-00 |
987 | 6 NEW HEWLETT PACKARD 15464-69601 , TTL POD, |
988 | 6 NEW Lambda LFS40-12, 0150-700476 Power supply, 12VDC 5Amp |
989 | 6 NEW MICRO I/O, MIO-A-2-608 ANALOG VOLTAGE, 2 POINT OUTPUT MODULES |
990 | 6 NEW Mykrolis SB110202KU Throttle valves with KF40 flage |
991 | 6 NEW Nerlite 010-650400 Backlights, 2 inch X 8 inch |
992 | 6 NEW Novellus 03-122255-00 Cable assy, OEPD, SIG ITF, ALT |
993 | 6 NEW Novellus 0850-103478 new brackets, rev A |
994 | 6 NEW Novellus 15-136756-00, 300 PVD plunger ESC, W/O post, Ceramic |
995 | 6 NEW NSK Precision products XY-FR-E067001 |
996 | 6 New PALL profile II PCF1Y050B08 filters |
997 | 6 NEW Reiken Keiki instruments GD-K77D Aviza 815018-765 RKI Gas detector 0.6 PPM |
998 | 6 new SVG 913999-001, gas manifolds with 2 Swagelok 6LV-D4D333P-AA valves each |
999 | 6 new TEL 22-173564-00 O-ring, material white perlast, compound G71H. 329372 |
1000 | 6 NEW Ultratech stepper 10-15-02030-A, Brass HYST nut, leadscrew |
1001 | 6 NEW Ultratech stepper 10-20-03636 Vacuum pad, WTC, Theta chuck |
1002 | 6 new varian 07895001 shunt bars, 14 degree field |
1003 | 6 New varian F183001 graphite aperture plate |
1004 | 6 Novellus 01-4905 Pin, Aligner, Vespel, Sierra |
1005 | 6 Novellus 15-271403-00, Insulator, RF Break, KF16-KF16, C2SEQX, 417004 |
1006 | 6 Novellus 60-00174-00 Clamp, NW16, Aluminum, MKS HPS 100312901, 417005 |
1007 | 6 NRM-55 needle bearings |
1008 | 6 Numatics M10SS400M solenoid valve |
1009 | 6 Omega Nitroclean-2 C-210V Gas Line Membrane Filter, 0.2 Micron, 421610 |
1010 | 6 RF Coax Connector Amphenol 36825 M2339/4-02-04 |
1011 | 6 SMC NVJ114Y Mini Solenoid Valve w/ Leads and Light, 422826 |
1012 | 6 SMC VQ2201Y-5 Pneumatic solenoid valves |
1013 | 6 Swagelok 6LVV-DPBW4-P-C Valve Manifold, 422565 |
1014 | 6 Tripp Lite B078-101-USB Netcommander USB Server Interface Unit |
1015 | 6 Tylan MFC, mass flow controller, FC-260, H2, 1 SLPM |
1016 | 6 used Eurotherm RSDA 25A 660V LDC Solid State Relay+Heatsink, 4-28 VDC |
1017 | 6 Watlow 146 Temp controls W/ IDEC micro Smart, circuit breaker, DIN Rail |
1018 | 6 WATLOW DC11-27P5-0000 Watlow 75A Solid State Power Controls Watlow DC11 |
1019 | 6″ Black Process Cassette, Height 7″, 395658, 395659, 395660, 395661, 395662 |
1020 | 6″ Process Cassette, Height 4.5″, 395649, 395650, 395651, 395652 |
1021 | 6″ Process Cassette, Height 5.5″, 395648 |
1022 | 6″ SEMITOOL SRD A182-60MB-0215 2800 RPM CONTROLLER, 921R-A1A Relay 410966 |
1023 | 6″ Vacuum Chuck, Flat, 10670800 |
1024 | 60 units of 5410006 P2DH-088-CES90223 PCB board edge connector |
1025 | 7 Berkeley Process controls MIO-A-4-602 ANALOG CURRENT, 4 point input |
1026 | 7 Burks model # 33CT6MV-AB-FE Turbine Pump 1/3 Hp FRANKLIN motor, 422610 |
1027 | 7 Carlo Gavazzi Universal Digital Meter BD35, 3 1/2 digital red colour display |
1028 | 7 Centronics Interface PCB Board 068486-003 |
1029 | 7 Electroglas 251563-004 EProm Chips for Electroglas PCB, 9310-538, 401153 |
1030 | 7 Exxus TECH RR-P3-8 9/23 Conflat Tee with two 2-3/4″ & one 41/2″ flanges |
1031 | 7 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
1032 | 7 Kinetics Gas Line, Tescom 250 4-2213-242-010, Pall SGLFPF6402VMM4 |
1033 | 7 MKS 127AA-00001E 1Torr Baratron Pressure Transducer |
1034 | 7 MKS baratron 225A-25603, 2.5 Inch H2O Range, S05601 |
1035 | 7 New Carlon plastic junction box LID E970C A142 |
1036 | 7 NEW FPG-C24R2T11, Panasonic control unit AFPG2423T11, Matsushita Electric |
1037 | 7 new General Electrics CR104PBM01R6 mushroom head 1 NC contact red button |
1038 | 7 new goodyear 522908003 V-belt tension testers |
1039 | 7 New Leviton Receptacle 2310 W-C-596F |
1040 | 7 new Todd products SC24-11C, power supplies, 115/230VAC 6A/3A w/ paper work |
1041 | 7 Omega Nitroclean-2 C-210V Gas Line Membrane Filter, 0.2 Micron, 421611 |
1042 | 7 Omron Z-15GW2-B7-K Basic Switch, 402258 |
1043 | 7 RF Coax Connector UG-88/U, 423324 |
1044 | 7 RF Low freq silver plated connector AMP, 330830 = 5, 330830-8=2 |
1045 | 7 Sanyo Denki PM0PA1C3P20 PM DRIVER TYPE C |
1046 | 7 SMC SZ3A60-5NL0Z-C4 Valve Bank |
1047 | 7 Stec SEC-4400 MFC, SEC-4400MC, WF6 gas, 100 SCCM flow rate |
1048 | 7 UG567 A/U High Frequency RF to Coax Elbow, 423410 |
1049 | 7 units of IPEC Speedfam Novellus 372-45064-1 spacer, .004 thick |
1050 | 7 Water hose quick disconnect fitting |
1051 | 7001-5343-901 10.7 Mhz reciever |
1052 | 8 BNC Coax Tees 1Fe-2m |
1053 | 8 Enidine PRO15 IF-1B Hydraulic Shock Absorbers, 423104 |
1054 | 8 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
1055 | 8 LAM 754-092082-002, Assy Guide Bearing and Spring, 10″ Arm, 811-02437. 423121 |
1056 | 8 Merlin Gerin, Multi 9, C60N, 3A, 1 pole |
1057 | 8 NEW Ebarra C-3102-121-001 top ring guides |
1058 | 8 new F5205001 feed though wire |
1059 | 8 new Greene Tweed Chemraz 9346-SC520 4.1 x 0.120 in. |
1060 | 8 new insulator heat blanket KF 40 flange 6789-00 metron HPS 4515-0016 |
1061 | 8 new L.J.B. MFG. 0093310-001 water fitting kits |
1062 | 8 NEW NAIS FP1 Expansion Unit AFP13813-F, FP1-E8R |
1063 | 8 New Novellus 22-034294-00 O-ring Seal |
1064 | 8 new novellus 22-121782-00, viton oring chamber to DLCM, 200 mm 337V75, 2-377 |
1065 | 8 NEW Perlast 22-120990-00 Oring AS568-006, 2-006, SC 1107 |
1066 | 8 NEW SUNX FX-101 Digital Fiber Sensor FX-100 Series, Box of 8 |
1067 | 8 novellus 0175-7000066, square D MG24427, circuit breaker, 1P 3A |
1068 | 8 Omega Nitroclean-2 C-210V Gas Line Membrane Filter, 0.2 Micron, 421612 |
1069 | 8 Ontrak 76-0002-007 Nozzle Spray 1/8 NPT 0.036, 422824 |
1070 | 8 Pall Gaskleen GLFPF3000-V04 Filters, GLFPF3000V04, 423859 |
1071 | 8 Qimonda 1 GB server memory 2Rx8 PC2-5300F-555-11-B0 |
1072 | 8 Stec LF-310A-EVD Liquid Mass Flow Controller TEB 0.5g/min |
1073 | 8 Swagelok, MS-STR-4, Strip Teeze, 1/4 x 576 TFE Tape, 415358 |
1074 | 8 used Tylan FC-261 N2, H2, O2 |
1075 | 8 Varian 112387002 Clamp, Strap, Filament, Silver Plated, 233485034 |
1076 | 80 SMC FITTINGS KQL07-11-X2 |
1077 | 810-4676 SMIF Elevator PCB, 010-4675, 415652 |
1078 | 832-267A Aluminum Electrode A for PY150, 420414 |
1079 | 832-2687A Aluminum Electrode A for PY150, 420412 |
1080 | 835-2687A ,Aluminium Electrode A for PY150. 415394 |
1081 | 835-2867A, Aluminium Electrode A. 416494 |
1082 | 839-013515-001-B |
1083 | 8400-028044 cable, combo pcb to different driver pcb |
1084 | 85297510-3 Isolation Valve Bellows Assembly, 399877 |
1085 | 853-370395-001, Assembly, Harn AC Power Lim DI H20 Cord 402277 |
1086 | 859-8147-001-A PCB board assy ZBX mother board, 859-0529-001 REV A |
1087 | 9 IDEC 4H-23-NE Lamp Pilot Leo Square |
1088 | 9 insulators vented for vacuum system 507689-00 price for all 9 uits |
1089 | 9 Kalrez AS-568A oring, K#006, Compound 4079, 2.90×1.78mm, K-006 O-ring 402437 |
1090 | 9 LM76 Inc L408-6 Ceramic Coated Liner Motion Bearings, 422373 |
1091 | 9 Moeller G-ZBZ Safety Interlock Key |
1092 | 9 MPI Sockets E70797-001 |
1093 | 9 New Pall A50V002p2, Acro50 filters with 0.2um Emflon II membrane |
1094 | 9 NEW Perlast 22-172777-00 Oring, White perlast G71H, 3.63 x 2.62, G71H compound |
1095 | 9 New Schneider Electric Circuit breaker 20A |
1096 | 9 NEW Twin splitter for VGA with 2 output splitter, power supply, Model 1035 |
1097 | 9 OnTrak 33-0008-024 Bushing, Pivot Shaft, 422212 |
1098 | 9 Rolls of Chart Recorder Paper, RD3057-RP |
1099 | 9 SMC SZ3260R-5NL0Z Pneumatic Manifold |
1100 | 9 SMC VXZ2230-02-5TZ-B Solenoid valves brass |
1101 | 9 Valves Fujikin 316L-P, A7LYU000, 076911, Shutoff Valve. 415399 |
1102 | 90066-01 rev 2 Platform SS |
1103 | 953972, UE8-6FN-1, Reducer, Valve, Elbow. 328586 |
1104 | A to Z electronics Ltd. 70302260100 OPAL CCD controller, parts not working |
1105 | A&N Corporation E4200-QF50 High Vacuum Ball Valve KF50 Flange, S57668-70155-02 |
1106 | A&N Corporation E4200-QF50 High Vacuum Ball Valve KF50 Flange, S58113-70781-11 |
1107 | A10020, ORV-5OB-8.5, 1×10-9 Torr 1/Sec. Bellow Vacuum Flange. 416798 |
1108 | A182-60MC Roater, H-IN, 0-12 Wafer, 423637 |
1109 | A2501 shutter interlock control ASSY 759-0727-010E PCB board, SML176 |
1110 | A31-49272 Circle Heater, 2kW, 423946 |
1111 | AA2-12 Cable, 8 Conductor, 16 AWG, AAZ-12, 422628 |
1112 | AALBORG MFC Mass Flow Controller GFC17, AIR, 0-1000 mL/min, 500 PSI/3400KPa |
1113 | ABB S 281 W Single phase 3 A din rail mount circuit breaker |
1114 | ABB ACH550-UH-015A-4 AC Drive 3PH 48-563Hz 380-480VAC 15.4A 10HP 7.5kW, 423767 |
1115 | ABB ACS320-03U-08A8-4 HVAC Variable Frequency Drive, IP20/UL Open Type, 423683 |
1116 | ABB ACS355-03U-04A1-4 IP20/UL Open Type HVAC Variable Frequency Drive, 423743 |
1117 | ABB ACS355-03U-08A8-4 HVAC Variable Frequency Drive, IP20/UL Open Type, 423684 |
1118 | ABB ACS355-03U-08A8-4 IP20/UL Open Type HVAC Variable Frequency Drive, 423742 |
1119 | ABB ACS355-03U-08A8-4 IP20/UL Open Type HVAC Variable Frequency Drive, 423755 |
1120 | ABB ACS355-03U-12A5-4 IP20/UL Open Type Low Voltage Machine Drive, 423732 |
1121 | ABB ACS355-03U-23A1-4 IP20/UL Open Type Low Voltage Machine Drive, 423729 |
1122 | ABB ACS355-03U-24A4-2 IP20/UL Open Type Low Voltage Machine Drive, 423730 |
1123 | ABB ACS355-03U-24A4-2 IP20/UL Open Type Low Voltage Machine Drive, 423731 |
1124 | ABB ACS355-03U-38A0-4 IP20/UL Open Type Variable Frequency Drive, 423751 |
1125 | ABB ACS850-04-014A-5+J400 Variable Frequency Drive, IP20, UL Open Type, 423749 |
1126 | ABB AF185-30-11 Circuit Breaker 100-250V 50/60HZ / 100-250V DC, 422433 |
1127 | ABB AF185-30-11 Circuit Breaker 100-250V 50/60HZ / 100-250V DC, 422531 |
1128 | ABB PSR37-600-70 Soft Starter, 423756 |
1129 | ABB QSB23175L, Circuit Breaker 240V, 175 AMP 3 Phase, 24380-00, 423531 |
1130 | ABB QSB23175L, Circuit Breaker 240V, 175 AMP 3 Phase. 419498 |
1131 | ABB QSB23175L, Circuit Breaker, 240V, 175A, 3 Pole 416375 |
1132 | ABB R100.30-ZS Semiconductor Contactor 600 VAC 30A, 420733 |
1133 | ABB WK8M D120 2p Circuit breaker |
1134 | ABB, Circuit Breaker, 16 Amp, 2 Pole |
1135 | ABB, Circuit Breaker, 3 Amp, 2 Pole |
1136 | ABB, Circuit Breaker, 32 Amp, 2 Pole |
1137 | ABB, Circuit Breaker, 32 Amp, 3 Pole |
1138 | Abrasive Technology Inc. S3410507N Diamond Disk Scrub, Infinity II, 422676 |
1139 | AC Replacement, AC Plug/Computer Power Receptacle |
1140 | AC Tech SL205S Variable Speed AC motor Drive Lenze group |
1141 | AC115-03 RF Generator Board, PCB, 423875 |
1142 | Accufiber M310-4915 Endpoint detector |
1143 | Accufiber Optical Fiber Thermometer Model 310 |
1144 | AccuForce Cadet Force Gage, Ametek, 0-50 gm, A0763 |
1145 | Accuray MCC/IFCC I/F, part # 013509 REV: J PCB |
1146 | Ace Controls A 1/2 x2_S Shock Absorber, 422677 |
1147 | ACECo S33-243 Susceptor Assy, 150mm rebuild 11p-006691-19 |
1148 | AceCo S33-744 Susceptor Assy, 200mm (Rebuild) |
1149 | Acess I/O Products ID1-48 rev A4, I/O board for novellus 27-166887-00 |
1150 | Acopian 51515T9AM, Triple Output Power Supply. Corcom 10VK1. 417229 |
1151 | Acopian D15-15A, Miniature AC to DC Power Supply, Varian D108481001 PCB. 418411 |
1152 | ACS Electronics SB214PC2-E XY Controller PCB 423137 |
1153 | ACS Motion Control SB1003BL-B1P, 3-Axis Servo Motion Controller, ACS SB Driver |
1154 | ACS Motion Control SP+CM2BEM0-XY, spiiplus CM-2-B-E-M0-XY digital current loop |
1155 | ACS Motion Control SP+CM2BEM0-XY, spiiplus CM-2-B-E-M0-XY SCM0009046/B3 |
1156 | ACS Motion Control SP+CM2BEM0-XY, spiiplus CM-2-B-E-M0-XY SCM0009066/B3 |
1157 | ACS Motion Control SP+CM2BEM0-XY, spiiplus CM-2-B-E-M0-XY, ACS TECH80 |
1158 | ACS Motion Control SP+CM2BEM0-XY, spiiplus CM-2-B-E-M0-XY, SCM0009036/B3 |
1159 | ACS Motion Control SP+CM2BEM0-XY, spiiplus CM-2-B-E-M0-XY, SCM0009038/B3 |
1160 | ACS Motion Control SP+CM2BEM0-XY, spiiplus CM-2-B-E-M0-XY, SCM0009537/B3 |
1161 | ACS SB214PC2-E XY CONTROLLER ME594V03300 400934 |
1162 | Adaptec 914606-00, ANA-5940 PCI, Fiber Channel Network Adapter, DAGIC. 416551 |
1163 | Adaptec 917306-41, AHA-2940W/2940UW, Controller PCB, Intel A17547-001. 416505 |
1164 | Adaptive pressure controller PM-5, 641PM-36PM-1011/327, 64PM.3C.18, 400055 |
1165 | Adaptor Flange with KF25 and ASA 5 inch to ASA 6.5 inch, C3095 |
1166 | ADE 3800 Non-Contact Capactive Dimensional Gauging Module w/ Probe, 423558 |
1167 | ADE 3800 Non-Contact Capactive Dimensional Gauging Module, 423559 |
1168 | ADL Accelerator Power Supply for Ion Sources Input 230V 50/60Hz Output 1000V .3A |
1169 | ADL GDI 09 Discharge Power Supply for Ion Sources, 230V 50/60Hz, 150V 6A, 397600 |
1170 | ADL Neutralizer Power Supply for Ion Sources Input 230V 50/60Hz Output 33/25/25V |
1171 | ADTEC AX-5000W-AKT RF Generator, AX-5000W, 5000W 13.56 MHZ. 423389 |
1172 | ADTEC AX-5000W-AKT RF Generator, AX-5000W, 5000W 13.56 MHZ. 423390 |
1173 | Adtec RF Generator, AX-2000EUII, AX-2000EU2-N, Plasma Technology, 2000W, 400679 |
1174 | Adtec RF Generator, AX-2000EUII, AX-2000EU2-N, Plasma Technology, 2000W, 400680 |
1175 | Advanced Energy 1101 001 D Ion Beam Source Supply For Veeco, 416116 |
1176 | Advanced energy 2279-002-A |
1177 | Advanced Energy 27-293461-00, 10013-L80Z, Navigator 10013 RF match, pedestal |
1178 | Advanced Energy 3150073-001 AE RF-10S, RF Generator, 1000W Novellus G19-10071-00 |
1179 | Advanced Energy 3150110-000 RF Match, 24 VDC, 3000 Watts, 13.56MHz, 423321 |
1180 | Advanced Energy 3150110-000 RF Match, 24 VDC, 3000 Watts, 13.56MHz, 423358 |
1181 | Advanced Energy 3150273-004 RF Match, 13.56 MHZ, 10KW, Water Cooled, 417015 |
1182 | Advanced Energy 3151801-001 Rapid F remote plasma source |
1183 | Advanced Energy 3152194-008X, RF Generator 402507 |
1184 | Advanced Energy 3152603-005, E’wave Power Supply, 3 channels 48V, New in box |
1185 | Advanced Energy 3152603-005, E’wave Power Supply, minor handling damage |
1186 | ADVANCED ENERGY 3152603-012 E-WAVE POWER SUPPLY. NOVELLUS 27-260607-00. 410727 |
1187 | Advanced Energy 3155039-001 Tuner Controller, 100-240V, 50/60 HZ, 100 VA, 416312 |
1188 | Advanced Energy 3155039-003 Tuner Controller, 100-240V, 50/60 HZ, 100 VA, 416313 |
1189 | Advanced Energy 3155043-001A RFX 2 System Remote Controller 416326 |
1190 | Advanced Energy 3155043-001A RFX 2 System Remote Controller 416327 |
1191 | Advanced Energy 3155162-003, AE Navigator 10013 RF Match, 400859 |
1192 | Advanced Energy 3155162-020 RF Match F/R A Navigator 10013-L80, 27-265661-00 RF |
1193 | Advanced Energy 3156011-002A, PDW 2200 Power Supply, LAM 853-015516-004, 407033 |
1194 | ADVANCED ENERGY 5253049-C Circuit Board PCB, 3074N, C03584, 2303049E |
1195 | Advanced Energy A3M5K000EA120B001A, Apex 3513, RF Generator. 416142 |
1196 | Advanced Energy AE 1345193D A2X90 Series HTCM Load Matching Network Tuner 423639 |
1197 | Advanced Energy AE 3150273-005, RF Match, Mercury 10013, Novellus. 417121 |
1198 | Advanced Energy AE 3155083-111 HFV 8000 RF Generator, 421894 |
1199 | Advanced Energy AE 3155162-037 Navigator RF Match, Novellus 27-368450-00, 423803 |
1200 | Advanced Energy AE 3155162-037 Navigator RF Match, Novellus 27-368450-00, 423804 |
1201 | Advanced Energy AE 3156011-002 PDW 2200 RF Generator, LAM 853-015516-001, 423547 |
1202 | Advanced Energy AE 3156024-103B PDX 500 RF Generator, 1.4 kW, 200-230V, 423546 |
1203 | Advanced Energy AE 3156024-111 A PDX 1250, RF Generator. 423129 |
1204 | Advanced Energy AE 3156110-013 Apex 1513 TCP RF Generator 660-032596-013, 423788 |
1205 | Advanced Energy AE 3156110-014 Apex 1513 BIAS RF Generator 660-032596-014 423787 |
1206 | Advanced Energy AE 5034-003-B RF Matching Network, MFA Heatsink, 417555 |
1207 | Advanced Energy AE Apex 3513 RF generator ABM3K000EA120B001A 13.56Mhz 5.5 Kw |
1208 | Advanced Energy AE PDX 2500 RF Generator controller panel, PDX-2500 |
1209 | Advanced Energy AE PDX 2500 RF Generator controller panel, PDX-2500, S31597 |
1210 | Advanced Energy AE PDX 900-2V RF Generator, 1.4 kW, 200-230V, 50/60Hz, 423545 |
1211 | ADVANCED ENERGY AE RFDS 3000 3155038-013B 208V 50/60Hz 5kW 402476 |
1212 | Advanced Energy AE-4855015 RF Cable, AE, 423657 |
1213 | Advanced Energy Aera FC D980C4, MFC 500 sccm, O2, Unit Calibration Sheet. 419505 |
1214 | Advanced Energy Aera FC-7800CD MFC, 2 SLM, N2 gas, Novellus 22-126240-00 |
1215 | Advanced Energy Aera FC-7800CD, 1 SLM, N2 gas, Novellus 22-109676-00 |
1216 | Advanced Energy Aera FC-7800CD, 50 SCCM, CF4(0.424) gas, Novellus 22-170369-00 |
1217 | Advanced Energy Aera FC-7800CD, 50 SSCM, CF4 gas |
1218 | Advanced Energy Aera FC-7800CD, 500 SCCM, CF4(0.424) gas, Novellus 22-190958-00 |
1219 | Advanced Energy Aera FC-PA7810C MFC, 20 SLM, N2 gas, Novellus 22-308816-00 |
1220 | Advanced Energy Aera TC FC-7800C MFC, 200 SCCM, N2 gas, Novellus 22-268760-00 |
1221 | Advanced Energy Aera TC FC-PA7800C MFC, 100 SCCM, Ar Gas, Novellus 22-268957-00 |
1222 | Advanced Energy Aera TC FC-PA7810C MFC, 50 SLM, O2 gas, Novellus 22-109958-00 |
1223 | Advanced Energy Aera TC FC-PA7820C MFC, 100 SLM, Ar gas, Novellus 22-186489 |
1224 | Advanced Energy Aera TC-FC-PA7810C MFC, 30 SLM, N2 Gas, Novellus 22-190947-00 |
1225 | Advanced Energy Analog Control Fixture, no cable |
1226 | Advanced Energy Apex 1513 RF Generator, 1.5kW, 13.56 MHz, ~ 374 V, 423489 |
1227 | Advanced Energy APEX 3513 A3M5K000EA120B001A RF generator sn 339527 416070 |
1228 | Advanced energy APEX 3513 A3M5K000EA120B001A RF generator, sn373980 416068 |
1229 | Advanced energy ID-3500 Ion beam Drive power source |
1230 | ADVANCED ENERGY MDX DC power supply, Generator, lots of 7 |
1231 | Advanced Energy Mercury 10013 Match, P/N 27-256558-00 |
1232 | Advanced Energy MFC Aera PI-980 Series, MGMR, N2 Gas, UFS 10000 SCCM, S3197 |
1233 | Advanced Energy PDP 2500, AE 00125-007-A DC Power Supply Generator Pulsed Plasma |
1234 | Advanced Energy PDP 2500, DC Power Supply Generator Pulsed Plasma AE 00125-007-A |
1235 | Advanced Energy PDW 600 RF Generator, AE 3156014 Lam # 853-15516-003 |
1236 | Advanced Energy PDX 2500 RF Generator 3156012-101, Novellus 27-047499-00, 409748 |
1237 | Advanced Energy PDX 2500 RF Generator 3156012-105A Novellus 27-047499-00, 409743 |
1238 | Advanced Energy PDX 2500 RF Generator 3156012-201, Novellus 27-293621-00, 409749 |
1239 | Advanced Energy PDX 2500 RF Generator, 3156012-101 Novellus 27-047499-00, 409742 |
1240 | Advanced Energy PDX 2500 RF Generator, 3156012-101 Novellus 27-047499-00, 409744 |
1241 | Advanced Energy PDX 2500 RF Generator, 3156012-101, 27-047499-00, 409744 |
1242 | Advanced Energy PE-1000, 2102031-B, PTD 1/19, AC Plasma Power Source. 416339 |
1243 | Advanced Energy RF Match 3153020-007 |
1244 | Advanced Energy RF MATCH AE 3150114-001 00 SE |
1245 | Advanced Energy RFX 2500, AE 5011-000-A RF Generator |
1246 | Advanced Energy, AE 3150272-005, Novellus 19-111025-00, RF Match Box, 400635 |
1247 | Advanced Energy, AE, PDX 900-2V, 3156024-131B, 400722 |
1248 | Advanced Energy, PMH 13/3000, 3152290-000C, RF Calibration Head, 400952 |
1249 | Advanced Motion Controls 30A20-ACT Brush Type PWM Servo Amplifier, New in Box |
1250 | Advanced Motion Controls PC3XD-EQ3 PCB, 3008W8PXX56G20X Conec C 481, 400650 |
1251 | Advanced Motion Controls PC3XD-EQ3 Robot Control PCB, 23100-0033, 420432 |
1252 | Advanced Motion Controls PC3XD-PM1 PCB, 3008W8PXX56G20X Conec C 481, 400651 |
1253 | AdvanTech Industrial computer IPC-6806BP-B, IPC chassis, w/o BP. 150W P.S REV B |
1254 | Advantech PCL 818L Data acquisition card for PC New in box |
1255 | Advantest M4542AD Dynamic Test Handler for systems-on-chip (SOCs), part tool |
1256 | AE 3152426-113, Pinnacle DC power supply with 3152360-001 interface X1669 |
1257 | AE 3152426-113, Pinnacle DC power supply with 3152360-001 interface X9669 |
1258 | AE 3155162-020 RF Match Novellus 27-268661-00 Navigator 10013-L80 |
1259 | AE 3159273-005 Mercury 10013 RF Match, Novellus 27-256558-00, 408576 |
1260 | AE Advanced Energy 3150273-005 RF match, Mercury 10013 RF MATCH, water cooled |
1261 | AE Advanced Energy 3155162-027 RF match Novellus 27-306026-00, Navigator 10013 |
1262 | AE Advanced Energy LF-30 RF generator 2.5KW, Novellus R27-032578-00 |
1263 | AE Advanced Energy LF-30 RF generator 2.5KW, Novellus R27-032578-00, paper work |
1264 | AE Advanced energy MDX-20K master 3152223-003Y power supply RF generator |
1265 | AE Advanced Energy MDX-L12 RF Generator 3152313-000B Power supply, 400838 |
1266 | AE Advanced Energy PDX-1400 RF Generator, 3156024-145 PDX 1400, NOV 27-135167-02 |
1267 | AE Advanced Energy R27-260607-00N RF generator Ewave, 3152603-005, REV E |
1268 | AE APEX 3513, A3M3K000EA120B001A, Advanced Energies RF generator sn3862 |
1269 | AE APEX 3513, A3M3K000EA120B001A, Advanced Energies RF generator sn9528 |
1270 | AE RFPP LF-5 500 Watts RF Generator, AE 3150012 |
1271 | AE RFX 3000 Advanced Energy 3155047-000 B, RFXII 3000, RF Generator 402480 |
1272 | AEG 644-494546-001, Contactor, 3 Pole 90A, 417833 |
1273 | Aera FC-7700C, AR, 100 SCCM , MFC Mass Flow Controller (Calibrated). 325221 |
1274 | Aera FC-770A, N2, 200 SCCM, MFC, Mass Flow Controller (Calibrated). 325212 |
1275 | Aera FC-770AC, Ar, 200 SCCM, MFC, Mass Flow Controller (Calibrated). 325211 |
1276 | Aera FC-7800CD MFC 500 SSCM He Pressure Controller |
1277 | Aera FC-7800CD MFC, 2 SLM NF3 and 5 SLM He, Farmon ID 325591 |
1278 | Aera FC-7800CD, He, 500 SCCM , MFC, Mass Flow Controller (Calibrated). 325207 |
1279 | Aera FC-7810CD MFC, 5 SLM range, NF3(0.500) gas, S6076, Novellus 22-117957-00 |
1280 | Aera FC-7810CD MFC, mass flow controller 50 SLM 4% H2/N2, Novellus 22-190955-00 |
1281 | Aera FC-7810CD MFC, Mass Flow Controller,O2 50 SLM, 420853 |
1282 | Aera FC-7810CD, N2O, 5 SLM, MFC, Mass Flow Controller (Calibrated). 422512 |
1283 | Aera FC-7810CU 20 SLM O2 |
1284 | Aera FC-786C MFC, Mass Flow Controller, HCl, 10 SLM, 423473 |
1285 | Aera FC-786CBA, N2, 10 SLM, used |
1286 | Aera FC-980, N2, 500 SCCM , MFC, Mass Flow Controller (Calibrated). 325217 |
1287 | Aera FC-982C MFC, TC FC-982C, NH3 gas, 20 SLM range, S003 with calibration sheet |
1288 | Aera FC-98C, N2, 200 SCCM , MFC, Mass Flow Controller (Calibrated). 325220 |
1289 | AERA FC-PA7800C-BA MFC, Mass Flow Controller 0.5% B2H6/H2, 1 SLM, 423708 |
1290 | AERA FC-PA7800C-BA MFC, Mass Flow Controller 0.5% PH3/H2, 0.5 SLM, 423709 |
1291 | AERA FC-PA7800C-BA MFC, Mass Flow Controller 0.5% PH3/H2, 0.5 SLM, 423710 |
1292 | AERA FC-PA7800C-BA MFC, Mass Flow Controller 0.5% PH3/H2, 2 SLM, 423707 |
1293 | AERA FC-PA7800C-BA MFC, Mass Flow Controller 0.5%PH3/H2, 2 SLM, 423712 |
1294 | AERA FC-PA7800C-BA MFC, Mass Flow Controller AR, 5 SLM, 423706 |
1295 | AERA FC-PA7800C-BA MFC, Mass Flow Controller CH4, 2 SLM, 423711 |
1296 | Aera FC-PA7800C-BA MFC, Mass Flow Controller, 0.5% B(CH3)3/H2, 2 SLM, 423733 |
1297 | Aera FC-PA7800C-BA MFC, Mass Flow Controller, 0.5%PH3/H2, 0.2 SLM, 423737 |
1298 | Aera FC-PA7800C-BA MFC, Mass Flow Controller, 0.5%PH3/H2, 1 SLM, 423736 |
1299 | AERA FC-PA7800C-BA MFC, Mass Flow Controller, AR, 5 SLM, 423695 |
1300 | Aera FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.2 SLM, 3030-16232, 423735 |
1301 | AERA FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.2 SLM, 423691 |
1302 | Aera FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.5 SLM, 3030-16516, 423738 |
1303 | Aera FC-PA7800C-BA MFC, Mass Flow Controller, CO2, 1 SLM, 3030-16229, 423740 |
1304 | AERA FC-PA7800C-BA MFC, Mass Flow Controller, CO2, 1 SLM, 423692 |
1305 | AERA FC-PA7800C-BA MFC, Mass Flow Controller, CO2, 1 SLM, 423694 |
1306 | AERA FC-PA7800C-BA MFC, Mass Flow Controller, Si2H6, 0.1 SLM, 423690 |
1307 | AERA FC-PA7800C-BA MFC, Mass Flow Controller, SiH4, 0.5 SLM, 423689 |
1308 | AERA FC-PA7800C-BA MFC, Mass Flow Controller, SiH4, 2 SLM, 423693 |
1309 | AERA FC-PA7810C-BA MFC, Mass Flow Controller AR, 20 SLM, 423705 |
1310 | AERA FC-PA7810C-BA MFC, Mass Flow Controller, NF3 (0.500), 5 SLM, 423696 |
1311 | Aera FC-PA7810C-BA MFC, Mass Flow Controller, NH3, 8 SLM, 3030-16230, 423741 |
1312 | Aera FC-PA7810C-BA MFC, Mass Flow Controller, Si2H6, 2 SLM, 3030-16242, 423739 |
1313 | AERA FC-PA7810C-BA MFC, Mass Flow Controller, SiH4, 2 SLM, 423688 |
1314 | Aera MFC FC-7800CU, O2, 2 SLM |
1315 | Aera MFC FC-7820C, N2 gas, 100 SLM gas range, S1070, NOV 22-133312-00 |
1316 | Aera MFC FC-D980C, Ar, 200 SCCM |
1317 | Aera MFC FC-D980C, H2, 50 SCCM |
1318 | Aera MFC Mass Flow Controller FC-7800CD, H2 3.5 SLM with calibration sheet. |
1319 | Aera MFC TC FC-980C, SiH4 1 SLM with Calabration SHEET |
1320 | Aera MFC, FC-981C, FC-981SBC, O2 10 SLM, with calibration sheet |
1321 | Aera MFC, FC-981C, TC FC-981SBC, N2O 10 SLM, with calibration sheet |
1322 | Aera MFC, mass flow controller, FC-7810CD, CO2 15 SLM, with calibration sheet |
1323 | Aera MFC, Mass FLow Controller, FC-7810CU, C2F6, 2 SLM used |
1324 | Aera MFC, mass flow controller, FC-D981SBC, NF3(0.500) gas, 10 SLM sealed in box |
1325 | Aera MFC, mass flow controller, TC FC-980C, BCL3,100 SCCM |
1326 | Aera MFC, TC FC-D980C SiH4 Gas, 750 SCCM, S25004, NOVELLUS 22-189470-00 |
1327 | Aera R0-40 Flow Controller 402221 |
1328 | Aera RO-100 Read Out Unit AC 1000V 14VA, 500 SCCM |
1329 | Aera TC FC-PA7800 MFC, mass flow controller, 100 sccm NH3, Novellus 22-363308-00 |
1330 | Aera TC FC-PA7800C MFC 200 sccm H2 Novellus 22-268761-00 |
1331 | Aera TC FC-PA7800C MFC, mass flow controller, 200 sccm He, Novellus 22-268760-00 |
1332 | Aera TC FC-PA7810C MFC, mass flow controller, 50 SLM O2, Novellus 22-190944-00 |
1333 | AEROTECH DR 500 motion controller 208 VAC, DR500R-D-80-160/X3-AS32020C-F7/SHUNT |
1334 | Aerotech ES13713-3 UNIDEX100 multitasking motion controller, cables and software |
1335 | Aerotech Motion Controls MX50-D-8M, Signal Multiplier, D-Sub 25 Pin and Cable |
1336 | Aetrium 151221 PCB, PNP, Program |
1337 | Affinity 23886 Water cooled chiller, EWA-15DK-GE05CBCO, 208V 21.5A |
1338 | Affinity 30222 Water cooled chiller, Heat Exchanger PWG-060K-BE44CBD2, 395722 |
1339 | Affinity 30222 Water cooled chiller, Heat Exchanger PWG-060K-BE44CBD2, 395721 |
1340 | Affinity 30222 Water cooled chiller, Heat Exchanger, PWG-060K-BE44CBD2, 395720 |
1341 | Affinity 30222 Water cooled chiller, Heat Exchenger, WG-060K-BE44CBD2, 395717 |
1342 | Affinity 30222 Water cooled chiller, PWG-060K-BE44CBD2, 395718 |
1343 | Affinity 35891 Chiller, Heat Exchanger, PAB-020T-DD44CBD2, 422767 |
1344 | Affinity Chiller PNE-H20L-ED36CBCO, 410193 |
1345 | Affinity Chiller Used FWA-032K-DD01CAM1 |
1346 | Agilent 6651A Single Output System DC Power Supply, 0-8V/0-50A, HP, 423658 |
1347 | Agilent 6651A Single Output System DC Power Supply, 0-8V/0-50A, HP, 423659 |
1348 | Agilent 9699950L0500 V70-V150HT- V250- V300HT 500 CM Extension Cable, 423845 |
1349 | Agilent 9699950L0500 V70-V150HT- V250- V300HT 500 CM Extension Cable, 423848 |
1350 | Agilent Technologies 33321-60044 Attenuator Programmable 60DB 4GHZ |
1351 | Agilent Technologies, HP, 10707A Optical Splitter 50% Beam Splitter |
1352 | AGL D13449 Magnetron Head w/ C13477 Isolator, Type AG9131A, CH C, Astex, 424143 |
1353 | AH-005-C Assy,Roller,Gripper,Lower,FRU, |
1354 | air bearing Spindle Federal mogul Westwind. 1073-69 |
1355 | Air Bearing Technology, 3446-8, Spindle 85-90 PSI, 400901 |
1356 | Air Bearing Technology, Spindle 85-90 PSI, 400844 |
1357 | Air Bearing Technology, Spindle 85-90 PSI, Chuck 55-60 PSI, 400845 |
1358 | Air Bearing Technology, Spindle 85-90 PSI, Chuck 55-60, 400903 |
1359 | Air Products E11-215B, General Purpose Regulator, Brass,Nickle, 3000PSIG. 419361 |
1360 | Air Products E12-C144A Two Stage Gas Regulator, 422335 |
1361 | AIRPAX IEGBX11, BREAKER IEGBX11-35635-1-V |
1362 | Airpax K86131-U4, 9421, 120V 7W 60/50 HZ Motor, stepper motor assembly |
1363 | Akrion TNK3A0304 Quartz Bottom Plate, SR5018358, 420002 |
1364 | Alcatel 3EC16573AA PSPC-C4 PCB, CP010700538, 3EC16573AAAA01, 415529 |
1365 | Alcatel 3EC16574AA PSPC-F4 PCB, CP011600109, 3EC16574AAAA01, 415528 |
1366 | Alcatel 3EC16773AA PWRIO-A PCB, CN010750404, 3EC16773AAAA03, 415525 |
1367 | Alcatel 3EC17041AA PSPC-G4 PCB, CP011200552, 3EC17041AAAB01, 415526 |
1368 | Alcatel 3EC17385AA ADLT-L PCB, 3EC17385AAAD03, CP022251285, 415563 |
1369 | Alcatel 3EC17385BA ADLT-L PCB w/ 3AW14077 Daughter Board, VACVJLPAAB, 415561 |
1370 | Alcatel 3EC17992AAAA0B, PCB, Sant-J, with 3EC17994AAAA0B Daughter Board 416131 |
1371 | Alcatel 3EC36704AA PSPC-P PCB, DK0351A0844, 3EC36704AAAA01, 415532 |
1372 | Alcatel 3EC37028AB PSPC-R PCB, DK0417NA2Q8, 3EC37028ABAE01, 415531 |
1373 | Alcatel 3EC37028AB PSPC-R PCB, DK0417NA2Q9, 3EC37028ABAE01, 415527 |
1374 | Alcatel 3EC37379AB RPSP-A PCB, 3EC37379ABAD01, DK0508NA1WE, T03030 PCB A, 415564 |
1375 | Alcatel 3FE00052AA VPSC-A PCB, CP040412826, 3FE00052AAAA02, 415530 |
1376 | Alcatel 3FE20805AA ABLT-E PCB w/ Daughter Board, 3FE20805AAAA03, 415562 |
1377 | Alcatel 8220HV Turbo pump controller |
1378 | Alcatel Annecy 25446 Controller, Used |
1379 | Alcatel ATH 1000 M Turbo Pump w/ Alcatel ACT 1000 M Controller, 422192 |
1380 | Alcatel BN111, 8039 Gauge controller, 323114 |
1381 | Alcatel CFF 100 Turbo Molecular Pump Controller, 424142 |
1382 | Alcatel CFN 221 Controller, Used |
1383 | ALCATEL DML-3X50 694-7600-001, DL MULDEM SHELF |
1384 | ALCATEL P0090E1 H1 PCB BOARD 401686 |
1385 | Alcatel-Annecy 5150 CP, Turbo Vacuum Pump w/ CFF 450 Turbo Controller. 423012 |
1386 | Alcatel-Annecy 5402 CP Turbo Vacuum Pump w/ CFF 450 Turbo Controller. 423014 |
1387 | Alcatel-Annecy 5402 CP Turbo Vacuum Pump w/ CFF 450 Turbo Controller. 423016 |
1388 | ALD HDSIOC 0 NOVELLUS 02-295367-00 VERSION 5.0 REV. A |
1389 | Alicat Scientific 973019 Regulator, E/P A-T, high flow PC-15PSIG-0. 321907 |
1390 | Allen Bradley 140U-J3 Circuit Breaker, 250A, 3 Pole, 140U-J3D3-D20-TJ, 420182 |
1391 | Allen Bradley 160-AA03NSF1P1 Signal Follower |
1392 | ALLEN BRADLEY 1794-OF41 FLEX I/O ISOLATED ANALOG OUTPUT MODULE SER A |
1393 | Allen Bradley 5370 Configurable vision processor module 5370-CVIM2 Ser A Rev C |
1394 | Allen Bradley 800T-QaL24G Illuminated Push Button Switch Type 4:13 |
1395 | Allen Bradley 96944572 Processor Module, 1785LT4, SR0CV5MF |
1396 | Allen Bradley 96944572 Processor Module, 1785LT4, SR0CW0YT |
1397 | Allen Bradley DeviceNet 96265075, 1794-ADN, Allen Bradly Felx I/O 1794-1816, |
1398 | Allen-Bradley 1492-CB2H070, 2 Pole, 7 Amps |
1399 | ALLEN-BRADLEY 1492-J4, A-B, TERMINAL BLOCKS, LOT 10, 400145 |
1400 | Allen-Bradley 1794-AND Rev.A01, Flex I/O, DeviceNet Adapter, 1794ADN. 416394 |
1401 | Allen-Bradley 2706-D21J2 Dataliner, 423537 |
1402 | Allen-Bradley 42GRL-9000-QD Photoswitch Trans Beam Light Source Sensor, 423029 |
1403 | Allen-Bradley 440R-ZBR520AZ1 Guardmaster Relay, Series A |
1404 | Allen-Bradley 800T-HA2 Selector Switch, Type 4, 13, 800T, 421555 |
1405 | Allen-Bradley 871TM-B8N18-Hz, Inductive Proximity Sensor. 416436 |
1406 | Allen-Bradley 871TM-B8N18-Hz, Inductive Proximity Sensor. 416439 |
1407 | Allen-Bradley Kinetix 6000 Line Interface Module, 2904-BL02, BULLETIN, 423535 |
1408 | Allen-Bradley SLC 500 13-Slot Rack w/ Power Supply, 1746-A13, 1746-P2, 423435 |
1409 | Allied Motion DC Brushless Motor SQB05603-A01-HBRC, Servo, 422250 |
1410 | Allied Motion DC Brushless Motor SQB05603-A01-HBRC, Servo, 422251 |
1411 | Allied Motion DC Brushless Motor SQB05603-A01-HBRC, Servo, 422426 |
1412 | Allied Motion DC Brushless Motor SQB05603-A01-HBRC, Servo, 422427 |
1413 | Allied Telesis 990-002812-10 Power Supply |
1414 | Allied Vision Technologies Marlin F-046B IRF Digital Camera, 330275 |
1415 | Alnor 8525, 634-493-300, CompuFlow, Thermo Anemometer. 415362 |
1416 | Alpha 97848058-A Cable w/74868, UG932/U, 423438 |
1417 | Alphasem AS205-2 POPU adapter PCB board |
1418 | Alps Electric KFCCAA117A, KCCAB905, Keyboard CSU/WH/DDC, 401801 |
1419 | ALTEC8906.6 H CORP. DIN RAIL FUSE MOUNT TERMINAL, TYPE SAK S3/35, 6675.2 |
1420 | Altech Corp PS-4512 Din Rail Power Supply |
1421 | Aluminum Vacuum chamber 17″x16″x4″ Various ports 2 gas feed ports with Mott tube |
1422 | Aluminum Vacuum Chamber 40358-01 18″x18″x6 with Mott Diffuser |
1423 | Aluminum Vacuum Chamber labeled Thermal Test system 18″x18″x6″ |
1424 | American Megatrends Hyper Disk 795005990, E7952000.04 |
1425 | American Megatrends PCI Hyper Disk 795 RAID controller |
1426 | Ametek 14232A127-R3 Pittman 9700-9102-01 DC Motor, 19.1 VDC, 500 CPR |
1427 | Ametek 14232A127-R3 Pittman 9700-9102-01 DC Motor, 19.1 VDC, motion technologies |
1428 | Ametek 14232A127-R3 Pittman 9700-9102-01 Motor |
1429 | Ametek 301063-008 Gauge |
1430 | AMETEK 9236E867-R3 PITTMAN SERVO MOTOR |
1431 | AMETEK Drive Spinload Servo Motor 9701-2093-01 Pittman 9236E430-R3 |
1432 | AMETEK Dycor RGA Q100M head, cable, Osaka TGC51CA turbo, TC61 controller |
1433 | AMETEK IPS-200-24GFT1 Pressure Switch |
1434 | Ametek Pittman Motor N2342A212, 2048 CPR, 11.623 V/KRPM, 641 174-2R, 399335 |
1435 | AMETEK U.S. Gauge Division IPS-200-24SG FT, 30-0-30, LM, 2. 409580 |
1436 | AMETEK U.S. Gauge Division IPS-200-24SG FT, 30-0-30, LM, 2. 415422 |
1437 | AMETEK U.S. Gauge Division IPS-200-24SG FT1, 30-0-30, LM, 2. 409579 |
1438 | AMP BNC59PCS 50-OHM Commercial BNC Plug Lot of 3 |
1439 | Amphenol 10368 17-1724-1 |
1440 | Ampro 486I-04657 PCB Board 416057 |
1441 | Ampro F12035002 PCB, OPC 1298MD, Circuit Board, STPCE1HEBC, 422714 |
1442 | AMRAY 800-1268 UVACOS Universal vacuum control system board for 3800L SEM |
1443 | AMRAY 800-1778D Dual Ion pump UVACOS subcard, Schm. 800-1779, assy 91028D |
1444 | AMRAY 800-2385D Dilated nibblenet PCB card assy 91201 |
1445 | AMRAY 800-4004 Heated apertue PCB assy, schem 92296, mounted with two 20 watt |
1446 | AMREL AMERICAN RELIANCE INC, THRL PS06 PROGRAMMABLE DC POWER SUPPLY |
1447 | AMS SAX-4×4-422, Stepper Motor Controller, AMS SAX Servo controller 402360 |
1448 | ANAFAZE 22725-100 Assy, Measurement and control Dual DAC |
1449 | Analog Devices EVAL-ADUC836 Rev.2, Quickstart Development System. 416969 |
1450 | Andrew L4PDM-RC Ringflare 7-16 Din Male Connector for HELIAX LDF4-50A Coaxial |
1451 | Anelva 842-5088-2 ILC-1013 Motor Control Module |
1452 | Anelva 849-4082(2), ILD-4013, Process Controller. 321903 |
1453 | Anelva 849-4082(2), ILD-4013, Process Controller. 322471 |
1454 | Anelva 849-4082(2), ILD-4013, Process Controller. 322472 |
1455 | Anelva 849-4082(2), ILD-4013, Process Controller. 420776 |
1456 | Anelva A12-05166 Up/Down Cylinder Assembly W/ Linear Bearings P50-11-020, 422669 |
1457 | Anelva A12-05166, Up/Down Cylinder Assembly, w/ Linear Bearings. 420034 |
1458 | Anelva A16-67631 tube flex 1/4″ VCR |
1459 | Anelva CAP-80J Seal, Vacuum, Cryo, 421058 |
1460 | ANELVA CORPORATION ATC-46 SCHEMATIC H11-05299 |
1461 | ANELVA ELECTRODE VOLTAGE METER CONTROLLER A13-57936-02 |
1462 | ANELVA MTG-012 M8155763 GAUGE METER THERMAL COUPLER 401741 |
1463 | ANELVA RF MATCHING UNIT VSP-0971 |
1464 | ANELVA Rotary Magnetron assy. |
1465 | ANELVA VMT-5468 vacuum gauge controller PCB module |
1466 | Anelva VMT-5525, PY12″ PMC Cathode Housing Assembly (Overhauled). 419881 |
1467 | Anelva VMT-5525, PY12″ PMC Cathode Housing Assembly. 416788 |
1468 | Anelva VMT-5525, PY12″ PMC Cathode, Housing Assy, 418395 |
1469 | ANORAD 855895 Axis Controller, 4612014-0001 |
1470 | Anza Tech C05110, Weight Set, (1000g x 5)+(500g) and Pully, Case. 419497 |
1471 | AP Tech AP5025M 3PWG FV4 MV4 FV4 Valve Assembly Isolation, 423254 |
1472 | AP1383 VER 2.8 PROD, 55-482-C, PC board |
1473 | APC Back-Ups Pro PB420S |
1474 | APC SMX3000RMHV2U UPS, Smart X 3000 HV, Uninterruptible Power Supply, 410726 |
1475 | APC SUA1500RM2U Uninterruptible Power Supply AS1136231137, 397787 |
1476 | APC SUA1500RM2U Uninterruptible Power Supply, 397783 |
1477 | APD 255607D Cryogenics Cryopump, Displex DE-202 UHV, 420686 |
1478 | APD 255607D1 Cryogenics Cryopump, CRYOPUMP DE-202, 420688 |
1479 | APD 255607D1 Cryogenics Cryopump, DISPLEX-DE-202, 420700 |
1480 | APD 255607D3 Cryogenics Cryopump, X-202 , 420697 |
1481 | APD 255607D5 Cryogenics Cryopump, APD-3.5, 420701 |
1482 | APD 255607D5 Cryogenics Cryopump, CRYOP AP-3.5, 420704 |
1483 | APD 255607D5 Cryogenics Cryopump, CRYOPUMP APD 3.5, 420703 |
1484 | APD 255607D5 Cryogenics Cryopump, CRYOPUMP APD, 420670 |
1485 | APD 255607D5 Cryogenics Cryopump, CRYOPUMP DE-202, 420687 |
1486 | APD 255607D5 Cryogenics Cryopump, CRYOPUMP DE-202, 420689 |
1487 | APD 255607D5 Cryogenics Cryopump, CRYOPUMP DE-202, 420705 |
1488 | APD 255607D5 Cryogenics Cryopump, DISPLEX DE-202, 420696 |
1489 | APD 255607D5-S Cryogenics Cryopump, CRYOPUMP APD-3.5 420692 |
1490 | APD AA-2125 Cryogenics Cryopump, DISPLEX DE-202-0.S.P, 420698 |
1491 | APD AA-2472 Cryogenics Cryopump, Displex DE-202, 420702 |
1492 | APD AA-814 Cryogenics Cryopump, CRYOPUMP DE-202, 420699 |
1493 | APD Cryogenics 255607D1 Cryopump DE-202, 420176 |
1494 | APD Cryogenics 255607D5 Cryopump APD-3.5, 420177 |
1495 | APD Cryogenics Cryopump, 420178 |
1496 | APD Cryogenics Cryopump, 420693 |
1497 | APD Cryogenics Cryopump, CRYOPUMP DE-202 420691 |
1498 | APD Cryogenics Cryopump, DISPLEX DE-202-0.S.P, 420695 |
1499 | APD Cryogenics Cryopump, DISPLEX DE2020SP, 420694 |
1500 | APEX 3513 A3M3K000EA120B001A RF generator, sn310069 416069 |
1501 | APEX 3513 A3M3K000EA120B001A RF generator, sn39527 416071 |
1502 | APEX 3513 RFgenerator Power supply A3M3K000EA 120B001A, S1123 |
1503 | APEX 3513 RFgenerator Power supply A3M3K000EA 120B001A, S4865 |
1504 | APEX 3513 RFgenerator Power supply A3M3K000EA 120B001A, S6827 |
1505 | APEX 3513 RFgenerator Power supply A3M3K000EA120B001A, S3106 |
1506 | APEX 3513 RFgenerator Power supply A3M3K000EA120B001A, S9530 |
1507 | API-437 bracket TEL 233-3055-07, cleaned |
1508 | Appiled Motion Products 3540I Step Motor Driver, 420657 |
1509 | Appiled Motion Products 3540I Step Motor Driver, 420658 |
1510 | Applied Ceramics 91-00308A, SOS Inc, Snycn APM 1013, Pin, Wafer Lift 6″. 417027 |
1511 | Applied Ceramics 91-00535A Windows Recessed Endpoint, 422724 |
1512 | Applied Ceramics 91-00591A Filter, Slit Window Quartz. 420083 |
1513 | Applied Ceramics 91-00591A Filter, Slit Window Quartz. 420084 |
1514 | Applied Ceramics 91-00853 Window, Square Glass, 420386 |
1515 | Applied Ceramics 91-00853A Window, Square Glass, 423621 |
1516 | Applied Ceramics 91-00853A, Square Glass Window. 418437 |
1517 | Applied Ceramics 91-00853A, Window, Square Glass. 420082 |
1518 | Applied Ceramics 91-01164A, Bushing. 417847 |
1519 | Applied Ceramics 91-01293 Window Endpoint DPS MEC, 419076 |
1520 | Applied Ceramics 91-01293 Window Endpoint DPS MEC, 419077 |
1521 | Applied Ceramics 91-01293 Window Endpoint DPS MEC, 419215 |
1522 | Applied Ceramics 91-01293 Window, End Point DPS MEC, 420387 |
1523 | Applied Ceramics 91-01293, Window Endpoint DPS MEC, 420376 |
1524 | Applied Ceramics 91-01293A, Window Endpoint DPS MEC. 418408 |
1525 | Applied Ceramics 91-01294, Window Manometer Port DPS Mec, 419075 |
1526 | Applied Ceramics 91-01294, Window Manometer Port DPS MEC, 420376 |
1527 | Applied Ceramics 91-01294A, Samsung, Window Manometer Port DPS MEC. 419173 |
1528 | Applied Ceramics 91-01294A, Window Manometer Port DPS MEC. 419265 |
1529 | Applied Ceramics 91-1294 Window Manometer, Port DPS MEC, 421050 |
1530 | Applied Ceramics 92-00114 Window, HTD Endpoint A6, 419224 |
1531 | Applied Ceramics 92-00114A, Window, HTD Endpoint A6. 420080 |
1532 | Applied Ceramics 92-00535 Window Recessed Endpoint, 419226 |
1533 | Applied Ceramics 9701-5635-01-A Assy, End Effector, Assive, 450, 330041 |
1534 | Applied Ceramics 9701-5635-01-A Assy, End Effector, Assive, 450, 330042 |
1535 | Applied Ceramics 9701-5635-01-A Assy, End Effector, Assive, 450, 330043 |
1536 | Applied Ceramics 9701-5635-01-A Assy, End Effector, Assive, 450, 330044 |
1537 | Applied Ceramics 9701-5635-01-A Assy, End Effector, Assive, 450, 330045 |
1538 | Applied Ceramics 9701-5635-01-A Assy, End Effector, Assive, 450, 330046 |
1539 | Applied Ceramics 9701-5635-01-A Assy, End Effector, Assive, 450, 330047 |
1540 | Applied Ceramics 9701-5635-01-A Assy, End Effector, Assive, 450, 330048 |
1541 | Applied Ceramics Inc 91-01294A, Window Manometer Port OPS MEC. 419959 |
1542 | Applied Ceramics Inc. 91-00306A Quartz Tube, 418472 |
1543 | Applied Ceramics, 91-01293A, Window Endpoint DPS Mec. 418443 |
1544 | APPLIED MATERIALS 0010-36262, HEATER ASSY, AXZ.Chuck, used |
1545 | APPLIED MATERIALS 0140-21666, HARNESS ASSY, MAPPER/ORIENTER |
1546 | APPLIED MATERIALS 0190-35066 DUAL GAS LEAK DETECTOR |
1547 | APPLIED MATERIALS 0190-35066 DUAL GAS LEAK DETECTOR |
1548 | APPLIED MATERIALS 3870-01584 VALVE AIR ACTUATEO DIAPH |
1549 | APPLIED MATERIALS Buffer I/O BOARD 0100-09009 |
1550 | Applied Motion HT17-069 Stepper motor, ASM 281-00270-AA, 281-00270-AA-A, 416829 |
1551 | Applied Motion Products 3540M Step Motor Driver, PCB 1000-107E, 420681 |
1552 | Applied Motion Products 3540M Step Motor Driver, PCB 1000-107E, 420682 |
1553 | Applied Motion Products 4034-329, Synchronus Stepping Motor. 415426 |
1554 | APTech AP 1006SV 2PW MV4 MV4 |
1555 | APTech AP 3550S, 88-88109027, 2PW, MV4, Max. Pressure 250 psi |
1556 | Aptech AP10025M 2PW FV4 MV4 High Purity Pressure Regulator, Valve, 421598 |
1557 | APTech AP1006SM 2PW FV4 FV4 Pressure Regulator Valve, 424111 |
1558 | APTech AP1006SM 2PW FV4 FV4 Pressure Regulator Valve, 424112 |
1559 | APTech AP1006SX 2PW MV4 pressure regulator |
1560 | APTech AP1010S 2PW MV4 FV4 Pressure Regulator Valve, 423923 |
1561 | APTech AP1010S 3PW FV4 MV4 1 Regulator, Single Stage |
1562 | APTech AP1010SM 2PW MV4MV4 4.08 APCI2 Pressure Regulator Valve, 423927 |
1563 | APTech AP1210SM 2PW FV8 MV8 FC Single Stage Regulator Valve, Isolation, 422873 |
1564 | APTech AP1410S 4PW MV4 FV4 0 0 ST SP Regulator Valve, 4-Way, 423549 |
1565 | APTech AP1510SM 2PW MV4MV4 4.08 APCI2 Regulator Valve, Isolation, 423548 |
1566 | APTech AP3000S 2PW TW4 TW4 1.75 Valve Assembly, w/ AP3625NS, 420223 |
1567 | ApTech AP3600S, 2PW, MV4, MV4, Diaphragm Valve, 3000 PSI. 416721 |
1568 | Aquafine CSL-8R/60 UV System Water Treatment CSL-8R Series Ultraviolet |
1569 | AQUAFINE UV WATER SYSTEM MODEL SCD-1200 TOC REDUCTION & CHLORINE DESTRUCTION |
1570 | AQUAFINE UV WATER SYSTEM MODEL SCD-700 TOC REDUCTION & CHLORINE DESTRUCTION |
1571 | AquaTrap 120-476 Regen controller, Novellus 27-128714. 321254 |
1572 | AR World Wide KAW6042M1 Modular RF System 47-63MHZ , Amplifier, Combiner, 414691 |
1573 | ARIO @ Work FST11-NAP128A Sanario-FST FAB # 600-00001 |
1574 | ARIO FST11-NAP128A Storage Controller Module 800-0001, 600-00001 |
1575 | ARO MQ 2948, Co-Axial Air Hydraulic Cylinder, MQ-2948, Novellus. 420188 |
1576 | ARO MQ 2948, Co-Axial Air Hydraulic Cylinder, MQ-2948, Novellus. 420189 |
1577 | AROMAT MQ-FD1-CO2 OPTICAL FIBER PHOTOELECTRIC SWITCHES AN5671208 |
1578 | Arotech ES13713-3 UNIDEX 100 Multitasking Motion Controller w/ Cables, 422282 |
1579 | Arrow Electronics MC100LVEL51DT, Lot of 29 ON semiconductor flip flops D type |
1580 | Arrow Hart Controls ACC730U20 Relay, 3P 600VAC 75A 1200-01063 |
1581 | Arrow Heart, 6612 Locking Plug 30A 120/208V 3PH Y P |
1582 | Arroyo Instruments 5305 TECSource Temperature Controller, 5A/12V, 423605 |
1583 | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER 402283 |
1584 | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER 402286 |
1585 | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER 402288 |
1586 | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER 402289 |
1587 | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER 402303 |
1588 | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER 402304 |
1589 | ASCO 8030G3, 2-Way Solenoid Valve, 3/4″, Red Hat, 24/DC. 420054 |
1590 | ASCO Red Hat K302-108, Valve Rebuild Kit. 416967 |
1591 | ASCO Red Hat K302-108, Valve Rebuild Kit. 420192 |
1592 | ASCO Red-Hat 8262G210, MP-C-080, 238710-006D, 24 DC FT, Solenoid Valve. 416777 |
1593 | ASCO RED-HAT VALVES F3209AK |
1594 | ASM 15000200 Motion Controller Assy, PCB, Mach 14000200, 416221 |
1595 | ASM 260-00035-AA Rev. C, PCB, PWB 360-00035-02. 416814 |
1596 | ASM 260-00035-AA Rev. C, PCB, PWB 360-00035-02. 416815 |
1597 | ASM 260-00035-AA Rev. C, PCB, PWB 360-00035-02. 416816 |
1598 | ASM 260-00035-AA Rev. C, PCB, PWB 360-00035-02. 416817 |
1599 | ASM 260-00035-AA Rev. C, PCB, PWB 360-00035-02. 416818 |
1600 | ASM 260-00035-AA, PCB, PWB 360-00035-02, 416986 |
1601 | ASM 291-001195-AC FLPR, MOT, Low Mag MIR, 291-00195- AC-A, DCA 0113, 416836 |
1602 | ASM 291-00195-AA, FLPR, MOT, Beamsplitter, 291-00195-AA-A, DCA 0113. 416866 |
1603 | ASM 291-00195-AB, FLPR, MOT, COMP LENS, 291-001950AB0A, DCA 0113. 416871 |
1604 | ASM 9601168 sensor PCBA |
1605 | ASM MFC, Mass Flow Controller,AFC-202, N2 gas, 100 SLM range 202. 408138 |
1606 | ASML 130-127 PCB Artic |
1607 | ASML 859-0929-005 Stage Decoupling/overcurrent PCB assy |
1608 | Assembly Kit MRC 828-69-000 |
1609 | Associated Research 225, Vibroground testing kit, Model 225 |
1610 | Associated Research 8006 Electrical Safety Compliance Analyzer, Omnia, 423655 |
1611 | Assy 017-0037810 PCB Board, SCHM 017-0037169, C-NCR-86, 415653 |
1612 | Assy 017-0037810 PCB Board, SCHM 017-0037169, C-NCR-86, 416222 |
1613 | ASSY 40″ Stainless Steel Bellows Vacuum Tubing, NW-50, Thin-Wall, Foreline 40989 |
1614 | Assy 96005-1 PCB Rev Model number BOYT3BALT RS232 |
1615 | Assy, Cable, Tilt, Right 260CB172-03 |
1616 | Assy, N/F, Controller Cable 23324465 |
1617 | ASSY, PCB driver, drive PSB 500049532, AGV, BOARD, ARM(DDRV-U) |
1618 | Assy, Power, Communication Distribution 24, Spartan, Asyst 12846-002 |
1619 | Assy, Power, Communication Distribution 24, Spartan, Asyst 12846-002 |
1620 | Assyst 3000-1121-01 Controller Interface |
1621 | Astec MP1-3Q-2Q-1U-1U-00 MP Configurable Power Supply, 73-690-0199, 422227 |
1622 | Astec MP1-3Y-3Q-1Q-00-N601 MVP Series Modular Power Supply, 100-240V, 423769 |
1623 | ASTECH ATL-100RA RF MATCH 3150086-003 01 SE, With Cable |
1624 | ASTECH ATL-100RA RF MATCH, AE 3150086-003 01 SE, 400325 |
1625 | ASTECH ATL-100RA RF MATCH, AE 3150086-003 01 SE, With Power Cable, 400358 |
1626 | ASTECH ATL-100RA RF MATCH, AE 3150086-003 01 SE, With Power Cable, 400359 |
1627 | ASTECH ATL-100RA RF MATCH, AE 3150086-003 01 SE, With Power Cable, 40036 |
1628 | ASTECH ATL-100RA RF MATCH, AE 3150086-003 01 SE, With Power Cable, 400364 |
1629 | ASTeX ABX-X348 ETO driver board water cooled |
1630 | Astex AG1111 Power Supply 4.8 KV, 401275 |
1631 | ASTex AX7650 ASTRON Reactive gas generator, Remote Plasma Source RPS |
1632 | Astex C13477 Isolator, WR340, 6kW, RF, 423886 |
1633 | Astex D13449 Magnetron Head, 6.7kV, 3.5kW, Type AG9131A, 2450+20-30Mhz, 325472 |
1634 | Astex D13765 High Volatge Power Supply MW GEN, R61-2332, 400850 |
1635 | Astex D13765 High Volatge Power Supply MW GEN, R61-2332, 400936 |
1636 | Astex FI20161-1 Precision Power Detector, Waveguide, AX3151 Circulator, 424019 |
1637 | ASTex Fl20620 Reactive gas generator, damaged top plate |
1638 | Astex M219645 Waveguide Auto Tune, TSM2, 414824 |
1639 | ASTEX MKS remote plasma generator ASTRONhf-S, AX7645H-02, novellus R27-307706-00 |
1640 | ASTROSYN 34PM-C208-01 MINANGLE STEPPER 401492 |
1641 | ASTROSYN 34PM-C208-01 MINANGLE STEPPER 401493 |
1642 | Astrosyn 34PM-C208-01 Miniangle Motor Stepper, MOGAZ061, 402246 |
1643 | Asyst 4003-0283-01 Dual Arm Assembly Wafer Mapper 415300 |
1644 | Asyst OFH000Q Wafer Orientor |
1645 | Asyst 04290-201 Hine Design 583-4290-002 Process Chamber Elevator, 94-1118 |
1646 | Asyst 04290-201 process chamber elevator, Gasonics Novellus 94-1118 Hine design |
1647 | Asyst 04290-201 process chamber elevator, Gasonics Novellus 94-1118 Hine design |
1648 | Asyst 05050-014, Pre-Aligner, 810-5063-006, Prealigner PCB, 14203D203-R1. 415415 |
1649 | Asyst 05050-016 Pre-Aligner, version 2.2 |
1650 | Asyst 095586-CNT-PR01B PCB Board, 415499 |
1651 | Asyst 095586-CNT-PR01B PCB Board, 415519 |
1652 | Asyst 095586-CNT-PR01B PCB Board, EG-300, 415649 |
1653 | Asyst 1000-0770-01, Calibration Tool. 415969 |
1654 | Asyst 1107-02-16000003 Rev-B, PCA, PCB, Dotter Board, XD0341032, 395631 |
1655 | Asyst 118380-2 Robot Blade, End Effector, Brooks, 330033 |
1656 | Asyst 12033-001 486 Controller Board, FAB 12032-001, 415650 |
1657 | Asyst 12919-002 RMT Power Box Assembly AXYS SP1 |
1658 | Asyst 13574-001 PCB Board, 13573-001, 415629 |
1659 | Asyst 13574-001 PCB Board, 13573-001, 415630 |
1660 | Asyst 155326-21040019 Rev. A, Battery Backup PCB, Board. 416218 |
1661 | Asyst 2CL520A013500-01 Assembly, Assy, Belt A |
1662 | ASYST 3000-1121-01 REV D controller interface PCB, ASSY 3200-1121-02 |
1663 | Asyst 3000-4358-01 PCB, 9701-4142-01 |
1664 | Asyst 3200-1000-06 Arm Control Board, PCB, FAB 3000-1000-06, 415644 |
1665 | Asyst 3200-1000-06 Arm Control Board, PCB, FAB 3000-1000-06, 415645 |
1666 | Asyst 3200-1000-09 Arm Control Board PCB, FAB 3000-1000-06, 4001-1788-01, 415511 |
1667 | Asyst 3200-1000-09 Arm Control Board, PCB, FAB 3000-1000-06, 415646 |
1668 | Asyst 3200-1000-09 Arm Control Board, PCB, FAB 3000-1000-06, 415647 |
1669 | Asyst 3200-1000-09 Arm Control Board, PCB, FAB 3000-1000-06, 415648 |
1670 | Asyst 3200-1044-01 PCB Board, FAB 3000-1044-01, 415634 |
1671 | Asyst 3200-1044-01 PCB Board, FAB 3000-1044-01, 415635 |
1672 | Asyst 3200-1044-01 PCB Board, FAB 3000-1044-01, 415639 |
1673 | Asyst 3200-1044-01 PCB w/ 3200-1045-01 Daughter Board, FAB 3000-1044-01, 415637 |
1674 | ASYST 3200-1044-01 REV 6 controller interface PCB ASYST Technologies |
1675 | ASYST 3200-1044-01, control board with daughter board 3200-1045-01, PCB board |
1676 | Asyst 3200-1045-01 Control PCB Board, FAB 3000-1045-01, 415614 |
1677 | Asyst 3200-1045-01 Control PCB, Daughter Board 3000-1045-01, 395632 |
1678 | Asyst 3200-1057-01, Servo Controller PCB, FAB 3000-1057-01. 416147 |
1679 | Asyst 3200-1061-01 Power Distribution PCB, FAB 3000-1061-02, 415498 |
1680 | Asyst 3200-1065 Daughter Board, PCB, Asyst 3200-1015, FAB 3000-1065-01, 322700 |
1681 | Asyst 3200-1065 Daughter Board, PCB, Asyst 3200-1015, FAB 3000-1065-01, 422924 |
1682 | Asyst 3200-1065 Daughter Board, PCB, Asyst 3200-1015, FAB 3000-1065-01, 423315 |
1683 | Asyst 3200-1065-01 PCB w/ 3200-1045-01, Copley Controls 800-299A, 415642 |
1684 | Asyst 3200-1065-01 PCB Board w/ 3200-1045-01 Daughter Board, 415641 |
1685 | Asyst 3200-1065-01 PCB Board, 3200-1045-01, 3200-1166-01, 3200-1106-01, 415643 |
1686 | Asyst 3200-1065-01 PCB Board, FAB 3000-1065-01, 415636 |
1687 | Asyst 3200-1065-01, Servo Control w/o Daughter Board, 4001-9101-01, 9700-4991-01 |
1688 | Asyst 3200-1065-03 PCB Board, FAB 3000-1065-01, 415612 |
1689 | Asyst 3200-1065-04 PCB Board, FAB 3000-1065-01, 415613 |
1690 | Asyst 3200-1065-06 PCB, 3200-1043-01, 3200-1106-02 Control Servo Board, 422820 |
1691 | Asyst 3200-1065-06 PCB, 3200-1045-01, 3200-1106-01 Control Servo Board, 415638 |
1692 | Asyst 3200-1065-06, PCB, 3200-1045-01, 3200-1106-01 Control Servo Board. 416904 |
1693 | Asyst 3200-1065-06, PCB, 3200-1045-01, 3200-1106-01 Control Servo Board. 416905 |
1694 | Asyst 3200-1077-01, Servo Amplifier PCB, FAB 3000-1077-01. 416148 |
1695 | Asyst 3200-1107-01, PCA, PCB, 395630 |
1696 | Asyst 3200-1107-62, MD2202-D1640, PCB w/ Daughter Board. 416063 |
1697 | Asyst 3200-1121-01 Controller Interface, PCB, FAB 3000-1121-01, 415621 |
1698 | Asyst 3200-1121-01 Controller Interface, PCB, FAB 3000-1121-01, 415622 |
1699 | Asyst 3200-1121-01 Controller Interface, PCB, FAB 3000-1121-01, 415623 |
1700 | Asyst 3200-1121-01 Controller Interface, PCB, FAB 3000-1121-01, 415624 |
1701 | Asyst 3200-1121-01 Controller Interface, PCB, FAB 3000-1121-01, 415626 |
1702 | Asyst 3200-1121-01 Controller Interface, PCB, FAB 3000-1121-01, 415627 |
1703 | Asyst 3200-1121-01 Controller Interface, PCB, FAB 3000-1121-01, 415628 |
1704 | Asyst 3200-1121-01 Controller Interface, PCB, FAB 3000-1121-01, 415632 |
1705 | Asyst 3200-1121-01 Controller Interface, PCB, FAB 3000-1121-01, 415633 |
1706 | Asyst 3200-1121-02 Controller Interface, PCB, FAB 3000-1121-01, 415625 |
1707 | Asyst 3200-1145-01 PCB Boards, 422727 |
1708 | Asyst 3200-1182-01 Sorter PCB, FAB 3000-1182-01, 415510 |
1709 | Asyst 3200-1223-01 Theta-Z Connector PCB, FAB 3000-1223-01, 415508 |
1710 | Asyst 3200-1225-03, 3200-1225-02, PCB, Assy, 300I Controller, AB-013-B, 400692 |
1711 | Asyst 3200-1225-03B, 3000-1225-01, 1225-03-16000649 Rev-B, 4002-9144-01, 395629 |
1712 | Asyst 3200-1225-05 spartan 300l controller 70ns pop E84 and vacuum PCB 3200-4220 |
1713 | Asyst 3200-1225-05, 3000-1225-01, 1225-05-10000156 Rev-B, 395617 |
1714 | Asyst 3200-1226-05A PCB w/ Daughter Board, A0E024, WK1512, 330362 |
1715 | Asyst 3200-1229-01 Wafer Mapper PCB, FAB 3000-1229-01, 415507 |
1716 | Asyst 3200-1229-01, 4002-6446-01, Lin Engineering 416-07-80D-01, ETON ET866, PCB |
1717 | Asyst 3200-1229-01, 4002-6446-01, Lin Engineering 416-07-80D-01R0, PCB, 329904 |
1718 | Asyst 3200-1229-01, Assembly Spartan Wafer Mapper 3000-1229-01, FRu, OPT, 417461 |
1719 | Asyst 3200-1232-01 ASML 4022-472-90151 PCB Assembly, Core ISA Com Card |
1720 | Asyst 3200-1232-01 WH-ASP Core ISA Com Card |
1721 | Asyst 3200-1240-01 Radial Connector PCB, FAB 3000-1240-01, 415521 |
1722 | Asyst 3200-1240-01 Radial Connector PCB, FAB 3000-1240-01, 415524 |
1723 | Asyst 3200-1251-01 PCBA board |
1724 | Asyst 3200-1251-02 PCB Assy, Door Controller |
1725 | Asyst 3200-1269-01 PCB, 415517 |
1726 | Asyst 3200-1269-01 PCB, 415518 |
1727 | Asyst 3200-4236-01 Assembly 4002-8268-01, 4002-8551-01, 4002-8130-01 ASSY KIT |
1728 | Asyst 3200-4236-01 PCB Board, 9701-1799-01, Crossing Automation, 330034 |
1729 | Asyst 3200-4236-01 PCB Board, FAB 3000-4236-01, ETON ET866, 9701-1799-01, 330036 |
1730 | Asyst 3200-4236-01 PCB Board, FAB 3000-4236-01, ETON ET866, 9701-1799-01, 330037 |
1731 | ASYST 3200-4269-02 assy. with PCB 3000-4269-02 & 3200-4270-01 PCB |
1732 | Asyst 3200-4296-02 PCB Board, FAB 3000-4296-02, ETON ET866, 9701-2084-04, 330035 |
1733 | Asyst 3200-4296-02 PCB Board, FAB 3000-4296-02, ETON ET866, 9701-2084-04, 330039 |
1734 | Asyst 3200-4296-02 PCB Board, FAB 3000-4296-02, ETON ET866, 9701-2084-04, 330040 |
1735 | Asyst 3200-4346-04 PCB Assembly, Tri-RGB LED Display |
1736 | Asyst 3200-4347-03, 4002-9144-01, 3200-1225-04, 3000-1225-01, PCB |
1737 | Asyst 3200-4347-03, 4002-9144-01, 3200-1225-04, 3000-1225-01, PCB 399315 |
1738 | Asyst 3200-4347-03, Static Entry Node Daughter Board 3200-4417-01 PCBA 399317 |
1739 | Asyst 3200-4347-03, Static Entry Node Daughter Board 3200-4417-01 PCBA 399318 |
1740 | Asyst 3200-4347-03, Static Entry Node Daughter Board 3200-4417-01 PCBA 399319 |
1741 | Asyst 3200-4347-03, Static Entry Node Daughter Board 3200-4417-01 PCBA 399320 |
1742 | Asyst 3200-4347-03, Static Entry Node PCBA 399316 |
1743 | Asyst 3200-4347-03, Static Entry Node PCBA 399321 |
1744 | Asyst 3200-4347-03, Static Entry Node PCBA 399322 |
1745 | Asyst 3200-4347-03, Static Entry Node PCBA 399323 |
1746 | Asyst 3200-4348-04 PCBA |
1747 | Asyst 3200-4348-04, PCBA, Door Node, Falcon |
1748 | Asyst 3200-4349-02 PCBA, K-Plate Node, PCB, FAB 3000-4349-02 330364 |
1749 | Asyst 3829-1 assembely, Core 3FC120A006300, 233386030 |
1750 | ASYST 3CL520A011500-03 ASSEMBLY, ASSY, TRANSFOME, R BACK-LEFT |
1751 | Asyst 3FC120AA007500, assembly, collector ring 2 |
1752 | Asyst 4002-6446-01 A, 9701-2143-01 wafer mapper, assembly, FRU, OPT, 3200-1229 |
1753 | Asyst 4002-6446-01 Robot Wafer Mapper Dual Arm, 3200-1229-01 board, FRU, OPT |
1754 | Asyst 4002-6446-01 Robot Wafer Mapper Dual Arm, 3200-1229-01, 1229-01-16001780 |
1755 | ASYST 4002-6446-01 Wafer Mapper assy with Sunx sensor, PCB 3200-1229-01 |
1756 | Asyst 4002-6446-01, 3200-1229-01, wafer mapper, assembly, FRU, OPT |
1757 | Asyst 4002-6446-01, 3200-1229-01, wafer mapper, assembly, FRU, OPT |
1758 | Asyst 4002-6446-01, Dual Arm Assembly, 9701-3751-01. 416742 |
1759 | Asyst 4002-6446-01/A, Assembly, FRU, OPT, Wafer Mapper, BTB, F12c Copper. 418076 |
1760 | Asyst 4002-6446-01/A, Assembly, FRU, OPT, Wafer Mapper, BTB, F12c Copper. 418077 |
1761 | Asyst 4002-6633-01 Spartan EFEM SORTER, RFID, CROSSING AUTOMATION, MAPPER REV 2 |
1762 | Asyst 4002-6633-01 Spartan EFEM SORTER, RFID, CROSSING AUTOMATION, MAPPER REV 2 |
1763 | Asyst 4002-6633-01 Spartan EFEM SORTER, RFID, CROSSING AUTOMATION, WAFER MAPPER |
1764 | Asyst 4002-6633-01 Spartan EFEM Wafer Mapper Shuttle |
1765 | Asyst 4002-6633-01 Spartan EFEM Wafer Mapper Shuttle, RFID, CROSSING AUTOMATION |
1766 | Asyst 4002-6633-01 Wafer Mapper assembly |
1767 | Asyst 4002-7266-00, Loader Indicator Light W/ EMO Patlite WM-TFB. 416830 |
1768 | asyst 4002-7369-01 Roboto blade |
1769 | Asyst 4002-9005-01 Power Supply, V ADJ, 422585 |
1770 | Asyst 4002-9005-01 Power Supply, V ADJ, 422586 |
1771 | ASYST 4002-9667-01 Blade and 4002-8136-01 Wafer handler end effector robot blade |
1772 | ASYST 4002-9667-01 Wafer handler end effector robot blade, Thin AEG EE |
1773 | ASYST 4003-0283-01 ASSY FRU OPT WAFER MAPPER BTB FWM-1012-0033 |
1774 | Asyst 4003-2040-01 X Plate Base Foup ADV |
1775 | Asyst 4003-2056-01 Mounting Bracket Excel 2710 401089 |
1776 | Asyst 4003-2094-01 Robot Blade, End Effector, 424180 |
1777 | Asyst 4003-2910-01 Mechanical Housing |
1778 | Asyst 4003-3011-01 Rev.001, Servo Motor, 24VDC.415987 |
1779 | ASYST 4003-3011-01 SERVO MOTOR, REV A, 24 VDC |
1780 | ASYST 4003-3011-01 SERVO MOTOR, REV A, DELTRAN PT, BRP-19AL, 24 VDC |
1781 | Asyst 4003-3781-01-002, Plastic Guard, 22.5″ x 14.5″, 22.5″ x 13.5″ |
1782 | Asyst 4296-02-16000065, PCB, Power Control, 3200-4296-02 |
1783 | ASYST 94-1179 Sensor assy, Ergo Flipper, 05097-001, REV B |
1784 | Asyst 9600-0463-01, Motor, Gearhead, Shaft Mod, Faulhaber 22B 21:1. 420112 |
1785 | Asyst 9700-3436-01 Front Wafer Loader Cassette Nest |
1786 | Asyst 9700-4308-01 PCB, Sensor LPT, 423929 |
1787 | Asyst 9700-4312-01 Brake, 420118 |
1788 | ASYST 9700-4347-01 CABLE |
1789 | Asyst 9700-5785-01, Stepper Motor Assy, Haydon Switch and Instrument. 419719 |
1790 | Asyst 9700-5785-01, Stepper Motor Assy, Haydon Switch and Instrument. 419720 |
1791 | Asyst 9700-5820-01 Control FA Smart CMS 2 Fan Filter Unit 416106 |
1792 | Asyst 9700-6191-01 AMETEK PITTMAN MOTOR, 9234E318-R3, 24 VDC |
1793 | Asyst 9700-6191-01 Pittman LO-COG DC Motor Pittman 9234E318-R2 24 VDC |
1794 | Asyst 9700-7017-01 Sensor, Pod, Pres, 422169 |
1795 | Asyst 9700-7017-01, KLA Tenor 0040898-000, Sensor, Pod, Pres, Asyst LDPT S3 |
1796 | ASYST 9700-8861 LEAD SCREW ASSY, FRONT LOAD 0.2 LEAD, PITTMAN 9236E466 |
1797 | Asyst 9700-8861-01 Assembly, LDSCR Front Load, 0.2 Lead, 500054075, Pittman |
1798 | Asyst 9700-8861-01, Loader Motor Assy with Pittman 9236E466-R2 DC Motor. 418558 |
1799 | Asyst 9700-8946-01 Wafer Mapper Connector. 423182 |
1800 | Asyst 9700-8946-01 Water Mapper Connector, 418107 |
1801 | Asyst 9701-1014-01, Robot Blade End Effector, 4007-8135-01R.B, 417221 |
1802 | Asyst 9701-1058-01, PCB Assy, Smart-Tag, 4002-5679-01 |
1803 | Asyst 9701-1058-05A PCB Board w/ 4002-6519-01, 9700-9298-02, 422672 |
1804 | Asyst 9701-1059-01 PCB Board, FAB 3000-1209-02, 415631 |
1805 | ASYST 9701-1129-05 CABLE |
1806 | ASYST 9701-1129-05 CABLE, REV 001 SPL, 398779 |
1807 | ASYST 9701-1525-01 CROSSING AUTOMATION ASSEMBLY AG SWITCH |
1808 | Asyst 9701-1796-05 Assembly, FRU, 300I Controller PCB |
1809 | Asyst 9701-2143-01 assymbely, SUNX Digital Fiber Sensor FX-301-NK2 |
1810 | Asyst 9701-2510 Cable 416058 |
1811 | ASYST 9701-2914-02 CABLE, BIZ AOB001, REV B |
1812 | ASYST 9701-3102-01 Wafer handler end effector robot blade, Thin AEG EE, 399338 |
1813 | ASYST 9701-3102-01 Wafer handler end effector robot blade, Thin AEG EE, 399339 |
1814 | ASYST 9701-3102-01 Wafer handler end effector robot blade, Thin AEG EE, CU ASSY |
1815 | Asyst 9701-3465 Applied Ceramics END EFFECTOR VAC WIDE LWR assy, 9701-3465-02-D |
1816 | Asyst 9701-3465-01-D, END EFFECTOR, VAC, WIDE UPPERS, Applied Ceramics |
1817 | Asyst 9701-3689-01 Servo Stepper Motor US Digital E4P-300-197 Lin 4118C-02D-02RO |
1818 | Asyst 9701-3710-01 Servo Motor, Pittman 9236E867-R4, 24 VDC, 100 CPR, 420116 |
1819 | Asyst 9701-3710-01 Servo Motor, Pittman 9236E867-R4, 24 VDC, 100 CPR, 420117 |
1820 | ASYST 9701-3715-02 MOTOR 28PA10K385S |
1821 | Asyst 9701-3806-01 BIZ A0B001, 420950 |
1822 | Asyst 9701-3806-01, BIZ A0B001, 421011 |
1823 | ASYST 9701-4329-02 CHUCK, ALIGNER, LC, ASSY |
1824 | ASYST 9701-4329-02 CHUCK, ALIGNER, LC, ASSY, 398566 |
1825 | Asyst 9701-4610-11 Assembly Arm, Door, 4003-0990-02 QTY=2 |
1826 | ASYST 9701-4715-01 CABLE, BIZ AOB001 |
1827 | Asyst 9701-5127-01, Wlt-ASP Power Distribution Unit (PDU), 2U, ASML. 417033 |
1828 | ASYST 9701-5362-01 SERVO MOTOR ASSEMBLY 9701-3715-01, ASYST 4003-1998-01, 398684 |
1829 | ASYST 9701-5362-01 SERVO MOTOR ASSEMBLY 9701-3715-01, ASYST 4003-1998-1, 28PA10K |
1830 | ASYST 9701-5362-01 SERVO MOTOR ASSEMBLY 9701-3715-01, ASYST 4003-1998-1, 398683 |
1831 | ASYST 9701-5402-01 CABLE, REV A |
1832 | ASYST 9701-5403-01 CABLE, REV A |
1833 | ASYST 9701-5417-01 REV 0.001 CABLE WW16-2012 DA |
1834 | Asyst 9701-5580-01 Cable |
1835 | Asyst Advantag 9180, 4022.640.71572, 10-1713-001009, ATR9180 HSMS, 9701-3651-01 |
1836 | Asyst Alignment Calibration Fixture w/ Gauges, 1000-0719-01 1000-0715-01, 400693 |
1837 | Asyst Alignment Calibration Fixture, 1000-0719-01 1000-0715-01, 400694 |
1838 | Asyst Alignment Plate Set-up Fixture, 1000-0758-01, 400705 |
1839 | Asyst Alignment Set-up Calibration Fixture, 1000-0772-01, 1000-0592-01, 400696 |
1840 | Asyst Alignment Set-up Calibration Fixture, 1000-1076-01, 400700 |
1841 | Asyst Alignment Set-up Fixture, 1000-0592-01, 400704 |
1842 | Asyst ASAM-0 Daito Powertron DPS45A-01 AC/DC Power system, Maintenance charger |
1843 | Asyst Assembly, Asyst Scout Gateway, Communication Splitter, 4002-8414-01 398756 |
1844 | Asyst Assembly, Asyst Scout Gateway, Communication Splitter, 4002-8414-01 398757 |
1845 | Asyst Assembly, Asyst Scout Gateway, Communication Splitter, 4002-8414-01 398758 |
1846 | Asyst ATR Gateway, Scout Gateway, 9700-9961-01 |
1847 | Asyst Brooks 300 mm Roboto Blade, 300mm |
1848 | Asyst Brooks 3200-1121 Controller interface, 3000-1121-01 REV D, 399304 |
1849 | Asyst Bubble 9320496 for modified aligner |
1850 | Asyst C0094-0048-01 Fan/Filter Assembly, 415769 |
1851 | Asyst C0094-0048-01 Fan/Filter Assembly, S4001-5401-01, 415768 |
1852 | Asyst Cable Assembly 9701-4228-52 P4-P7 |
1853 | Asyst Crossing Automation 3200-4346-04 PCB Assy, Tri-RGB LED Display, x-03 |
1854 | Asyst Crossing Automation 3200-4350-01, Falcon PCBA, 3000-4350-01 |
1855 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB, 398649 |
1856 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB, 398650 |
1857 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB, 398651 |
1858 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB, 398652 |
1859 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB, 398663 |
1860 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB, 398664 |
1861 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB, 398665 |
1862 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB, 398666 |
1863 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB, 398690 |
1864 | Asyst crossing automation 9701-2157-01, 4301239986 assembly, ACTV POD |
1865 | Asyst Crossing Automation EG-300B-012A 300mm Wafer Prealigner Robot 4003-0242-01 |
1866 | Asyst Crossing Power Distribution, Power Fail Detector 12846-002, XP 0603 400851 |
1867 | Asyst Crossing Power distribution, power fail detector, asyst 12846-002, XP 0603 |
1868 | Asyst EFEM Sorter Assy, 3200-1225-02, 1225-03-16001290 4002-4777-01 4002-9144-01 |
1869 | Asyst EFEM Sorter Assy, 3200-1225-02, 4002-4777-01, 4002-5964-01, 4002-5679-01 |
1870 | Asyst EG-300B-009 Wafer Aligner, 24VDC, 3A, 415502 |
1871 | Asyst EG-300B-009 Wafer Aligner, 24VDC, 3A, 415503 |
1872 | Asyst Falcon Alignment K Plate, Tool, Align, K-Plate 1000-1202-01, 400682 |
1873 | Asyst Falcon Alignment K Plate, Tool, Align, K-Plate, 1000-0377-01, 400688 |
1874 | Asyst Falcon K-Plate Alignment Set-up Calibration Fixture, 1000-0377-01, 400697 |
1875 | Asyst Falcon K-Plate Alignment Set-up Calibration Fixture, 1000-0377-01, 400698 |
1876 | Asyst Falcon K-Plate Alignment Set-up Calibration Fixture, 1000-0715-01, 400699 |
1877 | ASYST FLUOROTRAC SYSTEM TECH VLF-RD2008 |
1878 | Asyst HS60 Link Manager Module, 9700-8533-01, 420680 |
1879 | Asyst Japan Carriage Board 21203-101-01 w/ Bracket, 422792 |
1880 | Asyst motor, R drive, lower pittman N2342A212 |
1881 | Asyst Oshinko 3ASSYC808200 ASSY PCB DRIVER POWER SUPPLY BOARD, M-182B, DDRV-U |
1882 | Asyst Panel, 4003-0842-01, 400706 |
1883 | Asyst PCB 1225-04-16000222, Rev-B., B 3200-1225-04 |
1884 | Asyst PCB-00471000-00, Robot End Effector, Kollmorgen Servotronix. 416113 |
1885 | Asyst Plate Door Alignment Set-up Calibration Fixture, 1000-1076-01, 400702 |
1886 | Asyst Power Supply Kit 6200-6185-01 Mean well SDC-100C-24, SDC-25C-5, SDC-25C-12 |
1887 | ASYST ROBOT BLADE |
1888 | Asyst Robot End Effector, Kollmorgen Servotronix PCB-00471000-00 DASA. 416320 |
1889 | Asyst Robot Wafer Prealigner |
1890 | Asyst SB-100A-030, Pendant Controller. 416143 |
1891 | Asyst SB-731 Robot Pendant Controller, Looks New |
1892 | Asyst SB-731 Robot Pendant Controller, Looks New |
1893 | Asyst SB-731, RT13-5CJ-304-BKcsy, DC24V 60mA, Robot Pendant Controller. 416149 |
1894 | Asyst SB-731, RT13-5CJ-315-BKcsy, Robot Pendant Controller. 416112 |
1895 | Asyst Scout E84, Remote Communication Node |
1896 | ASYST SERVO MOTOR ASSEMBLY 9701-3715-01, 4003-0462-01, 4003-0464-01 |
1897 | ASYST SERVO MOTOR ASSEMBLY 9701-3715-01, ASYST 4003-0464-01 |
1898 | Asyst Shinko 3ASSYC805500 shinko electric OHT-CPU PCB board |
1899 | ASYST SHINKO 3ASSYC808200 Driver, Power supply Board |
1900 | ASYST SHINKO 3CL520A011500-01 ASSY, TRANSFORMER, FRONT-LEFT |
1901 | Asyst Shinko 3FC120A003700-2 Assembly, Assy, Gyro, GRS 03-02R, Silicon sensring |
1902 | Asyst Shinko 3FC120B030600 Wiring Harness OHT Vehicle 1, F11X4246 |
1903 | Asyst Shinko 3FC120B062300 Cable |
1904 | Asyst Shinko Cable 3FC120B062100 |
1905 | Asyst Shinko Cable 3FC120B062200 |
1906 | ASYST SHINKO OHT-G, 3ASSYC006800, PCB ASSEMBELY |
1907 | Asyst Shinko TG-01G-RU-625-KB24, DC Geared Motor |
1908 | Asyst Spartan Ceramic END EFFECTOR for Assy 9701-3465 |
1909 | Asyst Spartan EFEM Sorter RFID reader assy 3200-1225-02, 4002-6519-01 |
1910 | Asyst Spartan EFEM wafer mapper shuttle assy 4002-6633-01, no mapper |
1911 | ASYST Spartan Sorter PCBA 3200-1225-02 A DSP Assy DDI 157819 |
1912 | ASYST SSD-2HD, BM-401 94V-0, ICP 95060183, PCB BOARD |
1913 | Asyst technologie controller interface 3200-1121, 3000-1121-01 pcb board |
1914 | Asyst Technologies 002-7390-02 REV B PCB board |
1915 | Asyst technologies 15532-005-16000032 assy, 486 controller board |
1916 | ASYST TECHNOLOGIES 3200-1121 controller interface |
1917 | Asyst Technologies 3200-1121 Controller Interface 3000-1121-01 PCB |
1918 | ASYST TECHNOLOGIES 3200-1223-02 PCB BOARD |
1919 | Asyst Technologies 3200-1225-03B PCB board, 1225-16001560, 3200-1225-05 IPC |
1920 | Asyst technologies 3200-1225-03B, Asyst 1225-03-16001225, Asyst 4002-9144-01 |
1921 | Asyst Technologies 3200-1225-03B, Asyst 1225-03-16001522, 4002-9144-01, PC board |
1922 | Asyst Technologies 3200-1225-03B, Asyst 1225-03-1600421, 4002-9144-01, PC board |
1923 | Asyst technologies 3200-1225-04, Asyst 1225-04-16000225, Asyst 4002-9144-01 PCB |
1924 | ASYST TECHNOLOGIES 3200-1225-04, Asyst 1225-04-16000494, Asyst 4002-9144-01 PCB |
1925 | Asyst Technologies 3200-1225-04, Asyst 1225-04-16000544, 4002-9144-01, PCB board |
1926 | Asyst technologies 3200-1225-04, Asyst 1225-04-16000893, Asyst 4002-9144-01 PCB |
1927 | Asyst technologies 3200-1225-04, Asyst 1225-05-16000372, Asyst 4002-9144-01 REVA |
1928 | Asyst Technologies 3200-1225-05 PCB board, 1225-04-16000261 REV B |
1929 | Asyst Technologies 3200-1225-05 PCB BOARD, 1225-05-10000163 REV B, 4002-9144-01 |
1930 | Asyst Technologies 3200-1225-05, 1225-05-16000198 PCB board, 3000-1225-01, IM123 |
1931 | Asyst technologies 3200-1225-05, Asyst 1225-05-10000094, Asyst 4002-9144-01 |
1932 | Asyst Technologies 3200-1225-05, Asyst 1225-05-16000281, 4002-9144-01, PC board |
1933 | Asyst Technologies 3200-1225-05R PCB board, Asyst 3200-4220-01, 4002-9144-01 |
1934 | Asyst Technologies 3200-1226-03 PCB board |
1935 | Asyst Technologies 3200-1226-03A PCBA |
1936 | Asyst Technologies 3200-1226-04B PCB board |
1937 | Asyst Technologies 3200-1226-04B PCB board, 399301 |
1938 | Asyst Technologies 3200-1226-04B PCB board, 399488 |
1939 | Asyst Technologies 3200-1226-04B PCB board, 399508 |
1940 | Asyst technologies 3200-1226-05A assy PCB board, Fab 3000-1226-01 REV 6 |
1941 | Asyst Technologies 3200-1251-01, Door controller PCB board |
1942 | Asyst Technologies 3200-1251-02 PCB board |
1943 | Asyst Technologies 3200-4236-01 PCBA board, 3000-4236-01 |
1944 | Asyst Technologies 3200-4296-03A Power control board, FAB 3000-4296-02 |
1945 | Asyst technologies 3200-4346-02 TRI-RGB LED display PCB assy |
1946 | Asyst technologies 3200-4346-03 TRI-RGB LED display PCB assy, 399342 |
1947 | Asyst technologies 3200-4346-03 TRI-RGB LED display PCB assy, 399343 |
1948 | Asyst Technologies 3200-4346-04 PCB, Assembly, Tri-RGB LED Display, 3000-4346-03 |
1949 | Asyst technologies 3200-4346-04 TRI-RGB LED display PCB assy, 399341 |
1950 | Asyst technologies 3200-4347-02 static entry node PCBA board, 397791 |
1951 | Asyst technologies 3200-4347-03 static entry node PCBA board |
1952 | Asyst technologies 3200-4348-02 door node pcb falcon FAB 3000-4348-02 REV A |
1953 | Asyst Technologies 3200-4349-02 Crossing Automation |
1954 | Asyst technologies 3200-4349-02 crossing automation board, 397790 |
1955 | Asyst Technologies 3200-4349-02 Crossing automation board, 399307 |
1956 | Asyst Technologies 3200-4349-02 Crossing automation board, 399308 |
1957 | Asyst Technologies 3200-4349-02 Crossing automation board, Asyst 9701-38060-01 C |
1958 | Asyst Technologies 3200-4349-02 Crossing automation board, Asyst 9701-38060-1 |
1959 | Asyst Technologies 3200-4349-02 Crossing Automation REV C, FAB 3000-4349-02 |
1960 | Asyst technologies 3200-4349-02 crossing automation, fab 3000-4349-02 |
1961 | Asyst Technologies 4002-5679-01, REV C, Faulhaber 9701-0135-01 REV A |
1962 | Asyst Technologies 4002-6446-01 dual arm assy, 3200-1229-01 assy Number |
1963 | Asyst Technologies 4002-6446-01 dual arm assy, 3200-1229-01 ASSY, 9701-2143-01 |
1964 | Asyst Technologies 4296-02-16000374 PCB board, ASML 4022.472.90141FSD |
1965 | Asyst technologies 9700-6682-01 Pittman Motor, POD, Advance |
1966 | ASYST Technologies 9701-1057-01 PCB Board, FAB 3000-1201-03 |
1967 | ASYST TECHNOLOGY P/N 01270-001, Cassette loader, 328390 |
1968 | ASYST Technology Pittman 9701-2490-02 Assy MOTOR Encoder Radial |
1969 | ASYST Wafer Mapper assy with Sunx sensor, 4002-6446-01, & PCB 32001229-01 |
1970 | ASYST Wafer Mapper assy, Sunx sensor, 4002-6446-01 and PCB 3200-1229-01 Board |
1971 | Asyst WX 73 Wafer Mapper, Hine Design HA4 Robot 0400-088, Robot Assembly 402438 |
1972 | Asyst WX 73 Wafer Mapper, Hine Design HA4 Robot 0400-088, Robot Assembly 402439 |
1973 | Asyst ZQM501-014 IGBT Toshiba MG300J1US51 |
1974 | Asyst, Can Device, Communication Splitter |
1975 | Asyst/Crossing Automation 3200-4500 PCB, 3000-4500-01, 422711 |
1976 | Asyst/Crossing Automation FAB 3000-1107-02, PCB w/ Daughter Board, 422921 |
1977 | AT&T Lucinet SD-66969-02 Definity, Multi Carrier Cab, EPN control Carrier |
1978 | AT-8413 ALLIED TELESIS GB/T – 1 PORT GIG LINE CARD, 845-05613, 845-05614 |
1979 | Atec WSTB 7/000110 Lamp, LED-Y, lot of 2 |
1980 | ATI 109-34000-10 VGA video card |
1981 | ATI 3D Rage Pro AGP Video Card 109-43200-10 |
1982 | ATI Technologies 109-43200-10 |
1983 | Atsuryu DFS-25S, Digital Flow Switch, DC 24V±10%, 7kg/cm². 416420 |
1984 | ATTO control SYS PLC W DISPLAY DU-01, ATT0-CPU44 W/ 8 ATT0-xx, samsung PVU-2424 |
1985 | ATTO controlSYS ATT0-CPU44 PLC, DISPLAY DU-01 W/ 8 ATT0-xx, PD025, PVU-2424 |
1986 | ATTOco controlSYS PLC W DISPLAY ATT0-CPU44 W/ 6 ATT0-xx, samsung PVU-2424,relay |
1987 | AutoFlow Flow Switch Assembly FS6804CV-1 |
1988 | Automated microscope J-MAR 3012-05 with large XY stage |
1989 | Automation 4009-2 ALC06OR-010-1011, Servo Motor Controller, 115AC, 50/60. 416542 |
1990 | Automation 4009-2 ALC06OR-010-1011, Servo Motor Controller, Hz 50/60. 323838 |
1991 | Automation 4009-2 ALC06OR-010-1011, Servo Motor Controller, Hz 50/60. 323842 |
1992 | Automation 4009-2 ALC06OR-010-1011, Servo Motor Controller, Hz 50/60. 416544 |
1993 | Automation direct terminator I/O assy with T1K-01DC power supply, T1K-DEVNETS |
1994 | AUTOMOTION 4009-2 ALC06OR-010-1011 Servo motor controller |
1995 | Automotion 4009-2 ALC06OR-010-1011 Servo Motor Controller, 1 Phase, 115V, 416540 |
1996 | Automotion 4009-2 ALC06OR-010-1011 Servo Motor Controller, 1 Phase, 115V, 416541 |
1997 | AUTOMOTION ALC0600-010-1011, DC BL Motor Control, 115 AC, 50/60Hz, 160DC. 417200 |
1998 | Auxiliary Logic Board 12020620, 13020620-001, 420656 |
1999 | Avaya Communication 507B 507 B Sneak Current Protector |
2000 | AVAYA SD-66984-01 DEF ECS Carrier Single 102925, P.S 1217B |
2001 | AVAYA SD-66984-01 DEF ECS Carrier Single, P.S 1217B |
2002 | AVAYA SD-67145-01 DEF SCC EPN Carrier Single 102482, P.S 1217B |
2003 | AVAYA SD-67154-01 DEF SCC EPN Carrier Single |
2004 | AVIZA 604387-03 Heated manifold TEA SAT |
2005 | Aviza 965158-000 Card, Input, Temperature Controller |
2006 | Aviza SPTS 113292-02 Plate, cooling, shutter, 15.15 dia, 300mm |
2007 | Aviza Technology Orifice, Throttle Valve; WJ 999 or WJ1000 CVD TO Exhaust contl |
2008 | AVME-322 AVAL Data Type A, FDC/SCSI TVME-322, 401377 |
2009 | Avnet Technology Stereo Headphones w/ Microphone |
2010 | AXIS BOARD KENSINGTON LAB 4000-6002, SERIAL RECIEVER BOARD 77-4000-6082-02 |
2011 | AXIS BOARD KENSINGTON LAB 4000-6002, SERIAL RECIEVER BOARD 77-4000-6082-02, 8445 |
2012 | AXIS BOARD KENSINGTON LAB 4000-6002, SERIAL RECIEVER BOARD 77-4000-6082-02, 8446 |
2013 | AXIS BOARD KENSINGTON LAB 4000-6002, SERIAL RECIEVER BOARD 77-4000-6082-02, D.2 |
2014 | azbil DMC10, DMC1 0D2TR0300, Distributed Multi-Channel Controller, Yamatake |
2015 | B&B electric 485CON RS 2320485 converter |
2016 | B&B electronics 2320PINA 4-channel opt PC board, 233-9007-99 |
2017 | B/W CONTROLS MOISTURE SENSOR NO. 13-074400 |
2018 | Bag of 27 Briskheat CENTMOD-F Ethernet Module |
2019 | Baldor 3214P, 6PP232110, DC Motor, 90 DC. 419651 |
2020 | Ballscrew Assembly Zygo Technical Instrument, gear driver. stepper motor |
2021 | Balston A921/4BX, Type 92, Filter-Air 1/4″ SS 402252 |
2022 | Balzers EVA 025 M Right Angle Vacuum Valve w/ Mont Satz F.Pump, 115V. 420152 |
2023 | Balzers QMS 064 Partial Pressure Gauge Mass Spectrometer, QMG 064, 412116 |
2024 | Banner engineering BT23S fiber optic cable |
2025 | Banner IM.75.54PM2 Fiber End Assembly Sensor, 420402 |
2026 | Banner LR300-26542, Photoelectric Emitter Remote Sensor, LED, IR. 417026 |
2027 | Banner LR300-26542-0815A, Photoelectric Emitter Remote Sensor, LED, IR. 416948 |
2028 | Banner LR300-26542-0952A, Photoelectric Emitter Remote Sensor, LED, IR. 416950 |
2029 | Banner LR300-9036, Photoelectric Emitter Remote Sensor, LED. IR. 416946 |
2030 | Banner MD14BB6 Photoelectric Amplifier Sensor hardware packet pico 33617, 408452 |
2031 | Banner QS18UPAQ Sensor |
2032 | Banner QS18VN6D8 Sensor, 10-30VDC, 423623 |
2033 | Barber colman Model # 7SD491030000, OMRon K3N, Screen. |
2034 | BARE PCB board 32701 REV B |
2035 | BARNANT 900-1184 slurry pump 2 K97C01678 |
2036 | BARNANT 900-1301 600RPM E-67909 |
2037 | Barnant E-67909 6-600 RPM slurry pump with cole-parmer 7554-20 pump head |
2038 | BAUSCH & LOMB STEREO ZOOM 4 MICROSCOPE 0.7X-3.0X STEREOZOOM |
2039 | BAUSCH & LOMB STEREO ZOOM 4 MICROSCOPE 0.7X-3.0X STEREOZOOM, 73-60, no eyepiece |
2040 | Bausch & Lomb, 0.7X-3X, Stereo Zoom Microscope Head |
2041 | Bay Advanced Tech BES5783 93-0114 Solenoid valve assy with regulator, front wind |
2042 | Bay Advanced Technologies 9268-01, 40 Station Valve Assy. 416352 |
2043 | Bay Advanced Technologies BEC-4404-0002-PCB InterfacePCB for Novellus |
2044 | Bay Engineered Systems 36-20463-00 PCB, Pneumatic Interface, BES-501-8. 416321 |
2045 | Bay Engineered Systems BES-501-8, Pneumatic Interface w/ Control Box. 420154 |
2046 | Bay Engineered Systems, 36-20463-00, PCB Pneumatic Interface, Control.416347 |
2047 | Bay Networks Model 800 Ehternet Hub |
2048 | BAY PNEUMATIC BES-S30-8-PCB, PCB BES-4528B, VALVE 402488 |
2049 | Bay Pneumatic BP-530-12 PCB Board, BES-5218, 424088 |
2050 | Bay Voltex used chiller MC075E2, 8160 BTU’s, 410194 |
2051 | Bayside GR115-K1E1B-001, 0150-111964, Gear motors, 100:1 ratio, 10A |
2052 | Bayside NE23-020, Precision Gearhead 20:1 Ration w/Inertia Dynamics. 416355 |
2053 | Bayside NR23S-010-032 Precision Gearhead Kit, 10:1 Ratio, 421893 |
2054 | Bayside NR23S-010-032 Precision Gearhead, 10:1 Ratio, 421884 |
2055 | Bayside Stealth PX23-007 gearmotor 7:1 ratio, mtg. MX60-007-059 |
2056 | Bayside, right angle motor 100:1 ratio GR115-K1E1B Novellus 0150-111964 |
2057 | Bazlers IMG 300 Ion Gauge Control, Controller, BG D25 754-1, 416308 |
2058 | Bazlers IMG 300 Ion Gauge Control, Controller, BGD25750, 416311 |
2059 | Beam Alighment PCB Board 0001-00208-00 |
2060 | Bearing, WFR Roller, MESA 2B-10-241, Used, Lot of 4 |
2061 | Beckman BM84546, Model# 451 |
2062 | Beckman Coulter Delsa 440SX Laser particle measuring tool w/ remote Power supply |
2063 | BECO M663W2DFS-HC-312-V 3-Way Valve, 24 VDC, 40 PSI, TEQCOM, 422723 |
2064 | BECO M663W2DFS-HV 3-Way Valve, 24 VDC, 40 PSI, TEQCOM, 422749 |
2065 | BECO MAN-103307 Dual Solenoid Fluid Valve w/ PTFE Block, 24VDC, 420914 |
2066 | BECO MAN-103307 Dual Valve with PTFE block, 24 VDC |
2067 | BECO MTV-104744-44-2C-VT Manual PTFE Flow Valve, IPEC Speedfam Novellus, 422687 |
2068 | BECO MTV-105-924 Manual PTFE Flow Valve, 422671 |
2069 | Becton Dickinson 6 channel PREAMP 331583 assy, 32713-01 |
2070 | Belkin Components Data Switch F1B024E |
2071 | Belkin F1D066 Omniview 4 port KVM Sharing Switch |
2072 | BELKIN OMNI VIEW F1D102 SE 2-PORT SWITCH |
2073 | BELKIN OMNI VIEW FCKG240354 QG |
2074 | Belkin Omniview 2 Port KVM Switch box |
2075 | Bellow 161495 w/ Shaft, 64110199 w/ O-Ring Seal. 419761 |
2076 | Bellow 161495 w/ Shaft, 64110199 w/ O-Ring Seal. 419762 |
2077 | Bellows Assembly, 2.5″ Flanges, 4.75″ Long |
2078 | BELLOWS CYLINDER 80*60STMV lot’s of 2 |
2079 | Belt and pulley mounting kit. 5030006 70018288 |
2080 | Belt Gate 97004-35, New |
2081 | Belt, Drive, F8225 010-0010 |
2082 | Bentek DC PC BOARD, reliance, 03-188758-00, Novellus |
2083 | BENTEN SVG 99-43111-01 ASSY BOX ELEC STACKED STN 402327 |
2084 | Berger FT401 Type-I Berger Immersion Cooler Chiller, 115/60 V/Hz |
2085 | Berkeley Process Control ASM121-A-0/B-22-NB/10 AC Brushless Servo Motor, 421590 |
2086 | Berkeley Process control ASM121-A-0/B-22-NB/10, AC Brushless Servo Motor, |
2087 | Berkeley Process Control ASM121-B-0/A-16-NB/10, AC Brushless Motor |
2088 | Berkeley Process Control ASM121-B-0B-16-NB10 AC Brushless Servo Motor, 422418 |
2089 | Berkeley Process Control GM08-B-A-00-N 06-D-L-08-A AC Servo Motor 950961, 320929 |
2090 | Berkeley Process Control GM08-B-A-00-N 06-D-L-08-A AC Servo Motor, 408286 |
2091 | Berkeley Process Control GM08-B-A-00-N 06-D-L-08-A AC Servo Motor, 410354 |
2092 | Berkeley Process Control USA2-22-35, Multi-Axis Universal Servo AMP, 422424 |
2093 | Berkeley Process Control USA2-22-35, Multi-Axis Universal Servo AMP, 422425 |
2094 | Berkeley Process Control USA4-22-35, Novellus Speedfam IPEC 951827, servo amp |
2095 | Berkeley Process Controls 2PAMP3M1 and 2PM9 Servo Motor Cable, M10, 420100 |
2096 | Berkeley Process Controls 2PAMP3M1 and 2PM9 Servo Motor Cable, M10, 420101 |
2097 | Berkeley Process Controls 951489 Multiaxis AC Servo Motor Cable, 422886 |
2098 | Berkeley Process Controls 966434-B Multiaxis AC Servo Motor Cable, 423227 |
2099 | Berkeley Process Controls AC Brushless Servo Motor GMO8-B-A-00-N-06-D-L-08-A |
2100 | Berkeley Process Controls ASM121-A-0/B-22-NB/10, AC Brushless Servo Motor |
2101 | Berkeley Process Controls ASM121-A-0/L-22-NB/10 AC Brushless Servo Motor, 950689 |
2102 | Berkeley Process Controls ASM81-A-0/L-00-LB/10 AC Brushless Servo motor 5000 RPM |
2103 | Berkeley Process Controls ASM81-A-0/L-00-LB/10, AC brushless servo motor, 5000 |
2104 | Berkeley process controls GM06BB00L, AC brushless servo motor, 5000 rpm, 1.6 lb |
2105 | Berkeley Process Controls GM12BA16N AC Servo Motor, 9:1 ratio gearhead, 09DL12A |
2106 | Berkeley Process controls MIO-A-4-602 ANALOG CURRENT, 4 point input |
2107 | Berkeley Process Controls MWT-8-MNET Machineworks Machine controller |
2108 | Berkeley Process Controls MWT-8-MNET Machineworks Machine controller 2.1.1. |
2109 | Berkeley Process Controls MWT-8-MNET Machineworks Machine controller AUTO |
2110 | Berkeley Process Controls MWT-8-MNET Machineworks Machine controller MH7A |
2111 | Berkeley Process Controls MWTX-8-MNET, 2.1.1 Machineworks Controller, 422270 |
2112 | Berkeley Process Controls MWTX-8-MNET, 2.1.1 Machineworks Controller, 422272 |
2113 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks, 421542 |
2114 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks, 421543 |
2115 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks, 421544 |
2116 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks, 421545 |
2117 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks, 421546 |
2118 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks, 421547 |
2119 | Berkeley Process Controls MWTX-8-MNET, Machineworks Controller, 960537C, 422277 |
2120 | Berkeley Process Controls MWTX-8-MNET, Machineworks Controller, 960537C, 422278 |
2121 | Berkeley Process Controls MWTX-8-MNET, Machineworks Controller, 960537C, 422279 |
2122 | Berkeley Process Controls MWTX-8-MNET, Machineworks Controller, 960537C, 422280 |
2123 | Berkeley Process Controls MWTX-8-MNET, Machineworks Controller, 960537C, 422281 |
2124 | Berkeley Process Controls TS-3200-BR Monitor IPEC Speedfam Novellus 966041 |
2125 | Berkeley Process Controls USA4-22-35, Multi Axis Servo Amp, 951827, 421539 |
2126 | Berkeley Process Controls USA4-22-35, Multi Axis Servo Amp, 951827, 421540 |
2127 | Berkeley Process Controls USA4-22-35, Multi-axis Universal Servo Amp, 421548 |
2128 | Berkeley Process Controls USA4-35-70, Multi Axis Servo Amp, 951827, 421541 |
2129 | Berkeley Process Controls USA4-35-70, Multi-axis Universal Servo Amp, 421549 |
2130 | Berkeley process USA2-22-35, 950608, Multi axis servo amplifiers BAM |
2131 | Berkley Process controls MWTX-8-MNET BAM Controllers, OPT 100-000-444-R2, 960537 |
2132 | Best Power 0500750E Uninterruptible Power Supply, UPS, Fortress 750, 329868 |
2133 | BESTOOL KANON 0081415 TOOL 600LK WRENCH TORQUE ES070-000788-1 401725 |
2134 | Bettcher 985DA-R02 Rinse Controller, 422643 |
2135 | Bettcher 985TA-R02 Rinse Controller, 422644 |
2136 | Bettcher 985TA-R02 Rinse Controller, 422645 |
2137 | Bettcher Process Services 985C High Temperature Controller, 422651 |
2138 | Bettcher Process Services 985C High Temperature Controller, 422652 |
2139 | Bettcher Process Services 985C High Temperature Controller, 422695 |
2140 | Bettcher Process Services 985T Process Timer, 422654 |
2141 | Bettcher Process Services 985T Process Timer, 422655 |
2142 | Bettcher Process Services 985T Process Timer, 422656 |
2143 | Bettcher Process Services 985T Process Timer, 422657 |
2144 | BETTCHER PROCESS SERVICES RINSE CONTROLLER MODEL 985D, 422186 |
2145 | Bettcher Process Services Switch Panel MODEL 985V2-R01, 422183 |
2146 | Bettcher Process Services Switch Panel, MODEL 985V4, 422185 |
2147 | Bimba CFO-07361-a Flat 1 Mini Air Cylinder |
2148 | Bimba HSCX-02, Sensor Hall Effect PNP, On-Trak, 80-0002-068. 419113 |
2149 | Bimba HSCX-02, Sensor Hall Effect, PNP, On-Trak, 80-002-068. 419174 |
2150 | BK Precision 1785B Single Output Programmable DC Power Supply 0-18V/0-5A, 423604 |
2151 | BK Precision 2831E 4.5 Digit Multimeter, 423724 |
2152 | BK Precision 9110 100W Multi Range 60V/5A DC Power Supply, 423564 |
2153 | BK Precision XLN30052 300V/5.2A 1.56kW Programmable DC Power Supply, 423664 |
2154 | BK Presicion XLN8018 Programmable DC Power Supply, 80V/18A, 1.44kW, 423600 |
2155 | Black Box AC1038A DVI to VGA Converter w/ Switching Adapter, 423827 |
2156 | Black Box AC1038A DVI to VGA Converter w/ Switching Adapter, 423828 |
2157 | Black Box AC1038A DVI to VGA Converter w/ Switching Adapter, 423829 |
2158 | Black Box AC1038A DVI to VGA Converter w/ Switching Adapter, 423830 |
2159 | Black Box ACS4201A-R2-MM DVI-D KVM Extender, 423780 |
2160 | Black Box ACS4201A-R2-MM DVI-D KVM Extender, UMEC UP0151D-05P AC Adapter, 423781 |
2161 | Black Box ACS4201A-R2-MM DVI-D KVM Extender, UMEC UP0151D-05P AC Adapter, 423782 |
2162 | Black Box ACS4201A-R2-MM DVI-D KVM Extender, UMEC UP0151D-05P AC Adapter, 423783 |
2163 | Black Box ACS4201A-R2-MM DVI-D KVM Extender, UMEC UP0151D-05P AC Adapter, 423784 |
2164 | Black Box FC043-10PAK BNC Coax Y Configuration, qty=10 |
2165 | Black Box LH8000A-M, DualSpeed Stackable Hub. 415453 |
2166 | Black Box ServSwitch 8 position monitor switch 0250-738366, |
2167 | Black Box SW930-A-R2 KVM Switch Box |
2168 | Black box SW934A, 8 position keyboard/video switch |
2169 | BlackBerry Asy-05309-004 Travel Charger, 423360 |
2170 | Blade AB Hand Assy, 50480-0419, 330343 |
2171 | Blade AB Hand Assy, 50480-0419, 330344 |
2172 | Blade for air flow sensor |
2173 | B-Line Systems, A013558, 4″ Wireway 90 Degree Elbow |
2174 | B-Line Systems, A014993, 6″ Wireway 90 Degree Elbow |
2175 | Block Manifold 03-F99-R303-42B, 422793 |
2176 | BM06240 R/J, COMP SIDE REV F PB02807 PRI BOARD |
2177 | BM19261L01/C |
2178 | BM26476 LEFT CORNER I/R SENSOR |
2179 | BM302960000 /D 9923DAN |
2180 | BM35130 PB21720 REV. B EMC-1 94V0 3299 |
2181 | BM44903/A 9945PRI T-M, PB29066 REV A COMP SIDE PRI |
2182 | BNC Coax Connection Unions |
2183 | BNC Female to Low Frequency Male Union |
2184 | BNC Female to RF Female Union Patermack PF 9127 |
2185 | BNC Female Union |
2186 | BNC to PC COAX connector perlin elmer |
2187 | BOARD CASSETTE SENSOR 17000317 |
2188 | BOARD CASSETTE SENSOR 17000317 PCB REV. B |
2189 | BOC Edwards 233-3889-25 Clamp Ring, Aluminum, 424169 |
2190 | Boc Edwards A52844460 Interface Module Flash High Vacuum 402109 |
2191 | Boc Edwards A52844460 Interface Module Flash High Vacuum 402110 |
2192 | Boc Edwards A52844463, IM Interface Module, Flash High Vacuum, 402106 |
2193 | BOC Edwards A55001192 Pump Silencer TMS Control Unit, 330w, 50/60 Hz, 421559 |
2194 | BOC Edwards Active Gauge AIM-PL-NW25 |
2195 | Boc Edwards APG-L-NW16 Active Pirani Gauge D02173000, High Vacuum INT |
2196 | BOC Edwards APG-L-NW16 ST/ST Active Pirani Gauge, 422595 |
2197 | BOC Edwards APG-M-NW16 Active Pirani Gauge, 421204 |
2198 | BOC Edwards APG-M-NW16 Active Pirani Gauge, 421205 |
2199 | BOC Edwards APG-M-NW16 Active Pirani Gauge, 421206 |
2200 | BOC Edwards APG-M-NW16 Active Pirani Gauge, 421208 |
2201 | BOC Edwards APG-M-NW16 Active Pirani Gauge, 421209 |
2202 | BOC Edwards APG-M-NW16 Active Pirani Gauge, 421210 |
2203 | BOC Edwards APG-M-NW16 Active Pirani Gauge, 421211 |
2204 | BOC Edwards APG-M-NW25 ST/ST Active Pirani Gauge, 422594 |
2205 | BOC Edwards APG-MPB-15V-NW16 ST/ST, High Vacuum Active Pirani Gauge. 416769 |
2206 | BOC Edwards C10516490 KF40 Trapped C/Ring (Viton), 420743 |
2207 | BOC Edwards C10517490 NW50 Trapped O-Ring |
2208 | BOC Edwards C11005340, NW40 Clamp PK, W/ Screws. 416781 |
2209 | BOC Edwards C41211000 Vacuum Valve, PV16PKA B, 7 Bar, 423591 |
2210 | BOC Edwards C41317000 Right Angle Vacuum Valve, PV25PKA DBL-B, 423554 |
2211 | Boc Edwards D02384000 Active Pirani Gauge APG-LT/NW25/ST/ST |
2212 | Boc Edwards D02384000 Active Pirani Gauge APG-LT/NW25/ST/ST, 400109 |
2213 | BOC Edwards D37215000 High Vacuum Interface |
2214 | BOC Edwards IL 70N 3X1H600/3XIL70, with BOC Edwards Pump Controller D37209000 |
2215 | BOC Edwards interface box D37215000 Flash module |
2216 | BOC EDWARDS interface module, U20000521 used |
2217 | Boc Edwards iQDP40, A532-40-905 High Vacuum Mechanical Pump w/ Electrics Module |
2218 | Boc Edwards iQDP40, A532-40-905, Vacuum Mechanical Pump, Electrics Module 328657 |
2219 | Boc Edwards iQDP40, A532-40-905, Vacuum Mechanical Pump, Electrics Module 414692 |
2220 | Boc Edwards iQDP40, A532-40-905, Vacuum Mechanical Pump, Electrics Module 414694 |
2221 | Boc Edwards iQDP40, A532-40-905, Vacuum Mechanical Pump, Electrics Module 414695 |
2222 | BOC Edwards NGW073000 Gate Valve, Humphrey 410 70, 414785 |
2223 | BOC Edwards NW95100098 TCU 40/80 Plus W/Lon SV1 Chiller, heat exchanger 423880 |
2224 | BOC Edwards PV25PKA B Vacuum Isolation Valve, Pneumatic, 24V, 0.25A 7Bar. 420052 |
2225 | BOC Edwards PV25PKA B Vacuum Isolation Valve, Pneumatic, 24V, 0.25A 7Bar. 420053 |
2226 | BOC EDWARDS QDP 40 vacuum pump with Silencer, untested |
2227 | BOC EDWARDS QDP 40 vacuum pump with Silencer, untested |
2228 | BOC EDWARDS QDP 40 vacuum pump with Silencer, untested |
2229 | BOC EDWARDS QDP 40 vacuum pump with Silencer, untested |
2230 | Boc Edwards QDP40, Q120010002xs, Vacuum Mechanical Pump, Nitrogen Purge 328656 |
2231 | BOC EDWARDS QDP80 DRY VACUUM PUMP |
2232 | BOC Edwards Shut Off Valve PV25MKS ST/ST, C31315000, 7 Bar, 401048 |
2233 | BOC Edwards Shut Off Valve PV25MKS ST/ST, C31315000, 7 Bar, 401049 |
2234 | BOC Edwards Water Jet Kitall Mods >85 316SS |
2235 | Bodine 32A3BEPM-Z2 IPEC Speedfam, 3800-61041-1, New 130VDC gearmotor, 1/12 hp |
2236 | Bodine Electric 32X5BEPM-W2 Gearmotor, 130V DC, 1.0 A, 1/8 HP, 456 RPM, 423832 |
2237 | Bodine Electric 32X5BEPM-W2 Gearmotor, 130V DC, 1.0 A, 1/8 HP, 456 RPM, 423833 |
2238 | BODINE ELECTRIC 42A3FEPM-E4 GEARMOTOR, 130 VOLTS, CLEAN |
2239 | Bodine Electric B-125-VS-GAS-PK 4918 Pump 49-0005, looks very clean |
2240 | Bohlin BR-CSM, Rheometer, controlled stress Rheometer, CS-MELT |
2241 | Brad Harrison 35601, Control connector 12 pin Female Receptacle |
2242 | Brad Harrison 41308-18 Mini-Change Cordset Cable, 416516 |
2243 | Brass Nipple for gas bottle connection, new in bag, CGA-500 |
2244 | Brewer Science 6KSD-9004C, Spinner Motor Card, PCB. 418252 |
2245 | Briskheat CENTMOD-F Ethernet Module |
2246 | Brooks 001-7600-02 VacuTran 5 VTR5 Transfer Robot Drive |
2247 | Brooks 002-5194-01 Automation controller assy with mag 7.3 personality board |
2248 | Brooks 002-5860-01, Card, Theta Driver, Brooks, ATR7, Novellus 27-155081-00 |
2249 | Brooks 002-7090-14CUR Robot with 101376 Controller, I/O Assembly 002-4674-09 |
2250 | Brooks 002-8904-02 End effector assy, 13.08, Novellus 20-155073-00 |
2251 | Brooks 002-9510-155, Automation Kit, Robot Atr8, 2 Foup Novellus 04-172106-00 |
2252 | Brooks 013501-087-17 Pneumatic stop PCB board, novellus 27-257140-00 |
2253 | Brooks 017-0344-01 End effector, Robot blade, fiber optics, vector, 27-155130-00 |
2254 | Brooks 110884 Arm, ATR7, Rear Tube Map, Omron Amp, C3VCTR, Novellus, 321211 |
2255 | Brooks 110884 Arm, ATR7, Rear Tube Map, Omron Amp, Novellus 63-266699-00, 321212 |
2256 | Brooks 134384, GEN9 DAFA External Module, Kollmorgen PRD-0057EXTB-02. 418250 |
2257 | Brooks 13501-099-25 PCB New, OEM spare Latch PCB Fixload 5 Novellus 27-257138-00 |
2258 | BROOKS 22-280778-00 Mass Flow Controller MFC 6256S, H2, 2 SLM |
2259 | Brooks 4003-1944-01 Vacuum Chamber 17″x17″x4″ with 2 SS Blankoff plates |
2260 | Brooks 5850E, BCL3, 200 SCCM, 5850EC3BG4QB2D, 0190-00074, MFC, VCO Connection |
2261 | Brooks 5850i, Air, 2 SLM, MFC, Mass Flow Controller Calibrated. 420456 |
2262 | Brooks 5866EB1A1B2SUAA Series 5866 Pressure Controller, N2, 30 SLPM, 401988 |
2263 | Brooks 5964 MFC Mass Flow Controller, Ar gas, 500 Sccm |
2264 | Brooks 5964 MFC, mass flow controller, 2 SLM, H2 Gas, S7374. 325082 |
2265 | Brooks 5964 MFC, mass flow controller, SiH4 Gas, 200 Sccm |
2266 | Brooks 5964 MFC, NF3 Gas, 1 SLPM |
2267 | Brooks 5964 series MFC, N2 gas, 0-10 SLPM, novellus 22-10527-00 |
2268 | Brooks 5964, Ar, 500 SCCM, MFC, Mass Flow Controller (Calibrated). 325119 |
2269 | Brooks 5964C4, MFC, Mass Flow Controller, Silane, 200 SCCM, 416984 |
2270 | BROOKS 5964C4MAWP5KA MFC, Mass Flow Controller, SiH4, 200 SCCM, 325115 |
2271 | Brooks 5964-EP series MFC, mass flow controller, 300 SCCM, NF3 Gas |
2272 | BROOKS 6256 MFC, CF4, 50, 5 SCCM FLOW RATE, 6256B7BA2A2ELAA, 325113 |
2273 | Brooks 8200-02 Vacuum Cassette Elevator 8-VCE |
2274 | Brooks 93033-798-21 Hardstop Kit, OEM spares with TBM, Novellus 20-272084-00 |
2275 | Brooks 93033-799-21 Hardstop Kit, OEM spares, No TBM, Novellus 20-272083-00 |
2276 | BROOKS ASYST CROSSING AUTOMATION ASSEMBLY 3200-4496 FAB 3000-4469-01 401883 |
2277 | BROOKS ASYST CROSSING AUTOMATION ASSEMBLY 3200-4496 FAB 3000-4469-01 401884 |
2278 | Brooks Automation 002-7090-10, AquaTran 7 Wafer Transfer Robot, ATRY. 416853 |
2279 | Brooks Automation 002-7090-10, AquaTran 7 Wafer Transfer Robot, ATRY. 417017 |
2280 | Brooks automation 002-7391-26 Acculigner Wafer notch finder / aligner |
2281 | Brooks Automation 002-9520-155 Kit, Robot, Atr8, 2 Foup ANL, SST 04-172106-00 |
2282 | Brooks Automation 129973 Series 8 Controller, 100-240 VAC, 50/60 Hz, 329971 |
2283 | Brooks automation 143668 Robot, with dual Robot Blade, M phase 978-262-2900 |
2284 | Brooks Automation 161559, Kit, FRU, FCC, W/ Fusion V1.2.210, 161553, LAM. 415845 |
2285 | Brooks Automation 167728, Kit, FRU, FCC, W/ Fusion V1.4.2, 167727, 750W. 415853 |
2286 | Brooks Automation 6-0002-0325-SP PRI ESC-112 Equipe Robot Controller 400647 |
2287 | Brooks Automation 8112212G005 Cable Assy Adapter On-Board, 50′, 423843 |
2288 | Brooks Automation 8112212G005 Cable Assy Adapter On-Board, 50′, 423844 |
2289 | Brooks Automation BrooksNet DN 108378 |
2290 | BROOKS AUTOMATION BROOKSNET I/O MODULE DEVICENET, 002-8421-01 and 002-8421R02 |
2291 | Brooks Automation PCB, 01-3401-01 |
2292 | Brooks Automation Used Robot 121669 |
2293 | Brooks Automation, 001-2300-45, Buffer Robot Transfer Cluster Chamber, 416292 |
2294 | Brooks Automation, Assembly, Aligner, 5X 450MM FSE8660, 9701-5492-01 |
2295 | Brooks BM13280 Assembly Ultrasonic Sensor |
2296 | Brooks BM13280 Assembly Ultrasonic Sensor, 422395 |
2297 | Brooks BM24970 assy, BitBus repeater PCB board |
2298 | Brooks CLMC-JA, Cluster module controller 16 Dig I/O, 32 AI/O, 32 Relay I/O |
2299 | Brooks Crossing automation Asyst Pre-Aligner 13834-004, 029161 prealigner |
2300 | Brooks Equipe Robot, ABM-405-1-S-CE-S293 PRI Aligner PRE-4611 Wafer loader 300mm |
2301 | Brooks GF120C MFC, mass flow controller, 20SLM N2O |
2302 | BROOKS GF125C MFC GF SERIES THERMAL MASS FLOW NF3 5000 SCCM, B1043017427, 399667 |
2303 | Brooks Mass Flow Controller 5964, WF6, 1 SLPM, 325114 |
2304 | Brooks MFC 5866 RT series Pressure Controller 5866RBIAIG4M2NA, Helium, 300 SCCM |
2305 | Brooks MFC 5866E, N2 30 SLPM, New in Box |
2306 | BROOKS MFC Mass Flow Controller 5850 , SILANE 500 SCCM |
2307 | Brooks MFC Mass Flow Controller 5851i Air 50 SLPM 5851IA1BP2E2BGA AIR 50 SLPM |
2308 | Brooks MFC Mass Flow Controller 5853E Air 250 SLPM 5853EABA2A1D4BA Air 250 SLPM |
2309 | Brooks MFC Mass Flow Controller 5964C2MAGQ5KA, Ar, 500 SCCM, 325118 |
2310 | Brooks MFC, mass flow controller, 6256S, Mod # 6256SBZVCLA, CH3F, 50 SCCM |
2311 | Brooks model 5815C2G1A gas flow controller, O2, 1000 SCCM, 407531 |
2312 | Brooks Novellus 002-5860-01, PCB, Driver, T1/T2 driver printed circuit board |
2313 | Brooks PRI 002-5870-06 Robot Electronic Module Controller, Novellus, 421594 |
2314 | Brooks Pure Digital 6256, MFC, C4F8 gas, 20 sccm, Mod # 6256B7BA2ADLAA |
2315 | Brooks PureDigital 6256 Mass Flow Controller, MFC, WF6, 500 and 750 SCCM, 325111 |
2316 | BROOKS ROBOT ARM 402426 |
2317 | Brooks Robot Controller TTIENR2-1 |
2318 | Brooks Robot Motor 422569 |
2319 | Brooks Robots 002-7090-08 Wafer Loader, Robot |
2320 | Brooks SMIF, 200 mm, FOUP Load Port Module, LAM 799-901177-001, 401987 |
2321 | BROOKS TIC DIGH BOARD 921-017 BRD-T5-XVME244-TRANSITION |
2322 | BROOKS TIC DIGH BOARD 921-017 BRD-T5-XVME244-TRANSITION, 397767 |
2323 | Brooks TT1ENR2-1 Robot teach pendant |
2324 | Brooks TT1-ENR2-1-TVS-ES-BROOKS4 Robot Teach Pin, Controller, Pendulum, 419222 |
2325 | BTU Engineering Temperature Control Module Assembly, PCB, 3161231, 401500 |
2326 | BTU Engineering Temperature Control Module Assembly, PCB, 3161231, 401501 |
2327 | Bubbler, Quartz, 14738-01, SB15001, AH2-0000E50803-001AA. 417845 |
2328 | Bud CU-123 Econobox, Natural Finish, 3 5/8″L X 1 1/2″ W X 1 1/4″ H |
2329 | Burkert SYST-2030-A-15, Fluid Control System w Diaphragm Valve, PTFE-PD-SS25-D-E |
2330 | Burkett 00154680 isolation valve W37ML, 2000 A 40.0 PTFE VA, SA95 Pmed 9bar |
2331 | Burks 2305, Pump, Seal, Spring, 81-007-145, 402195 |
2332 | Buss Driver, LPM, STD, PCB Circuit Board Assy, LD-102, L-102-2, 402466 |
2333 | BWC male to RF female union Pastermack RE9087 |
2334 | C.R.S. TCO K048014983 Sync Generator PCB, 415609 |
2335 | cable 038-003-505, TCP50090451346 with Direct attached SFP 0904, 2053308-4 |
2336 | CABLE ASSY, FILTER BD TO SUPP P/S 033688005 |
2337 | Cable Union 3064653-1B |
2338 | Cable, INTF, Cent, Pully 222-018 |
2339 | CABLES TO GO FIREWIRE CABLE D1394PER2M6C6C |
2340 | Cabletron CTP100T, 10 Base-2 to 10 Base-T Adapter w/ LANVIEW. 420035 |
2341 | Cabletron CTP100T, 10 Base-2 to 10 Base-T Adapter w/ LANVIEW. 420036 |
2342 | CADAC E77755, CMVO-1, 9628, 94V-0, 5413 Rev.B, PCB, Video Card. 322261 |
2343 | CADAC E77755, CMVO-1, 9628, 94V-0, 5413 Rev.B, PCB, Video Card. 322264 |
2344 | CADAC E92481, CMVO-1, 9628, 94V-0, 5403 Rev.A3, PCB, Video Card. 322257 |
2345 | CADAC E92481, CMVO-1, 9628, 94V-0, 5403 Rev.A3, PCB, Video Card. 322262 |
2346 | CADAC E92481, CMVO-1, 9628, 94V-0, 5403 Rev.A3, PCB, Video Card. 322263 |
2347 | CADAC E92481, CMVO-1, 9628, 94V-0, 5403 Rev.A3, PCB, Video Card. 322268 |
2348 | CAJON 321-4-X-6DFR FLEX VACUUM HOSE BELLOWS PIPE GAS LINE VCR CONNECTION 401670 |
2349 | Cajon 321-4-X-6DFR Stainless Steel Flexible Tubing, 419223 |
2350 | Cajon 321-4-X-6DFR, Stainless Steel Flexible Tubing. 419110 |
2351 | CAJON 321-4-X-6DMR FLEX VACUUM HOSE BELLOWS PIPE GAS LINE VCR CONNECTION 401661 |
2352 | CAJON 321-4-X-8DFR FLEX VACUUM HOSE BELLOWS PIPE GAS LINE VCR CONNECTION 401674 |
2353 | CAJON 321-4-X-8DMR FLEX VACUUM HOSE BELLOWS PIPE GAS LINE VCR CONNECTION 401668 |
2354 | Cajon 321-4-X-8FOR Flex Vacuum Hose Bellows Pipe Gas Line VCR Connection 402250 |
2355 | Cajon 6LV-4-HVCR-3S-6TB7 VCR Fitting, 422244 |
2356 | Cajon Swagelok 316L-8-VCR-3A6P VCR Fitting, 1/2 x 3/8 ATW, 419935 |
2357 | Cambridge GA5-610915G-STH58 Hepa Air Filter and EIC-B13T672 blower housing |
2358 | Cambridge Technology Dual 6650 Glavanometer Optical Scanner Assembly. 417205 |
2359 | Cameca 629-250-826 Rotary Actuator, 420170 |
2360 | Canon Appex 8410-AIM-004-2, PCB Board, ADR-V 2, ASEI-V2 8410-AIM-003-2. 417227 |
2361 | Canon BG4-5993, Canon ASH-994V-0 ISOLATION MV/DVR PCB assy |
2362 | CANON BG4-8535-000 000 PULSE MOTOR UNIT (B51) DC 2.96W 401457 |
2363 | Canon BG4-8546 IL3-CD-PCB for stepper |
2364 | Canon BG9-1827-000, IMF CPU Board, PCB, BG9-1827, BG8-2073, BH8-0210-01. 418403 |
2365 | Canon BG9-1831-000, Board XY Stage I/F X, BG9-1831, PCB, BH8-0214-01. 418454 |
2366 | Canon BG9-1831-000, Board XY Stage I/F X, BG9-1831, PCB, BH8-0214-01. 418455 |
2367 | Canon BG9-1842-000, Board IME I/F, PCB, BG9-1842, BH8-0222-01. 418404 |
2368 | Canon BG9-1842-000, Board IME I/F, PCB, BG9-1842, BH8-0222-01. 418405 |
2369 | Canon BH8-0590-01 PCB 420592 |
2370 | Canon BH8-1761-01 PCB board, BG4-8705, Canon MVCD |
2371 | CANON Litho tool BG3-2526-000 EX4 ND filter, 101-06120895 with indexer assembly |
2372 | CANON Y60-0981-000-000 PLAIN PARALLEL PLATE 401459 |
2373 | CANON Y60-1113-000 401458 Lens Unit zoom expander, surplus part 401458 |
2374 | Cap gauge power supply Acopian 51515T9AM, 2 A 250V |
2375 | Cap, Univ. Bot. 38mm STD. ALL TE SLFG025LS CYB02, 08140038T, 00200-07 |
2376 | Caplug 02N03164, SF-44 to SF-28 Split – Flange Connector, Alloy C-276. 416508 |
2377 | Caplugs SF-44 to SF-28 Split-Flange Connector. 415995 |
2378 | Caplugs SF-44 to SF-28 Split-Flange Connector. 415996 |
2379 | CARBON FILM RESISTOR CR-25-B 1/4 W OHM 600 PCS |
2380 | Carbon motor brushes pack of 4 |
2381 | Carborundum, Fiberfrax Ceramic Fiber Duraboard 2600, 1″ 401820 |
2382 | Carl Zeiss AIMS 193 Mask Qualification System w/Coherent LDU ESI 500Hz FT 193nm |
2383 | CARLING SWITCH co 80-680-496 Circuit breaker 2P 5A 240V |
2384 | Carling Switch IK254-73-XN Toggle Switch, 89F 5510, 423307 |
2385 | Carlo Gabazzi RHS45C, SSR, 1PH Rail Heat Sink, 45x55mm, +PAD BBR, 416890 |
2386 | Carlo Gavazzi BO R2 2 Relay Outputs Module RoHS |
2387 | Carlo Gavazzi BP L Universal Power Supply 24…48V DC/AC |
2388 | Carlo Gavazzi BPH Universal Power Supply, 422275 |
2389 | Carlo Gavazzi NES13DB24SA Emergency Stop Module, NES13D, 420659 |
2390 | Carlo Gavazzi RHS321 Heat Sink |
2391 | Carlo Gavazzi RHS321 heat sink, with lubricant |
2392 | Carlo Gavazzi RHS45A H/S 1 Phase Heat Sink Assembly |
2393 | Carten Systems SPD 375 Manual 3 Way Valve |
2394 | Cascade Microtech Joystick |
2395 | Cascade Microtech Joystick controller, 398353 |
2396 | Catch Cup for wet Etch, 3 piece |
2397 | CCD IMAGE SENSOR ASSY 04-709189-01 F, MCW-A9351 BOARD |
2398 | CDI 751LDIN, Dial Torque Wrench. 417045 |
2399 | Celerity 01-0122-H Pressure Gauge |
2400 | Celerity 280-31449-000-0003 Gas Line, Gas Stick, Pall SGLFPF6402VMM4, 422230 |
2401 | Celerity 280-31449-000-0004 Gas Line, Gas Stick, Pall SGLFPF6402VMM4, 422229 |
2402 | Celerity 280-31466-000 Gas Line, Tescom 44-2213-242-010, GS-090 Gas Stick 422228 |
2403 | CELERITY AANGD0W1 IN3XP MFC, MASS FLOW CONTROLLER, N2, 30 SCCM range, 327968 |
2404 | Centronics InterfacePCB Board 068486-003 |
2405 | CERAMIC CHUCK 1150-000-009, 090406-01 |
2406 | Ceramic Ring 4002-7033-01 |
2407 | Ceramic Ring, Insert, 421219 |
2408 | Ceramic Tech 12-01163-00 Ceramic Cover, Farmon ID 408784 |
2409 | CH Products 292-00028-00 Joystick |
2410 | CH Products 52-0410, 55-0673-034, P3, DPRTR INTRFC, Joystick Assembly. 416786 |
2411 | Chamber Wide Body PVD, Shell, 0040-20195, Endura, IMP, 424163 |
2412 | Channel Microwave ALS34301, New |
2413 | Charles water, static survayor , 406961 |
2414 | Chart Recorder Fuji recorder, LFE controllers |
2415 | CHASSIS PRI AUTOMATION BOARD BM302950000RC REV B |
2416 | Chemraz 513, 9108-SC513 0.237 x .0103 inch Oring 2-108, Seal 22-136506-00 |
2417 | Chemraz 9038-SD550 O-ring, Seal, 418643 |
2418 | Chemraz 92740SC520 2-274 Compound 520 O Ring Seal 78-2710. 329398 |
2419 | Chemraz 9278-SC513, 2-278, Compound 513, 11.984 x 0.139 inch 22-136504-00 |
2420 | Chemraz 9280-SC513, O-Ring, Seal, 418599 |
2421 | Chemraz 9280-SC513, O-Ring, Seal, 418601 |
2422 | Chemraz 9280-SC513, O-Ring, Seal, 418637 |
2423 | Chemraz 9280-SC513, O-Ring, Seal, 418638 |
2424 | Chemraz 9312-SC520, 2-312, Compound 520, 0.600 x 0.210 inch |
2425 | Chemraz 9363-SC520, 2-363, Compound 520 Oring Seal 78-2738. 329381 |
2426 | Chemraz AS-568A-280, O-Ring, Seal, 418600 |
2427 | CHEMRAZ SC513 O-RING MVP-200 GT 5641-0477-SC513 GREENE TWEED 401694 |
2428 | CHEMRAZ SC513 O-RING MVP-200 GT 5641-0477-SC513 GREENE TWEED 416602 |
2429 | CHEMRAZ SC513 O-RING MVP-205 GT 5641-0478-SC513 GREENE TWEED 401695 |
2430 | CHEMRAZ SC513 O-RING MVP-220 GT 5641-0476-SC513 GREEN TWEED MUSHROOM SEAL 401708 |
2431 | CHEMRAZ SC513 O-RING P-245 GT M084024450 SC513 GREEN TWEED 401700 |
2432 | Chemraz V-15 O-ring, Greene Tweed, GT M040001450SC513, CPD 513, 401177 |
2433 | Chemraz V-40 O-ring, Greene Tweed, GT M040003950SC513, 401178 |
2434 | Chemtrace AI ALD In-Line Pneumatic Valve |
2435 | Chip, BM29950 Rev.B U1. 420791 |
2436 | Chip, BM29950 Rev.B U1. 420793 |
2437 | Chip, BM29950 Rev.B U1. 420794 |
2438 | Chip, BM29950/B, CS 68EA, U1. 420802 |
2439 | Chip, BM29950/B, CS68EA, U1. 420795 |
2440 | Chip, BM29950/B, CS68EA, U1. 420796 |
2441 | Chip, BM29950/B, CS68EA, U1. 420797 |
2442 | Chip, BM29950/B, CS68EA, U1. 420798 |
2443 | Chip, BM29950/B, CS68EA, U1. 420799 |
2444 | Chip, BM29950/B, CS68EA, U1. 420800 |
2445 | Chip, BM29950/B, CS68EA, U1. 420801 |
2446 | Chip, BM29950/B, CS68EA, U1. 420803 |
2447 | Chip, BM29950/B, CS68EA, U1. 420804 |
2448 | Chip, BM29950R/A, U1. 420792 |
2449 | Chip, BM29951/B CS5821 V2. 322393 |
2450 | Chroma 6314 DC Electric Load Mainframe w Chroma 63103 DC Electronic Load 16V/80V |
2451 | Chroma 6314 DC Electric Load Mainframe w Chroma 63103 DC Electronic Load, 397758 |
2452 | Chuck Leveling Equipment Ascent, 1550, with 4 dial indicators |
2453 | Circle Seal Control SV04-25 Solenoid Valve |
2454 | Circuit Breaker- ABB Zpole 32 Amp S 282 UX-K |
2455 | Circuit Breaker, Square D 1P 6A 277 VAC C60N Type D MG24504 |
2456 | Cisco Multi Service Muxponder Splitter 15530-MSMP-0312, 68-2034-02, WMOPA90CAA |
2457 | Cisco NME-WAE-502-K9 Waas Network Module |
2458 | CISCO SYSTEM CATALYST WS-4000, 3 Sony APS-111 Power Supply |
2459 | CISCO SYSTEM CATALYST WS-6500 Series |
2460 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, 416277 |
2461 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, 416278 |
2462 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, 416279 |
2463 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, 416280 |
2464 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, 416281 |
2465 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, 416282 |
2466 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, 416283 |
2467 | Cisco systems 3700 series |
2468 | Cisco Systems Inc, 73-1348-06A0, Intel 650717-001 AP-EC, PCB, Board. 416482 |
2469 | Cisco Systems WS-G5484 1000BASE-SX Board |
2470 | CKD AMD43-20BUS-16-VT Flow Valve, New |
2471 | CKD Corporation, AVP73-X0001, Valve, Vacuum Bellows, 401278 |
2472 | CKD DLV-1-AB4X-3422 Delay Leak Valve, AB4X, 423928 |
2473 | CKD DLV-1-AB4X-3422 Valve, Delay Leak, AB4X, 420772 |
2474 | CKD DLV-1-AB4X-3422, Valve, Delay Leak, AB4X. 420111 |
2475 | CKD MAGD-10R-AT2 Pneumatic 2-Port Diaphragm Hybrid Valve NC Air 1/8″ |
2476 | CKD MMGD-20V-AT2-L1 Pneumatic Diaphragm Valve, 423622 |
2477 | CKD PKS-10-27 SOLENOID VALVE 100V A91403108 401756 |
2478 | CKD PKW-04-27 Chemical Vapor Deposition Solenoid Valve 401904 |
2479 | CKD PKW-04-27 Chemical Vapor Deposition Solenoid Valve 401905 |
2480 | CKD Valve AGD10V-AT2-4T-JS3 Act pressure 58-65 PSIG |
2481 | CKD VALVE AMF-V-X1 |
2482 | clamp shield TEL 233-3056-57 |
2483 | CLAMP, TWO PRONG CLAMP |
2484 | Class 2 Transformer Input: AC 120V, 60Hz, Output: DC 12V 500mA |
2485 | Clay Adams Pre-Cleaned Gold Seal Micro Slides 3010 |
2486 | Cleaned WCU, SOG, Cover LHP CT2985-486379 |
2487 | Cleveland Motion Controls, MH3515-241G, PM Servo Motor, ETEC Systems. 415359 |
2488 | Clippard HS-9901 Hall Effect Sensor, Ontrak 30-0002-047, 40001-099-0042, 420384 |
2489 | Clippard HS-9901 Hall Effect Sensor, OnTrak 80-0002-047, 4001-099-0042, 420256 |
2490 | Clippard HS-9901 Hall Effect Sensor, On-Trak 80-0002-047, 420368 |
2491 | Clippard HS-9901 Hall Effect Sensor, Ontrak 80-002-047, 4001-099-0042, 420388 |
2492 | Clippard Model 2012 3 Way Valve, 420382 |
2493 | Clippard R481, LAM 766-002089-002 4-Way Electronic 24V Valve PI |
2494 | C-mac RP32-1-3-415 Phase Sequence Relay, Varian 4500151, 419999 |
2495 | coax cable 7ft RG-55U/A with BNC 195LP silver plated connectors |
2496 | Coax Connector RF 90 Degree TRU-3299 |
2497 | COGNEX TELTEC XFR ARM SUBSYSTEM ASSY 247225-002 247224-001 |
2498 | COGNEX VISION BOARD PCB VM10B 203-0057-01 ASSY IN-CIRCUIT TEST VPM-3434-1 401575 |
2499 | COHERENT 8605, 4018, 24 power meter 201 |
2500 | Coherent Mopa Pulse Board Assy 1068389 |
2501 | Cohernet 0175-556-00 Laser Diode Driver PCB board, S00079427, FAB 0175-555-00 |
2502 | Cohernet AVIA Ultra 5 Head PCB Board, Assy 1157129 REV AA |
2503 | Coiltronics VP3-0055 RocketPort,PCI,Univ, 16P, RoHS 5002290 |
2504 | COLDCATHODE GAUGE HPSW DIVISION MKS |
2505 | COLDCATHODE GAUGE HPSW DIVISION MKS PEGS 858, 399663 |
2506 | Cole Parmer 15656-00 KVM switch box |
2507 | COLE PARMER 32915-68 Flow Meter |
2508 | Cole Parmer 7520-25 Masterflex peristaltik pump drive |
2509 | Cole Parmer 7520-25 Masterflex peristaltik pump drive, looks new or rebuilt |
2510 | Cole parmer 7552 masterflex LS washdown modular controller |
2511 | Cole parmer 8112-00, Thermocouple Thermometer 7002H J |
2512 | Cole-Parmer chart recorder 0156-0000,looks very clean |
2513 | Cole-Parmer Instrument Company 04639-Series, 04639-00, Magnetic Strirrer. 417023 |
2514 | Comde CLF-5000/400, RF Generator. CLF-5000 Low Frequency 422969 |
2515 | Comdel CB5000 RF Generator, Novellus 27-335415-00 |
2516 | Comdel CLX-10K Low Freq RF Generator With CX-10KS DC Power Supply R27-257659-00 |
2517 | Comdel CLX-2500 RF Generator, FP1331R1, 407031 |
2518 | Comdel CMX30 RF match network, 13.56 MHz, 10kW, PECVD, Novellus 27-265049-00 |
2519 | Comdel CPM-5000 Match Pro Remote Controller, CPM-5000/REMOTE, 423043 |
2520 | Comdel CPMX2500 Matching Network, Novellus 27-282821-00 R2 |
2521 | Comdel CPS-1001/13 RF generator 13 56 MHz, 3 phase AM 1168 CPS-1001 0920-01006 |
2522 | Comdel CPS-1001/13 RF generator 13 56 MHz, 3 phase AM 762 CPS-1001 |
2523 | Comdel CPS-1001/60 RF generator Novellus R04-716707-01 , 3 phase, 50-60 HZ |
2524 | Comdel CX-16P DC Power Supply, Novellus 27-257659-00, Comdel FP6802R5 |
2525 | COMDEL INC. CPMX-2500, Match Pro, RF Matching Network, FP2307R1 Novellus 21-2405 |
2526 | Comdel Match Pro CPMX-2500, FP2300R1, 39227-00-1, 400940 |
2527 | Comdel Match Pro Remote Controller, CMP-5000, RF Match network controller |
2528 | Comet 20063534 RF Match Network, 13.56MHz, 3000W, Novellus 27-432930-00, 423792 |
2529 | Comet CF3C-100E/50, L 8702, 100pF, 50kV/30kV |
2530 | Comet CF3C-100E/50, L 8703, 100pF, 50kV/30kV |
2531 | Communications Specialties Model 1035, TwinSplit (R) for VGA, w/2 Output. 423059 |
2532 | Communications Specialties Model 1035, TwinSplit (R) for VGA, w/2 Output. 423122 |
2533 | COMP SIDE PRI AUTOMATION BOARD, PB24499, REV A |
2534 | Compact Automation 19-100626-00 Coolped Lift cylinder 02-117049-00, 2275-001 |
2535 | Compact Automation 60-152879-00 Lift cylinder assy Bay 8287-01 |
2536 | COMPAQ BOARD, ASSY 006047-001, P03560B25EIVNI |
2537 | COMPAQ BOARD, ASSY 006047-001, REV B |
2538 | Compaq NFT7375/003RL, Barcode Reader, HC-00X-00072, LHA7126RR1S-202. 416586 |
2539 | Compass Components 03-124094-00, Assembly, 395747 |
2540 | Compass Components 13-0158-00 |
2541 | COMPASS COMPONENTS 13122-01 JOB/ASM/OPER, NEW-192488 cable |
2542 | Component Side BOARD P817755, REV C |
2543 | Compumotor 850 Series, Model 852 X/Y Joystick Controller. 416356 |
2544 | Compumotor AXL-DRIVE Microstep Drive AX 83-135, AX Series, Parker 416315 |
2545 | Compumotor CM232XJ-01471 Motor, Parker, 340V, 415721 |
2546 | Compumotor Stepper Motor, AMP, 6023-068, 421554 |
2547 | COMPUTER DYNAMICS PROGRAMMING SWITCH 2CABL-0A00-0347 |
2548 | Computer Dynamics VAMP Programming switch SAEUL12V w/ cable 2CABL-oaoo-0347 |
2549 | Computer Products NFS40 Power Supply, 420673 |
2550 | Computer Products PM621 HH190 BOARD |
2551 | Comtrol Rocketport 16 Port Surge Interface |
2552 | Condor GPC80-24, DC Power Supply, 24 Volt, 26601. 419495 |
2553 | Conductor Gauge FAS848741 |
2554 | Connector Flange, T, 2.5″ |
2555 | Connector, 7/16, Male, RF 233552283 |
2556 | Conofocal K2 Unit Mercury Arc Lamp Housing |
2557 | Contemporary Controls MODHUB-16F, 4-Port Modular Network Hub, ARCNET. 420051 |
2558 | CONTROL PANEL 80312-01 REV. D WITH 80311-01, 1295-501 REV. A 423139 |
2559 | Controlotron System 990 Multipulse Transit-Time Flowmeter, Ultrasonic flowmeter |
2560 | Cooper 5000113-5X, Thermocouple Adapter Cable |
2561 | CoorsTek 760300 Ceramic Ring, 7603-00, 10.5 OD x 5.154 ID x .600 T, 422381 |
2562 | CoorsTek 760300 Ceramic Ring, 7603-00, 10.5 OD x 5.154 ID x .600 T, 423495 |
2563 | CoorsTek 8971-02 Ceramic Ring, 8971-00, 11.00 OD x 7.00 ID x .63 THK, 422384 |
2564 | Copal PS8-102G, 7L2, Pressure Switch 402308 |
2565 | Copley Controls 800-999, 07-00177-000, PCB Assembly, Motor Drive |
2566 | Copley Controls Corp. 800-1570 Amplifier Data Sheet |
2567 | CORCOM 10EP1 F7175, EMI FILTER lots of 2 |
2568 | CORCOM 30VK6 POWERLINE FILTER F7358, 30A, 120/250 V |
2569 | CORCOM MODEL 30VK6, F7358, 30 AMP EMI FILTER 120/250VAC |
2570 | Corman Technologies CT-N806 Diagnostic HUB, 120V/0.16A, 240/0.08A 50/60Hz 422267 |
2571 | Cornelius CH951-A-2P-PC-HG Chiller with hoses seen in the picture, 395727 |
2572 | Corning PC-353 Stirrer |
2573 | Cosel AD750F power supply |
2574 | Cosel K150AU-24-N Power Supply |
2575 | Cosel K25A-12 Power Supply |
2576 | Cosel P300E-24 power supply 24V, 14A, missing fan |
2577 | Cosel PAA100F-12, Power Supply, 8A +12VDC, 417813 |
2578 | COSEL PAA150F-12 Power supply 12V, 13A, Novellus 27-169458-00 |
2579 | COSEL power supply PAA 100F 24V 4.5A, 415141 |
2580 | Cosel UAF500S-24, Power supply, 24 V, 22A Cool module,Novellus 27-264472-01 |
2581 | Cosmicar, Pentax ANB845N Small Lens |
2582 | Cover, Panel, DDC Valve Driver, 420595 |
2583 | Coyote Point Equalizer E650GX Load Balancer 416372 |
2584 | Coyote Point Equalizer E650GX Load Balancer 416374 |
2585 | CPI 73154711 Cathode High Voltage Tank, 731547, 423786 |
2586 | CPI CPW2870B10 Power Supply, 27-251231-00, 460/480V, 58A, 50/60Hz, 3PH, 421032 |
2587 | Crossing Automation 3200-4346-04 PCB Assy, Tri-RGB LED Display, x-ma01 |
2588 | Crossing Automation 3200-4346-04 PCB Assy, Tri-RGB LED Display, x-ma02 |
2589 | Crossing Automation 3200-4414-01 450 KPlate Node |
2590 | Crossing Automation 3200-4468 Brooks, Asyst FAB 3000-4468-02 PCB 401877 |
2591 | Crossing Automation 9701-2090-1 Motor Assembly, Motor Encoder, FOUP |
2592 | Crossing automation Asyst 3200-4346-04 PCB assembly, Tri-RGB LED |
2593 | Crossing Automation Asyst 3200-4420-01, I/O Board, 3000-4420-01, 395624 |
2594 | Crossing Automation Asyst 3200-4420-01, I/O Board, 3000-4420-01, 395626 |
2595 | Crossing Automation Asyst 3200-4420-02, I/O Board, 3000-4420-02, 395625 |
2596 | Crossing Automation Asyst 4002-6633-01 Spartan, Wafer Mapper Sorter RFID 398792 |
2597 | Crossing Automation Asyst 9701-2149-02 End Effector Assembly Kit, 9701-1433-03 |
2598 | Crossing Automation Asyst 9701-2998-01 End Effector, Robot blade, Looks New |
2599 | Crossing Automation Asyst 9701-5399-01 KIT, Mapper, Door, Gen |
2600 | Crossing Automation Ball Screw 31″ |
2601 | Crossing automation EC Interface PCB board ASSY 55010 |
2602 | Crossing Automation LL Interconnect board Assy 55009 |
2603 | Crossing Automation P-55-021-00-01, SL Interconnect #1 Left, PCB. 416046 |
2604 | Crossing Automation/Asyst 3000-4443-02, 395774 |
2605 | Crossing Automation/Asyst 3200-4418-01, 3000-4418-01, 395618 |
2606 | Crossing Automation/Asyst 3200-4420-01, I/O Board, 3000-4420-01, 395623 |
2607 | Crossing Automation/Asyst 3200-4420-02, 395622 |
2608 | Crossing Automation/Asyst 55-0025-00, 54-0025-00-01, 395621 |
2609 | Crossing Automtion Asyst Technology 3200-4346-04 PCB assembly, Tri-RGB LEED |
2610 | Crouzet GNR30DCZ Cooltec, DIN Mount Relay, 30 Amp, 48-600 VAC |
2611 | CROUZET TR ELECTRICAL TIMER, RELAY, SYRELEC |
2612 | Cryo 254931D4 Compressor Tee Line, 420845 |
2613 | Cryo 254931D5 Compressor Tee Line, 420846 |
2614 | Cryo 255203B206 Compressor Tee Line, 420847 |
2615 | CSZ Cincinnati Sub-Zero Temperature Environmental Chamber ZHS-8-1-1-H/AC |
2616 | CT AURAVISION 94V-0 E92481 |
2617 | CTI 8031186G001 Cryo 8500 compressor |
2618 | CTI 8112105 TC Gauge – VCR |
2619 | CTI 8115236G001 On Board Retrofit Interface 416003 |
2620 | CTI Brooks Cryo Power Distribution Assembly, 395646 |
2621 | CTI cryo helium line 24 foot 8043081G120 |
2622 | CTI Cryo ON-Board regen controller keypad On board |
2623 | CTI Cryo pump 8, Model # 8033165, surplus item 416003 |
2624 | CTI CRYOGENICS 8033165 CRYO PUMP 8. 416204 |
2625 | CTI Cryogenics 8040227, 80K Condesing Array, Inlet Plate Cryo-Torr 8/8F Cryopump |
2626 | CTI Cryogenics 8043047G002, On-Board 3 Phase Motor Controller. 423282 |
2627 | CTI Cryogenics 8043156G600 Cryopump, Flex Hose, Cryo Line, 414107 |
2628 | CTI Cryogenics 8044051 Gas Heater, 90-120VAC, 50-60Hz, 90W, 423294 |
2629 | CTI Cryogenics 8113058G001 ON-BOARD network, Helix technology. 324737 |
2630 | CTI Cryogenics 8124014G001, On-Board 3 Phase Motor Controller. 423281 |
2631 | CTI Cryogenics Cryo-Torr High Vacuum Pump, 8F Cryopump, 8107813G001, 401109 |
2632 | CTI CRYOGENICS KEYPAD CONTROLLER, FOR ON-BOARD SYSTEM |
2633 | CTI CRYOGENICS KEYPAD CONTROLLER, FOR ON-BOARD SYSTEM |
2634 | CTI Cryogenics Regeneration Control 8044060 |
2635 | CTI Helix 8129948G001 Cryo Onboard controller + Varian V 700 HT Turbo controller |
2636 | CTI Helix Brooks Cryo pump, 8116013G005 on-board 10, FastRegen Control 810-32287 |
2637 | CTI OnBoard P300 control module 190-27354 329280 |
2638 | CTI ON-BOARD RETROFIT INTERFACE, CTI 8115236G001 |
2639 | CTI-Cryogenics 8039-155 G002 Scott “T” Controller, Cryogenic Pump, Helix, 423304 |
2640 | CTI-Cryogenics 8039-155 G002 Scott “T” Controller, Cryogenic Pump, Helix, 423349 |
2641 | CTI-Cryogenics 8039155 G002, Scott “T” Controller, Cryogenic Pump, Helix. 416028 |
2642 | CTI-Cryogenics 8039155 G002, Scott “T” Controller, Cryogenic Pump, Helix. 416259 |
2643 | CTI-Cryogenics 8039155G002, Scott “T” Controller, Cryogenic Pump, Helix, 423305 |
2644 | CTI-Cryogenics 8044060, Regeneration Controller, Helix. 416214 |
2645 | CTI-Cryogenics 8103030 Cryo-Torr, 100 High Vacuum Pump, Helix, Cryopump, 420717 |
2646 | CTI-Cryogenics 8103030 Cryo-Torr, 100 High Vacuum Pump, Helix, Cryopump, 420718 |
2647 | CTI-Cryogenics 8103030 Cryo-Torr, 100 High Vacuum Pump, Helix, Cryopump. 419469 |
2648 | CTI-Cryogenics 8103030 Cryo-Torr, 100 High Vacuum Pump, Helix, Cryopump. 419484 |
2649 | CTI-Cryogenics 8107040 Cryo-Torr 8F Cryopump, High Vacuum Pump, Helix, 423348 |
2650 | CTI-CRYOGENICS 8300 compressor, 8001 controller |
2651 | CTI-CRYOGENICS 8300 compressor, 8004 controller |
2652 | CTI-CRYOGENICS ADSORBER COMPRESSOR 8500, 8510, 9600 328476 |
2653 | CTI-Cryogenics Adsorber Helium Filtration Cartridge |
2654 | CTI-CRYOGENICS CABLE, 8112212G003, OLFLEX-190 97W31-P. 422492 |
2655 | CTI-Cryogenics On-Board Input Processor 8113018G001 |
2656 | CTI-Cryogenics On-Board Input Processor 8113018G001, Helix technology corp |
2657 | Cubit 200031, Prom Module Board, PCB. 418253 |
2658 | CUI Stack DPS 090150-P5 Plug in transformer , Lot of 3 |
2659 | Culter-Hammer Inc. SPCL Supplementary Protector |
2660 | Cutler Hammer E22B1 CenterBlock 1270-01698 |
2661 | Cutler Hammer E22B1 EMO Push button assembly with mounting plate |
2662 | Cutler Hammer FD35K 0680-00270 CB MAG THERM 3P 600VAC 15A 25KAIC W/RNG |
2663 | Cutler Hammer FDB3090 Main circuit breaker 90 Amps 3 Poles 600 VAC-250VDC 40 C |
2664 | Cutler Hammer HDF3060L, Industrial Circuit Breaker, 60A, Novellus A38-038-01 |
2665 | Cutler-Hammer AF91AGO Adjustable Frequency Drive, AF 91, 2 HP, 423543 |
2666 | Cutler-Hammer C FD 25K, Westinghouse Series C Industrial Circuit Breaker. 416624 |
2667 | Cutler-Hammer C KD 35K, Westinghouse Series C Industrial Circuit Breaker. 416158 |
2668 | Cutler-Hammer C KD 35K, Westinghouse Series C Industrial Circuit Breaker. 416160 |
2669 | Cutler-Hammer C KD 35K, Westinghouse Series C Industrial Circuit Breaker. 416161 |
2670 | Cutler-Hammer CE15JNS2AB-T16 Magnetic Contactor, Size J, 2 Pole, 415703 |
2671 | Cutler-Hammer GD Curcuit Breaker 2 Pole, 15 Amp, GDB2015D |
2672 | Cutler-Hammer HFD 65K, Industrial Circuit Breaker, 6639C85G92, 2 POLES. 416623 |
2673 | Cutler-Hammer HLD 65k Industrial Circuit Breaker, 3 Pole, 600A, 600 VAC, 418142 |
2674 | Cutler-Hammer Series C Industrial Circuit Breaker, ELFD, 150 Amps, 3 Pole |
2675 | CVC 2-ZONE HEATER CONTROLLER |
2676 | CV-UNIV SXP-1000 Bidirectional Converter Buffer |
2677 | Cyber Optics Semiconductor ROX-43-AIC-14.5 |
2678 | Cyber research PC board 0044113 |
2679 | CyberOptics ROX-43AIC-14.5, Hama Sensors, 6900-1726-01R |
2680 | Cybor Power Supply 512E |
2681 | cybor power supply 512F |
2682 | CYG controller for CVC equipment, cowvectron gauge controller |
2683 | Cymer S04-09075-11 Laser Stabilization Module 401996 |
2684 | Daihen SGP-15B, Analog RF Microwave Power Generator, 2450MHz, 1500W. 416900 |
2685 | Daihen SGP-15B, Analog RF Microwave Power Generator, 2450MHz, 1500W. 416908 |
2686 | Daihen SGP-15B, Analog RF Microwave Power Generator, 2450MHz, 1500W. 416909 |
2687 | Dainippon SEPC-0065, TU controller, 2-VC-13234 |
2688 | Dale RH-50, 50W, Power Resistors, Heat Sink, Terminal Strip A set of 6. 416638 |
2689 | Dalsa DS-12-16K5H Industrila image capture line scan and power supply, No lens |
2690 | Danfoss 131L9796 VLT HVAC Basic Drive 2.2kW, 3.0 HP, 3×200-240V, 50/60Hz, 423682 |
2691 | Danfoss 131L9798 VLT HVAC Basic Drive, 5.5kW, 7.5HP, 3×200-240V, 50/60Hz, 423665 |
2692 | Danfoss 131L9805 VLT HVAC Basic Drive, 15 kW, 20 HP, 423747 |
2693 | Danfoss 131L9866 VLT HVAC Basic Drive 4.0kW, 5.5 HP, 3×380-480V, 50/60Hz, 423681 |
2694 | Danfoss 131L9867 VLT HVAC Basic Drive, 5.5kW, 7.5HP, 3×380-480V, 50/60Hz, 423680 |
2695 | Danfoss 131L9869 VLT HVAC Basic Drive, 11kW, 15HP, 3×380-480V, 50/60Hz, 423666 |
2696 | Danfoss 131L9869 VLT HVAC Basic Drive, 11kW, 15HP, 3×380-480V, 50/60Hz, 423679 |
2697 | Danfoss 131L9870 VLT HVAC Basic Drive, 15kW, 20HP, 3×380-480V, 50/60Hz, 423678 |
2698 | Danfoss 131N0238 VLT HVAC Basic Drive, 15 kW (550V), 20 HP (575V), 423748 |
2699 | Dart controls 125-DV-C-K variable speed controller |
2700 | Data Instruments PG1CVF1000 Pressure Gauge |
2701 | Data Instruments PGS1DVF3000E |
2702 | Data Instruments Pressure Gauge 771-090400-009 0-30PSIG |
2703 | DATA translation DT 5726, VME ASML 4022.436.0018 PCB, DT1407 |
2704 | Datest MPM Motor Processor ASM # 9600920 |
2705 | Dayton (1) 3X748-M, Relay Contactor Starter, 12VDC, 30A, DPDT. 416595 |
2706 | Dayton 4C941 7021-6560 Motor, HP: 1/20, RPM/Tr/min: 2800/3200 Hz 50/60 |
2707 | DBI 30000354 Full Size ISA I/O Card, PCB, Digiboard, 60000178, 423589 |
2708 | DBI 30000354 Full Size, ISA I/O Card, REV M |
2709 | DBI A/N 30000354 Full Size ISA I/O Card, REV M |
2710 | DBI A/N 30000354, Full Size ISA I/O Card, REV M |
2711 | DBI A/N 30000354, Full Size ISA I/O Card, REV N |
2712 | DC-DC Converter SD-25C-5 25W 36…72V -> 5V 5A ; MeanWell |
2713 | Delatec 185-12-001 Power supply bd |
2714 | Dell AC Adapter DA-1 Series 3R160, TH-03R160-17971-3C2-CO4X, 395597 |
2715 | DELL CG566 Optiplex GX270 Desktop 478 Motherboard CN0CG566137403AA02L8 |
2716 | Dell Computer Roller Rack Installation Kit, RCKRL, R/V, 2U, SL, V4, 0FN360 |
2717 | Dell remote access card 3 E-G900-01-3638, 3902-E502 PCB |
2718 | Dell remote access card 3 E-G900-01-3638, 3902-E502 PCB with dell 56K modem |
2719 | Delta Design 1657924-501, 68008 Slave board |
2720 | Delta design 1666339 Microstepper ITR PCB assy and 1698421-104 daughter board 2 |
2721 | Delta Design 1666339 Microstepper ITR PCB assy with 1698421-104 daughter board |
2722 | Delta Design 1666339503 Microstepper PCB |
2723 | Delta design 1666339503 Microstepper PCB assy, 1223 |
2724 | Delta Design PBC Board Power Distribution 1686300-502, 400781 |
2725 | Delta Design PWA 1684444-501 Dual Channel Temperature control |
2726 | Delta Design Summit 1909917 Thermal Control Pick & Place Handler, 401118 |
2727 | Delta Design Summit ATC 1909177 P&P Handler & Affinity PWG-060K-BE44CBD2 401076 |
2728 | Delta Design Summit ATC 1909177 P&P Handler & Affinity PWG-060K-BE44CBD2 401121 |
2729 | Delta Design Summit ATC 1909177 P&P Handler w/ Affinity PWG-060K-BE44CBD2 401074 |
2730 | Delta Design Summit ATC 1909177 P&P Handler w/ Affinity PWG-060K-BE44CBD2 401075 |
2731 | Delta Design Summit ATC 1909177 P&P Handler w/ Affinity PWG-060K-BE44CBD2 422615 |
2732 | Delta design, 1667195-502, PWA quad pressure sensor board, look new sell as used |
2733 | Delta Electronics DPS-750EB A, Power Supply, D20850-006, +12V 62.0A, +5VSB 3.0A |
2734 | Delta Tau PMAC PACK, 4A/20Mhz, 9LPP, 100-240 VAC, 330052 |
2735 | Delta Tau PMAC2 ACC8A analog interface 2 channel |
2736 | Delta VFD037C43A Inverter, Freuqncy Converter, C2000, 423746 |
2737 | Deltrol 166 3DPT B600 3 pole 24 VAC relay |
2738 | Deltron CV360D04 Power Supply 24VDC, 15A, Novellus 0150-740129, New in box |
2739 | DelTron linear slides D.P.I. |
2740 | Deltron V225C Quad Output Power Supply, Series V225, 420774 |
2741 | Denver Instrument MXX-412 MAXX Portable Analytical Laboratory Balance, 423889 |
2742 | Depth Gauge 44405-00 Rev. 2 |
2743 | Deublin 54-085-178 Rotary Union For Top Ring |
2744 | DeviceNet Unit MFC, mass flow controller, UFC-8565, H2 2L, SIH4 30CC lots of 2 |
2745 | DEXON DX2100 HIGH TEMPERATURE CONTROLLER 401693 |
2746 | Diamond Systems EMERALD-MM-DIO 4-Port PC/104 Module, PCB, EMM-DIO-XT, 415512 |
2747 | Diamond Systems EMERALD-MM-DIO 4-Port PC/104 Module, PCB, EMM-DIO-XT, 415514 |
2748 | DIAVAC LIMITED LCAV-40HF pneumatic angle valve |
2749 | Digi 5000320 EPC/CON-16, RJ45, AccelePort, Network Concentrator, 329871 |
2750 | DIGIBOARD DBI 30000352 , PC/4 16C550, DBI 30000354 |
2751 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352, 422883 |
2752 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352, 422884 |
2753 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352, 422885 |
2754 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352, 422915 |
2755 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352, 422916 |
2756 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352, 422917 |
2757 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352, 422918 |
2758 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card, 422881 |
2759 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card, 422882 |
2760 | Digital dynamic I/O controller 27-10157-00 novellus |
2761 | Digital dynamics 27-10157-00 I/O controller IOC ver 4.1 |
2762 | Digital Graphix Inc 068155-02 PCB Assy, 2166-1098, 07-0130-00, 58430212, 422377 |
2763 | Digital Link DL030 MNGMNT, PCBA 300-01908-01 |
2764 | Digital Power US250-460 PCB Power Supply, 203-0189-001, 6200-2006-01, 330357 |
2765 | DIP Inc. CDN491, 15049105, PCB Card, 322560 |
2766 | Disco AK-BX501, Pack Driver, Stepping Motor Driver, BAAK-BX501, PCB Drive.417142 |
2767 | Disco ALU Video Memory 13322, EAUA–4084, FAPCB-0449, 400786 |
2768 | Disco D3 ALU CPU 10020 PCB, EAUA–2408, FAPCB-0384, 400783 |
2769 | Disco D3 ALU CPU 10020 PCB, EAUA–2408, FAPCB-0384, with 2 EPROM Chips, 400784 |
2770 | Disco D4 ALU Correlate 10020, PCB EAUA–2599, 259902, FAPCB-0390, 400787 |
2771 | Disco D5 ALU COR ADDR 10020, EAUA–2409, FAPCB-0385, 400785 |
2772 | Disco DAO, EAUA–349401 4.8CH D/A-Out Board, FAPCB0428, 400805 |
2773 | DISCO DARS001–F SP1994-1200 TABLE (WAFER) ASSEMBLY 401680 |
2774 | Disco EAUA–0014100 DI Sensor 7, ETH-BG-68-A, 417169 |
2775 | DISCO EAUA002303,AY-003,PCB,P.M.C.B. D3 FAP-50-07 02B FAPCB-0395 402479 |
2776 | Disco EAUA–086700, D1 TS I/O Board, PCB. 418451 |
2777 | Disco EAUA–334701, FAPCB-0412, Driver Board. 419181 |
2778 | Disco EAUA-349000 4CH SIO Board, FAPCB0424, ME03-96-64P-M4LT1-A1, 400803 |
2779 | DISCO EAUE-002700 DRIVE UNIT, SPINNER, 401543 |
2780 | Disco EAUM-778200 Switch unit, FLTS113, 420824 |
2781 | Disco EAVA–002302 PCB D2 PMC (A) UPDI PMCB, 00FP48, 419998 |
2782 | DISCO EAVA-340700 BOARD, SENSOR, 02, PCBA FAPCB-0418 401600 |
2783 | Disco ELCOM GEDAD320-007 Surface Sheet (300) |
2784 | Disco Engineering FBPCB-0174 (8-DO (COMM) Board) |
2785 | Disco Engineering Service MOELR022 O Ring |
2786 | Disco Engineering services 4 carbon brush assemblies |
2787 | Disco ES EAUF–167201 Operation Panel Unit |
2788 | Disco ES EAUF-965001 Operation Panel Unit KPU-OPN-98A00 |
2789 | Disco ES MODMJ021 Jig, Demount (2), MODMJ020 (1), 321898 |
2790 | Disco ES MODPH05652A, ELE 0.3 Micron Air Filter 416759 |
2791 | Disco ES MODPH05653A Filter Element .01 Micron |
2792 | Disco FBPCB-0022, Text Graphic Board, PCB, PWB-A223-B, BP-MTG-001. 418414 |
2793 | Disco M0GAH31286 Ball and Tap EBG105, SUS 316, 422683 |
2794 | Disco MAGAR933–C Chuck Table, 420184 |
2795 | Disco MAGAR933–C Chuck Table, 420185 |
2796 | Disco MAGAR933–C Chuck Table, 420186 |
2797 | Disco MODMS535-A, Kurida OCS-241, GE, Electromagnetic Valve, 417139 |
2798 | Disco MOENH153–B Solenoid Valve Assembly, DC24V, CKD 4SB019, 420645 |
2799 | Disco MOENS024 Seal, 422320 |
2800 | Disco MOENT103–A, Pad. 418416 |
2801 | Disco MOGAH350–B Vacuum Pressure Switch, Copal Electronics, PS5-102V, 420829 |
2802 | Disco MOGAR357–B, Pin. 423193 |
2803 | Disco MOGCH074–AY Cylinder (Scrub) Koganei MDAD16x5-156W, 419099 |
2804 | Disco MOGCN00165, Solenoid Valve, SMC VXD2140. 418448 |
2805 | Disco MOGCR743–A, 133485 001, Chuck Table (3″-8″). 418383 |
2806 | Disco MOGCR743–A-4L-153, Chuck Table (3″-8″). 418723 |
2807 | Disco MOORN002, Hub Flange Nut 417223 |
2808 | Disco MS-14 AC 100V Fan Motor, BFMS-14-BC 401946 |
2809 | Disco Switch Unit EAUM–979300 Red EMO Stop Push Twist Switch |
2810 | Disco Switch Unit M0HEH035–B Direct Acting Solenoid Water Valve CKD FWB41-X1690 |
2811 | Disco Switch Unit MOHLRB09–C Cover (Water-Proof) |
2812 | Display PCB board 2596359-01 |
2813 | Diversified technologies 651200978 computer board, intel 386, looks unused |
2814 | Diversified Technology CRR804/5 Motor Control I/O, PCB, 912000145/7. 419942 |
2815 | Diversified Technology CRR804/5 Motor Control I/O, PCB, 912000145/7. 419943 |
2816 | DLCM Pump Control Coverplate 17-172429-00-B Looks New |
2817 | DMA-W CONTROLLER WESSELS/WOLEVER |
2818 | DNS SEAD-104, PCB, Analog, Board Controller, Bada AP-506A 401540 |
2819 | DNS SEAD-104, PCB, Analog, Board Controller, Bada AP-506A 401541 |
2820 | DNS SEAD-104, PCB, Analog, Controller, Bada AP-506A |
2821 | DODGE 122054, H, OD Bushing, Hx1/8. 416654 |
2822 | DOLAN-JENNER MODEL 180 FIBER-LITE HIGH INTENSITY ILLUMINATOR, LAMP |
2823 | Dotronix 7-032-0145C Computer Monitor, PWA 6-002-1493H, BC 500, 329331 |
2824 | Dover 13132, Spindle, 400904 |
2825 | Dover, 12698, Spindle, 400902 |
2826 | Dover, 12951, Spindle, Chuck, 400909 |
2827 | Dover, 13223, Spindle, Chuck, 400847 |
2828 | Dover, 13224, Spindle, Chuck, 400846 |
2829 | DP/N 0J8461 DVI to VGA Adapter |
2830 | Dreefs 71-3085 Speed switch PCB assy with panel mounts, ST3449/1, ES62/080 |
2831 | Dressler RF Generator, Cesar 136, 287-230 V, 6.3 A, 600 W, 13.56 MHz, 416473 |
2832 | Dri-Eaz F203-A Drizair 1200 Professional Dehumidifier, 115V, 60Hz, 6.4A, 423841 |
2833 | Drive CX3000B NM LASER controller PCB with mgnetic damping |
2834 | DSC communications corp. 300-8106-900 revE ABCU 31F PCB |
2835 | DSC-002 99A5 PCB BOARD |
2836 | Dual Gas Manifold W/ Setra 217 Pressure switch, Tescom Iso valve, Pall Filters |
2837 | Dwyer DR12430 DR Direct Reading Glass Flowmeter |
2838 | Dwyer Photohelic 0-1 inch water, Type 2 Enclosure 3001C, Circuit HH, P/S 120 VAC |
2839 | DWYER PHOTOHELIC PRESSURE SWITCH GAGE Type 2 ENCL 0-1 inch H2O |
2840 | Dwyer Photohelic Series 3000 Pressure Switch/Gage, 0-1 inches of water range |
2841 | Dwyer Photohelic Series 3000MR Differential Pressure Switch/Gage 0-2 inches |
2842 | Dwyer Series 1900 Pressure Switch 26-340044-00 |
2843 | Dwyer Series 3000 Photohelic Pressure Switch/Gauge. 0-2 Inch Range, 419897 |
2844 | Dwyer Series 3000 Photohelic Pressure Switch/Gauge. 0-2 Inch Range, 419898 |
2845 | Dwyer Series 3000 Photohelic Pressure Switch/Gauge. 0-2 Inch Range, 419899 |
2846 | Dwyer Series 3000MR Photohelic Pressure Switch, 3000MR-60PA, 0-125 Pascal 407798 |
2847 | Dwyer Series 3000MR Photohelic Pressure Switch, 3000MR-60PA, 0-500 Pascal 407797 |
2848 | Dwyer Series 3000MR Photohelic Pressure Switch, 3000MR-60PA, 0-60 Pascal, 407799 |
2849 | Dwyer VFC-151, Visi-float Flowmeter, 417811 |
2850 | Dycor RGA w Q100M head, cable, Osaka TGC51CA turbo, TC61 controller & Dual VAT |
2851 | Dymatrix AVSMVMPV-02025 Valve |
2852 | Dynax RT13-5CJ-315-BKcsy, Asyst, Robot Teach Pendant, Controller, Liveman DB25M |
2853 | E97252 VW-1 105C 300V 28 AWG 1BJ18 |
2854 | EAG Q0EMB007 Test PCB Board, Broadcom 2912BES210Y01, Tomahawk/BCM56960, 423343 |
2855 | EAG Q0EMB007 Test PCB Board, Broadcom 2912BES210Y01, Tomahawk/BCM56960, 423344 |
2856 | EAG Q0EMB007 Test PCB Board, Broadcom 2912BES210Y01, Tomahawk/BCM56960, 423345 |
2857 | EAG Q0EMB007 Test PCB Board, Broadcom 2912BES210Y01, Tomahawk/BCM56960, 423442 |
2858 | EAG Q0EMB007 Test PCB Board, Broadcom 2912BES210Y01, Tomahawk/BCM56960, 423443 |
2859 | EAG Q0EMB007 Test PCB Board, Broadcom 2912BES210Y01, Tomahawk/BCM56960, 423444 |
2860 | EAG Q0EMB007 Test PCB Board, Broadcom 2912BES210Y01, Tomahawk/BCM56960, 423445 |
2861 | EAG Q0EMB007 Test PCB Board, Broadcom 2912BES210Y01, Tomahawk/BCM56960, 423446 |
2862 | EAGLE SIGNAL B856-511controller |
2863 | EAO INTL, Interlock/ Override, door, integra |
2864 | Eaton 1421990 GSD electrode PCB assy 1521990 |
2865 | Eaton 17064260 Ground Plate, Electrode, 1028760, 419844 |
2866 | Eaton 17129730 Strike Plate (4), 1052650, Ion Implanter, 420825 |
2867 | Eaton 259311 Connector Cable, 421608 |
2868 | EATON 26930-0303-0022 REV A1 |
2869 | Eaton 431023 Probe Assembly |
2870 | Eaton DA1-34014FB-A20C Power XL Variable Frequency Drive, IP20, DA1, 423687 |
2871 | Ebara 0313-0200S Compressor, Absorber, 418013 |
2872 | Ebara 323-0014, Cryocompressor 2.1 Varian X323005200, Cryo |
2873 | Ebara A07V Dry Pump, A07V-S, 1.0/1.1 Pa, 8/6/8.4A, 423640 |
2874 | Ebara A07V Dry Pump, A07V-S, 1.0/1.1 Pa, 8/6/8.4A, 423641 |
2875 | Ebara C-3812-324-0021 Edge Ring E, TEL, Tokyo Electron SR00908, 420251 |
2876 | Ebara ET300W, Turbo pump controller, AET08-4391, very clean, 303W REM01 PWM-15M |
2877 | Ebara ET600W Turbo Molecular Pump Controller 600W ETC04 PWM-20M, 423292 |
2878 | Ebara ET600W Turbo Molecular Pump Controller 600W ETC04 PWM-20M, 423293 |
2879 | Ebara Keiki, MOGAH-31253, Pressure Gauge, 0-3 kgf, 402276 |
2880 | Ebara Remote control for mechanical pump, 50 ft cable |
2881 | EBMPAPST 3212 J/2H4P Fan, 420978 |
2882 | ebmpapst R1G250-AQ37-52, Squirrel Cage Fan Motor + Blades |
2883 | ECC Wafer Chuck Power Supply Unit, E95-3027, 400937 |
2884 | ECHELON MODEL 42150R MULTI PORT ROUTER MPR-50 , LONWORKS TECHNOLOGY, 423533 |
2885 | ECI Tech 2U0600 8 Way Dist. Valve, Syringe, Peek, Novellus 22-153569-00, 422649 |
2886 | ECOFIT 2GREu35 160X62R BLACK BROWN CAPACITOR, BLACK BLUE SECTOR |
2887 | EDC TT130R2-2 Hand Held Teach Pendant, Robot, Controller, 423539 |
2888 | Edlon Smart Solutions S019035 Tank, X096040REP, .090″ PFA – 451, 2.0 PSI, 423419 |
2889 | Edward 1800 Pressure Controller, 328554 |
2890 | Edwards 305251-001 Filter Disposable 0.1mm, Nitrogen, 420167 |
2891 | Edwards 45° Vacuum Elbow, C10512405, new, partially opened |
2892 | Edwards Active Gauge AIM-S-NW25 Turbo Molecular Pump Vacuum, D14541000 |
2893 | EDWARDS ACTIVE GAUGE CONTROLLER D38650000 |
2894 | Edwards AIM-S-NW25 Active Gauge, Turbo Molecular Pump Vacuum, 420574 |
2895 | Edwards APG MPB/15V/NW16 ST/ST Active pirani gauge D02187000 |
2896 | Edwards APG MPB-15V-NW16 ST/ST Active pirani gauge D02187000, 398247 |
2897 | Edwards APG-M-NW16 Active pirani gauge D02171000 |
2898 | Edwards APG-M-NW25 ST/ST Active Pirani Gauge, 420575 |
2899 | Edwards APG-M-NW25 ST/ST Active Pirani Gauge, 420576 |
2900 | EDWARDS Barocel pressure sensor 600AB TRANS 1000TR .5 in O/D, 1000 TORR |
2901 | Edwards C10512401, NW 16/10 Clamping Ring (Stainless Steel). 416491 |
2902 | Edwards C31315000 PV25MKS Right Angle, Stainless Steel, NW25 |
2903 | Edwards C41624000 Vacuum Valve SIPV25PKA |
2904 | Edwards D337207591 Cable Assy, XLR 4W (3 MTRS) 418135 |
2905 | Edwards D37215000 Vacuum pump Flash Module |
2906 | Edwards D3727000 Dry Pump Controller |
2907 | Edwards high vacuum 600AB, 10 TORR |
2908 | Edwards High Vacuum Int. 037215000, PIC High Performance Screen Patch Cord |
2909 | Edwards Model 1570 W607-30-00 Press Mon Analog Out 100V |
2910 | Edwards PR 10-K, Pirani Vacuum Gauge Head, Set ATM, D024-22-000, 324137 |
2911 | Edwards PR 10-K, Pirani Vacuum Gauge Head, Set ATM, D024-22-000, 420933 |
2912 | Edwards PR 10-K, Pirani Vacuum Gauge Head, Set ATM, D024-22-000, 420934 |
2913 | EDWARDS SPEEDIVALVE Regulator valve KF40 connection and KF50 adapter. |
2914 | EDWARDS VACUUM PV25MKS ST/ST PNEUMATIC ISOLATION VALVE |
2915 | EFD 1500 XL Adhesive Dispenser |
2916 | EFD 1500XL Fuse T 125mA 250V 0114-162-0032 |
2917 | EFD 2000XL-PRS, Fuse 125mA 100V, 120V, 220V, 50/60Hz, 9/10 VA |
2918 | EFD Valvemate 7040, Fuse 125 mA, 100V, 120V, 220V, 50/60Hz, 11/12 VA |
2919 | EFFEKTA EFF.1500 I-NET 19″-STE UPS, Uninterruptible Power Supply, 416309 |
2920 | EFFEKTA EFF.1500 I-NET 19″-STE UPS, Uninterruptible Power Supply, 416310 |
2921 | EFFEKTA Regeltechnik EFF.1500 I-Net 19″-STE, R20/X1500M, Power Supply. 416714 |
2922 | EFI Electronics MBP120/208Y Linemaster MBP, multiphase surge protection |
2923 | EFOS Novacure N2001-A1, 4.0 Amp, 250V, 100 Watt Mercury Arc, 400753 |
2924 | EGS, EMT Connectors Gland compression Type, Steel EMT, Steel Zinc Plated. 328308 |
2925 | EGS, EMT Connectors Set-Screw Type, for Steel EMT, Steel Zinc Plated |
2926 | EIC-B13T672 blower housing with Cambridge GA5-610915G-STH58 Hepa Air Filter |
2927 | Elco J100-5, 8Y1253, Switching Regulator, Power Supply, 5V, 20A. 416949 |
2928 | Elcom 01-08-0548 Rev.C, Wafter Transfer Assembly, Motor. 417383 |
2929 | Electro Scientific Ind ESI 61322 B.P. High Voltage Power supply |
2930 | Electro scientific instruments SC15008 AC power control unit, 76890 |
2931 | Electrocraft 9101-1545 model DDM-005X servo motor controller |
2932 | ELECTROCRAFT SERVO DRIVE AMPLIFIER DDM-005X-DN-AM, 9101-1745, 50/60 HZ |
2933 | Electrogas Horizon Series 4085X precision prober, 8″ wafer |
2934 | Electroglas 012031-002 PCB, memory system |
2935 | ELECTROGLAS 247216-001 PCB SYSTEM I/O ASSY REV P |
2936 | Electroglas 247222-002 Linear motion PCB assy |
2937 | ELECTROGLAS 258805-001 controller, AUX, cold sort PCB board. |
2938 | Electroglas Camera and Lens, 249006-001 |
2939 | Electroglas CPU 020, 251411-002, Teltec 02V 3693, FAB 251410-001 |
2940 | Electroglas Horizon 4085X 4Port Serial I/O ASSY II 246067-001 401162 |
2941 | Electroglas Horizon 4085X Gold Chuck 97055740 401159 |
2942 | Electroglas Horizon 4085X Handler Communications ASSY 247265 401165 |
2943 | Electroglas Horizon 4085X Linear Motor Subsystem ASSY 247222-002 401161 |
2944 | Electroglas Horizon 4085X Linear Motor Subsystem ASSY 247222-002 Rev L |
2945 | Electroglas Horizon 4085X Motion Control ASSY 102944-010 Teltec 01V 3493 401166 |
2946 | Electroglas Horizon 4085X PCB ASSY Communication BD Board 253491 401168 |
2947 | Electroglas Horizon 4085X Prealign Subsystem ASSY 247219 Teltec 02V 0297 401169 |
2948 | Electroglas Horizon 4085X Robot Blade 401158 |
2949 | Electroglas Horizon 4085X Solenoid I/O Interface ASSY 247439-001 401167 |
2950 | Electroglas Horizon 4085X Solenoid interface assy 247439-001 Rev D |
2951 | Electroglas Horizon 4085X System I/O ASSY 247216 Teltec 01V 1196 401171 |
2952 | Electroglas Horizon 4085X System I/O ASSY 247219 Dev-558 Pre-align Subsystem |
2953 | Electroglas Horizon 4085X System I/O ASSY 247222-002 Linear Motor Subsystem |
2954 | Electroglas Horizon 4085X System I/O ASSY 247225 XFR ARM Subsystem |
2955 | Electroglas Horizon 4085X Tester Interface 244288-001 Teltec 01V 3096 401164 |
2956 | Electroglas Horizon 4085X Theta Z Inker Driver 244736-001 Teltec 01V 3193 401163 |
2957 | Electroglas Horizon 4085X Theta Z Joystick ASSY 250262 401160 |
2958 | Electroglas Horizon 4085X XFR Arm Subsystem 247225-002 SBC 2B 94V-O 97-10 401170 |
2959 | Electroglas Horizon 4085X, 8″ wafer prober, 401157 |
2960 | Electroglas Horizon 4085X,Aluminum Chuck, 401156 |
2961 | Electroglas Horizon 4085X,Wafer Sensor Assembly, 401156 |
2962 | electroglas PCB ASSY, PREALIGN, MOD, I/F 033460124 |
2963 | Electroglas Prealign Subsystem PLC 247219 Rev 1 |
2964 | Electroglas PSM Horizon 4085X Wafer Pober, 8 inch tool. Parts Tool, 401137 |
2965 | ELH002 Electrode Plate, 422794 |
2966 | ELO Touch system, 362743FTM, 14 inch touch screen REV Am ST-0SD5 V1.0 PCB |
2967 | ELPAC BFS200-48 REVd, POWER SUPPLY, qt 470017 |
2968 | ELPAC BFS200-48, Selectable, unregulated, open range DC power supply |
2969 | Eltrol Axis amplifier PCB 806107-03, assembly 3900052 |
2970 | Eltrol corp, assy 3900052, E256792, Fab 3850052B, 806107-14 |
2971 | EMC-1 94V0, BM23475L11R REV B |
2972 | Emerson 6592 Motor & Gear Box, Inverter, Ipec Speedfam Novellus, .50 HP, 420707 |
2973 | Emerson 6592-A Motor & Gear Box, Inverter, Ipec Speedfam Novellus, 421553 |
2974 | Emerson H300-03400123, HVAC Drive, H300, H300-034. 419705 |
2975 | Emerson Liebert Inverter Base Drive Assy Board 02-792214-03, 12-792214-00 422877 |
2976 | Emerson Liebert Inverter Base Drive Assy Board 02-792214-03, 12-792214-00 422878 |
2977 | Emerson Liebert Inverter Base Drive Assy Board 02-792214-03, 12-792214-00 422879 |
2978 | EMICROS Flash I2C232 power adapter to 25p D connector |
2979 | Empak Z200-0203 Single Wafer Shipping Box, 420102 |
2980 | Empak Z200-0203 Single Wafer Shipping Box, 420103 |
2981 | Empak Z200-0203 Single Wafer Shipping Box, 420104 |
2982 | Empire Magnetic S57-102, Stepper Motor. 420046 |
2983 | EMSC 170120 PCB, 9062-024844-R, EI# 57324, 420342 |
2984 | EMSC 170120 PCB, EI# 57324, 5211, 420823 |
2985 | EN/IEC 60947-3, 60947-5-1, E D1-3D S4-RG Main Power Switch Assembly |
2986 | ENI ACG-10B-03 RG Generator, 1000W, ACG-10B, 190-250VAC, Working 423656 |
2987 | ENI ACG-10T RF Generator, AGC-10, AGC 10 416298 |
2988 | ENI ACG-3, RF Generator 300 Watt, ACG-3-11701, RF Generator. 415435 |
2989 | ENI DCG1R-A02120021, ENI DC323-A022000020 DC Plasma Generator DCG-100 |
2990 | ENI DCG-200Z Optima, Novellus R27-299465-00 RF Generator, Slave, 20KW, DCG.DC |
2991 | ENI GHWE-25 Genesis RF Generator, GEW3027MA-F1H00-10,3 KW, 200/208V, 423226 |
2992 | ENI Harmonic Filter HF-3000-50, 401105 |
2993 | ENI HF-3000-50 Harmonic Filter, 3 Kw, 13.56 Mhz, 416146 |
2994 | ENI MKS DCG-200ZHC-S02, Generator, Slave, 20.0 KW, DCG Novellus R27-299485-00 |
2995 | ENI MW-10-21191 Matchwork Control Unit, RF Match, 419851 |
2996 | ENI OEM-1250-02 RF generator 3 phase 5 wire, 1250 Watts |
2997 | ENI OEM-12A-21041-51 Solid State RF Generator SB225, 0090-09026, 409750 |
2998 | ENI OEM12B-01 RF generator, tested, with calibration sheet |
2999 | ENI OEM-12B-06 RF Generator, 416294 |
3000 | ENI OEM-12B3, OEM-12B3-02 RF Generator, 3 Phase, 0190-76028. 413348 Data sheet |
3001 | ENI OEM-25N-01 High Frequency RF Generator, Novellus 27-00157-00, 409751 |
3002 | ENI OEM-28B-04 RF Generator, Novellus 27-032269-00, 208 VAC, 25A, 2750 W, 423221 |
3003 | ENI Polara-260 Bipolar Pulsed Supply 0190-01428 |
3004 | ENI Power Systems ACG-3, RF Generator. 415436 |
3005 | ENI Power Systems ACG-3, RF Generator. 415437 |
3006 | ENI Power Systems ACG-5, RF Generator. 415461 |
3007 | ENI Power Systems ACG-5, RF Generator. 415467 |
3008 | ENI Power Systems LPG-6AL-21321, Low Frequency RF Generator. 416346 |
3009 | ENI RF Generator OEM-12A, OEM012A-21041-51, 1250 W at 13.56 MHz, Powers on |
3010 | ENI RF power meter 1006-508 rev 4 MRC CP-10 |
3011 | ENI VL-400 M1 Phase shift controller |
3012 | ENI VL-400 M1 Phase shift controller |
3013 | ENI VL-400 Phase shift controller |
3014 | Entegris 1032-054 Pneumatic Valve Integra Distribution Valve 3 outlet, 3/4 inch |
3015 | Entegris 201-37-SI-01, Valve, 1/4T M 3GEN 2W 1/2 1/2SS. 419544 |
3016 | Entegris 202-57-01 Semitorr Valve, NC 3GEN 2W 1/2″ 1/2NPT, 422260 |
3017 | Entegris 4100-060G-F04-B6-B-P1-U1, NT Single-Port Pressure Transducer. 416716 |
3018 | Entegris 6500-T2-F02-D00-K-P2-U1-M07 Integrated flow controller, 250 mLpm |
3019 | Entegris 6500-XX-F03-D12-K-P2-U1-M19 NT Integrated Flow Controller, 323716 |
3020 | ENTEGRIS A192-81MLZ-0215 PFA TEFLON WAFER CARRIER AWS 8 INCH CASSETT 401556 |
3021 | Entegris E6-6TFN-1X, M9P828145, PFA Elbow Adapter, Reducer, 3/8″. 417145 |
3022 | Entegris FC8-12FN-1 |
3023 | Entegris PM12FM-1 Straight Union Tube, 3/4, OD, PFA, Fluroware, 419803 |
3024 | Entegris S64-2C-6F Pneumatic Operated Diaphragm Valve, 422262 |
3025 | Entegris SU8T-6FN-3, Flaretek, Reducer, 3/8″, PFA. 420073 |
3026 | Entegris U39896 Position Plug, PFA w/ Kalrez O-Ring |
3027 | Entegris UE4FN-1, 100042059, Fluoroware, Flaretek. 417038 |
3028 | Entegris UT8-4-4FN-3, Flaretek, Tee Union Valve, PFA. 420075 |
3029 | Enterprise systems corp 10764, NON volatile memory PCB, 8818-764-2356 |
3030 | ENTRELEC FEM8D1, LOT OF 5, 400140 |
3031 | Entretec Systron Power Supply |
3032 | EPIA-V10000 PCB board, rev A, used |
3033 | Epichem 400S Aviza 815019-715 Metal organics bubbler ampoule with swagelok valve |
3034 | EPPENDORF DISPENSOR 4700, 50 uL, 75 uL, 100uL, 328393 |
3035 | EPSION compatible ASR infrared light stimulator |
3036 | Epson AP-3S25 AC adaptor, 15.5 VDC output |
3037 | EPSON RAIOC-33 PROGRAMMABLE CONTROLLER PLC MAX3A DC24V |
3038 | Epson Seiko SRC-300, AC200-220V, 50/60Hz, Single Phase 1200W. 419735 |
3039 | Equipe 2002-0064 Robot I/O Control Board, FAB 2008-1025, 420433 |
3040 | Equipe 2002-2137, Pre300 Video PCB, FAB 2008-2090, Wafer Prealigner. 420141 |
3041 | Equipe Technolgies ATM-1594 Robot, Brooks Automation, 424197 |
3042 | Equipe Technolgies ATM-4711 Robot, Brooks Automation, 423932 |
3043 | Equipe Technologies ATM-5782 Robot, Brooks, 422719 |
3044 | EQUIPE technologies TRA-036-SBI Robot Linear Stage |
3045 | Ergotron Arm with Keyboard and Logitech Trackball, Mouse, 415800 |
3046 | ES023-001448-1, XFR SYS BR940121, 8″ Fork Robot Blade, End Effector. 418255 |
3047 | ES023-001448-1, XFRSYS BR940121, 8″ Fork Robot Blade End Effector, 418835 |
3048 | ES1D10-405111-11 Screw Shield, BY203, DRM2 |
3049 | ESD Semtronics Sensors |
3050 | ESD Systems, 41230, Calibration Unit |
3051 | esl ST 32 B1-1006, 5.0-250, Power Supply. 416547 |
3052 | ESMO FAM Cart Failure Analytical Manipulator Cart adapted for Advantest T2000 |
3053 | Essex Electronics SKE-261 Self Contained Keyless Entry w/Illuminated Overlay |
3054 | ETEC systems LENS control 756-4350-01, ASSYMBLY 756-4350, FAB 756-4351-01 |
3055 | Ethernet interface board 5026293 BM29964R.D |
3056 | ETI MC5179B-2K/2K Motorized Potentiometer, 8 RPM, Varian, 420335 |
3057 | ETS 250, Wrist Strap Tester, Electro-Tech Systems 250 |
3058 | ETS, Electro Tech Systems Surface Resistant Probe Model 850 |
3059 | EUROTHERM 2408i |
3060 | EUROTHERM 2408I 2408I#146042 |
3061 | Eurotherm 812/LGC/00/J/0-500C/SPS/S/HH/UR// Temperature Controller, 417233 |
3062 | Eurotherm TE10A Phase Angle Power Controller 200V, 47-63 Hz, 415599 |
3063 | EUROTHERM TEMPERATURE CONTROLLER, GPC8422 |
3064 | Evans Component NB-GL-8C-200-X, Manual Valve, Manifold. 415926 |
3065 | Everflow DC Fan and DC Motor R126010DU DC 12V 0.25 Amp |
3066 | Excelon B74G-4GK-AP3-RMN, Filter regulator water separator |
3067 | Ex-Flow Mass/Meter Flow Controller Bronkhorst M2204198B |
3068 | EXFO Acticure 4000 Spot Curing System, 422674 |
3069 | EXFO Novacure N2001, 4.0 Amp, 250V, 100 Watt Mercury Arc, 400754 |
3070 | Exhaust Vacuum Flange 215-12194-00, REV B, TEI 27-06 |
3071 | F5185001 shield horizontal aperture |
3072 | F86-000-011 Plate, CPX-0060, 420004 |
3073 | FABR 016763 REV A |
3074 | Fafnir RCJ 1 PT Flange Mount Ball Bearing Unit, Survivor Housed, 421048 |
3075 | Falcon Alignment K Plate Tool, Align, K-Plate 1000-0719-01 400690 |
3076 | Fan and Heat Sink for Processor E70796-001 |
3077 | Fastcom Commtech 422, RS-422/RS485 adapter, interface, PCB |
3078 | Faulhaber 22B, Servo Motor, 21:1, 418160 |
3079 | FAULHABER Minimotor SA 2444 S 048 B K750 000021 090. 421640 |
3080 | Federal PMP-31017, 329633 |
3081 | Federal PMP-31101 w/PMP-31128, 329882 |
3082 | Federal PMP-31128 |
3083 | Feedthrough SPU-MEC-100-A Elect Feed Through w/ Mini-ICF, A16-26900, 422855 |
3084 | Ferrofluidic feedthrough FTH-0009-A 508332-000 |
3085 | FerroTec 024-012428-1, Seal, Magnetic Fluid, VF Boat Rotary, 401808 |
3086 | FESTO CPV-10-VI 273515 Vacuum Control – AMA CPV10-DNET8-AMA-SA, 175775, 183643 |
3087 | Festo Electronic CPV10-VI-P8-M7-AMA-SA Gas Panel 273514, 183643 J402 |
3088 | Festo Electronic CPV10-VI-P8-M7-AMA-SA Gas Panel 273514, 183645 J402 |
3089 | FESTO K-362253 L-363353 V0996 controller PCB |
3090 | FESTO K-364891 V0397 L-364891 |
3091 | FESTO L-363163 V0397 K-363163 |
3092 | Festo L-646866, Axes, Power PCB, 0700, 423074 |
3093 | Festo LR-1/8-D-7- Mini Pressure Regulator and MA-40-10-1/8 |
3094 | Festo MN1H-2-1/4-MS, pneumatic solenoid poppet valve |
3095 | Festo Terminal Valve CPV14-GE-MP-8, CPV-14-VI-P8 J202, 161361 H302 |
3096 | Festo Type 9982, MFH-5-1/8, Solenoid Valve. 416597 |
3097 | Festo Type 9982, MFH-5-1/8, Solenoid Valve. 416598 |
3098 | FESTO Vacuum Control SA-23241, Vacuum Control Manifold CPV10-V1 CPV-V1-P8 161415 |
3099 | FIBER OPTIC CABLE, AH-15M F1440, M200-250-H 401586 |
3100 | FIBER OPTIC CABLE, AH-30M, F1439, M200-250-H 401587 |
3101 | Fiber Optic Illuminator 190, Dolan-Jenner Indust B848 |
3102 | FIBERGUIDE INDUSTRIES CB12498 CABLE |
3103 | FIBERGUIDE INDUSTRIES CB12498 CABLE, 398818 |
3104 | FIBERGUIDE INDUSTRIES CB12498 CABLE, 398822 |
3105 | Fiber-Lite Dolan-Jenner Industries Series 180, High Intensity Illuminator.423093 |
3106 | Filament Assembly 418170 |
3107 | Filament Assembly 418171 |
3108 | Filmetrics F76-LS-V2 Light source F76, IPEC speedfam Novellus 0225-112090 |
3109 | FIL-Tech West 06M Thermocouple Vacuum Gauge |
3110 | Filter, Oxy/Mix 233278031 |
3111 | Filter, US Filter USF Cold filters |
3112 | Finder Type 55.34, Relay, 4 PDT, 5A, 250V. 420094 |
3113 | Finder Type 55.34, Relay, 4PDT, 5A, 250V. 420056 |
3114 | Fitting A-07139001, 07139001 |
3115 | Flanders 4119777-001 HEPA Filter |
3116 | Flange, Bellows, Vacuum Valve, 420233 |
3117 | Flange, Vacuum ISO, Pipe, 12 Inch, 5 Inch, 419743 |
3118 | Flange, Vacuum, Pipe, 10 Inch, 5 Inch, Iso Connector with Bracket, 419747 |
3119 | Flash I2C232 Embedded Micro Software |
3120 | FLATPAC VI-LU3-EV-01 AC/DC SWITCHER 100-200/200-240 V 401856 |
3121 | FLATPAC VI-LU3-EV-01 AC/DC SWITCHER 100-200/200-240 V 401857 |
3122 | FLG, KF, Blank-Off NW50.304 100311706 |
3123 | FloCat GFM37S-TCDL2 HCl 0-50, L/min max 500psi/3400 KPa |
3124 | Floline SEF Series SE-20803-B0818 SEF-1N 2115203 |
3125 | Flouroware SP-VLF-CNB8002 Fluorotrac ID station |
3126 | FLOWLINE LU10-1303 ULTRASONIC LEVEL SWITCH 401464 |
3127 | Fluke 2240C, Datalogger with 7 Fluke 2200A-08 I/O Modules |
3128 | Fluorocarbon Alpha AT2-188 2 and 3-Way Solenoid Valve 40200 |
3129 | Fluorocarbon Alpha Solenoid Valve ATT2-188, 40 Air PSI, 30 Water PSI 401804 |
3130 | Fluorocarbon Alpha Solenoid Valve ATT2-188, 40 Air PSI, 30 Water PSI 401805 |
3131 | Fluoroware 201-8 Manually Activated Chemical Valve, 418480 |
3132 | Fluoroware 202-59-01, Pneumatic 2-Way Diaphragm Valve, NC, Teflon. 418502 |
3133 | Fluoroware 203-1414-415 Galtek 2-Way Solenoid Valve 1/4″ Orifice 115 VAC, 423632 |
3134 | FMI 0800-0211A Wheel, Diamond, #3 |
3135 | Four Dimensions Inc. Model 280 Automatic Four Point Probe Meter, MT 220, 424130 |
3136 | FOUR MKS HPS 325 MODUCELL VCR connection VACUUM GAUGE |
3137 | Francotyp Postalia 20010307 Head Control PCB |
3138 | Franklin Electric 1S2GX0003 Pump, Seal-Less Verticle Centrifugal |
3139 | Front Cassette Sensor, B, OCR 1DLW8-A9087 |
3140 | FSC 4321 FIRE BUS S/N 127256 M/N SS4-A |
3141 | FSI 02-07393 Rev.E, PCB Assembly Cool Plate A/D. 418565 |
3142 | FSI 209104-200 PCB Board Interface 419248 |
3143 | FSI 290020-400 PCB looks new |
3144 | FSI 290122-400 PCB power system |
3145 | FSM Frontier Semiconductor Measurements, FSM 128 Intelligent Film Stress measure |
3146 | FTG Hose Adapter 10-32UNFX5/32 1B-14-21, 500065263 |
3147 | FTG, TBG Adapter NW40 X 1/4MVCR 1.4″LG SST, 3300-02577 |
3148 | FTS Kinetics RCD151ZLAM Chiller, Thermal System Refrigeration, LAM, 423635 |
3149 | Fuji Electric EA52F Auto Breaker, Circuit Breaker, 15A, 416841 |
3150 | Fuji Electric FRN005E1S-2NW Frenic-Multi Inverter, 3PH, 200-240V, 60Hz, 419607 |
3151 | Fuji Electric FRN005E1S-4U Variable Frequency Inverter, 423834 |
3152 | Fuji Electric M-UPS050 J22L 200V input Uninterruptible Power Supply UPS |
3153 | FUJI ELECTRIC PYZ9 Temperature Controller, Farmon 329832 |
3154 | Fuji Electric SC-4-1 Control Contractor, 420739 |
3155 | Fuji Electrics SC-4N, SC-4N/UL, Magnetic Contactor. 415351 |
3156 | Fuji IMVAC AVR-50 Throttle Valve, H1543 |
3157 | Fuji IMVAC AVR-50 Throttle Valve, used clean |
3158 | FUJI SC-4N, Magnetic Contractor, Coil DC200~240V, AC200~240V, 50/60Hz. 415746 |
3159 | Fujikin 070190 Pneumatic 3 Way Valve AF5VD000, O.P. (0.34~0.49MPa), 407515 |
3160 | Fujikin 316L FP-91-9.52, L.AG223000, Valve, Type N.O. 419147 |
3161 | Fujikin 316L-P Pneumatic Valve |
3162 | Fujikin 316L-P, 3 Way Valve O.P. 0.39~0.59MPa. 415392 |
3163 | Fujikin AGBNR000, 041358 Isolation Valve KF-16 Flange Fitting |
3164 | Fujikin A-J3SZ-000, UJR-FP-F6 35 x 6.35 Fitting VCR to Swagelok Fitting |
3165 | Fujikin ASK7T000, 408651, Isolation Valve 328670 |
3166 | Fujikin FPR-91-9.52, Pneumatic Actuator, Bellows Valve, Type N.C. 419966 |
3167 | Fujikin FP-UDDF-71-6-35-2-NL-UP VALVE, AIR-OPERATE Valve |
3168 | Fujikin FUCL-715-9.52-0.023 K-FIHM-000 Gas Line Manifold Valve |
3169 | Fujikin Gas Line Manifold Valve 16.2 MPa |
3170 | Fujikin Incorporated 0.39~0.59 MPa Type N.C. |
3171 | Fujikin Incorporated 0.54~0.64 MPa Type N.C. |
3172 | Fujikin Incorporated FPR-NSDBT-21-6.35-APY, 407509 |
3173 | Fujikin International, 3-Way Valve, FPR-UDDF-71-9.25, DD1024699UFV024. 416626 |
3174 | Fujikin Valve FP-UDDEBDT-21-635-PI-APY, Surface Mount Pneumatic L.AP2HK000, New |
3175 | Fujikin Valve FP-UDDFBDT-21-6.35-PI-APY, L.AQ6QZ000, 407510 |
3176 | Furon 1102691 Regulator w/ Gauge, UPRM-144-060-M-G, Teflon, 422557 |
3177 | Furon 1102691 Regulator w/ Gauge, UPRM-144-060-M, Teflon, 422556 |
3178 | Furon 1102691 Regulator, Teflon, UPRM-060-M, 422555 |
3179 | Furon 1102691 Regulator, UPRM-144-060-M, 2475-109-308, 2805-112678, 422558 |
3180 | Furon 1102780 Diaphragm Valve, UPM2-688NC, 424192 |
3181 | Furon 1102835 Diaphragm Valve, UPM2-61212NC, 424187 |
3182 | Furon 1102843 Diaphragm Valve, UPM3-188, 424189 |
3183 | Furon 1102853 Diaphragm Valve, UPM3-646, 424188 |
3184 | Furon 1112548 Mushroom Valve |
3185 | Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60, S5051 |
3186 | Furon DV2-144NCD2, P/N 1103307 solenoid valve, 24 VDC, 50/60, S298 |
3187 | Furon E82001, PFA, Teflon Manual Valve. 416493 |
3188 | Furon SAINT-GOBAIN UPRM-144-60-M-G with gauge port, 1102691 Regulator, New |
3189 | Furon st Gobin UPM2-F1212-M SP, 952452, PTFE 2 way diaphragm valves |
3190 | Furon UPM2-646NC-ADJ PTFE Diaphragm Valve, 422662 |
3191 | Furon UPM2-646NC-ADJ PTFE Diaphragm Valve, 422668 |
3192 | Furon WB-2W8P-C-REB White Bandit 2-Way Pneumatic Actuated Valve, 420175 |
3193 | Fusion Semiconductor G03 Ozone Asher. Dual chamber, Dual robot arm |
3194 | Fusion Systems 052141 PCB Assembly 051970, 423136 |
3195 | Fusion Systems 400361 Worm Gear Ball Screw 401906 |
3196 | FY-1020 Barrierta IS/V Grease, TEL, Tokyo Electron, B3071-001347-1, 423107 |
3197 | GAGA 1602 Compuscope 1602 16bit dual channel A/D and oscope card for PCI AH248 |
3198 | Galil 3 Axis Female 2002-0089-BC004, PRI DMC-8230-F, DMC-8240 |
3199 | Galil DMC-1540, 4-Axis Motion Controller w/2 MSA-12-80 PWN Brush Servo. 416376 |
3200 | Galil DMC-1540, 4-Axis Motion Controller. 419768 |
3201 | Galil DMC-1540, 4-Axis Motion Controller. 419769 |
3202 | Galil DMC-8230 3-Axis Motion Control Board, 420129 |
3203 | Gas Line Assembly APTech AP1010S 2PW MV4 FV4, SI, USG Gauge, 422658 |
3204 | Gas Line Assembly APTech AP1010S 2PW MV4 FV4, USG Millipore Gauge, 422659 |
3205 | Gas Pipeline, MKS 1159B-13197, 121002-G2, 0010-13150, Swagelok SS-DLV51, Pall |
3206 | Gasonic 90100207, PCB, Board Valve Control. 418509 |
3207 | Gasonic IPC Asher 2000LL Plasma Asher Etch System cassette to Cassette Automatic |
3208 | GASONICS 89-1048-01 PCB HIPOX POWER CONTROL 90-1048-01 401545 |
3209 | GaSonics 9104 Quartz Chamber Plasma Asher 15698-02, ENI OEM-12B-06 RF Generator |
3210 | GAST, Oiless Vacuum Diaphragm, 965513, D800 |
3211 | GE Automation Model AWA8NAC Wafer aligner, no power cord |
3212 | GE Circuit Breaker Enclosure, TQL100F, TQL100S |
3213 | GE Fanuc IC610MDL180A Programmable Relay Output Module 8 Circuits Channel 420585 |
3214 | GE Fanuc IC610MDL180A, Programmable Relay Output Module, 8 Circuits. 419178 |
3215 | GE Fanuc IC610MDL180A, Programmable, Relay Output Module, 8 Circuits, 402461 |
3216 | GE Fanuc IC693MDL740E Output PLC Module, 12/24 VDC, 0.5A, 16PT POS, 418517 |
3217 | GE Fanuc IC693MDL740E, Output P2C Module, 12/24 VDC, 0.5A, 16PT, POS. 418572 |
3218 | GE Fanuc IC697BEM713G Bus Expansion, ASM 44A730317-G01 FAB 44A730318-001, 329324 |
3219 | GE Fanuc IC697BEM713G Bus Expansion, ASM 44A730317-G01 FAB 44A730318-001, 414792 |
3220 | GE Fanuc IC697BEM731 Genius Bus Controller 1 Channel, ASM 44A729723-G01, 329249 |
3221 | GE Fanuc IC697BEM731N Genius Bus Controller 1 Channel, ASM 44A729723-G01, 329248 |
3222 | GE Fanuc IC697BEM731R Genius Bus Controller 1 Channel, ASM 44A729723-G01, 329247 |
3223 | GE Fanuc IC697BEM731T Genius Bus Controller 1 Channel, ASM 44A729723-G01, 414789 |
3224 | GE Fanuc IC697CH5790F Rack 9-Slot Rear Mount w/IC697PWR711G Power Supply, 329325 |
3225 | GE Fanuc IC697CMM741L Ethernet Controller, PCB, ASM 44A732252-G01, 329036 |
3226 | GE Fanuc IC697CMM741L Ethernet Controller, PCB, ASM 44A732252-G01, 329322 |
3227 | GE Fanuc IC697RCM711C Redundancy Communication Module, 329323 |
3228 | GE Fanuc IC697RCM711C Redundancy Communication Module, 414790 |
3229 | GE FANUC IC69BEM331 Series 90-30 Genius Bus Controller 401879 |
3230 | GE FANUC SERIES 90-30 PROGRAMMABLE CONTROLLER, GE PLC |
3231 | GE Fanuc Series 90-30, IC693CHS391K, 10 slot EMI Enhanced, Standard power supply |
3232 | GE Motor 5KE49WN8226 A-C Brake Motor, 3 HP, 3 PH, 60 Hz, 3450 RPM, 419806 |
3233 | GE Pushbuttons GEJ-6149C, series CR104P EMO pushbottuns switch |
3234 | GE SEHA36AT0100 Spectra RMS Hi-Break Circuit Breaker, 100A, 600 VAC, 420212 |
3235 | GE TED134050WL Industrial circuit beaker, 480V 50A |
3236 | Gems 122341 Type SF-4 Flow Switch 402023 |
3237 | Gems 122341 Type SF-4 Flow Switch 402028 |
3238 | Gems 177100 Float Level Switch, 20, 575-00040-00 |
3239 | Gems Sensors GPM-FS-200 Flow Switch, SSU, 20 V.A., 50-240 VAC, 423609 |
3240 | Gems Sensors, FS-380 Flow Switch, 183431, 1.0 GPM, 422825 |
3241 | General Dynamics 753020-003 Descrambler CCA board |
3242 | General Electric TED113015 Circuit Breaker, 15A, 277 VAC, 125 VDC looks new |
3243 | General Signal, Tempress 92697 Front Panel LED Distribution Board Assy, 398978 |
3244 | General Signal, Tempress 92697 Front Panel LED Distribution Board Assy, 398979 |
3245 | General Signal, Tempress 92697 Front Panel LED Distribution Board Assy, 398980 |
3246 | Genesis 623-4202 ICP 200 Quick Regen Cryopump, 8″, Ebara, 60-125932-00. 423394 |
3247 | Genmark 400298338 Robot, For parts |
3248 | Genmark Automation controller |
3249 | Genmark GB8-MT-98030074 Robot Wafer Assy, MGC 2234-MB3320 Motor Encoder, 423056 |
3250 | Genmark Gencobot 7S/3L Wafer Robot, 7S050009, 3L7S050005, 423055 |
3251 | Genmark, precision automated transfer systems, 5064340 |
3252 | Gentec Valve w/ Gauge, Helium, 420674 |
3253 | Genuine Cisco short wave optic DS-X2-FC10G-SR, 10-2258-01, 21CFR1040/10 |
3254 | Genuine Cisco XENPAK-10GB-LR+ 10GBASE-LR, 10-1838-04 V01, 397964 |
3255 | Genuine Cisco XENPAK-10GB-LW+ 10GBASE-LW, 10-2267-01, 397969 |
3256 | Genus 3779-01 Solenoid valves with driver board assy, 8 valves |
3257 | Georg Fischer 161546342, Ball Valve, Type 546, 1/2″ DN15, PVC-U, PN16. 416785 |
3258 | Glassman Power Supply HVPS Dual Output 5KV, PS/NV-05NN50, 400848 |
3259 | Glassman Power Supply HVPS Dual Output 5KV, PS/NV-05NN50, 400849 |
3260 | GO PRI-1L11A3D114 Single Stage Pressure Regulator Looks New |
3261 | Gold Plate Vacuum chuck AZ-Z0358, Maybe K&S prober chuck |
3262 | GP 275807 convectron gauge granville-phillips, GP 275 |
3263 | Granville Philips 275071 TC Gauge, has damages, for parts |
3264 | Granville phillips 275 Convectron Guage |
3265 | Granville Phillips 303 Vacuum Process Controller 303001 Turns on |
3266 | Granville Phillips 303 Vacuum Process Controller 303001, 2130, GP, 324916 |
3267 | Granville Phillips 303 Vacuum Process Controller 303007, with RS232 and I/O card |
3268 | Granville Phillips 316 Vacuum Gauge Controller 421905 |
3269 | Granville Phillips 343 Mini ION gauge controller, 343004 343-004 |
3270 | Granville Phillips 343004 Mini ION gauge controller |
3271 | Granville Phillips 352 Gauge Controller, 20352001 |
3272 | Granville Phillips 354 Micro ION guage module series 354 Novellus G33-0000034-00 |
3273 | Granville Phillips 354003-YG, Novellus 63-268625-00, Micro Ion 354, 013572-101 |
3274 | Granville Phillips 356008-YG-T Micro Ion Plus module |
3275 | Granville-Phillips 012685-102 Convectron PCB Card 332148, missing part |
3276 | Granville-Phillips 20347057, Helix Technology Corp Stabil-Ion Module 339738 |
3277 | Granville-Phillips 20347057, Helix Technology Corp Stabil-Ion Module 339739 |
3278 | Granville-Phillips 275 Convectron Gauge, 115 VAC, 50-60Hz, 10 Watts. 422954 |
3279 | Granville-Phillips 275 Convectron Gauge, 115 VAC, 50-60Hz, 10 Watts. 422955 |
3280 | Granville-Phillips 275 MINI-convectron lots of 3 used |
3281 | Granville-Phillips 275071 Conectron Gauge, 423000 |
3282 | Granville-Phillips 307, 0096006-108, Process Control Board, PCB. 416475 |
3283 | Granville-Phillips 350 Vacuum Gauge Controller 350504-G-T2, 422537 |
3284 | Granville-Phillips 350 Vacuum Gauge Controller 350504-G-T2, 422538 |
3285 | Granville-Phillips 354075-TE-T MICRO Ion gauge module BROOKS automation |
3286 | Granville-Phillips Company 275 Mini-Convectron, 275807-EU. 321915 |
3287 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320629 |
3288 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320630 |
3289 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320631 |
3290 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320632 |
3291 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320633 |
3292 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320634 |
3293 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 325939 |
3294 | Granville-Phillips Model 01 Ion Gauge Controller, 307005/06, 422268 |
3295 | granville-phillips PENTA CONVECTRON BOARD 003874-104332 |
3296 | Granville-Phillips, GP, 274 Series 028, 11374, Ionization Gauge, 400747 |
3297 | Granville-Phillips, GP, 274 Series 274 028, 9384, Ionization Gauge, 400755 |
3298 | GRAPHICS CARD OEC DIGITAL EQUIPMENT 54-23184-01, KA705TRMRS, 50-23183-01 |
3299 | Green Tweed 9039-SD505, AS-568A-039 CPD 505, 782-52039, Seal, O-Ring. 418714 |
3300 | Green Tweed 9238-SD653, 815015-766, AS-568A-238 CPD 653, Chemraz, O-Ring. 416479 |
3301 | Green Tweed 9280-SD653, 815020-251,AS-568A-280, CPD 653, Chemraz, O-Ring. 416480 |
3302 | Green Tweed 9329-SC513 Chemraz O-Ring, 1.975IDx0.210 CX IN. 420093 |
3303 | Green Tweed, 9258-SC520, AS-568A-258, CPD 520, O-Ring, 151.99in x 3.53cx. 415363 |
3304 | Greene Tweed 9031-SC513 1.73X.070, Chemraz, O-Ring 402434 |
3305 | Greene Tweed 9031-SC513, Compound 513, 44.17 X 1.78mm, Chemraz, O-Ring 402468 |
3306 | Greene Tweed 9169-SS513 7.487X.103, Chemraz, O-Ring 402435 |
3307 | Greene Tweed, 9243-SC513, 4.109X.139, Chemraz, 2-243 O-Ring 402312 |
3308 | Greene Tweed, GT 9031-SC513, Chemraz, O-Ring 402186 |
3309 | GreenTweed Chemraz 9225-SS592, 2-225, AS-568A-225, Oring 78-2560 Seal, 412820 |
3310 | Griswold 4902H Flow Controller |
3311 | Griswold Controls 4902H Flow Controller, Water, 12 GPM, 1300 PSI, 420663 |
3312 | Griswold Controls Flow Controller, 304, 150, 3/4″ x 1/2″, 423593 |
3313 | Grounding Brush 500064237 |
3314 | GTK Glentek Motion Controller, SMA8315-1a-1, Motion Control Solutions, 400956 |
3315 | HA121 Phototransistor Optical Interrupter Switch, With Connectors |
3316 | Hager CE 440B Circuit Breaker, 420668 |
3317 | Hager MU 216A Circuit Breaker, 420666 |
3318 | Hager MU 332A Circuit Breaker, 420667 |
3319 | Hakko Electronics Co, LTD, V606eM10, FX2N 64DNET, FX2N-2LC, FX2N-4AD. 326711 |
3320 | HAMA LABORATORIES DD-50 LASER SENSOR WATER MAPPING 402378 |
3321 | HAMA Laboratories WX-43PI Sensor w/ End Effector, Laser, Robot Arm, 422409 |
3322 | Hamamatsu C4251 Starter Unit for Continuous Mode Xenon Lamp C4251 |
3323 | Hamamatsu C4251 Starter Unit For Continuous Mode Xenon Lamp, 292-0059, 423728 |
3324 | Hamamatsu L8488-248 Lightning Cure LC5, Farmon ID 329828 |
3325 | Hamamatsu L8488-248 Lightning Cure LC5, Farmon ID 329829 |
3326 | Hamamatsu Mercury Xenon lamp L6722 |
3327 | HAMAMATSU UV SPOT LIGHT SOURCE L5662 |
3328 | HAMAT-4 4 channel Ozone monitor gas box, IN USA inc. no monitor |
3329 | Hamilton 81520 Syringe, 1005TLL, 5.0 mL rev E |
3330 | Hamilton 81620 Syringe, 1010TLL, 10.0 mL rev E |
3331 | Ham-Let 2LDS8Q-57358 Manual Valve |
3332 | HAM-LET EV8C-FV-L83-EP Diaphragm Valve w/ NASclean N-400 PTFE Gas Filter, 420435 |
3333 | Ham-Let EV8C-V-EP Diaphragm Valve |
3334 | Ham-Let H-700-99-L-1″ 701519 AM2 Superlok 316 1/2 Water Manifold w Valve + Gauge |
3335 | Ham-Let H-700-SS-L-1″-T-LD Manual Lever Valve Assembly, Griswold Controls 420661 |
3336 | Ham-Let HM20-4VKLC-GF4 Diaphragm Valve |
3337 | Ham-Let HMSC20-4VKC Surface Mount Diaphragm Valve |
3338 | Ham-Let UCV EV8C-FV-L830-EP Isolation Valve, Filter, Tee Connection 1/2 inch VCR |
3339 | Ham-Let UCV EV8C-FV-L83-EP Diaphragm Valve |
3340 | Ham-LET UCV HM20 4VKLC GF4 150 PSI Shut off Valve |
3341 | Ham-Let UCV Series HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve |
3342 | Ham-Let UCV Series HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, 2709156 |
3343 | Hammond Manufacturing, RMZ095L Enclosure, Box, Abs, 9.84″ x 7.09 x 3.94″, 421008 |
3344 | Hantek DSO5202P 200 MHz 2CH Digital Oscilloscope, 1GSa/s Real Time Sample,423584 |
3345 | Harting HAN 10B-GG-R-21, New Power connector housing |
3346 | Hastings teledyne MFC Mass Flow Controller, AFC-303, Propane 34 SLPM, 175 PSIG |
3347 | Haws 50A-W5-40WH SIgnal Beacon with Audio Alarm |
3348 | HBC 824-3, new Heater controller assy w/ 2 opto 22 SSR, |
3349 | HC-00X-00090 Sensor, Optical Switch |
3350 | HDSIOC ROLLER BRUSH NOVELLUS 02-308058-00 VERSION 4.72 |
3351 | Heat Sealing Element M10596-CP-06-04C |
3352 | HEATEFLEX 725762 SP1996-5458 HEATER TANK INTEGRATED CIRCUIT DEVELOPMENT 401583 |
3353 | HEDLAND 705014 FLOWMETER 0-14 GALLONS PER MINUTE 401885 |
3354 | Heidenhain MT12B Incremental Length Gauge, 420992 |
3355 | Heidenhain MT12B Incremental Length Gauge, 420993 |
3356 | HEIDENHAIN XY axis controller VRZ 720 B |
3357 | Helical Flexible Shaft Coupling 507704-00 110-24 |
3358 | Helix Technology Corp. CTI-Cryogenics 50/50 AME2 Model SC Compressor 8032224 |
3359 | Heraeus HSQ, 51547, Tubingr, HSQ300, 63.5 X 76.2 X 1220 |
3360 | Hewellet Packard 37203A HP-IB Extender |
3361 | Hewlett Packard 10701A 50% Beam Splitter |
3362 | Hewlett Packard 3852A, HP DAQ with 5 44708A 20 Channel relay multiplex modules |
3363 | Hewlett Packard 41420-61601 Quadrax Cable (3m) |
3364 | HEWLETT PACKARD 54504A DIGITIZING OSCILLOSCOPE 400MHz, 408769 |
3365 | Hewlett Packard HP 08350-60053, Sweep Oscillator Board, PCB. 416395 |
3366 | HEWLETT PACKARD HP 54720A oscilloscope mainframe with no modules |
3367 | Hewlett Packard HP 6227B Dual DC Power Supply, 0-25V, 0-2A, 423663 |
3368 | Hewlett Packard HP Agilent 6033A System Power Supply, 0-20V/0-30A, 200W, 423601 |
3369 | Hewlett Packard, HP 10432A 10:1 Miniature Passive Probe, 10400A, 420890 |
3370 | Hewlett Packard, HP, 10432A 10:1 Miniature Passive Probe, 10400A, 422411 |
3371 | Hewlett-Packard HP 0950-3807 AC/DC Adapter, 422394 |
3372 | HEY BA-75 KF16, NW16 Flange, Manual Isolation Valve, Shutoff Valve, 417442 |
3373 | High Vacuum Pparatus MFG, HVA 101-6000MSB, Gate Valve. 419717 |
3374 | High Yield Technology 233-3019-30, On-Board Controller, PM-200 HYT PM200. 419151 |
3375 | High Yield Technology 233-3019-30, On-Board Controller, PM-200 HYT PM200. 419152 |
3376 | Hine Design 10451-808 Arm 4.3 S2/CE Control PCB, FAB 10450-001, Asyst, 415656 |
3377 | Hine Design 10451-808 Arm 4.3 S2/CE Control PCB, FAB 10450-001, Asyst, 415657 |
3378 | Hine Design 10554 Arm 4.3 S2/CE Control PCB, FAB 10450-001, Asyst, 415655 |
3379 | Hine Design 13075-801 Arm 4.3 S2/CE Control PCB, FAB 10450-001, 415654 |
3380 | HINE DESIGN BOARD ASSY 778-11 |
3381 | HINE DESIGN BOARD ASSY 778-11, FAB 777, REV H |
3382 | Hine Design Process Chamber Elevator Asyst 04290-201 Novellus P/N 94-1118 |
3383 | Hirschmann Stake 3 Rectangular Receptacle Cable, Connector, 423115 |
3384 | Hitachi 2SK221 , Silicon N V-MOSFET, E501-42, Transistor. 416699 |
3385 | Hitachi 568-5530 COL-DCPS Board for Hitachi CD SEM |
3386 | Hitachi CA9003, Fiber Optic Cable, AH-15M, F1440, M200-250-H. 417109 |
3387 | Hitachi M-308ATE, metal etch system w power rack, Delatech scrubber gas cabinet |
3388 | Hoffman 84″ Generator Rack for HDP300, Novellus 19-260538-00 |
3389 | Hoke 7387004R Valve 100 PSIG, 316 SST / EPDM 420429 |
3390 | Hoke 7387004R, Valve 100 PSIG, 316 SST/ EPDM. 418440 |
3391 | Hokuyo Automatic Co PB9-10 Obstruction Sensor Assy, RPB9007, DC24V, 330276 |
3392 | Hokuyo Automation BRC-G2BR Sensor module |
3393 | Hokuyo DMS-HB1-Z1 OMRON XM2S-25 |
3394 | Honey Cone Baffle Liner. 416064 |
3395 | Honeywell 718N4 5/8″ skinner valve, looks new |
3396 | Honeywell HPF-T001-H, Fiber Optics Cable, Micro Switch, 112-01411-01. 419882 |
3397 | Honeywell target, M2000 sputtering, 59 Ti, .500X4.460X13.050 inches, PI000-04462 |
3398 | Honeywell target, M2000 sputtering, 59.5Ti/.5CU, 4.460X11.64X13.050, PI000-05076 |
3399 | Honeywell target, sputtering, M2000 49.5 Ti,.500X4.460X13.050inches, PI000-04462 |
3400 | Honeywell v Skinner Valve |
3401 | HORIBA CM-100 Digital Control Unit, Surplus |
3402 | Horiba Stec 331074 Flow Sensor, 960525, 8905290306, 422772 |
3403 | Horiba STEC 4400 MFC Mass Flow Controller, 500 SCCM SiH4, STEC SEC-4400MC |
3404 | HORIBA STEC injection valve IV-2410AV-03 used |
3405 | Horiba Stec IV-2410AV Injection Valve, 424114 |
3406 | Horiba Stec LF-210A-EVD Liquid MFC, Ta(OC2H5)5, 0.05 g/min, Extra connector |
3407 | Horiba Stec LF-210A-EVD Liquid MFC, Ta(OC2H5)5, 0.05 g/min, Mass Flow Controller |
3408 | Horiba Stec LF-210A-EVD Liquid MFC, TDEAHf, 0.05 g/min, Mass Flow Controller |
3409 | Horiba Stec LF-210A-EVD Liquid MFC, TDEAHf, 0.2 g/min, 344264 |
3410 | Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1 g/min, 108992 |
3411 | Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1 g/min, 111325, Missing valve |
3412 | Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1 g/min, 332240, No isolation valve |
3413 | Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1 g/min, Mass Flow Controller, 3331 |
3414 | Horiba Stec LF-310A Liquid MFC, TEPO, 0.1 g/min, Missing interface control |
3415 | Horiba stec LF-310A MFC, LF-310A-EVD, TEB, 0.5 g/min, S7865 |
3416 | Horiba stec LF-310A MFC, LF-310A-EVD, TEPO, 0.25 g/min, S0403 |
3417 | Horiba Stec LF-310A-EVD Liquid Flow Controller, TEB gas, 0.5 g/min Range, 23884 |
3418 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min 400582 |
3419 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min 400583 |
3420 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min, 3030-05744, 400584 |
3421 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min, 3030-05744, 400587 |
3422 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min, 400588 |
3423 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEPO 0.2 g/min, 400590 |
3424 | Horiba Stec LF-310A-EVD Liquid Mass Flow Meter MFC TEPO 0.2 g/min, 400585 |
3425 | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.2 g/min, 109657, mass flow control |
3426 | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.2 g/min, Mass Flow Controller, 108361 |
3427 | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.5 g/min, 107856, mass flow control |
3428 | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.5 g/min, 60209304, mass flow control |
3429 | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.5 g/min, Mass Flow Controller, 210429 |
3430 | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.5 g/min, S2100862 |
3431 | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.5 g/min, S2101, missing valves |
3432 | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.2 g/min, 210376, mass flow control |
3433 | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25 g/min, 110956, mass flow control |
3434 | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25 g/min, Mass Flow Controller, 1068 |
3435 | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25 g/min, S110777030 liquid flow |
3436 | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25g/min, Mass Flow Controller, 10716 |
3437 | Horiba Stec LF-310A-EVD, LFC, TEPO, 0.25g/min, 3030-07719. 416412 |
3438 | Horiba stec LF-410A MFC, mass flow controller, LF-410A-EVD, TEOS, 1.5 g/min |
3439 | Horiba STEC LF-410A-EVD LFC Liquid Flow Controller, TEOS, 3g/min, 422892 |
3440 | Horiba STEC LF-410A-EVD LFC Liquid Flow Controller, TEOS, 3g/min, 422893 |
3441 | Horiba STEC LF-410A-EVD LFC Liquid Flow Controller, TEOS, 4g/min, 422894 |
3442 | Horiba STEC LF-410A-EVD LFC Liquid Flow Controller, TEOS, 4g/min, 422897 |
3443 | Horiba STEC LF-410A-EVD LFC Liquid Flow Controller, TEOS, 7g/min, 422898 |
3444 | Horiba STEC LF-410A-EVD Liquid Flow Controller, TEOS, 3g/min, 3030-08008, 422891 |
3445 | Horiba STEC LF-410A-EVD Liquid Flow Controller, TEOS, 4g/min, 3030-7663, 422895 |
3446 | Horiba STEC LF-410A-EVD Liquid Flow Controller, TEOS, 4g/min, 3030-7663, 422896 |
3447 | Horiba Stec LF-410A-EVD Liquid Flow MFC TEOS 1.5 g/min, 401012 |
3448 | Horiba Stec LF-410A-EVD Liquid MFC, mass flow controller, TEB, 3.0g/min |
3449 | Horiba Stec LF-410A-EVD Liquid MFC, TEB, 3.0 g/min, 9711182, mass flow control |
3450 | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 10 g/min, 105546, Mass Flow Control |
3451 | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, 1071301, mass flow control |
3452 | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, 108846, Mass Flow Control |
3453 | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, 604723, Missing valve |
3454 | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, Mass Flow Controller, 10859 |
3455 | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, Mass Flow Controller, 11126 |
3456 | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, Mass Flow Controller, 21014 |
3457 | Horiba Stec LF-410A-EVD Liquid MFC, TEPO, 1.5g/min, Mass Flow Controller, 110828 |
3458 | Horiba Stec LF-410A-EVD Liquid MFC, Unknown gas |
3459 | Horiba Stec LF-410A-EVD, Liquid Flow Controller,TEB, 1.5g/Min 3030-08436. 416406 |
3460 | Horiba Stec LF-410A-EVD, Liquid Flow Controller,TEB, 1.5g/Min 3030-08436. 416407 |
3461 | Horiba Stec LF-410A-EVD, Liquid Flow Controller,TEB, 1.5g/Min 3030-08436. 416408 |
3462 | Horiba Stec LF-410A-EVD, Liquid Flow Controller,TEB, 1.5g/Min 3030-08436. 416409 |
3463 | Horiba Stec LF-410A-EVD, Liquid Flow Controller,TEB, 1.5g/Min 3030-08436. 416410 |
3464 | Horiba Stec LF-410A-EVD, Liquid Flow Controller,TEB, 1.5g/Min 3030-08436. 416411 |
3465 | Horiba Stec LF-510 Liquid MFC, H2O, 20 CCM, Mass Flow Controller, X199303 |
3466 | Horiba Stec LF-510A Liquid MFC, TEOS, 10 g/min, Mass Flow Controller, 2385 |
3467 | Horiba STEC LF-F40M-A-EVD LFC Liquid Flow Controller, Ethanol, 3.0 g/min, 422567 |
3468 | Horiba Stec LV-310 Liquid MFC, BUTYL ACETATE, 0.2 g/min, Mass Flow Controller |
3469 | Horiba Stec LV-310 Liquid MFC, OCTANE, 0.2 g/min, Mass Flow Controller, 210439 |
3470 | Horiba stec MFC Mass Flow Controller LF-310A, LF-310A-EVD, TEPO 0.25 g/min S0404 |
3471 | Horiba Stec MFC SEC-4400, SEC-4400M (CH3)SiH3 gas, 300 SCCM, S271302878 |
3472 | Horiba Stec MFC SEC-4400, SEC-4400M, C4F8 gas, 50 SCCM, S282406823 |
3473 | Horiba Stec MFC SEC-4400, SEC-4400M, CF4 gas, 50 SCCM, S271403549 |
3474 | Horiba Stec MFC SEC-4400, SEC-4400M, CH3OH gas, 200 SCCM, S252202506 |
3475 | Horiba Stec MFC SEC-4400, SEC-4400M, H2 gas, 1 SLM range, S252300424 |
3476 | Horiba Stec MFC SEC-4400, SEC-4400M, H2 gas, 1000 SCCM, S2350097 |
3477 | Horiba Stec MFC SEC-4400, SEC-4400M, O2 gas, 500 SCCM, S272600092 |
3478 | Horiba Stec MFC SEC-4400, SEC-4400M, SiH4 gas, 200 SCCM, S2517983 |
3479 | Horiba Stec MFC SEC-4400, SEC-4400MC SiF4 gas, 50 SCCM range, S520344 |
3480 | Horiba Stec MFC SEC-4400, SEC-4400MC, C3H2F6 gas, 50 SCCM, S651026 |
3481 | Horiba Stec MFC SEC-4400, SEC-4400MC, CF4 gas, 200 SCCM, S2245097 |
3482 | Horiba Stec MFC SEC-4400, SEC-4400MC, He gas, 300 SCCM, S272101012 |
3483 | Horiba Stec MFC SEC-4400, SEC-4400MC-186 SiH2Cl2 gas, 400 SCCM, S730718 |
3484 | Horiba Stec MFC SEC-4400, SEC-4400MC-RUC, Ar gas, 200 SCCM, S281504299 |
3485 | Horiba Stec MFC SEC-4400, SEC-4400MC-UC, C4F8 gas, 20 SCCM, S251603236 |
3486 | Horiba Stec MFC SEC-4400, SEC-4400MC-UC, CH3F gas, 100 SCCM, S2362084 |
3487 | Horiba Stec MFC SEC-4400, SEC-4400MO, SiH2Cl2 gas, 300 SCCM, S2344191, 325402 |
3488 | Horiba Stec MFC SEC-4400, SEC-4400M-SUC, SiF4 gas, 100 SCCM, S610746 |
3489 | Horiba Stec MFC SEC-4400, SEC-4400RC, C3F8 gas, 50 SCCM, S2263363 |
3490 | Horiba Stec MFC SEC-7440, SEC-4400MO, BF3 gas, 5 SCCM |
3491 | Horiba STEC MV-1242-PV LFC, Liquid Flow Controller, IPA, 2g/min, MV-1000, 422308 |
3492 | Horiba Stec SEC-4400 MFC, mass flow controller, SEC-4400M, N2, 100 SCCM |
3493 | Horiba Stec SEC-4400 MFC, SEC-4400M, N2, 1 SLM, 325151 |
3494 | Horiba Stec SEC-4400 MFC, SEC-4400M, N2, 1 SLM, S6290 |
3495 | Horiba Stec SEC-4400 MFC, SEC-4400M, N2, 100 SCCM |
3496 | Horiba Stec SEC-4400 MFC, SEC-4400M, SiH4, 10 SCCM, S721518 |
3497 | Horiba Stec SEC-4400 MFC, SEC-4400MC, SiH4, 30 SCCM, S460318 |
3498 | Horiba Stec SEC-4400 MFC, SEC-4400MC, SiH4, 50 SCCM, S35013 |
3499 | Horiba Stec SEC-4400 MFC, SEC-4400RC, Ar Gas, 10 SCCM, S740252 |
3500 | Horiba Stec SEC-4400 MFC, SiH4, 30 SCCM, S282305545 |
3501 | Horiba Stec, SEC-3400S MFC, SEC-3400SL, WF6 gas, 10 SCCM Range, S1750 |
3502 | Horiba Stec, SEC-4500, SEC-4500MO-UC MFC, O2 gas, 10 SLM range, S24261 |
3503 | Horiba Stec, SEC-7330 MFC, SEC-7330M, SiH2Cl2 Gas, 200 SCCM Range, S2821011 |
3504 | Horiba Stec, SEC-7330 MFC, SEC-7330M, SiH4 Gas, 300 SCCM Range, S1312402 |
3505 | Horiba Stec, SEC-7440 MFC, SEC-7440MC, He Gas, 200 SCCM Range, S234237 |
3506 | Horiba Stec, SEC-F730M A1 MFC, SiH4 Gas, 20 SCCM Range, S 281301 |
3507 | HP 05372-60007 PCB BOARD |
3508 | HP 5328A UNIVERSAL COUNTER |
3509 | HP 6115A Precision power supply 0-50V @ 8A, 50-100V @ 4A |
3510 | HP 8140A Loss Test Set |
3511 | HP 8712B RF Network Analyzer |
3512 | HP A3495-60001, HP-PB 10/100Base-TX, HP Hewlett Packard, H04525-007, REV C02 |
3513 | HP A6685A HP-HSC Fibre channel, 1 Gbps, A6685-6200 Assembly PCB board |
3514 | HP AD90367, Quantum Controller Server Module, 5 Crossroads Systems, PCB. 416435 |
3515 | HP Hewlett Packard 6651A System DC power Supply system 0-8V, 0-50A |
3516 | HP Hewlett Packard 6651A System DC power Supply system 0-8V, 0-50A, 328072 |
3517 | HP Hewlett Packard 6651A System DC power Supply system, 220V |
3518 | HP Impedence Analyzer 16072-26561, A-3030, 16072A, OPT.001 |
3519 | HP Officejet D135 All-In-One Multi-function Printer, 422393 |
3520 | HP Quantum Controller Server Module, 5 Crossroads Systems AD90368 PCB. 416404 |
3521 | HP Scanjet 7650, Regulatory Model FCLSD-0501, Product L1940A |
3522 | HP-Compaq 454319-001 Multimedia Graphics Card 256MB |
3523 | HPS 99H0334, MHB 1″X.008″X54″ OAL, QF25, 54″ KF25 Braided Vacuum Bellow Tube |
3524 | HPS KF50, MKS NW50 Vacuum elbow, 90 Deg |
3525 | HPS MKS NW80 Centering Seal, AL/B 60-045552-00. 329366 |
3526 | HPS MKS 4515-0016 insulator, heat blanket, KF40 flange |
3527 | HPS, MKS BOULDER CO, Humphrey 062 4E1, 24DC 0, 125 PSI, Valve, Vacuum. 415248 |
3528 | HPS, MKS BOULDER CO, Humphrey 062 4E1, 24DC 0, 125 PSI, Valve, Vacuum. 415249 |
3529 | HQ Power PS3003U Power Supply, 0-30V, 0-3A, 423626 |
3530 | HQ Power PS3003U Power Supply, 0-30V, 0-3A, 423627 |
3531 | HTC Ball Valve, 1000WOG, CF8M, 7660, 2316 |
3532 | HTO Flange Inlet, Chamber interface, Vacuum chamber, Manifold Flange Plenum |
3533 | HTS Amptek AWH-052-020D 2FT x 0.5IN, 156 Watts, 240 Volts |
3534 | Hub, Keyed, Opto Sensor 7700 Rotation |
3535 | Hubbell GF5252GY, GFCI, Ground Fault Circuit Interrupter |
3536 | Hubbell GF5252IA Ground Fault Device, 20A, 125VAC, 423290 |
3537 | Humphery CT-8 Pneumatic Cyl Semitool 72068-185 8DPI .5m / pb84 ct8 rs3, 406933 |
3538 | Humphrey 062 4e1 Solenoid Valve, General Purpose, 24 VAC |
3539 | Humphrey 410 21 36 70 Solenoid Valve, 24VDC, 4.5W, VAC-125 P.S.I., 423027 |
3540 | Humphrey H040M16A, Sun-X SL-MP16, BES-6771-001 RevC, 16 Station Manifold. 415390 |
3541 | Humphrey H110M2A, Solenoid Valve Mainfold, 2 HA110-4E2-PSL. 420146 |
3542 | Humphrey H110M2A, Solenoid Valve, Manifold w/ Air Logic R-7100 Regulator. 420145 |
3543 | Humphrey HRAPS5-90-S, Rotary Actuator. 420078 |
3544 | Humphrey Solenoid Valve D0006-13A |
3545 | Huntington 4 Way Vacuum Cross Tee |
3546 | HUNTINGTON VACUUM VALVE PV-150-SF, used |
3547 | HVA 11211-0400X-001 Gate Valve, 417167 |
3548 | HVA 21241-0709R-001, VK3240L, Gate Valve. 417219 |
3549 | I.T.E. Wide Range Power Supply PW102, Asyst 9700-8734-01, and cables |
3550 | IAI RCP-C-RSAI, Robocylinder RSAIL-100, cylinder controller |
3551 | IBM CD Driver 1969-010, 1S1969010970004295, P/N 03L3051 |
3552 | IBM single board computer, AP5200IF, V1.2 |
3553 | IBM ThinkPad Mini-Dock 287810U |
3554 | I-Bus Systems 103-00192-00 Bus Board PCB, Equipe 103-00082-00, 4913-2406, 418580 |
3555 | IC Sensors 3145-050 lot’s of 2 |
3556 | ICD Heateflex 6500 Microtemp System Controller looks new, sell as used |
3557 | ICE MIO-A-2-608 Analog voltage 2 point output module |
3558 | ICE MIO-IDC-32-300, 24VDC 32 Point Input Modules. 323096 |
3559 | ICPCON CPU 80188-40 ETHENET 10 BASE T, C-7188EX CONTROLLER, 192-9-200-84 |
3560 | IDEC 41-10650 Pushbutton Switch AVWD413R, DISCO AHAVWD413RVL 401881 |
3561 | IDEC ABD111N Industrial push button switch with black, green, and red covers |
3562 | IDEC BNH15LW P 50 pieces |
3563 | IDEC Circuit Protector NRBM3111, Metron 3104600, 15A, 3 Phase, VDE |
3564 | Idec Corporation HWIB-V4F02-R Pushbutton, Lot of two |
3565 | IDEC GT3A-6EAF20, Electronic Timer, DPDT, 100-240VAC. 419712 |
3566 | IDEC GT3A-6EAF24, Electronic Timer, DPDT, 100-240VAC. 419713 |
3567 | IDEC PS5R-D24 Din Rail Mount Power Supply, WITH POWER CORD |
3568 | IDEC PS5R-E24, 100W Power Supply |
3569 | idec RTE-B1AD24 Electronic Timer |
3570 | IDEC Type NRBM 3111 Circuit Protect, Metron 3104600, CB, 15A, 3P, VDE, 422405 |
3571 | IDI 301-M6L1-S Pumpless Pump 551036060 ADS Unit Switch Between 2 Bottles 401117 |
3572 | IDI Resist Pump Type 1000 Transducer 221-962-116-000 Integrated Design 1-130-018 |
3573 | IFC10, SE10-104 PCB, BOARD CONTROLLER 401529 |
3574 | IFC10, SE10-104 PCB, BOARD CONTROLLER 401530 |
3575 | IFC10, SE10-104 PCB, BOARD CONTROLLER 401531 |
3576 | IFCIO, SEIO-104 PCB, AP-50, HI07006A, PCB 400573 |
3577 | IGC FI-5A Polycold Cryogenic Refrigeration Unit, R2000 Series, 940068-12, 423424 |
3578 | IGC Polycold Cryogenic refrigeration unit 1XCL-1 |
3579 | IGC Polycold Cryotiger water pump 11102-05 |
3580 | IKO LWL12C1R200H Linear Guide, LM, Elevator, 420363 |
3581 | IKO LWL12C1R270H Linear Guide, LM TT, Nippon Thompson, 420364 |
3582 | IKO NIPON THOMPSON LWM 2 E75 LINEAR RAIL 1.5X19 402446 |
3583 | ILLUMINA 250878 PCU-201 ACHIMEDES, 250659, DCDC converter CPD250-4530G |
3584 | ILX Lightwave LDC-3722B LASER DIODE CONTROLLER |
3585 | Image Scan, Image Capture Assembly, Controller, Stage, Faldo Drive. 415967 |
3586 | Imation Travan NS 20 GB Tape |
3587 | Impedence Analyzer, 398456 |
3588 | IMS Vanguard w/ 2 AT8000B Programmable DC System 2 HP Agilent 6651A DC P.S. |
3589 | Imtec Acculine 10-000-0126 OZ series accubath,constant temperature, QZ-A1252 00R |
3590 | Industrial Computer, Pentium CPN, SBC Single board, AP5200IF, No CPN Fan |
3591 | Industrial gas springs 790-054242-007, 327951 |
3592 | Inficon 12201 Ecotec II Refrigerant sniffer probe leak detector No sniffer probe |
3593 | Inficon 60-1008-P15 Power Supply Extension Cable 402347 |
3594 | INFICON AG, LI-9496 Balzers, CDG100A, 100 TORR |
3595 | Inficon Capacitance Diaphragm Gauge CDG100A , 1333.22Pa |
3596 | Inficon CR090 Capacitance Diaphram Gauge CR090 |
3597 | INFICON IPC400 Model # 922-602-G1 |
3598 | Inficon Thick Wall Vacuum Bellow, Metal hose DN 40 ISO-KF 250m |
3599 | Infiniband PCB SilverStorm HCA 9000 7104-HCA-128LPX 900440-200-1 HannStar 399687 |
3600 | Infiniband PCB SilverStorm HCA 9000 7104-HCA-128LPX 900440-200-1 HannStar 399688 |
3601 | Infiniband PCB SilverStorm HCA 9000 7104-HCA-128LPX 900440-200-1 HannStar 399689 |
3602 | Infiniband PCB SilverStorm HCA 9000 7104-HCA-128LPX 900440-200-1 HannStar 399690 |
3603 | Infiniband PCB SilverStorm HCA 9000 7104-HCA-128LPX 900440-200-1 HannStar 399691 |
3604 | Infiniband PCB SilverStorm HCA 9000 7104-HCA-128LPX 900440-200-1 HannStar 399692 |
3605 | Infiniband PCB SilverStorm HCA 9000 7104-HCA-128LPX 900440-200-1 HannStar 399693 |
3606 | Infiniband PCB SilverStorm HCA 9000 7104-HCA-128LPX 900440-200-1 HannStar 399694 |
3607 | Infiniband PCB SilverStorm HCA 9000 7104-HCA-128LPX 900440-200-1 HannStar 399695 |
3608 | Infiniband PCB SilverStorm HCA 9000 7104-HCA-128LPX 900440-200-1 HannStar 399696 |
3609 | Infiniband PCB, SilverStorm HCA9000 Rev.A2, 7104-HCA-128LPX, 900440-2001. 415385 |
3610 | Infiniband PCB, SilverStorm HCA9000 Rev.A2, 7104-HCA-128LPX, 900440-2001. 423222 |
3611 | Infinicon Transceptor CPM200 Residual gas analyzer RGA |
3612 | Infranor BAA-220T20A Servo Controller, 220VAC, 3 Phase, 420331 |
3613 | Infranor BAA-220T20A Servo Controller, 220VAC, 3 Phase, 422574 |
3614 | Infranor BAA-220T20A Servo Controller, 220VAC, 3 Phase, 422575 |
3615 | Infranor BAA-220T20A Servo Controller, 220VAC, 3 Phase, 422576 |
3616 | Infranor SMTAS Brushless Servo Controllers, 3 SMTAS-220/10, SMTAS-220/17, 422583 |
3617 | In-Line Pneumatic Valve, MKS KF-40, body cleaned |
3618 | Insulator, FIL, Feed-Thru, 17033030, 422827 |
3619 | Integrated Flow System, 2 position Auto Purge GAS bottle station Micro TORR PS11 |
3620 | Integrated Power system REL110-1006-AC6 WT/PFP3 Power with 17-174297-00-2 mount. |
3621 | Intel 146040-001 Control board, Daughter board for westinghouse 8MSP6 |
3622 | Intel 2CL520A013600 Assy, Belt B |
3623 | Intel 8/16 LAN Adapter 306451-013 PCLAB8100,8105,8110,8120 |
3624 | Intel 862100 TXN174312013F06 |
3625 | Intel A46654-X01, Englewood Interim Board, PCB, A46654-X01 Rev. 1.1. 416550 |
3626 | Intel CCMII V1.2.1 #6, 478679-004, PCB, ISG2000 DS. 416502 |
3627 | Intel E36065-03 PCI, PCI-E Dual port network server |
3628 | Intel PBD54166-002 SKU1-256MB |
3629 | INTEL PBn 146840-001 BOARD |
3630 | Intel PRO/100+, MIC D33025, E-G021-01-1539, P315030-BR1, PCB, Adapter. 416646 |
3631 | Intel Pro/1000 GT, P322547-AR1, Single Port Server Desktop Adapter. 416641 |
3632 | INTEL Pro/1000 MT Server Adapter 416639 |
3633 | Interface PCA, 62-505780-00 Rev A01, 72-505780-00 Rev A03 RIN 845 0P3894A 395628 |
3634 | Interface PCB, 62-505780-00 Rev. A02, 395619 |
3635 | Interfan PM040-115-3B 115 VAC Equipment Fan, Impedence Protected |
3636 | Intergrated Time Systems ITS 800-0416 Microprocessor Control Center, controller |
3637 | Intergrated Time Systems Microprocessor Control Center |
3638 | InterlinkBT RSM WKM 572, 1.9 MK1126 0620-30005 |
3639 | Intermec 068487-003 Centronics Parallel Interface Card PCB 056830 052713, 421616 |
3640 | Intermec EasyCoder 3400 |
3641 | Intertia Dynamics 9700-9534-01 Rev B |
3642 | INTL, INTRLK/OVRRD, DOOR, INTEGRA, 12-9975-009 |
3643 | Invax Tech esc-5142, 594, ESC, TZ-8DB, part # 01420-011 electrostatic chuck |
3644 | IOMEGA ABP-960U, assy # 3201-0085-01 |
3645 | Iomega Zip Floppy Disk Drive Z100P2 |
3646 | Ion Model 775 Pvs Periodic Verification System |
3647 | ION periodic verification system Model 775 PVS, electrostatic fielmeter, charger |
3648 | IPEC / Planar 2850-719087 Waferhead Gearmotor |
3649 | IPEC / Planar 0125-717425 NSK EE Style Motor ASO408FN001 |
3650 | IPEC / Planar 0150-109298, Polish head gear motor with paperwork |
3651 | IPEC 0720-104387 Bracket, 423178 |
3652 | IPEC 2807-719068, MP2 CYAN 16mb 70ns Micro Craft Controller |
3653 | IPEC Planar Carrier Drive assy with Morse J97MJ6266 1.06 HP motor Speedfam |
3654 | IPEC Speedfam 2805-739261 E, Pneumatic Assembly with Mac Valves, 56C-13-591JC |
3655 | IPEC speedfam 2805-740555 F, Pad conditioner assembly |
3656 | IPEC Speedfam 2850-112600 Hoist Load Cell Test Fixture With Force Sensor |
3657 | IPEC Speedfam 372M-46087-1 Shuttle Force Sensor Pneumatic Assy |
3658 | IPEC speedfam 4191998-0001 Wafer head gear motor kit |
3659 | IPEC Speedfam 946603 Distribution shafts, SS |
3660 | Ipec Speedfam Berkeley ASM121-A-0/A-22-NB/10 AC Brushless Servo Motor, 421591 |
3661 | Ipec speedfam novellus , 040601-006-101104 AC3320A1 Sleeve |
3662 | IPEC Speedfam Novellus 0760-727388 Pneumatic end effector housing SMC switch |
3663 | IPEC SpeedFam Novellus 2805-103891 Assy, Platen Spindle Cooled Polisher, 421034 |
3664 | IPEC Speedfam Novellus 2850-739458, Robot 676, 420581 |
3665 | IPEC Speedfam Novellus 372M-46093-1 Flow Assembly with 2 BECO M442C2DFS-HCAP-36 |
3666 | IPEC Speedfam Novellus 951532 cable assy, 20 pin round mini connector to 24 pin |
3667 | IPEC Speedfam Novellus 951651 Trantorque Keyless Bushing 11.0mm i.d., 320488 |
3668 | IPEC Speedfam Novellus 952363 Ball Valve, Mace Q4000, 420964 |
3669 | IPEC Speedfam Novellus 960262 Arm, Assembly Rest. |
3670 | IPEC Speedfam Novellus 971219-014-002547 Tube, 2400-739682, 420920 |
3671 | IPEC speedfam novellus 972856, siemens 3TF32 11-0BB4, contactor, 3ph, 24VDC |
3672 | Ipec Speedfam Novellus 978327, Yamada PD15V5 Pressure Pulsation damper, |
3673 | Ipec Speedfam Novellus Berkeley ASM121-A-0/B-22-NB/10 Servo Motor, 421592 |
3674 | Ipec Speedfam Novellus Berkeley ASM121-B-0/B-16-NB/10 Servo Motor, 421593 |
3675 | Ipec Speedfam Novellus End effector DEND-0014 with HAMA sensor kit DD-50 |
3676 | IPEC speedfam Novellus PEEK Pin 010806-003-101104 975516 |
3677 | IPEC Speedfam, 0720-106339 A, Diaphragm |
3678 | IPEC Speedfam, 2805-112389, Pad assembly |
3679 | Irvine Optical Corp. U22BPL1 PCB |
3680 | Irvine Optical U408 BKPL3 RV1, Backplance PCB |
3681 | ISA CLEANPART 233-2700-41 BLOCKER PLATE SHOWER HEAD |
3682 | Isel Robotik Wafer Handling Robot 10-21-0014-51000-T001 |
3683 | iselRobotik vacuum robot, isel Robotik 10-21-0027-70000-T002, |
3684 | ISIOC DAMA CLEAN BB-DFE DIGITAL DYNAMICS G19-10000-00 |
3685 | ISIOC HCM APVD NOVELLUS 02-276953-00 REV. 1 |
3686 | ISIOC HI-LO TRANSFER NOVELLUS 02-131736-00 REV.A |
3687 | ISIOC PDL OXIDE 2 INTERLOCK NOVELLUS 02-269177-00, Rev1 |
3688 | ISIOC Power supply, 02-125105-00, 27-053659-00 used |
3689 | ISIOC RF HCM PVD-PVD RACK NOVELLUS 02-124572-00 REV. 1 |
3690 | ISO Flange Reducer, Vacuum Pump, w/ KF25 Connection, 422839 |
3691 | ISO-100 Centering Ring, ISO-100-CR-AV, NEW |
3692 | ISOC HI-LO TRANSFER NOVELLUS 02-131736-00 REV. A |
3693 | ISO-KF NW40, 5.12LG, SS, 100315105, 2N-NW-40B-5.12, 650503151005 |
3694 | ITX-E6R PCB Board, Intel NH82801ER, 417204 |
3695 | IVS 0001-00060 Control module for SEM |
3696 | Iwaki Bellows Pump SB-3TI-I-M-S, Bellows Pump, 8ml/ST, .05kfg/cm². 419727 |
3697 | Iwaki EH Controller EHC-23UPE Metering Pump EHE55FF-23UPE12 |
3698 | Iwaki Mag-Drive Pump CMD-280 Head (ft) 42, Capacity (GPM) 2.5 |
3699 | Iwaki PD-20R, Pulse Dampener, Pump, Air Driver, Bellow, Pump. 417843 |
3700 | Iwashita Engineering, IEI, AD3000 Pressure Regulator Automatic Dispenser, 416345 |
3701 | Ixia Communications LM100TX 4 Port 10/100 Base-T Ethernet Load Module |
3702 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply, 420566 |
3703 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply, 420567 |
3704 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply, 420568 |
3705 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply, 420569 |
3706 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply, 420570 |
3707 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply, 420571 |
3708 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply, 420572 |
3709 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply, 420573 |
3710 | J.A. Woollam SSB-600-06.03 PCB Board, 420444 |
3711 | J.A. Woollam SSB-600-06.03 PCB Board, 420445 |
3712 | J.A. Woollam SSB-600-06.03 PCB Board, 420446 |
3713 | J.A. Woollam SSB-600-06.03 PCB Board, 420447 |
3714 | J.A. Woollam SSB-600-06.03 PCB Board, 420448 |
3715 | J.A. Woollam SSB-600-06.03 PCB Board, 420449 |
3716 | J.A. Woollam SSB-600-06.03 PCB Board, 420450 |
3717 | J.A. Woollam SSB-600-06.03 PCB Board, 420451 |
3718 | J2PS 208VAC to 100VAC power distribution box |
3719 | JAB050 PCB PC-92039A |
3720 | JA-RELAY 1B-TM-DC24V-P 250 VAC 20 Pieces, Solid StateRelay SSR New |
3721 | JB Variable Capacitor 9″, 423260 |
3722 | JB VARIABLE CAPACITOR, 6.5″, 423220, 422944 |
3723 | JB VARIABLE CAPACITOR, RF CAPACITOR TYPE 58 10KV, 1000 PF, 422945 |
3724 | JDS Uniphase Laser 2214-25MLUP |
3725 | JDS Uniphase, JDSU, 2214-25MLVP Laser, 420927 |
3726 | JDSU 1205-1 Laser Power Supply |
3727 | JDSU 2214-20SLUP Laser and Power supply 2114P-20SLUP |
3728 | JDSU 2214-25MLUP Laser and Power supply 2114-25MLUP |
3729 | Jefferson 2036BA03T, G34C087U, Solenoid Valve, 3/8″ N |
3730 | Jennings UCS-300-7.5S Vacuum Variable Capacitor 10-300PF, 423270 |
3731 | Jennings UCS-300-7.5S Vacuum Varible Capacitor 10-300PF, 423268 |
3732 | Jennings UCS-300-7.5S Vacuum Varible Capacitor 10-300PF, 423269 |
3733 | Jennings UCS-300-7.5S, Variable Vacuum Capacitor, 10-300PF, 7.500 VOLTS, 416286 |
3734 | Jennings UCS-300-7.5S, Vacuum Variable Capacitor, 7.500 VOLTS, 416287 |
3735 | JEOL JSM-6400 F SEM, scanning electron microscope |
3736 | JMC Products 4429711CR-4 Lot of 10 mini Box Fans 24vdc |
3737 | Joystick board Assy 879-8060-006A and 879-7060-004 |
3738 | K.A. Schmersal 50137-12 Switch Assembly, 423318 |
3739 | Kalrez 2-343 oring AS-568A, K#343 Compound 1050LF, 0.210X3.725, O-ring 402436 |
3740 | Kalrez 2-443, AS-568A O-Ring, K-443 Compound 4079, Seal, 418648 |
3741 | Kalrez 5-378 10.475 x 0.210 inch, 78-0161 Oring seal |
3742 | Kalrez AS-568A K#124 Compound 4079 O-Ring, 1.237 x 0.103 in, 420630 |
3743 | Kalrez AS-568A Oring, K# 372, Compound 4079, 8-3/4 X 9-1/8 X 3/16 IN, 414834 |
3744 | Kalrez AS-568A Oring, K# 372, Compound 4079, 8-3/4 X 9-1/8 X 3/16 IN, 414836 |
3745 | Kalrez AS-568A O-Ring, K#004, Compound 4079UP, 5/64 x 13/64 x 1/16 In, 422235 |
3746 | Kalrez AS-568A Oring, K#215, Compound 4079, 1 1/16 x 1 5/16 x 1/18 In, 422327 |
3747 | KALREZ O-RING, 4079, 2-276 40570 |
3748 | Kalrez sahara 2-249 Oring, 8575UP compound, 4.859 x .139 inch, 22-177512-00 used |
3749 | Kalrez Ultrapure 78-0161 Oring compound 8101UP, 10-1/2 x 10-7/8 x 3/16 INCH |
3750 | Karl Suss MA 200 Litho Mask Aligner 200mm wafer 210AA057-03, Suss Microtec |
3751 | Kashiyama Dry Pump Controller PC-026 SP-80266 C6-1282 401184 |
3752 | Kawasaki MT3-028180-B Robot Arm Wafer Handler Fork, End Effector, 420968 |
3753 | Kawasaki MT3-028180-B Robot Arm Wafer Handler Fork, End Effector, 420969 |
3754 | Kawasaki MT3-028180-B Robot Arm Wafer Handler Fork, End Effector, 420970 |
3755 | Kaydon 53150001 Reali Slim Ball Bearing, Microcote 296, 415716 |
3756 | Kaydon KA060XP0 Reali Slim 4-PT Ball Bearing, 6.0000 Bore, 415715 |
3757 | Keitheley 616 digital electrometer 53158 A, 3AG slow blow fuse |
3758 | Keithley 2000 Mulimeter, 423565 |
3759 | Keithley 2000 Multimeter, 423723 |
3760 | KEITHLEY 3706A SYSTEM SWITCH / MULTIMETER LXI, 3706 A, POWER ON, 423588 |
3761 | Keithley 616, Intel E77258, Digital Electrometer. 416340 |
3762 | Keithley DAS-50, PC7022, DAS-5014, DAS-50 PC7012, Memory board, PCB |
3763 | KEITHLEY MODEL 707 SWITCHING MATRIX |
3764 | KEITHLEY Model 9720 Guard Test Fixture USED |
3765 | Kelrez AS-568A, O-Ring, K#015, Compound 4079, 9/16×11/16×1/16 in. 423161 |
3766 | KELTRON CORP MINI PRINTER MM4081/5/TU-1 |
3767 | Kenisgton labs SBC 4000-6010-02 PCB board, REV L, 397822 |
3768 | Kensington lab, 77-4000-6108-00 Robot axis multilink Z, PCB, 7-0003-02, Z axis |
3769 | Kensington Laboratories Inc. Model 40000 Servo Positioning Controller |
3770 | Kensington Laboratories Inc. Model 40000 Servo Positioning Controller 401045 |
3771 | Kensington Laboratories Inc. Model 40000 Servo Positioning Controller 401046 |
3772 | Kensington Laboratories Inc. Model 40000 Servo Positioning Controller 401052 |
3773 | Kensington Laboratories, 25-3600-0300-02, Wafer Prealigner. 416990 |
3774 | Kensington Labs 4000-6002 Axis Board PCB |
3775 | Kensington labs 4000-6002 AXIS PCB board, REV W.3 |
3776 | Kensington labs 4000-6002 AXIS PCB board, REV W.3, 398465 |
3777 | Kensington labs 4000-6002 AXIS PCB board, REV W.3, 398467 |
3778 | Kensington labs 4000-6002 AXIS PCB board, REV W.3, 398468 |
3779 | Kensington Labs 4000-6002 AXIS REV W.3, 397809 |
3780 | Kensington Labs 4000-6002 PCB, 5-0009-00, Y-Axis, 422819 |
3781 | Kensington Labs 4610-0000-01 SBC Rev K.1 Board |
3782 | Kensington Labs Robot Control PCB 4000-6010-02 |
3783 | Kensington Newport WH4, Robot assembly WFH4Cm.TT/LR 04-6288-01-08 EMP |
3784 | Kepco 0024782 Power supply, Novellus ipec speedfam 27-0530701-00 PECVD system |
3785 | Kepco 26248 Novellus 27-272441-00 PVD Power Supply |
3786 | Kepco 26372, Programmable Power Supply with 9 DC outputs, Novellus 27-272441-00 |
3787 | Kepco Flushing 0024782 Power Supply |
3788 | KEPCO Power supply RAX15-20K |
3789 | Kepco TMA VXI-27 Plug And Play Power Supply Controller, 416444 |
3790 | Kernco Instruments Wafer Contact angle goniometer Tool for Surface Tension |
3791 | Kerrex G70P-123, Oring 2-123, high temp white perfluoroelastomer,gasonic 78-2781 |
3792 | Keyboard, Video, CPU Switch Box, AB-0198S |
3793 | Keyed AC Switch Box |
3794 | Keyence BL-550H Bar Code Scanner BL550H |
3795 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423860 |
3796 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423861 |
3797 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423862 |
3798 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423863 |
3799 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423864 |
3800 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423865 |
3801 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423866 |
3802 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423867 |
3803 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423868 |
3804 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423869 |
3805 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423870 |
3806 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423871 |
3807 | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor, 423872 |
3808 | Keyence Corp FS2-62, Fiber Optic Sensor Amplifier. 416980 |
3809 | Keyence Corporation BL-185, Class 2, DC 5V ;300mA, Barcode scanner. 417218 |
3810 | Keyence Corporation PZ51L (5M), Photoelectric Sensor, Transmitter. 416932 |
3811 | Keyence EZ-12M Proximity Switch, 422171 |
3812 | Keyence Fiber Photoelectric Sensor FS2-60 |
3813 | Keyence FS2, 8 new FS2 sensors repackaged, surplus inventory |
3814 | KEYENCE FS2-62 Photoelectric Sensor |
3815 | Keyence FS-N11CP Digital Fiber Sensor, 7500-5265-01, 397610 |
3816 | Keyence FS-N12CP Digital Fiber Sensor, 420122 |
3817 | Keyence FS-N12CP Digital Fiber Sensor, X5W-5265-02, 422255 |
3818 | Keyence FS-V11, Sensor, 2347160, E813. 423159 |
3819 | Keyence FS-V11P Optic Sensor, Novellus 02-147639-02 |
3820 | Keyence FU 77 New Fiber Optic Sensor |
3821 | Keyence KV-10T Micro PLC, 0125-105610, 420887 |
3822 | Keyence LC-2100 Laser displacement meter |
3823 | Keyence N-400 Multi-Drop Controller Assy w/ 2 N-48 Communication Units. 423411 |
3824 | Keyence N-400 Multi-Drop Controller Assy w/ 2 N-48 Communication Units. 423412 |
3825 | KEYENCE PZ2-42 Micro Optical Sensor 951683 PZ2 42 |
3826 | Keyence PZ-51L, Photoelectric Sensor, Amplifier, PZ-51LR, PZ-51LT. 418496 |
3827 | Keyence Sensor Fiber 7-39-79386, FU-51TZ (2000) |
3828 | KF 16 Centering Seal, Orifice 000 Novellus 02-134129-00 |
3829 | KF 16 Centering Seal, Orifice 014 Novellus 02-134129-14 |
3830 | KF 16 Centering Seal, Orifice 015 Novellus 02-134129-15 |
3831 | KF 16 Centering Seal, Orifice 016 Novellus 02-134129-16 |
3832 | KF 16 Centering Seal, Orifice 017 Novellus 02-134129-17 |
3833 | KF 16 Centering Seal, Orifice 018 Novellus 02-134129-18 |
3834 | KF 16 Centering Seal, Orifice 018 Novellus 02-134129-18 |
3835 | KF 16 Centering Seal, Orifice 019 Novellus 02-134129-19 |
3836 | KF 16 Centering Seal, Orifice 021 Novellus 02-134129-21 |
3837 | KF 16 Centering Seal, Orifice 022 Novellus 02-134129-22 |
3838 | KF 16 Centering Seal, Orifice 024 Novellus 02-134129-24 |
3839 | KF 16 Centering Seal, Orifice 025 Novellus 02-134129-25 |
3840 | KF 16 Centering Seal, Orifice 027 Novellus 02-134129-27 |
3841 | KF 16 Centering Seal, Orifice 028 Novellus 02-134129-28 |
3842 | KF 16 Centering Seal, Orifice 029 Novellus 02-134129-29 |
3843 | KF 16 Centering Seal, Orifice 030 Novellus 02-134129-30 |
3844 | KF 16 Centering Seal, Orifice 031 Novellus 02-134129-31 |
3845 | KF 16 Centering Seal, Orifice 032 Novellus 02-134129-32 |
3846 | KF 16 Centering Seal, Orifice 033 Novellus 02-134129-33 |
3847 | KF 16 Centering Seal, Orifice 034 Novellus 02-134129-34 |
3848 | KF 16 Centering Seal, Orifice 035 Novellus 02-134129-35 |
3849 | KF 25, 90 degree elbow |
3850 | KF 40 5.5″ long extension tube with 1/4 in VCR, Stainless KF40 |
3851 | KF 40 6″ long extension tube, Stainless KF40 |
3852 | KF 40 2″ long extension tube , Stainless KF40 |
3853 | KF 40 Vacuum Bellow 100993726, Assy, Hose, NW40, 20 inch MEDWALL, HP |
3854 | KF 40 Vacuum Bellow FH-150-18-2NW, Hose, Flex NW 1.5″, 2-15688702-370 |
3855 | KF 40 weldment flange KF40 |
3856 | KF 50 manual vacuum isolation valve |
3857 | KF 50 T Vacuum Piping foreline, T NW50 connector, NW-50 |
3858 | KF 50 Vacuum Tube, Foreline |
3859 | KF16 90 Degree Aluminum Vacuum Foreline Elbow |
3860 | KF25 1/2 Oring Pop Off Fitting for Cryo Pump |
3861 | KF25 Flange Seal to 1/4″ VCR Male Fitting, 401631 |
3862 | KF25 Vacuum Bellows |
3863 | KF25 Weldment, NW25 Flange Half Nipple |
3864 | KF40 Assy Vacuum Bellows |
3865 | KF40 Bellows, Vacuum Bellows |
3866 | KF40 FLANGE, VACCUM PIPE, FORELINE 722002, K150-X |
3867 | KF40 Foreline With Bellows |
3868 | KF40 Heavy Assy Vacuum Bellows |
3869 | KF40 Offset Foreline With Bellows |
3870 | KF40 Vacuum Bellow NW-40 |
3871 | KF40 Vacuum Bellow NW-40, IM12234 |
3872 | KF40 Vacuum Bellows |
3873 | KF40 Vacuum Elbow, QF40, WW40 |
3874 | KF40 Vacuum Foreline Tee |
3875 | KF-40 Vacuum Foreline Tee Extended |
3876 | KF40 Vacuum foreline tube, Full nipple, NW-40B Length |
3877 | KF40 Vacuum Tube 3.25 Inches, NW40, QF40 |
3878 | KF40 Vacuum Tube, Full Nipple |
3879 | KF-50 90 Degree Vacuum Foreline Elbow SST |
3880 | KF50 Bellows Vacuum Line 78 inches long |
3881 | KF50 to KF40 Reducer Adapter |
3882 | KF50 to KF40 Reducer, 3162, SA24, 420721 |
3883 | Kikusui PAS60-18 Regulated DC Power Supply, 0-60V, 18A, 423630 |
3884 | Kikusui PAS60-18 Regulated DC Power Supply, 0-60V, 18A, 423631 |
3885 | Kinetics Fluid System Gas Line APTech AK12158 4PL 8800 Regulator Swagelok B-45S8 |
3886 | Kinetics Fluid System Gas Line, Pall GLFPF8202VMM8, Tescom 150, USG Meter |
3887 | Kinetics Fluid System Gas Line, Pall SGLFPF6402VMM4, Tescom 250, USG Meter |
3888 | Kinetics Fluid System Gas Line, Tescom 150, USG Meter |
3889 | Kinetics Fluid System Gas Line, Tescom 250, Millipore C9EM7036, USG Meter |
3890 | Kinetics Fluid System Gas Line, Tescom 44-2213-242-010, ATC L2A-VRGF, Entegris |
3891 | Kinetics Fluid System GS002-H2AV1G0-2346, Intel Gas Stick, Assembly. 416033 |
3892 | Kinetics Fluid System GS90-L2AVRG0-1190 Gas Line, Gas Stick, Tescom, 422571 |
3893 | Kinetics Gas Line, Aeronex CE300KF04R Gas Purifier, Nupro SS-DLVCR4 |
3894 | Kinetics Gas Line, APTech AP1006SM 2PW FV4 FV4 SB, Pall, Ametek, Titan 316L SCG |
3895 | Kinetics Gas Line, APTech AP1010SM 2PW FV4 FV4 SB, Swagelok 6LV-DAVR4-P |
3896 | Kinetics Gas Line, APtech AP1010SM, Ametek gauge, Pall Filter, bent gas line |
3897 | Kinetics Gas Line, APTech AP1010SM, Ametek Gauge, Pall Filter, Ham-Let Valve |
3898 | Kinetics Gas Line, APTech AP1810SM 2PW FV8 FV8, Pall SGLFPF6402VMM6/8, Parker |
3899 | Kinetics Gas Line, Ashcroft Meter, Pall GLFPF3000VMM4, Tescom 44-2213-242-010 |
3900 | Kinetics Gas Line, GS094-00631, Tescom 150, Swagelok B-45S8, Millipore WG2F02RR2 |
3901 | Kinetics Gas Line, Millipore WG2F01HS1, USG, Tescom 150, Whitey B-45S8 |
3902 | Kinetics Gas Line, Pall SGLFPF6402VMM68, Tescom 150, Whitey B-45S8 |
3903 | Kinetics Gas Line, Regulator Tescom 44-2213-242-010 Ametek gauge swagelok |
3904 | Kinetics Gas Line, Regulator Tescom 44-2213-242-010 Ashcroft gauge swagelok 690 |
3905 | Kinetics Gas Line, Regulator Tescom 44-2213-242-010 Ashcroft gauge swagelok, 847 |
3906 | Kinetics Gas Line, Regulator Tescom 44-2213-242-010, Ashcroft gauge, swagelok |
3907 | Kinetics Gas Line, Swagelok 6LV-DAVR4-P, 6LV-DAVR4-PX-C, Tescom 74-24P1KR920-067 |
3908 | Kinetics Gas Line, Swagelok B-43S4, APTech AK1030B 4PL 4 4 0 0 HF, Ashcroft |
3909 | Kinetics Gas Line, Swagelok B-45S8, Tescom 150, Pall SGLFPF6402VMM6/8 |
3910 | Kinetics Gas Line, Tescom 250, Millipore WG2F01HS1, USG Meter |
3911 | Kinetics Gas Line, Tescom 250, Pall SGLFPF6402VMM4, USG |
3912 | Kinetics Gas Line, Tescom 250, USG Meter |
3913 | Kinetics Gas Line, Tescom 250, USG w/ 2 brackets |
3914 | Kinetics Gas Line, Tescom 250, USG w/ 3 brackets |
3915 | Kinetics Gas Line, Tescom 44-2213-242-010, Ashcroft Gauge, Entegris filter |
3916 | Kinetics Gas Line, Tescom 44-2213-242-010, Pall SGLFPF6402VMM4, Ashcroft Meter |
3917 | Kinetics Gas Line, Tescom 60 74-24P1KR920-067, Pall SGLFPF6402VMM4 double ended |
3918 | Kinetics Gas Line, Tescom 60 64-2661KRT20-047, Hamlet HM20 4VKLQ M4, Pall |
3919 | Kinetics Gas Line, Tescom 60, Ametek Gauge, Pall Filter SGLFPF6402VMM4 bent line |
3920 | Kinetics Gas Line, Tescom 60, Ametek Gauge, Pall Filter SGLFPF6402VMM4, Swagelok |
3921 | Kinetics Gas Line, Tescom 74-2462KRH22, Span Meter, Millipore WG2F02PR1, 398280 |
3922 | Kinetics Gas Line, USG Meter, Tescom 250 |
3923 | Kinetics Gas Line, w 2 Swagelok 6LV-DAVR4-P, Tescom 74-24P1KR920-067, Pall |
3924 | Kinetics Gas stick APTECH AP1010SM Regulator Swagelok 6LVV, Millipore, Pall, 923 |
3925 | Kinetics high purity gas stick Tescom 60 regulator, Swagelok 6LV-DAVR4-P, AMETEK |
3926 | Kinetics high purity gas stick Tescom 60 regulator, Swagelok 6LV-DAVR4-P, gauge |
3927 | Kinetics high purity gas stick Tescom 60 regulator, Swagelok 6LV-DAVR4-P, PALL |
3928 | Kinetics high purity gas stick, APTECH AP1006SM regulator, SPAN gauge, Parker |
3929 | Kinetics high purity gas stick, Tescom 150 regulator, Swagelok B-45S8, myrolis |
3930 | Kinetics high purity gas stick, Tescom 44.2213-242-010 regulator, Ametek gauge |
3931 | Kinetics high purity gas stick,TESCOM regulator 44-2213 ,Ashcraft gauge,swagelok |
3932 | King Flowmeter, SCFM, 0-25, 420958 |
3933 | Kit, IL/NIT/BWCVD, Throttle, Valve 233067803 |
3934 | KLA 0325687-000, SC0306-10MM/TQC, SERVOCLASS SC030 |
3935 | KLA Tencor 219403 Cable Assembly, Flex Lead, SFS7500, 418423 |
3936 | KLA Tencor 219403 Cable Assembly, Flex Lead, SFS7500, 422572 |
3937 | KLA Tencor 264156, Chuck Assembly, Shield, 200mm, S7600, Quartz, 402467 |
3938 | KLA Tencor 50-1010 Prometrix, Precision Light Source |
3939 | KLA Tencor 5107 Overlay Inspection System, KLA 5100 series |
3940 | KLA Tencor 52-0282 Motor Filter Wheel, UV 1250, 419243 |
3941 | KLA Tencor 750-370919-001 300UV Robot Arm Box w/ Plate, 750-059525-000, 423073 |
3942 | KLA Tencor Assy 206539 PCB, ADC, SFS75, FAB 206547, 422588 |
3943 | KLA Tencor Assy 293571 PCB, ADC, SFS7700, FAB 310484, 422587 |
3944 | KLA Tencor P11 surface profiler, part tool, no PC with glass stage |
3945 | KLA Tencor Prometrix 54-0220 H2 Theta Interface PCB, 36-0202, UV 1250. 419559 |
3946 | KLA Tencor Prometrix 54-0276 PCB Door Interlock 36-0276, 419240 |
3947 | KLA Tencor Prometrix 54-0324 PCB Environment Sensor 36-0324, 419244 |
3948 | KLA Tencor Prometrix Type F Four Point Probe Head, wafer resistance measurement |
3949 | Klarez 5-378 Oring 78-0161 |
3950 | Klarez 90269 Oring, compound 8375UP, .115 x 8.737 in, 22-147921-00. 329380 |
3951 | Klinger MD4 Stepping Motor Power Driver and MC4 Programmable Controller, 413351 |
3952 | Klinger MD4 Stepping Motor Power Driver and MC4 Programmable Controller, 413353 |
3953 | KLOEHN SYRINGE PUMPS MOTORIZED INJECTOR VALVES 19311 |
3954 | KLOEHN SYRINGE PUMPS MOTORIZED INJECTOR VALVES 19560, missing stepper motors |
3955 | Kobishi Electric BZ-34W, Buzzer, AC100V/100V, 3VA, 50-60Hz. 416902 |
3956 | Koby JR-CEIC Quick Change Disposable Final Filter 90 PSI. 419523 |
3957 | Koganei 030E1 Solenoid Valve, 030E1-PSL, 422264 |
3958 | Koganei 200-4E2 Solenoid Valve AC100V, 416828 |
3959 | Koganei 200-4E2 Solenoid Valve, AC100V, 200-4E2-70-100, 423118 |
3960 | Koganei 200-4E2 Solenoid Valve, AC100V, 416839 |
3961 | Koganei 200-4E2 Solenoid Valve, AC100V, 416840 |
3962 | Koganei AFDPG-12, Air Cylinder, Gripper, Actuator, Pneumatic 402255 |
3963 | Koganei AFDPG-12, Air Cylinder, Gripper, Actuator, Pneumatic, 20X150, 402483 |
3964 | Koganei ETH-BGR-000007-A, Solenoid Air Valve Assembly, 200E1, 200-4E1. 416872 |
3965 | koganei F300 filter element |
3966 | Koganei FR 600 Filter Regulator, 420640 |
3967 | Koganei FR 600 Filter Regulator, 420641 |
3968 | Koganei JDADS16x10 Air Cylinder JIG, 422823 |
3969 | Koganei MEF12 Air Filter, Micro Injector SP1994-1059 401878 |
3970 | Koganei YM4T-030-E1 Solenoid Valve Assembly, 030E1, 420403 |
3971 | Koilmorgen Servoronix PCB-00471000-00 DASA Digital PCB, Asyst, 420756 |
3972 | Koilmorgen Servoronix PCB-00471000-00 DASA Digital PCB, Asyst, 420757 |
3973 | Kokusai Dengyo Foot Switch 0122 |
3974 | Kokusai electric CX-2000 Tube controller for furnace 5UOT127665R |
3975 | Kokusai Semiconductor 3REA059034 Teflon Female Connector |
3976 | Kollmorgen AKM24F-BSM22-02 Servomotor, 320VDC, 8000 RPM, 418162 |
3977 | Kollmorgen MT1506B1-E2C1 Goldline Servo motor, Novellus 408944 |
3978 | Kollmorgen RBEH-01210-A14 Brushless Motor, Heidenhain D-83301, 330367 |
3979 | Kollmorgen RBEH-01210-A14 Brushless Motor, Heidenhain D-83301, 415587 |
3980 | Kollmorgen RBEH-01210-E14 Brushless Motor, Heidenhain D-83301, 330351 |
3981 | Kollmorgen RBEH-01210-E17 Brushless Motor, Heidenhain D-83301, 330365 |
3982 | Kollmorgen RBEH-01210-E17 Brushless Motor, Heidenhain D-83301, 330366 |
3983 | Kollmorgen Servotronix PCB-00471000-00 PCB, Asyst DASA Digital, 329818 |
3984 | Kollmorgen Servotronix PCB-00471000-00 PCB, Asyst DASA Digital, 329820 |
3985 | Kollmorgen Servotronix PCB-00471000-00 PCB, Asyst DASA Digital, 423555 |
3986 | Kollmorgen Servotronix PCB-00471000-00 PCB, Asyst DASA Digital, 423556 |
3987 | Kollmorgen Servotronix PCB-00471000-00 PCB, Asyst DASA Digital, 423557 |
3988 | Kollmorgen Servotronix PCB-00471000-00 PCB, DASA Digital, 329819 |
3989 | Kollmorgen Servotronix PRD-0047200C-00 DASA Digital PCB, 9701-1800-01, 422378 |
3990 | Kollmorgen SR03000-Y015 ServoStar Amplifier Drive, 140/310 VDC, 330360 |
3991 | Kontes tissue grind tube sz 100 ml 885303-0100 |
3992 | KPC-TM COML CARD TRIGGER MASTER, PC8532, Keithley Metrabyte KPC-TM manual |
3993 | Krom Schroder isf 285 controller |
3994 | Kulicke & Soffa KNS BOSS 20000 Interface Test System BBT20000, chip set tester |
3995 | Kulicke and Soffa 01471-4008-001-05 PCB Board, 01471-5048-000, 420678 |
3996 | Kulicke and Soffa 01471-4012-101-00 Ultra Gen, Board, PCB, 420579 |
3997 | Kulicke and Soffa 1419-3 wire bonder, controllers 1418-1000-0, 4322-105-0 |
3998 | KURODA M600DF-04 AIR FILTER REGULATOR ASSEMBLY S105-4 855-2G 402376 |
3999 | KURODA M600DF-04 AIR FILTER REGULATOR ASSEMBLY S105-4 855-2G 402443 |
4000 | KURODA M600DF-04 AIR FILTER REGULATOR ASSEMBLY S105-4 855-2G 402444 |
4001 | KURT J. LESKER P/N QF63-SAVR SEAL CENTERING RING NW63 |
4002 | Lager Module PM7, 670-10, E970970, Wavelength 670nm |
4003 | Laird Technologies MRC150DH2-HT-DV Chiller, 325905 |
4004 | LAM 203-140148-308 Electrode Cap, JDA, ESC 8″ MONO. Very clean |
4005 | LAM 2080TCU, Chiller 2080 TCU, 852-110198-001-C-6882, 422947 |
4006 | LAM 210-70305-00 Process Alarm Board |
4007 | LAM 230-140148-308 CAP, Electrode, JDA, ESC, 8″, Mono, 421225 |
4008 | LAM 33-0010-001 Bearing, 9mm (W), 15mm Bore, 32mm, (OD), 420207 |
4009 | LAM 3800-33545-1, Disk Drive Mount Bracket. 415748 |
4010 | LAM 500035389 Electrode, One piece, Ground |
4011 | LAM 515-025756-001 Alignment Tool, HTD, PDL, Strpr |
4012 | LAM 533-00647-00 Helium feedthrough assy |
4013 | LAM 673-092355-006, Term, STP, TB1, AC INP Box, CF. Phoenix Contact. 419787 |
4014 | LAM 678-009953-001, 10323020026, Heater Cartridge, 200W. 416676 |
4015 | LAM 685-016427-001, Line Powered RS-232, RS-485, Converter Module, 401621 |
4016 | LAM 713-221495-001 Plate, Label Mounting, 422253 |
4017 | LAM 714-025052-104, Cover ABC Power Supply. 419767 |
4018 | LAM 714-071727-004 Shield Trans Side R/H, 06-14744-00, 147231 |
4019 | LAM 714-071727-004 Shield Trans Side R/H, 06-14744-00, 417232 |
4020 | LAM 715-002040-001 Capacitor, Shunt Drill Mod, RF Match, 329867 |
4021 | LAM 715-008221-001 Rev.A, Bracket, New World Machining, Offset. 419859 |
4022 | LAM 715-011059-001 Ring Orfice, Annodized |
4023 | Lam 715-011531-0011 Electrode DI Sealed |
4024 | LAM 715-011531-102 Electrode Aluminum Anodized, 421224 |
4025 | Lam 715-011912-084 Plate, Baffle, Upper 8.3in |
4026 | LAM 715-011913-501 PL BAF LWR 8.3″ Metron, 406963 |
4027 | LAM 715-018611-117 , LOWER, Electrode, 8″ CHUCK |
4028 | LAM 715-020916-002 Anodized Aluminum Electrode |
4029 | LAM 715-140184-8-E1 Wafer holder, 8 inch tightest pocket |
4030 | LAM 715-140403-001 Plate, Baffle, Upper 8.3in Looks New |
4031 | LAM 715-221532-025-1, Clamp, Bolkhead, KF25 with Captive Fastener. 418720 |
4032 | LAM 715-221532-025, Long MFG, Clamp, BLKHD, KF25 w/ Captive Fasteners. 419198 |
4033 | LAM 715-221532-140-1 Clamp, BLKHD, NW40, Longs MFG, 420138 |
4034 | LAM 715-221532-140-1, Long’s Mfg, Bracket. 418719 |
4035 | LAM 715-221532-140-1, Long’s Mfg, Clamp, BLKHD, NW40. 419273 |
4036 | LAM 715-350036-001, 715-025724-001, GAS FEED, RING, FLG, 32HOLE, 401455 |
4037 | LAM 715-443130-001 Ring, Focus, ESC, outer, AL, 8″, 4002-002-0077, 329913 |
4038 | LAM 715-704170-001 Wafer Chuck Lift Assy, SMC NCQ2B20-25D-XB9, 423463 |
4039 | LAM 715-704170-001 Wafer Chuck Lift Assy, SMC NCQ2B20-25D-XB9, 423464 |
4040 | Lam 716-011036-001 Ring filler orifice, Alumina |
4041 | LAM 716-011057-002 Upper Filler Ceramic Ring, 417424 |
4042 | LAM 716-011536-001. ceramic ring, cleaned |
4043 | LAM 716-020904-015, Ring Edge Focus, Lower wafer clamp, Cleaned |
4044 | LAM 716-027740-001 Window, 418758 |
4045 | LAM 716-028123-004 Filler Ring Ceramic, 419127 |
4046 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY 401816 |
4047 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY 401817 |
4048 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY 401818 |
4049 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY 401819 |
4050 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY 401822 |
4051 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY 401823 |
4052 | LAM 716-051050-001, QTZ, Outer Spacer, PLT, React UPP. 415744 |
4053 | LAM 716-330122-002, P1A00133, Plate, Top, Ceramic, F/G. 419121 |
4054 | LAM 716-330122-002, P1A00133, Plate, Top, Ceramic, F/G. 419123 |
4055 | LAM 718-094756-081 Cap Electrode ESC, 323146 |
4056 | LAM 718-094756-081 Chuck, Cap, Electrode, ESC, 420889 |
4057 | LAM 734-007334-001 O-Ring 3 I.D. X 3/32 C/S. Viton, 420830 |
4058 | LAM 734-009868-001 ORING 2 3/4ID x 1/8 VITON |
4059 | LAM 754-092082-002 Assembly Guide Bearing and Spring, 10″ Arm, 422309 |
4060 | LAM 754-092082-002 Assembly, GDE Bearing and SPR, 422208 |
4061 | LAM 766-077821-101 Valve, Hybrid-Purge, FVCR-IN, C-Seal Out, FJ, Fujikin, 423789 |
4062 | LAM 796-009363-003, Flange, Blank-Off, NW25. 419269 |
4063 | LAM 796-009363-004 HPS MKS 100311705 Flange KF40 Blank-Off, 419252 |
4064 | LAM 796-009363-004, Flange, Blank-Off, MKS HPS. 418549 |
4065 | LAM 796-099665-001, Gate Bonded Slit Valve Door Chemraz VAT 96073-R1 seal 419150 |
4066 | LAM 796-220745-001, Flange, CF, 1.33, Blank, Non-Rotatable. 419200 |
4067 | LAM 8″ cathode ceramic and anodized aluminum |
4068 | LAM 810-001489-015, Rocker Valve Interface Board, FAB 710-001489-015. 416474 |
4069 | LAM 810-017016-001 Stepper motor driver PCB board |
4070 | LAM 810-017016-001 Stepper motor driver PCB board, BV9612305 |
4071 | LAM 810-017021-001 Assembly PCB Lifter Filter, 422596 |
4072 | LAM 810-031325-004, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-003. 416432 |
4073 | LAM 810-031325-004, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-003. 416434 |
4074 | LAM 810-031325-104, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-104. 416428 |
4075 | LAM 810-031325-104, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-104. 416429 |
4076 | LAM 810-031325-104, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-104. 416430 |
4077 | LAM 810-031325-104, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-104. 416431 |
4078 | LAM 810-035270-004, DSO 1.5 IGS, DGF, Motherboard, PCB, 710-035270-003. 416433 |
4079 | LAM 810-048219-019 PCB Board, FAB 710-048219-018, 416448 |
4080 | LAM 810-059223-312 V3 Cleaner Interlock Board, PCB, 855-059223-312, 416451 |
4081 | LAM 810-059223-313 V3 Cleaner Interlock Board, PCB, 855-059223-312, 415452 |
4082 | LAM 810-084427-002 PCB, FAB 710-84427-002, 416458 |
4083 | LAM 810-17004-004 Solenoid interlock PCB assy |
4084 | LAM 810-17012-001 Heatbeat PCB board |
4085 | LAM 810-2140-005 control lift PCB board |
4086 | LAM 810-802901-317 Node 1, PM, Common Fan Detect PCB, FAB 710-802901-317, 416500 |
4087 | LAM 810-802902-208 NODE2 Mother Board, PCB, FAB 710-802902-208, 416449 |
4088 | LAM 839-011025-1 NuPro Manometer ISO |
4089 | LAM 839-019631-001, WLDMT, SRC Gas Line 2800 MW ST. 419682 |
4090 | LAM 839-071625-001 Horn, 8″ Gas Ring, Epic In-Sit, 409758 |
4091 | LAM 839-071625-001 Horn, 8″ Gas Ring, Epic In-Sit, 409759 |
4092 | LAM 839-073168-002 Weldment UPC Valve, Swagelok 6LV-BNBW4-C, 419691 |
4093 | LAM 839-073168-002 Weldment UPC Valve, Swagelok 6LV-BNBW4-C, 419692 |
4094 | LAM 839-073168-002 Weldment UPC Valve, Swagelok 6LV-BNBW4-C, 419693 |
4095 | LAM 839-073168-002, Nupro 6LV-BNBW4-C, WCDMT, Weldment UPC Valve. 418534 |
4096 | LAM 839-073168-002, Swagelok 6LV-BNBW4-C, WCDMT, Weldment UPC Valve. 418533 |
4097 | LAM 839-073168-002, Swagelok 6LV-BNBW4-C, WCDMT, Weldment UPC Valve. 418535 |
4098 | LAM 839-073168-002, Weldment UPC Valve, Nupro 6LV-BNBW4-C. 419545 |
4099 | LAM 839-360162-001 Gas Manifold, looks new, sell as used |
4100 | LAM 839-480183-002 Vacuum Weldment, Lower, Bypass Manifold, TCP, Oxide, 419695 |
4101 | LAM 839-480183-002, Vacuum Weldment, Lower Bypass, Manifold, TCP, Oxide. 419644 |
4102 | LAM 839-800325-202 Chuck Pedestal ESC, 716-800951-001 Quartz Ring, Cover, 409760 |
4103 | LAM 849-099344-002 Kit, Valve Repair, Int, Assy, (MKS NW50). 423276 |
4104 | Lam 853-012123-001 Harmonic Arm Driver, Etcher, 853-017633-003. 416202 |
4105 | LAM 853-015130-002-P RF match, used |
4106 | LAM 853-015130-103 RF Match, used |
4107 | LAM 853-015982-001 Alignment Box 402241 |
4108 | LAM 853-017634-101 Rev.C, MDFT, Assembly Solenoid Release. 417134 |
4109 | LAM 853-017805-55 RF Cable assy, 55 foot. |
4110 | LAM 853-025083 assy, RF Match, ESC, 9400 |
4111 | LAM 853-11076-001-B, 196 D6344, Strap Changed RF. 420039 |
4112 | LAM 853-170184-100 Rev.A, Assembly, Weldment, HE. 419723 |
4113 | LAM 853-170184-100 Rev.B, Assembly, Weldment, HE. 419721 |
4114 | LAM 853-170184-100 Rev.B, Assembly, Weldment, HE. 419722 |
4115 | LAM 853-170184-100, Assembly, Weldment HE. 419557 |
4116 | LAM 853-170184-100, Assembly, Weldment, HE. 419778 |
4117 | LAM 853-17632-001 Wasco SP128-81W2A-X/6688 Pressure Switch, 422367 |
4118 | LAM 856-190050-001, Kit, Retrofit, Floopy Drive. 419979 |
4119 | LAM Ceramic Upper Liner, Cover, Chuck, 417421 |
4120 | LAM Gas Manifold Assembly, (6) UNIT UFC-1660, 18 Valve, 5 Isolation Valve.422949 |
4121 | LAM Gas Manifold Assembly, UNIT UFC-1200A, Area 7700CU, APTech Valve. 422950 |
4122 | LAM Gas Manifold Assembly, UNIT UFC-1660, APTech Valve. 422948 |
4123 | LAM KG7-M4520-010 I/O Board Assy, Yamaha Motor KG7-4521-010, 422712 |
4124 | LAM Pneumatic Manifold, V100-97-11A, SMC, 423717 |
4125 | LAM Pneumatic Manifold, V100-97-11A, SMC, 423718 |
4126 | LAM Pneumatic Manifold, V100-97-11A, SMC, 423719 |
4127 | LAM Pneumatic Manifold, V100-97-11A, SMC, 423720 |
4128 | LAM Rainbow Etcher 852-014681-583 RF Generator Rack ENI OEM-6A-11491-52 |
4129 | Lam research 715-011531-016 Electrode aluminium anodized degrease. |
4130 | Lam Research 716-022495-002 Ring Edge |
4131 | Lam Research 853-015030-001-C-3611 Assembly, Manual, Match Control, MiniMatch |
4132 | LAM RESEARCH 853-021635-003-C-3618 MANOMETER Tylan general CML series |
4133 | LAM RESEARCH 853-031469-001 / Tylan General CMS-11S02 – MC MANOMETER |
4134 | LAM Valve Manifold Assembly, 3 Aptech AP3550S 3PWD TW4 TW4 TW4 Valve, 422362 |
4135 | LAM Valve Manifold Assembly, 3 Aptech AP3550S 3PWD TW4 TW4 TW4 Valve, 423437 |
4136 | LAM Valve Manifold Assembly, 3 Aptech AP3550S 4PWN TW4 TW4 TW4 MV4 Valve, 423436 |
4137 | Lambda 45A-28, Power Supply, 28V, 17.5A, LFS4528 Novellus QT330134 |
4138 | Lambda 48-12, Power Supply, LFS4812 |
4139 | Lambda DLP180-24-1/E Power Supply |
4140 | Lambda DPP50-24, DIN Rail Power Supply, 50W |
4141 | Lambda IEEE-488 Programmable Power Supply, LLS6008-GPIB, 0-8V, 20A |
4142 | Lambda LFS40-12, 0150-700476 Power supply, 12VDC 5Amp |
4143 | Lambda LFS-48-24 Power Supply, LSF4824, Novellus 0150-700478 |
4144 | Lambda LLS6008 Regulated Power Supply |
4145 | Lambda LLS6008 Regulated Power Supply, 397730 |
4146 | Lambda LPD-421A-FM Dual Regulated DC Power Supply 0-20 VDC |
4147 | Lambda LQ-520 Regulated Power Supply, powers on |
4148 | Lambda LRS-53-24 Regulated Power Supply, 4222387 |
4149 | Lambda LRS-56-24 Regulated Power Supply, 401111 |
4150 | Lapp Kabel 73220111 Cable, 3 Wire Coiled, 421637 |
4151 | LaserScale Scale Unit BL57-046REHBT05 C-RV, Y ENCODER |
4152 | Laurell WS-200-8T2 RPM/SEQ/VAC Controller, 422675 |
4153 | LCD Control Board |
4154 | LCF 40, NW-40 Vacuum Pump Foreline Pipe |
4155 | L-COM SP44199 Data Cable, 15p F – 9p M, Celerity, RFC100 Novellus 38-325183 |
4156 | L-Com TDC005-2, Asyst 9701-5578-01, cable, New |
4157 | LCS-6624U/G Printer port card with IDE cable and extra port connections |
4158 | LDI Generic TM Transmition Module PCB |
4159 | Lead wire, wee lamp, B12 500098497 4S990-669AN |
4160 | Leica 30111250 CLS 100x Lamp Power Supply, powers on |
4161 | Leica DM4000 M w/ Noran Vantage DAQ, Spectra physics VSL 337 NDS-61 Laser |
4162 | Leica INM20 Trinocular Microscope Wafer Inspection & 5 objective W/ DIC Optics |
4163 | Leica Stereozoom SZ-4, Microscope head. 416471 |
4164 | LEM HA 200-SRU Module, 60.59.44.000.0, 415603 |
4165 | LEP 0002-00129-00 LUDL electronics XY motor amp PCB module MDMSP 73000503 |
4166 | Lesco Super Spot MK III, High Intensity Ultraviolet Light Curing System 401279 |
4167 | Levelite GLL100504 Sepre-Lite Optical Probe Assy, 1/2 NPT PFA Housing, 415565 |
4168 | Leyblod Inficon Sky Capacitance Diaphragm Gauge |
4169 | Leybold “DRIS” Dry Remote Inducator System |
4170 | Leybold 00194631 purge vent valve assy 24VDC, 36 SCCM |
4171 | Leybold 26817 10″ Centering Ring Seal, Turbo or Gate Seal |
4172 | Leybold 4″ Flanges 26747 |
4173 | Leybold 72127705 Turbo Controller Cable, Part of 72127704, 418147 |
4174 | LEYBOLD 72142056 DIGITAL TEMPERATURE GAUGE, PROCESS PUMP 401688 |
4175 | Leybold 88706 1 029, Leybold AG, FWL .6 HV, Seal, O-Ring. 416584 |
4176 | Leybold 887061050 Seal, O-Ring, K66 HV, 416629 |
4177 | Leybold CT 200 ECE Turbo Pump Controller 416323 |
4178 | Leybold CT 200 ECE Turbo Pump Controller 416324 |
4179 | Leybold CT 200 ECE Turbo Pump Controller 416325 |
4180 | Leybold DA-10-028 230 X 5mm O-Ring, New |
4181 | Leybold Inficon RGA Unit, Head Assembly, Vat Iso Valve Transpector2 |
4182 | Leybold ITR 100-D 16374 Ionization Sensor Transmitter Vakuum GMBH Vakuum/Vacuum |
4183 | Leybold NT10 Turbotronik Turbo Controller, NT 10, 90-140V, 180-260VAC, 423980 |
4184 | Leybold Oerlikon TMP 50 Turbo Vacuum Pump w/ Fan Turbocvac 50, 85402. 401036 |
4185 | Leybold Quadruvac Q100 mass spectrometer, with cables |
4186 | Leybold RUVAC WS251 91729 VUC 90/2-100 02692410 52702200 401183 |
4187 | Leybold TCU-1000 Temperature Controller, 934.00.998 Temp Regulator PT100, 416910 |
4188 | Leybold TCU-1000 Temperature Controller, 934.00.998 Temp Regulator, 416911 |
4189 | Leybold TCU-1000 Temperature Controller, 934.00.998 Temp Regulator, 416912 |
4190 | Leybold TCU-1000 Temperature Controller, 934.00.998 Temp Regulator, 416913 |
4191 | Leybold Thermovac Vacuum Controller TM22, TM-22 |
4192 | LEYBOLD TLV A 92 11 00019 CHECK VALVE EXHAUST, 100E DV 402357 |
4193 | leybold TurboTronik NT20 turbo controller NT 20, S 857. 408997 |
4194 | Leybold Turbovac 360 Turbopump w/ Leybold-Heraeus TURBOTRONIC NT 150/360. 400999 |
4195 | Leybold Vacuum gate valve, Leybold KAT-NR 28912, F-No 096189Y004 |
4196 | Leybold Vacuum GMBH Ionization Sensor Transmitor, ITR 100-D CF40, 16375, 400991 |
4197 | Leybold Vacuum GmbH Ionization Sensor Transmitter, D-509688, ITR100, 400972 |
4198 | Leybold Vacuum GMBH, Oerikon Turbovac 50, TMP 50 Turbopump 85401 401000 |
4199 | Leybold Vacuum GMBH, Oerikon Turbovac 50, TMP 50 Turbopump 85401 401002 |
4200 | Leybold Vacuum GMBH, Oerikon Turbovac 50, TMP 50 Turbopump 85401 401003 |
4201 | Leybold Vacuum GMBH, Oerikon Turbovac 50, TMP 50 Turbopump 85401 401004 |
4202 | Leybold Vacuum GMBH, Oerikon Turbovac 50, TMP 50 Turbopump 85401 401005 |
4203 | Leybold-Heraeus Turbotronik NT 450 Turbo Pump Controller. 416199 |
4204 | Leybold-Heraeus Turbotronik NT 450 Turbo Pump Controller. 416201 |
4205 | LG 6870T154A10 Industrial video board |
4206 | LH research IM803-133-115AZ, power supply, 100W 5V, 849090.102 |
4207 | LHV1N 568-5553 PCB Board, 25686753, 424150 |
4208 | LHV2N 568-5554 PCB Board, 25686754, 424151 |
4209 | Liebert 02-792225-00 Processor Board Assy, 12-792225-00, 422871 |
4210 | LIEBERT GXT1500RT-120 0.7POWER FACTOR 120V-AC |
4211 | LIEBERT GXT1500RT-120 0.7POWER FACTOR 120V-AC, 50/60Hz, 397748 |
4212 | Liebert PWA Voltage Clamp PCB Circuit Board 02-792212-02, 422713 |
4213 | Lift Comb , 114-4048-02, wafer holder, New, lot of 2 |
4214 | Light Pipe Fiber Optic Cable Hamamatsu A2873 |
4215 | Light Source I 150, Looks new, |
4216 | LIN ENGINEERING 416-07-80D-01R0 PCB 3200-1229-01 9701-2143-01 |
4217 | LIN ENGINEERING 416-07-80D-01R0 PCB 3200-1229-01 9701-2143-01. 420163 |
4218 | Linemaster switch corp SP-9970214-035000XXI footswitch accesry |
4219 | Linemaster T-91-SC36 Treadlite II |
4220 | Linemaster Treadlite 2 T-91-S, Foot Pedal, Switch, Button, 420924 |
4221 | LINEMASTER, CLIPPER 3C-30A2-S AIRVAL FOOT SWITCH, NEW IN BOX, 423571 |
4222 | LINTEC Liquid flow controller, TEOS, 0.1g/min used |
4223 | Littlefuse V251BA60 Metal Oxide Varistor, 421051 |
4224 | Lock Handle for Cutler-Hammer HLD 65k Circuit Breaker, 418143 |
4225 | Loomis Industries Precision Wafer Scriber 38 LI, 397588 |
4226 | Lor of 15 new McGill CCYR1S, cam yoke, MM0Z7, W74755 |
4227 | Lot of 10 Cooper LPJ40SP, Class J Time Delay 4A Amp Fuses |
4228 | Lot of 10 Enidine PRO15 IF-1B Hydraulic Shock Absorbers With AMALOY |
4229 | Lot of 10 Furon DV2-144NCD2, P/N 1103307 solenoid valve, 24 VDC, 50/60, |
4230 | Lot of 10 Harting HAN 10B-GG-R-21, New Power connector housing |
4231 | Lot of 10 IPEC Speedfam Novellus AD2251A1 Clamp Screw Cover |
4232 | Lot of 10, NT international D70-F-02-LP 955623 new Red lion Controls CUB4LP/CL |
4233 | Lot of 100 OSRAM LB543C Hyper 5 mm LED |
4234 | Lot of 11 Entegris Fluoroware BT4-2FN-1 Flaretek Tee |
4235 | Lot of 12 Clamp Assembly Disc 233471447 |
4236 | Lot of 12 New Watlow heater element 240v 150W Firerod 0632-134 J4a122-9651 |
4237 | Lot of 12 Speedaire 1R427 Coalescing Element Filters 12 SCFM |
4238 | Lot of 12, US Filter VFSH020-04M3S Filter cartridge |
4239 | Lot of 13 NOS Hitachi HM62256BLP-7 HM62256 62256 256KBIT CMOS SRAM |
4240 | Lot of 15 new Briskheat CENTMOD-F Ethernet Module |
4241 | Lot of 15 New Harting HAN 10B-GG-R-21, Power connector housing |
4242 | Lot of 15, Cooper LPSP, Class J time delay 4a amp fuses |
4243 | Lot of 16 Thomas and Betts B Liquid Tight, 1 1/4″ fitting, 90 degree elbow |
4244 | Lot of 18 KF 16 Seal Oring Chemraz E38, NW16 |
4245 | Lot of 18, Xilinx XC7354 Complex Erasable Programmable Logic Device, 54 Cell |
4246 | Lot of 2 Aera TC FC-PA7800C MFC 50 sccm N2, Novellus 22-268871-00 |
4247 | Lot of 2 CFM Bodies with 9 EPDM Gaskets |
4248 | Lot of 2 Disco ES MOENR095–A PIPE, 1/8″ 90 Degree Bend |
4249 | Lot of 2 Flow Control 1/8NPTMX 1/8T 233552990, 4A-11-1 |
4250 | Lot of 2 Honeywell LD2P4LVVLOOM, Ai6BiP3l, Display Transducer |
4251 | Lot of 2 Infranor SMTAS 220/17 657170 New Brushless servo motor amplier |
4252 | Lot of 2 IPEC Speedfam Novellus 0770-100865 Spindle shaft |
4253 | Lot of 2 KF40 Vacuum Bellows |
4254 | Lot of 2 Kings RF Coaxial Connector, Silver Plated UG567A/U 90 Degree M-F |
4255 | Lot of 2 Kulicke & Sofa 1488 plus Automatic wire bonders |
4256 | Lot of 2 Leybold 42 351 396 Rocker switch assy, 406976 |
4257 | Lot of 2 NEW BECO MAN-103307 dual valves with teflon block |
4258 | Lot of 2 Novellus 02-140949-00 REV C, Main system start stop module, 100996 |
4259 | Lot of 2 Novellus Systems Insulator, Gas Dist 15-100603-00 |
4260 | Lot of 2 Omega DP25B-S Digital Strain gage panel meter |
4261 | Lot of 2 PLG, PIN, QM, 16-18AWG, 2PT, AU,NI, 4A-1-24 |
4262 | Lot of 2 Serial to Ethernet Converter Cable |
4263 | Lot of 2 SMC NCDA1B400-0400N-A53L3 Pneumatic Cylinder with 2 Position Sensors |
4264 | Lot of 2 VAT 02112-AA24-AQX1/0110, 02012-BA24-ACA1/001A used Actuators |
4265 | Lot of 2 VAT KF 40 right angle VACUUM ISOLATION VALVE, |
4266 | Lot of 2 Viton Oring 10.6″ long ORG-22484-B50 |
4267 | Lot of 2, MKS 631B-27698, Capacitance manometer, 50 Torr |
4268 | Lot of 20 Cooper Bussman LPJ-80SP, Low Peak 80A Fuses |
4269 | Lot of 20 Entegris Fluoroware FMP886 UTR 3/8-3/8-1/4 run tee |
4270 | Lot of 25 SMC SYJ3140-5MOZ manifold mount solenoid valves 2200-770241 |
4271 | Lot of 3 Anelva PP04YC19, automation controller modules |
4272 | Lot of 3 Berkeley Process Controls MWT-8-MNET Machineworks Machine controller |
4273 | Lot of 3 BNC male to Low Frequency Fe Union |
4274 | Lot of 3 CTI OnBoard P300 control module 8113160G-001. 400567 |
4275 | Lot of 3 KF-40 90 Degree Vacuum Foreline Elbow |
4276 | Lot of 3 MKS 122A-11063 10 Torr Pressure Transducer Type 1222A |
4277 | Lot of 3 Mouser Electronics 172-E25-213R911 Conn DB25 Female SLD DIP NKL |
4278 | Lot of 3 new in box Futurestar 218-0035 flow meters with teflon float |
4279 | Lot of 3 Novellus 16-274114-00 Vacuum Bellows Assembly |
4280 | Lot of 3 Tegal 41-137-001, Chuck, BTTM Flag 903, 410518 |
4281 | Lot of 3 Vari-Size Cord Grip HUBBELL HBL5266C |
4282 | Lot of 3, ECI 27-160331-00 Drain Pumps with external wiring |
4283 | Lot of 3, ECI 27-160332-00 VMS Pumps with external wiring |
4284 | Lot of 3, New BECO MAN-103307, PTFE dual solenoid fluid valves, 24VDC |
4285 | Lot of 3, REGAL FS-30, manifolds with REGAL FS-SE type flow sensors |
4286 | Lot of 30 Novellus IPEC Speedfam 2250-700991 Fitting, JIC, Custom Rev D |
4287 | lot of 4 Industrial Control Equipment MIO-IDC-32-300 input modules 32 point |
4288 | Lot of 4 , STEC, SEC-4600MC-SUC, SEC-4600R, 100 LM N2 MFC, 320816 |
4289 | Lot of 4 BERG LRSB-20 |
4290 | Lot of 4 Infranor BAA-220T20A Servo Controller |
4291 | Lot of 4 IPEC Speedfam Novellus 0730-740252 Sleeve, DWO, Spraybox, MT |
4292 | Lot of 4 IPEC speedfam Novellus 956465, NERLITE 650-400 Backlight, |
4293 | Lot of 4 MFC, FC-2900V, FC-2900M, FC-2902MEP-T, Millipore, Mykrolis, 329401 |
4294 | Lot of 4 Misc, KF40 Clamps |
4295 | Lot of 4 new in box Keyence KV- 10T Micro PLC 0125-105610 |
4296 | Lot of 4 New Watlow heater element 240v 150W Firerod 0604-02 J4a122-9651 |
4297 | lot of 4 SIEBE ENVIRONMENTAL CONTROLS MSC-U1000 4 channel smoke control |
4298 | Lot of 4 SMC VX2330, air or water solenoid valves, look unused, |
4299 | Lot of 4 Viton Oring 22-154782-00, New |
4300 | Lot of 4, ALLEN BRADLEY 190-P040 Motor Starter relays |
4301 | Lot of 4, New Vacuum Fitting Reducing Tee NW50, SST |
4302 | lot of 4, NT international D70-F-02-LP 955623 new Red lion Controls CUB4LP/CL |
4303 | Lot of 5 MAC Valves inc. PPC5A-AAA-NGCB-DBA-E0, PNEUMATIC CONTROL VALVE |
4304 | Lot of 5 Berkeley Process Controls MWT-8-MNET Machineworks Machine controller |
4305 | Lot of 5 Black Box KV3108SA 8 position KVM switch box, Look new sell as used |
4306 | Lot of 5 Entegris Fluoroware FMP 68 CR female reducer |
4307 | Lot of 5 misc. KF 40 Clamps |
4308 | Lot of 5 new SMC NCDA1B400-0400N-A53L-XB9C6, cylinder assy with 2 switches |
4309 | Lot of 5, NT International D80-P100-XX-A-DC New dual channel display |
4310 | Lot of 50 Bourns 3299Z Series 1K 25 Turn Trimmer Potentiometer |
4311 | Lot of 58 Saint Gobain 2805-739454 Masterflex neoprene hose assy w/ grey fitting |
4312 | Lot of 6 Angled, Anode, Ring, Sleeve 500062645 cp-050. price is for 6 |
4313 | Lot of 6 IPEC Speedfam 0230-737543 SMC EX121-SDN1 Device net send unit manifold |
4314 | Lot of 6 LITTELFUSE V251BA60, METAL OXIDE VARISTOR |
4315 | Lot of 6 Novellus AC3705, Pacific Scientific E21SCHT-LDN-SS-02, Bipolar stepper |
4316 | Lot of 6, NT international D70-F-02-LP 955623 new Red lion Controls CUB4LP/CL |
4317 | Lot of 7 Center Pivot Guide |
4318 | Lot of 7 NEW Power one HCC15-3-A 12VDC or 15VDC power supplies |
4319 | Lot of 8 Mks HPS KF 40 Clamps, NW40 Clamps |
4320 | LOT OF 8 NEW Hewlett Packard TTL POD 15464-69601 PRICE IS FOR 8 |
4321 | Lot of 8 new SMC NCDA1B400-0400N-A53L-XB9C6, cylinder assy with 2 switches |
4322 | Lot of 8 Swagelok Nupro B-4P4T2 plug valve |
4323 | Lot of 8, 70019093/A 0001 DAN T-A, Ribbon Cable and connector |
4324 | Lot of 8, NT international D70-F-02-LP 955623 new Red lion Controls CUB4LP/CL |
4325 | Lot of 9 New Swagelok 55-810-2-8, 90 deg F hing |
4326 | Lot of 99 CN040163 Shielded bearings HCH RBZZ |
4327 | Lot of six (6) Honeywell Micro Switch DT-2RV23-A7 (DT2RV3A7 9735) 10A, 423570 |
4328 | Lot’s of 3 Centronics Interface PCB Board 068486-003 |
4329 | LOW VOLTAGE POWER SUPPLY 3615601 |
4330 | LS MEC GMC-22 Contactor, GMC(D)-22, 420669 |
4331 | LS MEC GMD-18 Contactor, 420670 |
4332 | LSA 233-2700-02 Ceramic Insert, 330326 |
4333 | LSA CleanPart Ceramic Ring With Lining 4507346594 |
4334 | LSI Logic L1-01013-03, 68-Pin RAID Controller, Tundra TSI 310A-133CEY. 418262 |
4335 | LTX 865-5246 PCB MDCN |
4336 | LTX Trillium 865-5995 HIPer PEC (DM/HIPER) PCB, LAM 033-9024-72, 329887 |
4337 | Lucent 101259015-011 Uninterruptible Power Supply with extended battery pack |
4338 | Lucent SD-66984-01 with WP-91153 L3A power supply, TN7414D, SCC Port cab, |
4339 | Ludlow MIL-B-131G Shell |
4340 | Ludlow MIL-B-131G Shell and Filter |
4341 | Lufran AN3-3-5C Annunciator PCB with 5 possition display |
4342 | Lufran OT-2B overtemp PCB board with 2nd TC input |
4343 | LUMONICS EXTENDER BOARD 6050011 REV A |
4344 | LUXTRON 1015 ENDPOINT CONTROLLER NEW IN THE BOX |
4345 | LUXTRON 2810 Process endpoint monitor/controller |
4346 | Luxtron 5001-1221-00-00, single channel linear array OPTICAL, XINIX |
4347 | LUXTRON 712 Fluoroptic Thermometer, temperature probe |
4348 | Luxtron Model 100C Optical Fiber Temperature Control System S4S-B REV. 2.73 |
4349 | Luxtron Xinix 1014 Endpoint Controller 329803 |
4350 | Luxtron Xinix 1014 Endpoint Controller 329804 |
4351 | Luxtron Xinix 1014 Endpoint Controller 329805 |
4352 | Lytron Chiller RC006G03BB1C020, 410191 |
4353 | Lytron MCS20G01AB1 Modular Cooling System, 422703 |
4354 | M and W Systems RPCX 17-A-D-DI2X10″-LI-CMII-HE Flowrite Recirculating, 422731 |
4355 | M.E.C. Tech MEC83106-1075L Insulator, Hexode, A/A, 420551 |
4356 | M.E.C. Tech MEC83106-1139L, Pedestal Ring, Bot, Flush, P/I But, RDN. 423165 |
4357 | M.E.C. Tech MEC83304-1040 Ground Insulator, 420562 |
4358 | M.E.C. Tech MEC83306-1041L Pedestal Ring, P/I BUT, SNAP, 329872 |
4359 | M.E.C. Tech MEC83306-3017L Assembly, Focus Ring, Bottom, POSI-SNAP, TEX, 420619 |
4360 | M.E.C. Tech MEC83306-3017L Assembly, Focus Ring, Bottom, POSI-SNAP, TEX, 420769 |
4361 | M.E.C. Tech MEC83306-3017L Assembly, Pedestal Ring, POSI-SNAP, TEX, 420602 |
4362 | M.E.C. Tech MEC83306-3018L Assembly, Focus Ring, Posi-snap, Tex, 420593 |
4363 | M.E.C. Tech MEC83306-3018L Assembly, Pedestal Ring, P/I BUT, POSI-SNAP, 420594 |
4364 | M.E.C. Tech MEC83306-3018L Assembly, Pedestal Ring, POSI-SNAP, TEX, 420596 |
4365 | M.E.C. Tech MEC83306-3041L Assembly, Pedestal Ring, P/I BUT, POSI-SNAP, 420608 |
4366 | M52-8 PCB for Speed Controller, 5041816150, TEL-TPC, T-319-12, 421058 |
4367 | M52-8 PCB for Speed Controller, 5041816150, TEL-TPC, T-319-12, 421215 |
4368 | MAC 225B-111CAAA, Solenoid Valve, 2VDC, 15W, 120/60, 110/50. 416771 |
4369 | MAC 35A-B00-DDDJ-1FN, Pneumatic Manifold w/ Circuit Bar. 420156 |
4370 | MAC 45A-GA2-DDAJ-1KE, Pneumatic Manifold Assembly. 420157 |
4371 | MAC Action Automation & Control Valve, 45A-AA1-DDAA-1BA |
4372 | MAC PPC5A-AAA-NGCB-DBA-E0 Pneumatic Control Valve, 0-10 PSI, 421633 |
4373 | MAC PPC5A-AAA-NGCB-DBA-E0 Pneumatic Control Valve, 0-10 PSI, 421634 |
4374 | MAC Valves Inc PPC5A-AAA-NGCB-DBA-EO Pneumatic Control Valve |
4375 | Machined 8″ Cover, Chuck. 416599 |
4376 | Macromatic SS-61928 Time Ranger |
4377 | Macromatic Time Ranger, Time Delay Relay SS-61928 Off-Delay, Programmable 400490 |
4378 | Macromatic Time Ranger, Time Delay Relay SS-61928 Off-Delay, Programmable 400491 |
4379 | Mactronix LBJ600P5, MGI 4 Rail QB (52 Slots), Wafer Cassette Loader 402440 |
4380 | Mactronix LTP650P5, 4 Rail QB (52 Slots), Wafer Cassette Loader 402441 |
4381 | Magnet 0020-20300, Endura, PVD Hollow Pole Piece, 329946 |
4382 | MagneTek GPD505V-B011 Variable Speed Drive, 400V, 3 Phase, 414827 |
4383 | MAGNETRON, M200PC, 200P/1/1501, Low Frequency |
4384 | MALEMA SENSORS AMW-855B-2T-002 MINI WHEEL FLOWMETER, MALEMO MRR-841 FLOW SENSOR |
4385 | Malena, Flow, Switch 233128072 |
4386 | Malis Bipolar CMC-III Electrosurgical System and CMC-II Irrigation Module, Parts |
4387 | Manifold lucas KF40 Foreline with valve Varian cold cathode gauge 525, K9234-311 |
4388 | Markson 7452 Colorimeter Used |
4389 | Mason Foot Switch, 422386 |
4390 | MasterFlex 07024-21 Pump Head |
4391 | Masterflex cole parmer 7552-76 washdown modular controllers AC3003A1 enclosed |
4392 | Masterflex cole parmer 7553-07 washdown modular controller AC3003A1, used |
4393 | Matchlett ML-6257 High Voltage Power Vacuum Tube, Raytheon, 422966 |
4394 | Matheson 3320 Pressure Gauge Regulator |
4395 | Matrix 7911/MPD card, PCB |
4396 | Matrox 576-06 PCI video card 2 Mb with video grabber daughter 581-03, manual |
4397 | Matrox 750-0201 Meteor 2/4 frame capture video board |
4398 | Matrox Gen/Pro GP60/F/64/F/64 Graphics Board, w/ Daughter PCB 416470 |
4399 | Matrox Gen/Pro GP60/F/64/F/64 Processor Board, 416468 |
4400 | Matrox Gen/Pro GPRO60/F/64/F/64 Processor Board, 416469 |
4401 | Matsuhita ETU-5E200 5 volt 20 amp power supply |
4402 | Matsusada Precision Inc. ES-2R3 High Voltage Power Supply, ES2R3, 423598 |
4403 | Matsusada Precision Inc. ES-2R3 High Voltage Power Supply, ES2R3, 423599 |
4404 | Matsushita AFC12342-F NAIS Micro Controller Board, PCB, FP-M-C32T, C32T. 423396 |
4405 | Matsushita AFC12342-F NAIS Micro Controller Board, PCB, FP-M-C32T, C32T. 423397 |
4406 | Matsushita AFC12342-F NAIS Micro Controller Board, PCB, FP-M-C32T, C32T. 423398 |
4407 | Matsushita NAIS Aicure ANUP5255V2 UV Curing System, 100-240V, 50/69Hz, 415679 |
4408 | Matsushita NAIS ANE103 XDEMO-LIGHTPIX AE10 Vision Sensor Kit ANE11 ANE12 420234 |
4409 | Mattson 15 x 15 Quartz Insulator, Used |
4410 | Mattson 2411931, AWP Piranha, Cover PVDF Complete. 417125 |
4411 | Mattson 2411931, AWP Piranha, Cover PVDF Complete. 417126 |
4412 | Mattson 255-12307-00 ATM robot Z-axis interface PCB board |
4413 | Mattson Aspen III, Front End Transfer chamber, Robot, Controller, |
4414 | MB-304 Reducer Fitting, SP114, 1 1/4 x 3/4, 422209 |
4415 | MC Electronics LM8SMGA Linear Bush, 420770 |
4416 | MCG 228-ME3776, Servo Motor, 4.76A, 7.50 LB-In Torque. 416353 |
4417 | MCG 228-ME3776, Servo Motor, 4.76A, 7.50 LB-In Torque. 416354 |
4418 | MCG DMC6D Brush Type PWM Servo Amplifier Drive, 37583-0016, 12A8K-MC1, 423800 |
4419 | MCG IB23821 brushless Servo Motor |
4420 | Mclennon stepper servo motor, P532-ST001-G11L81 |
4421 | MCSI Technologies 71800 ISA Passive Backplane PCB, 71800301 PWB, 418579 |
4422 | MCT 151173 PCB |
4423 | MD Control Systems 676 LWR C2C Speedfam 2220-717273 Rev G, 16 Port Pneumatic |
4424 | MDA 872096 Control CPU 06-04004-00 |
4425 | MDA 872234 Power supply dual output PCB, 06-04005-00 |
4426 | MDA Scientific 872107-1 Analyzer, HF/Minera |
4427 | MDC AV-075-P-01-10 isolation valve with cable, has two conflat flanges |
4428 | MDC AV-150M-P pneumatic angle valve |
4429 | MDC Bellows Assembly |
4430 | MDC Isolation Bellow 997330 019-40017 |
4431 | MDC Isolation Bellow KAV-150-P 311074 |
4432 | MDC Isolation Bellow KAV-150-PSP 019-40017 |
4433 | MDC Isolation valve, Edward Isolation valve, used |
4434 | MDC KAV-050-P, Pneumatic Right Angle Valve, 419637 |
4435 | MDC KAV-150-P-NVLLUS-311074-1002, pneumatic vacuum angle isolation valve |
4436 | MDC LAV-250-PAA 1MC Right Angle Isolation Valve, ISO 250 Flange, 423698 |
4437 | MDC LAV-250-PAA 1MC Right Angle Isolation Valve, ISO 250 Flange, 423699 |
4438 | MDC, Isolation Valve, KAV-150-P-NVLLUS |
4439 | MDC, KF40 Isolation Valve KAV-150-P |
4440 | MEAN WELL MDR-20-24 AC/DC POWER SUPPLY, 100-240 VAC, 24V |
4441 | Mean Well USA DR-4524 Power supply, 24V, 2A |
4442 | MEC83106-1074L Ground Plate Insulator A/A 420560 |
4443 | Mechanical Cartridge Tester 120 Controller |
4444 | MECS Transfer Robot Track YC505, MECS CS7000 controller |
4445 | MECS UTC 100A control system robot control UTV 430 |
4446 | MECS UTM3500NS Wafer Handling Robot and cables |
4447 | Mectrol M24-2265:1 Dojen Speed Reducer, Zero Backlash IPEC polish Novellus 96032 |
4448 | Mega Kinetics RS-1000FAP, Liquid Leak Detection Sensor, 122716100, BRA. 420191 |
4449 | MEGAcel Cleanroom Air Filter AAF 3005394-503 (907-095-002) (ECO 84164 57284) |
4450 | Meissner SP0.04-1C2T Filter, Stylux, 0.04 Micron, 10 Inch SOE 222 Teflon, 422199 |
4451 | MeiVac 531S-S, Thermocouple Vacuum Gauge. 416743 |
4452 | Melles Griot 040AS008, Microscope Objective Lens, 6.3x, Tencor 209074. 416813 |
4453 | MELLES GRIOT 05 LPL 235 LASER POWER SUPPLY, KBB01015-503 100V AC 401692 |
4454 | Melles Griot 05LPL 235 Laser Power Supply, 100 VAC, 2450V, DC, 6.5mA, 415611 |
4455 | Merlin engineering works, VCF PWA 4586, FAB 4585 B, ME1006A |
4456 | Met One 2082835-2 PCM Particle Concentration Meter, 1A, 9V, .3uM, .1 CFM, 330352 |
4457 | Metal Bracket 402267 |
4458 | Metron 0221-12 Elbow, Male/Comp. WHT PP, 1/2 MNPT x 1/2T, 422249 |
4459 | Metron 200-0005-00 Gauge, 160 PSI, 1/4 NPT Male |
4460 | Metron 233-4939-60 ACT 12-200 Catch Cup, 3 Pieces, 423638 |
4461 | Metron 483-11984-01 Switch, Pressure, Gas, 1-4PSI, Autoreset |
4462 | Metron 492-17176-00 Pressure Switch |
4463 | Metron A129242-G Semiconductor Equipment Labels |
4464 | Metron D112307 HUB, Tokyo Electron Driven Gear |
4465 | Metrowerks CWCODDETEST4MIC Codetest Data Collection Unit, 419939 |
4466 | MFC Mass Flow Controller Aera FC-7800CD, SiH4 1 SLM |
4467 | MFC Mass Flow Controller Aera FC-D980C, SF6, 50 SCCM |
4468 | MFC Mass Flow Controller LF-A4OM-A-EVD, Liquid: TEB, Flow Rate: 2.0g/min |
4469 | MFC Mass Flow Controller LF-F20M-A-EVD, Liquid TDEAHf, flow rate .002g/min |
4470 | MFC Mass Flow Controller Mykrolis FC-2902MEP5-T, 5 SLPM, Gas N2 |
4471 | MFC, MKS 1559A-13602-SPCAL 100 SLM Ar |
4472 | MGE UPS Systems, Front Panel, Veris Z102198-0F, z500223-00, 9070T100D1 |
4473 | Micro 7000 Hi speed controller MCD112KIGI |
4474 | MICRO COMPUTER specialists, PROMDISK 66700901 REV B, |
4475 | MICRO I/O, MIO-A-2-608 ANALOG VOLTAGE, 2 POINT OUTPUT |
4476 | Micro Instrument Co. 500-102376-003 AUX I/O Board, PCB, LAM, 423716 |
4477 | Micro Instrument Co. 510-204740-002 PCB Board, LAM, 423725 |
4478 | Micro Memory MM5425CN512M 512MB Battery Backed, Non-Volatile Memory |
4479 | Micro Memory MM-8500C, 16K, 8201-0263-A, Nanometrics 12734, 407408, mm-B500-C |
4480 | Micro Torr PS11-MC3000-N-F-V, APTech AP3800SM FV8 MV8 00, Mykrolis Gas Line |
4481 | Microfab AG 6″ Robot Blade End Effector, 416443 |
4482 | Microfab AG WR-300, Wafter Handler, Robot. 415927 |
4483 | Micromanipulator 6000 4″ Wafer Probe Station, Bausch and Lomb. with probes |
4484 | Micromanipulator Corp for S8 prober Heat Control H1001, Cooling C1000 |
4485 | Micromega Temperature controller with ILX lightwave 5991186 RTD converter |
4486 | Micron 810-00347R KF40 Isolation Valve Staggered Port, 421560 |
4487 | MICROSCOPE OBJECTIVE 10/0.25 160/- |
4488 | Microscope objective 100/1.25 oil 160/0.17 |
4489 | MICROSCOPE OBJECTIVE 4/0.10 160/- |
4490 | Microscope objective 40/0.65 160/0.17 |
4491 | Microscope objective 40/0.65 160/0.17 |
4492 | Microscope Objective Lens SP 100X/1.25 OIL 0.17 |
4493 | Microscope objective PL 3.2x/0.06 |
4494 | MICROSCOPE OBJECTIVE PLAN 10/0.25 160/0.17 |
4495 | Microtech Zip Floppy Disk Drive MP60284 |
4496 | MICROTEK 1263 120VAC 60HZ LINEMASTER SWITH CORP |
4497 | Microwave Wave Guide, 542039318-007, 7.9GHz, 423642 |
4498 | Micsoelectronics wire research solder kit, used |
4499 | Miele 2638714, level control switch |
4500 | MikroPrecision Automation XY stage RS-O2-CFM125 |
4501 | Miliipore WGGB06S2 Filter, Cap, Bridge, C0SM7644, 420949 |
4502 | Milipore polygard 10 inch,filter, CN3H01E, 94-93001-00 |
4503 | Millapore Filter 4117955,927704, 12STK, Phob Gas |
4504 | Millipore BA33753CR000, MFC 100 sccm, CHF3 gas |
4505 | Millipore BA3375KEH000, MFC 500 sccm, NF3 gas |
4506 | Millipore CDL-21S06 Capacitance manometer 100 torr |
4507 | MILLIPORE CDLD-21S06, 0-100 TORR |
4508 | Millipore CDLD-21S06E Manometer, 0-100 Torr, Novellus 60-054373-00, 423479 |
4509 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr, 329233 |
4510 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr, 329234 |
4511 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr, 329235 |
4512 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr, 329236 |
4513 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr, 329237 |
4514 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr, 329238 |
4515 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr, 329239 |
4516 | MILLIPORE CDLD-21S06E, 0-10 VDC, 0-100 TORR Range. |
4517 | Millipore CDLD-21S06E, 0-100 Torr, looks clean |
4518 | Millipore CDLD-21S06-EMC Manometer, Novellus 60-054373-00, 0-100 Torr, 329240 |
4519 | Millipore CDLD-21S06-EMC Manometer, Novellus 60-054373-00, 0-100 Torr, 329241 |
4520 | Millipore CDLD-21S06-EMC Manometer, Novellus 60-054373-00, 0-100 Torr, 329242 |
4521 | Millipore CDLD-21S06-EMC Manometer, Novellus 60-054373-00, 0-100 Torr, 329243 |
4522 | Millipore CDLD-21S06-EMC Manometer, Novellus 60-054373-00, 0-100 Torr, 329244 |
4523 | Millipore CDLD-21S06-EMC Manometer, Novellus 60-054373-00, 0-100 Torr, 329245 |
4524 | Millipore CDLD-21S06-EMC Manometer, Novellus 60-054373-00, 0-100 Torr, 329246 |
4525 | Millipore CDLD-21S06-EMC, 0-100 Torr |
4526 | Millipore CDLD-21S06-EMC, 0-100 Torr, |
4527 | Millipore CHVV2L0P1, Optimizer Filter, 0.1 Micron. 417092 |
4528 | Millipore CMH4-M11V gauge, 0-100 M torr, S98027 |
4529 | Millipore Cold Cathode Gauge, CDLD-11S06, Output 0-10VDC,Range 0-10 Torr. 320623 |
4530 | Millipore CTFGOSTPE, Fluroguard II Filter, 0.2 Micron AZU00004737, 420956 |
4531 | Millipore CTFVOSTPE Filter, 0.1 Micron, A2U00004737, 423652 |
4532 | Millipore CWUV40KL1, Microgard Minichem 40 Filter, 0.1 Micron, Kalrez. 419784 |
4533 | Millipore FC-2900, CL2, 100 SCCM, MFC, Mass Flow Controller (Calibrated). 330125 |
4534 | Millipore FC-2900M-4V MFC, Mass Flow Controller, O2, 100 SCCM, 422032 |
4535 | Millipore FC-2901V-J MFC, Mass Flow Controller, O2, 5 SLPM, Tylan 2900, 330348 |
4536 | MILLIPORE FC-2902MEP-T, SIH4, 1.5 SLPM |
4537 | Millipore FC-2950MEP5 MFC Mass Flow Controller, 500 SCCM, AR, Calibrated, 423660 |
4538 | Millipore gas filter C6NM7391 |
4539 | Millipore gas filter C7DM7778 |
4540 | MILLIPORE IntelliFlow FSCAD100N600 MFC, AR, N2, 100 SCCM, 324304 |
4541 | Millipore IntelliFlow FSDAE100FU05 and FSFAE100D406 MFC, SIF4, N2, 325076 |
4542 | Millipore MFC FC-2952MEP5-T, O2 GAS, 600 SCCM Range |
4543 | MILLIPORE MFC FSDGD100AQ00, FSDGD100QU00, FSCGD1000H00, N2, 324831 |
4544 | Millipore MFC Tylan 2979 series, FC-2979MEP5-W, O2, 100 SCCM, looks very clean |
4545 | Millipore MFC Tylan 2979M Series FC-2979MEP5, O2, 1 SLPM Looks very clean |
4546 | Millipore MFC, AR GAS, 400 SCCM RANGE, Intelliflow DeviceNet, FSDGD100CE00 |
4547 | Millipore MFC, CF4 GAS, 100 SCCM RANGE, Intelliflow DeviceNet, FSDG1000X00 |
4548 | Millipore MFC, CL2 GAS, 400 SCCM RANGE, Intelliflow DeviceNet, FSEGD1000R00 |
4549 | Millipore MFC, FSDGD100LG00, CH2F2 GAS, 200 SCCM RANGE, Intelliflow DeviceNet |
4550 | Millipore MFC, FSDGD100YA00, C4F6 GAS, 100 SCCM RANGE, Intelliflow DeviceNet |
4551 | Millipore MFC, NF3 GAS, 100 SCCM RANGE, Intelliflow DeviceNet, FSDGD100GM00 |
4552 | Millipore MFC, O2 GAS, 100 SCCM RANGE, Intelliflow DeviceNet, FSCGD100B600 |
4553 | Millipore MFC, O2 GAS, 200 SCCM RANGE, Intelliflow DeviceNet, FSDGD100B800, FLOW |
4554 | Millipore MFC, O2 GAS, 50 SCCM RANGE, Intelliflow DeviceNet, FSCGD1000T00 |
4555 | Millipore MFC, SF6 GAS, 30 SCCM RANGE, Intelliflow DeviceNet, FSDGD100HU00 |
4556 | Millipore MPGL06CL1 Millipak 60 Filter 0.22 Micron, 422733 |
4557 | Millipore Pressure Gauge IPS 122 Type 1 8-30VDC 12W |
4558 | Millipore SPT 204 Pressure Transducer, 100 PSIG, 4 to 20 MA TR0001344985, 420588 |
4559 | Millipore Waferguard Filter 0.1 micron, W/2 O-Rings. 423070 |
4560 | Millipore Waferguard Filter, W/2 O-Rings. 423068 |
4561 | Millipore WG2F01HC1 Wafergard II Inline Gas Filter F-Mini 1/4′ ORing Seal 421599 |
4562 | Millipore WG2F01HC1, Wafergard II Inline Gas Filter, 1/4″ O-Ring Seal. 419903 |
4563 | Millipore WG2F01ITC1, II F-Mini Inline Gas Filter, 1/4″ O-Ring Seal. 419855 |
4564 | Millipore WG2F06WR1 Gas Filter, 419261 |
4565 | Millipore WG2F36WS1 Wafergard In-line Gas Filter, 1UN2-H.5N-0701E, 422653 |
4566 | Millipore WGFA80P01 Wafergard PF-80 Filter unit, 1.0 Micron, 419792 |
4567 | Millipore WGFA80P01, Wafergard PF-80 Filter Unit, 1.0 Micron. 419702 |
4568 | Millipore WGFG06WR1 Wafergard In-Line Gas Filter, 1/4″, 422728 |
4569 | Millipore WGFG36WS1 In-Line Gas Filter, 3/8″ 422410 |
4570 | Millipore WGFG36WS1 Wafergard In-Line Gas Filter, 3/8″, 423261 |
4571 | Millipore WGGB40S01, Filter, 5 MIC, 417810 |
4572 | Millipore WO2F01HC1, Waferguard II F-Mini Inline Gas Filter, 1/4″ O-ring. 418543 |
4573 | Millipore WPSLC0C1L Waferpure C0-Micro Purifier, New |
4574 | Millipore YY4600001 Chemgard PFA Toolkit, 420208 |
4575 | MILLIPORE, ADAPTORR MODEL AC-2, Millipore AC213C REV C |
4576 | Minebea 17PM-K101-04V Motorized stage with renishaw RGH sensor |
4577 | Miracle Movement Dial Indicator MPT41908 EI-0003-508, Used |
4578 | MIS 900063 Opt-Out 32 Board, PCB, 9330009, 420683 |
4579 | MISSING PULSE VME ELAS 016763 FB-B94V-0 PCB |
4580 | Mitsubishi A0J2-E56DT, Melsec, Controller Programmable T/O Module, 401778 |
4581 | MITSUBISHI A1NCPU-C MELSEC PROGRAMMABLE CONTROLLER BD626C254G53 AC85-132V 401868 |
4582 | Mitsubishi A1S68B Base unit power supply, A1S61PN, A1SX42, A1SD75P2-S3, A1SJ71QC |
4583 | Mitsubishi AAMITB3Q-031 Switch (Magnet) |
4584 | Mitsubishi Controlable FX-1PG |
4585 | Mitsubishi FR-E520-1.5K Inverter, E500, Freqrol-E500, 422992 |
4586 | Mitsubishi FR-E520-1.5K Inverter, Freqrol-E500, 1.5kW, 422990 |
4587 | Mitsubishi FRE-E520-0.1K Freqrol-E500 Inverter, 423038 |
4588 | Mitsubishi FRE-E520-0.1K Freqrol-E500 Inverter, 423039 |
4589 | Mitsubishi FRE-E520-0.1K Freqrol-E500 Inverter, 423040 |
4590 | Mitsubishi FR-F720PJ-3 Inverter, 423754 |
4591 | Mitsubishi FR-F720PJ-5.5K Inverter, Freqrol-F700PJ, F700PJ, 423686 |
4592 | Mitsubishi FR-F740PJ-3.7K Inverter, 423753 |
4593 | Mitsubishi FR-Z020-0.4K, Freqrol-Z020 Inverter 3A, 0.4KW. 416348 |
4594 | Mitsubishi FR-Z020-0.4K, Freqrol-Z020 Inverter 3A, 0.4KW. 416348 |
4595 | Mitsubishi FR-Z123-0.4K, Freqrol-Z123 Inverter, 3A, 0.4KW. 416383 |
4596 | Mitsubishi FR-Z123-0.4K, Freqrol-Z123 Inverter, 3A, 0.4KW. 416384 |
4597 | Mitsubishi FX2N-48MR-DS Programmable logic controller plus components |
4598 | Mitsubishi FX2N-48MR-DS Programmable logic controller plus components |
4599 | Mitsubishi HC-UFS13K Servo Motor, 3000r/Min Speed, 3AC, 120V, 0.7A, 408763 |
4600 | Mitsubishi MELSEC A1SHCPU PLC Module Assy, A1SY81 Output Unit, A1S62PN. 423392 |
4601 | Mitsubishi MELSEC FX0-20MR-D PLC Programmable Controller, 423253 |
4602 | Mitsubishi Melsec FX0N-24MR-ES Programmable controller, FX0N-3A |
4603 | Mitsubishi Melsec FX0N-60MR Programmable controller |
4604 | Mitsubishi Melsec FX-128MR |
4605 | Mitsubishi MR-J2S-70B AC Servo controller 750W |
4606 | Mitsubishi MSA-6-24 Quartz Boat Table, Quartz International, 420815 |
4607 | Mitsubishi printer CP750A with paper |
4608 | MITSUBISHI PROGRAMMABLE CONTROLLER FX-8EX-ES/UL, FX-8EX |
4609 | MITSUBISHI PROGRAMMABLE CONTROLLER T/O MODULE A0J2-E56DRT13K601 401768 |
4610 | MITSUBISHI PROGRAMMABLE CONTROLLER T/O MODULE A0J2-E56DRT13K601 401769 |
4611 | Mitsubishi Q2ASHCPU PLC Module w A1SX42 Input A1SY42 Output A1S68DAV Converter |
4612 | Mitsubishi Q2ASHCPU PLC Module w A1SX42 Input A1SY42 Output QC 24-R2 A1SD75P2-S3 |
4613 | Mitsubishi Q2ASHCPU-S1 PLC Module w A1SX42 Input, A1SY42 Output, A1SJ71QE71-B2 |
4614 | Mitsubishi QI-20454 Rev.B, Chamber, 240×130, WEP/WEK/WEB. 417263 |
4615 | Mitsubishi SD-N21 Magnetic Contactor |
4616 | Mitsubishi servo motor HC-UFS13BK, 3000r/MIN SPEED, 398628, 120V 0.7A |
4617 | Mitsubishi SF-JR, Super Line Three Phase Induction Motor, 4 Pole, 2.2 KW. 420019 |
4618 | Mitutoyo 1044F-01, Metric Dial Indicator 402427 |
4619 | Mitutoyo 1044F-01, Metric Dial Indicator 402430 |
4620 | MITUTOYO ABSOLUTE ID-S1012EB, 543-683B 402425 |
4621 | Mitutoyo ID-U1025E, Absolute Digimatic Indicator. 417769 |
4622 | Mitutoyo Ultraplan FS110, Technical Instrument Company K2IND/MIT, Fcs Finder II |
4623 | MKS 141AA-00010BB-S BARATRON 10 TORR |
4624 | MKS 20704A-28818 Process Sense, Valve, Vacuum exhaust monitor End Point, 423791 |
4625 | MKS 0148C-00200RM Flow Control Valve, 200 SCCM, 423026 |
4626 | MKS 022-03161-2D2 Microvision Plus, RGH Control Unit, Transpector Power Supply |
4627 | MKS 100001680, Kit, Bellows Assembly, with Shaft, NW50. 419886 |
4628 | MKS 100313711 Reducer, NW16 to NW25, SST, 422534 |
4629 | MKS 100314505, Elbow, Bent, 90 DEG, NW40, 113672. 419728 |
4630 | MKS 100314606, TEE NW50, SST, TUMBLE, 3-Way, Vacuum Pipe. 416802 |
4631 | MKS 100314606, TEE NW50, SST, TUMBLE, 3-Way, Vacuum Pipe. 416804 |
4632 | MKS 100314606, TEE NW50, SST, TUMBLE, 3-Way, Vacuum Pipe. 416805 |
4633 | MKS 109070028CE, Vacuum gauge, New |
4634 | MKS 113B-2-P Pwr Supply Digital Read Unit for Pressure Sensor & Mass Flow Meter |
4635 | MKS 114931 PCB board |
4636 | MKS 1159B00050RVSPCAL MFC, Mass Flow Controller, He, 50 SCCM, 423775 |
4637 | MKS 1159B00050RVSPCAL MFC, Mass Flow Controller, He, 50 SCCM, 423776 |
4638 | MKS 1160B-05000SV MFC, Mass Flow Controller, N2, 5000 SCCM, 421906 |
4639 | MKS 1161B-00500RK Mass Flow Controller MFC, N2, 500 SCCM, MKS 1161, 421881 |
4640 | MKS 116282-G1 Gauge Cluster System |
4641 | MKS 120A-12562, Manometer Baratron 1.0 Torr 1/2 Inch VCR Connection. 418732 |
4642 | MKS 120AA-00001RA3 Baratron, 1 Torr, 0-10VDC Output 416314 |
4643 | MKS 121A-26297 Capacitance Manometer, 50 Torr Input +/- 15 VDC Output 0-10 VDC |
4644 | MKS 122A-11061 10 Torr Pressure Transducer Type 122A |
4645 | MKS 122AA-00002AB 2 Torr, Type 122A Pressure Transducer |
4646 | MKS 122AA-00100EB Baratron Pressure Transducer 100 Torr, 422914 |
4647 | MKS 122AA-00100EB Baratron Transducer 100 Torr S62942-2-6n |
4648 | MKS 122AA-00T00EB 100Torr Baratron Pressure Transducer |
4649 | MKS 122AA-01000BB, Baratron 1000 Torr |
4650 | MKS 122B-11993 Baratron Pressure Controller, Transducer, 418475 |
4651 | MKS 122B-11993 Baratron Pressure Transducer Assy, Type 122B, 100 Torr, 423481 |
4652 | MKS 122BA-00010BB 10 Torr, Type 122B Pressure Transducer |
4653 | MKS 124-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, 422986 |
4654 | MKS 124-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, 422987 |
4655 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, 416123 |
4656 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, 416125 |
4657 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, 416151 |
4658 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, 422768 |
4659 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, 422769 |
4660 | MKS 124A-11848 Type 124 Baratron 2000 Torr |
4661 | MKS 124AA-05000BB-S Baratron 2000 Torr 1/2VCR 14P Trip, MKS 124 |
4662 | MKS 1259C-00010SV MFC Assy, Mass Flow Controller, N2, 10 SCCM, 423470 |
4663 | MKS 127AA-000.1E Baraton Pressure Transducer, Type 127, .1 Torr, 422999 |
4664 | MKS 127AA-00001B Baratron Pressure Transducer, Type 127, 1 Torr, 423466 |
4665 | MKS 127AA-00001D, Baratron, Pressure Transducer, 1 Torr, ±15 VDC-250mA. 323973 |
4666 | MKS 127AA-00001D, Baratron, Pressure Transducer, 1 Torr, ±15 VDC-250mA. 323974 |
4667 | MKS 127AA-00001E, Baratron Head 1 Torr, VCO fitting |
4668 | MKS 127AA-00001E, Baratron Pressure Transducer, 1 Torr 416037 |
4669 | MKS 127AA-00001E, Baratron Pressure Transducer, 1 Torr 416038 |
4670 | MKS 127AA-00001E, Baratron Pressure Transducer, 1 Torr 416045 |
4671 | MKS 127AA-00001E, Baratron Pressure Transducer, 1 Torr 416132 |
4672 | MKS 127AA-00001Z, Baratron Pressure Transducer, 1 Torr,±15 VDC, 0-10 VDC. 416035 |
4673 | MKS 127AA-00100E 100Torr Baratron Pressure Transducer |
4674 | MKS 141A-24161 BARATRON 10 TORR |
4675 | MKS 141AA-00010BA-S BARATRON 10 TORR |
4676 | MKS 141AA-00010BB 10 Torr Baratron Pressure transducer |
4677 | MKS 141AA-00010BB-S Baratron 3 Torr, Vacuum Switch Type 141 |
4678 | MKS 145-0025K-208V/60 Valve, Vacuum Sentry, has waterstain |
4679 | MKS 1459C-00500RM MFC, Mass Flow Controller, N2, 500 SCCM, 422520 |
4680 | MKS 146C-ABONM-1 Vacuum Gauge Measurement And Control System, Type 146, 408225 |
4681 | MKS 1480A01311CR16M12 MFC Alta model digital Mass flow controller, 10 sccm N2. |
4682 | MKS 1480A01352CR16M12 MFC Alta model digital Mass flow controller, 500 sccm N2 |
4683 | MKS 1480A-27017 MFC Alta model digital Mass flow controller, 100 sccm Ar. |
4684 | MKS 151-0016K HPS right angle manual vacuum isolation valve KF 16 |
4685 | MKS 152-0050K-S02 Isolation Vacuum Valve, KF50, NW50, 418211 |
4686 | MKS 152G-P0, Type 152 Automatic Pressure Controller 402473 |
4687 | MKS 1651D Throttle valve controller 1651D-15445 |
4688 | MKS 1651D Throttle valve controller 1651D-23044 |
4689 | MKS 167A Type 167 Flow Readout, 422993 |
4690 | MKS 1869-04, Bellows, ISO-KF, NW20, SS, 3.94″ |
4691 | MKS 2259B-00500RK MFC Assy, Mass Flow Controller, N2, 500 SCCM, SS-4BK, 422479 |
4692 | MKS 2259C-01000RV, N2, 500 SCCM, MFC, Mass Flow Controller (Calibrated). 422510 |
4693 | MKS 225AD-00010ABBS Baratron Pressure Transducer 2.5″ H20, 3/16TU 4SCREW, 320753 |
4694 | MKS 250B throttle valve controller with vacuum general 80-6B pressure display S1 |
4695 | MKS 250B throttle valve controller with vacuum general 80-6B pressure display S8 |
4696 | MKS 250B-1-DS-P02-83 throttle valve controller with MKS 270 pressure display |
4697 | MKS 250C throttle valve controller with MKS 270A pressure display |
4698 | MKS 252C-1-VPO exhaust vavle controller, used |
4699 | MKS 253A Throttle valve 253A-11010 KF 50 flange |
4700 | MKS 253A-11203, Throttle Control Valve. 418458 |
4701 | MKS 253B-11102 Throttle Valve assembly, Exhaust WJ 087750-000 Valve Control |
4702 | MKS 253B-11102 WJ 1000 or WJ 999 Aviza CFC exhaust throttle valve |
4703 | MKS 253B-11102 WJ / Aviza CFC exhaust throttle valve, looks clean |
4704 | MKS 253B-12931 Control Valve, 418452 |
4705 | MKS 253B-12931 Control Valve, 418453 |
4706 | MKS 253B-14579, Throttle Valve. 418456 |
4707 | MKS 253B-27416 Throttle Valve, Type 253B, 422241 |
4708 | MKS 2542 Mass Flow Meter 0258B-00500RV, 500 SCCM Flowmeter, S40300 |
4709 | MKS 258B-00500RY Mass Flow Meter Used |
4710 | MKS 290 ION GAUGE CONTROLLER and MKS 291 Ion Gauge Long Cable Adapter |
4711 | MKS 41A11DCA2AA003 Baratron Pressure Switch |
4712 | MKS 41A12DCA2BA050 Baratron 100 Torr Pressure Switch |
4713 | MKS 41A-21683 Baratron Pressure Switch |
4714 | MKS 51A12TCA2BA070 Baratron Pressure Switch, 100 Torr, 423018 |
4715 | MKS 51A13TCA1AA999 Baratron Presssure Transducer, 1000 Torr, 423133 |
4716 | MKS 51A13TCA2BA990 Baratron Pressure Switch, 1000 Torr, 423020 |
4717 | MKS 52A21PCH2AA007 Baratron Pressure Transducer, 20 PSIA, 29289-00, 423050 |
4718 | MKS 600 series pressure controller 655AD2B, 328561 |
4719 | MKS 625B23TCEFU Baratron Capacitance Manometer, 2000 Torr, 422996 |
4720 | MKS 625B23TCEFU Capacitance Manometer 2000 Torr, in sealed bag, sell as used |
4721 | MKS 626A13TAE Capacitance Manometer, Baratron, 1000 Torr, 423007 |
4722 | MKS 626A13TAE Capacitance Manometer, Baratron, 1000 Torr, 423008 |
4723 | MKS 626A13TAE, Baratron, Type 626 Pressure Transducer, 1000 Torr, 416036 |
4724 | MKS 627A.1TAD—–S Baratron Pressure Transducer Assy, .1 Torr, 415501 |
4725 | MKS 627A01TBC Baratron Pressure Transducer, Type 627, 1 Torr, 415773 |
4726 | MKS 627A-12338 Type 627 Baratron Capacitance Manometer, 20 Torr, 415555 |
4727 | MKS 627A-13267 Type 627 Baratron Pressure Transducer, 20 Torr, 422913 |
4728 | MKS 627A-15068 Baratron Pressure Transducer, .2 Torr, 423448 |
4729 | MKS 627A-15359 Baratron Pressure Transducer, .1 Torr, 423447 |
4730 | MKS 627B1TBD4B Baratron Temp Regulated Capacitance Manometer, 0.1 Torr, 422429 |
4731 | MKS 627B1TBD4B Baratron Temperature Regulated Capacitance Manometer, 422428 |
4732 | MKS 628B-24626 Baratron, 4T 1/2VCR 15P 100C |
4733 | MKS 640 Type 640A12TWIV22V, MFC 100 Torr, Pressure Controller. 418555 |
4734 | MKS 653B-21801 Throttle valve, cal-weld, 10-160921-00/2, S8214 |
4735 | MKS 653B-25129 Exhaust Throttle valve with controller, MKS 653B |
4736 | MKS 655AD2N 600 Series Type 655A Pressure Controller, 400833 |
4737 | MKS 672B01TBC1B, 1 Torr, Baratron (Calibrated). 422513 |
4738 | MKS 683B02K291016 Throttle Valve KF 50 Flange with Device Net, MKS 683 |
4739 | MKS 683B-26435, Vacuum Throttle Valve, Pressure Controller. 419314 |
4740 | MKS 683B-29016 Type 683 Control Valve, 329956 |
4741 | MKS 728A-28375 Baratron Pressure Transducer, 10 Torr, +/- 15 VDC Input, 423467 |
4742 | MKS 750B12TCE2GK Baratron Pressure Transducer, 100 Torr, 423019 |
4743 | MKS 852B12TCA2G Baratron Pressure Transducer, 100 Torr, 329809 |
4744 | MKS 852B12TCA2GA Baratron Pressure Transducer 402194 |
4745 | MKS 852B13TCA2GC Baratron Pressure Transducer, 1000 Torr, 423025 |
4746 | MKS 852B-14628 Baratron Pressure Transducer, 100 PSI, 423006 |
4747 | MKS 852B61PCA2NC Baratron Pressure Transducer, 60 PSIA, 423021 |
4748 | MKS 852B61PCJ2GC Baraton Pressure Transducer Valve Assembly, 415787 |
4749 | MKS 872B12PME2GC1 Baratro Pressure Transducer, 100 PSIA Range, 423001 |
4750 | MKS 872BRDPBE4GL1, Swagelok E03532, Baratron Pressure Transducer. 418256 |
4751 | MKS 872BRDPBE4GL1, Swagelok E03532, Baratron Pressure Transducer. 418257 |
4752 | MKS 872BRDPBE4GL1, Swagelok E03532, Baratron Pressure Transducer. 418258 |
4753 | MKS 872BRDPBE4GL1, Swagelok E03532, Baratron Pressure Transducer. 418259 |
4754 | MKS 892A-26438 Baratron Pressure Transducer, 1500 Torr, 423009 |
4755 | MKS 892b-27060 Surface Pressure Transducer, New |
4756 | MKS 901P Loadlock Vacuum Pressure Transducer 901P-81030 9-30VDC 401085 |
4757 | MKS 901P Loadlock Vacuum Pressure Transducer 901P-81030 9-30VDC 401086 |
4758 | MKS 937A Gauge Controller, 937A-120V60TR-NACTCM232, RS-232/RS-485, 400960 |
4759 | MKS 9535-0522 Heater jacket, HTR3.5, STRM257, BKT, 1BP3 |
4760 | MKS 9980351 Heated Isolation Vacuum Valve, EV5A Foreline, 9515-0429, 329810 |
4761 | MKS 99E0716 Vacuum Interlock Assy w/ Safety Valve, 750C11TCD2GG Baratron, 423493 |
4762 | MKS 99F1526 Vaccuum valve |
4763 | MKS 99J1424 Vacuum Isolation Valve Bellows and Actuator Assembly, Assy |
4764 | MKS AX7645PS-10, Remote Plasma RF Generator, ASTRONh hf-s, AX7645, ASTEX. 422970 |
4765 | MKS AX7650 ASTex Reactive gas generator, Astron, Novellus 27-055823-00, |
4766 | MKS baraton 122BA-00100EB 100 Torr, looks new, sell as used |
4767 | MKS BARATORN 122BA-00001AB, 1 TORR range, TYPE 122B |
4768 | MKS BARATORN 122BA-00001AB, 1 TORR range, TYPE 122B, 0-10 VDC, 398498 |
4769 | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B, 398535 |
4770 | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B, 398536 |
4771 | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B, 398538 |
4772 | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B, 398539 |
4773 | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B, 398540 |
4774 | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B, 398541 |
4775 | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B, 398542 |
4776 | MKS BARATORN 122BA-01000AB, 1000 TORR RANGE, TYPE 122B, 398537 |
4777 | MKS BARATORN 127AA-00001B, 1 TORR range, +- 15 VDC, TYPE 127, 398534 |
4778 | MKS BARATORN 241AA-00010BB, 10 TORR RANGE, TYPE 241A, 398543 |
4779 | MKS BARATORN 241AA-00010BB, 10 TORR RANGE, TYPE 241A, 398544, vacuum switch |
4780 | MKS baratron 122A-11060, 10 Torr, S349A |
4781 | MKS BARATRON 122A-11063, 10 TORR, used, as is |
4782 | MKS BARATRON 122AA-00010BB PRESSURE TRANSDUCER TYPE 122A, 10 TORR RANGE, 399659 |
4783 | MKS BARATRON 122AA-00010BB, 10 TORR, used |
4784 | MKS BARATRON 122B-11993, 100 TORR, CALIBRATION SHEET. |
4785 | MKS BARATRON 122B-11993, 100 TORR, used, as is |
4786 | MKS BARATRON 122BA-00100EB, 100 TORR |
4787 | MKS baratron 122BA-01000EB-S, 1000 Torr, S795 |
4788 | MKS baratron 124A-11848, 2000 Torr Range, With calibration sheet S224 |
4789 | MKS baratron 124A-11848—-S, 2000 Torr, S392A |
4790 | MKS baratron 124AA-00010BB, 10 TORR, used |
4791 | MKS BARATRON 124AA-00010BB, 10 TORR, used, as is |
4792 | MKS baratron 124AA-05000BB-S, 2000 Torr, S201A |
4793 | MKS baratron 127AA-00001E, 1 Torr with calibration sheet, Clean, S952712 |
4794 | MKS baratron 127AA-00001E, 1 torr, S33006-1AL |
4795 | MKS baratron 127AA-00001E, 1 Torr, S57317-2-1E |
4796 | MKS baratron 127AA-00001E, 1 torr, S78759-1V |
4797 | MKS baratron 127AA-00001E, 1 Torr, S79166 |
4798 | MKS Baratron 127AA-00001E, Unknown gas Range |
4799 | MKS baratron 127AAX-000100T, 10 TORR lots of 2 |
4800 | MKS baratron 128AA, 1 Torr, +/- 15 VDC, used as is |
The items are subject to prior sale without notice. These items are only for end users.
ss380farmonSS6305