Main Maker

used semiconductor equipment and parts

Category:

Description

Please contact us for the availability of the following used semiconductor equipment and parts

[Pls use “CTRL+F  “key button to search the model/key word you are interested in]

The items are subject to prior sale without notice. These items are only for end users.

1 STS Multiplex DRIE Tool (Bosch Process) 1 set (ICP)
2 STS Multiplex ICP Bosch Process 1 set ICP
3 STS MESC Multiplex ICP SN:17343 1 set (ICP)
4 Multiplex ICP MACS Bosch Process 1 set (ICP)
5 STS multi-chamber Cluster  1 set (ICP)
6 STS Mutiplex ICP      1set (ICP)
7 Tegal 903e plasma dry etch 1 set         (Plasma Etch/RIE)
8 Tegal 903e plasma dry etch 1 set         (Plasma Etch/RIE)
9 Tegal 903e plasma dry etch 1 set         (Plasma Etch/RIE)
10 Tegal 903e plasma dry etch 1 set         (Plasma Etch/RIE)
11 Tegal 903e plasma dry etch 1 set         (Plasma Etch/RIE)
12 Tegal 903e plasma dry etch 1 set         (Plasma Etch/RIE)
13 Branson IPC 3000 1 set          (Plasma Asher/Descum)
14 Matrix 105 1 set          (Plasma Asher/Descum)
15 Matrix 205 1 set          (Plasma Asher/Descum)
16 Matrix Bobcat 209S 1 set          (Microwave Plasma Asher/Descum)
17 Matrix Bobcat 209S 1 set          (Microwave Plasma Asher/Descum)
18 Gasonics L3510 1 set           (Microwave Plasma Asher/Descum)
19 Gasonics L3500 1 set          (Microwave Plasma Asher/Descum)
20 System One Etcher Matrix 302 Plasma Etcher 1 set          (Plasma Etch)
21 Matrix System One Stripper Matrix 103 1 set        (Plasma Etch)
22 Matrix System One Stripper 1 set         (Plasma Asher/Descum)
23 Matrix 303 Plasma Etcher 1 set        (Plasma Etch)
24  Lam AutoEtch 590 1 set         (Plasma Etch)
25 Lam Auto Etch 590 1 set        (Plasma Etch)
26 Plasma Therm 700: 1set (PECVD+Plasma Etch)
27 Plasmatherm SLR 720 RIE Etcher (RIE)
28 AMP-3300 :               1 set (PECVD)
29 Heatpulse 8800      1 Set(RTP)
30 Heatpulse 8108        4 sets (RTP)
31 Heatpulse 4108        4 sets (RTP)
32 Heatpulse 4100         4 sets (RTP
33 Heatpulse 610          2 sets (RTP)
34 Mini-Pulse 310           1 set (RTP)
35 Heatpulse 610             1 set(RTP)
36 RTP-3000     1 set    (RTP)
37 Edwards QDP80 + QMB500:       1 set  (Pump)
38 Fisher Scientific Chiller 1set Chiller
39 PolyScience 9102A Chiller 1 set Chiller
40 PolyScience 9105 Chiller 1 set Chiller
41 NESLAB SYSTEM II:        2 sets(Chiller)
42 Neslab CFT-25 Recirculator 1 set Chiller
43 Neslab RTE-110 Chiller 1 set Chiller
44 Thermo Fisher Scientific Chiller 1 set Chiller
45 Thermo Fisher Scientific Neslab 7 1 set Chiller
46 ThermoRack 10-R6AF-3G30-10-S2:     1set (Chiller)
47 EG 1034:  11 sets were refurbished,like new and 7 sets are used not test. (Probe)
48 EG4085: It is complete, working condition,  tested. (Probe)
49 Olympus MX50A-F with Al100-L6         1 set ( Metrology)
50 Nanometrics 210 Nanospec AFT   1 set ( Metrology)
51 HP 4062 and testers: 6 sets. Will fully test before shipping. (Tester)
52 Hitachi FE-SEM S-5000 1 set
53 3 Bottle Empty Gas Cabinet
54 A&D Company HR 200 Density Measurement Balance
55 ABW Systems 1008 Convection Reflow Belt Oven
56 Accu-Fab Eco-Snow CO2 Precision Surface Cleaning System
57 Acme Electric DTHB-040-4S 40 kVA Drive Isolation Transformer
58 Acme Transformer T-2A-79523-3S Dry Type Distribution Transformer
59 Adjustable Bellows
60 Advance Weight Systems 808 Production Moment Balance System
61 Advanced Dicing Technologies 982-6 Precision Dicing Saw
62 Advanced Energy Apex 1500/13 1500W 13.56 MHz RF Generator
63 Advanced Energy ATX 600 RF Matching Network with Controller – Never Used
64 Advanced Energy MDX 10K DC Magnetron Power Supply
65 Advanced Energy MDX 10K DC Magnetron Sputtering Power Supply
66 Advanced Energy MDX 10K Low Z Fast DC Magnetron Power Supply
67 Advanced Energy MDX 10K Master
68 Advanced Energy MDX 10K Slave DC Magnetron Power Supply
69 Advanced Energy MDX 10K Slave DC Magnetron Power Supply
70 Advanced Energy MDX 10K Slave DC Magnetron Power Supply
71 Advanced Energy MDX 1K DC Magnetron Sputtering Power Supply
72 Advanced Energy MDX 5K DC Magnetron Sputtering Power Supply
73 Advanced Energy Pinnacle 6 kW DC Magnetron Power Supply
74 Advanced Energy Pinnacle DC Magnetron Power Supply
75 Advanced Energy RF/DC Combiner
76 Advanced Energy RFX II 5500 13.56 MHz 5500W RF Power Generator
77 Advanced Energy Sparc-Le 20 DC Pulsing Spark Arrestor
78 Advanced Imaging Inc. ROBO 4 15-inch Polishing System
79 Advanced Thermal Sciences MVX-75 Water to Water Heat Exchanger
80 Advantest D3186 Pulse Pattern Generator/Error Detector
81 Advantest D3186 Pulse Pattern Generator/Error Detector
82 Advantest D3286 Pulse Pattern Generator/Error Detector
83 Advantest D3371 Transmission Analyzer
84 Affinity EWE-04AJ-CD49CB​D0 Water to Water Heat Exchanger  NEW
85 Affinity FAE-120L-EE19CB​D4 Air Cooled Refrigerated Recirculating Chiller
86 Affinity FAE-121L-EE10CA​D4 Air Cooled Recirculating Chiller
87 AG Associates 610 Rapid Thermal Processor
88 AG Associates Heatpulse 610 Benchtop RTP Rapid Thermal Processor
89 Agilent 16821A 34-Channel Portable Logic Analyzer
90 Agilent 34411A 6-1/2 Digit Enhanced Performance Multimeter
91 Agilent 4155B Semiconductor Parameter Analyzer
92 Agilent 4155C Semiconductor Parameter Analyzer
93 Agilent 8164A 81682A/072 Lightwave Measurement Sys w/1550nm Tunable Laser Source
94 Agilent 8164A Lightwave Measurement Mainframe with 81482B Opt 072
95 Agilent 81662A Opt 361 Low Power Laser Source Module
96 Agilent 8509B Lightwave Polarization Analyzer
97 Agilent E4406A Vector Signal Analyzer
98 Agilent E4443A PSA Spectrum Analyzer 3 Hz to 6.7 GHz
99 Agilent E4443A PSA Spectrum Analyzer 3 Hz to 6.7 GHz
100 Agilent E4991A RF Impedance Material Analyzer
101 Agilent N5230A PNA Network Analyzer Opt 020 300 kHz to 6 GHz 2-Port
102 Agilent N5230A PNA Network Analyzer Opt 125 300 kHz to 13.5 GHz 2-Port
103 Agilent Technologies EXPPTS03001 Two Sy Scroll Pump
104 Air Control FH-45D-S 3 ft. Polypropylene Wet Process Hood
105 Air Control FH-45D-S Polypropylene Wet Process Bench
106 Air Control FH-45D-S-6 Polypropylene Wet Process Bench
107 Air Control FH-45D-SS Stainless Solvent Wet Process Bench
108 Air Control FH-45D-SS Stainless Steel Solvent Wet Process Bench
109 Air Control FH-45D-S-SS Polypropylene Wet Process Bench
110 Air Control FH-45-SS Stainless Steel Solvent Process Hood with Ultrasonic Bath
111 Air Control FH-45-S-SS 6 ft. Polypropylene Wet Process Bench
112 Air Control Microvoid Fume Hood with Sink
113 Air Products 211984 Single Bottle Nitrogen Gas Cabinet
114 Air Products 801-4703927 GasGuard 250 Three Bottle Gas Cabinet
115 Alcatel ADP 122LM Dry Pump
116 Alessi Magnetic Base Probe Manipulator
117 Alessi Magnetic Base Probe Manipulator
118 Alessi MH2-B Vacuum Base Micropositioner
119 Alessi MH-4 Precision High Resolution Vacuum Base Probe Manipulator
120 Alessi MH-4 Precision High Resolution Vacuum Base Probe Manipulator
121 Alessi MH-4 Probe Manipulator
122 Alessi MH-4 Probe Manipulator
123 Alessi Vacuum Base Probe Manipulator
124 Allied High Tech Model 4000 Precision Sectioning Saw
125 Alnor 8565 Handheld Air Velocity and Temperature Meter
126 American International Electric AIE-600FL Heavy Duty Impulse Sealer
127 American International Electric AIE-605A1 Automatic Programmable Impulse Sealer
128 AmScope Stereo Microscope  30
129 Anest Iwata ISP-500B Oil-Free Dry Scroll Vacuum Pump
130 Anhui BEQ BTF-1200C Tube Furnace
131 Anorad 676 Precision X-Y Stage
132 Anorad Stage
133 APC ACF115 Empty UPS Storage Cabinet 19 in. Rack Mount
134 APC AR3107 American Power Conversion 19 in. Rackmount Rack
135 Applied Biosystems 783A Programmable Absorbance Detector
136 Applied Biosystems Spectroflow 757 Absorbance Detector
137 Applied Materials Excite High Speed Particle Detection System
138 Applied Materials HDP Chamber
139 Applied Materials IPUP A100L Dry Vacuum Pump
140 APS Novastar SPR-25 Benchtop Manual Stencil Printer
141 Arbin Instruments BT-2000 Battery Tester
142 Arbin Instruments BT-2000 Battery Tester
143 Arbin Instruments BT-2043 Battery Test System
144 Aremco Accu-Cut 5200 Ultra Hard Material Dicing Saw
145 Associated Environmental SD-302 Mechanical Refrigeration Chamber
146 Astex A-2500 (Ulvac) Microwave Generator
147 Asymtek 402 Automated Fluid Dispensing System
148 Asymtek C-708 AICE Automated Fluid Dispensing System
149 Asymtek M-PLATFRM Millennium Series Dispensing System
150 Atlas Electric Devices Ci65 XWA Weather Ometer Weather Resistance Tester
151 Atlas Electric Devices Suntest CPS+ Xenon Exposure System
152 Atlas Suntest CPS+ Xenon Exposure System
153 ATV Technologie SRO 702 IR Vacuum Reflow Brazing RTA
154 August Technology NSX-85 Automated Defect Inspection System
155 Auto Technology Model 15 Salt Spray Test Chamber
156 Automated Production Systems GF-B High Temperature Batch Oven for Solder Reflow
157 Automation Unlimited LD 1000B 18 x 18 Liquid Dispensing System
158 Avio PHU-10 Pulse Heating Power Supply
159 Avio TCW-115A-C TEC Lead Attach Welding System
160 Avtech AVOZ-B3-B-P Laser Diode Driver Pulsed Voltage
161 Balzers HLT-160 Dry Oil-Free Helium  Leak Detector with Booster Pump
162 Balzers Pfeiffer TMU 260 Turbomolecular Drag Pump with Controller
163 Balzers UC-010 875-TA Cryo Compressor
164 Balzers UC-010915 Cryo Compressor
165 Barnstead/Lab-L​ine 3623A3C1 Moisture Determination Vacuum Oven
166 Barnstead/Stem Reaction Station
167 Base Plate
168 BC Technology BC-HU-09-12 Laminar Flow Horizontal Airflow Hood
169 BC Technology BC-HU-09-15 Laminar Flow Horizontal Airflow Workbench Hood
170 Beckman Coulter CEQ 8000 Genetic Analysis System
171 Beckman Coulter Z2 Cell and Particle Counter with PC Option
172 Bel-Art Products 420741117 Auto Desiccator Dry Storage Cabinet
173 Bel-Art Products Secador Desiccator Cabinet
174 Bell & Gossett 1510 Centrifugal End Suction Pump
175 Bell Jar
176 BenchCraft Electronics Cabinet
177 BenchCraft Electronics Cabinet
178 Benchmark System 2000 Seam Sealer Package Welder
179 Bendix TME-2 High Vacuum Thermal Evaporator with Crystal Deposition Rate Monitor
180 Benetec Labcut 250F Floor Model High Speed Abrasive Cutting Saw
181 Bio-Rad Fluor-S MultiImager Quantitative Imaging System
182 Bio-Rad Model 583 Gel Dryer
183 Bio-Tek Instruments EL 340 Automated Microplate Reader
184 Bio-Tek Instruments EL 340 Microplate Reader, Ultra-Kinetics
185 Bio-Tek Instruments EL 403 Microprocessor Controlled Microplate Washer
186 Bird 8251 1000W 50 ohm Dummy Load
187 Bird 8431 500W 50 ohm Dummy Load
188 Bird 8890-300 2500W 50 ohm Dummy Load
189 Blackstone-Ney Ultrasonics CON-18-20-8 24T2-4SW Ultrasonic Cleaning System
190 Blue M 166 B/O Large Batch Oven
191 Blue M 256 Size Mechanical Convection Horizontal Airflow Oven
192 Blue M 8850D-1 Box Furnace
193 Blue M BI-16G-1 Burn In Oven
194 Blue M CC-02-D-M-C Mechanical Convection Horizontal Airflow Oven
195 Blue M CC-05-S-T-G-HP Mechanical Convection Horizontal Airflow Industrial Oven
196 Blue M CC-05-S-T-G-HP Mechanical Convection Oven
197 Blue M CC09C-P-E Clean Room Oven
198 Blue M DC-146 Benchtop Mechanical Convection Oven
199 Blue M DC-146 Benchtop Mechanical Convection Oven
200 Blue M DC-146-B-MP350 Mechanical Convection Oven
201 Blue M DC-256C Mechanical Convection Horizontal Air Flow Oven
202 Blue M DC-256C Mechanical Convection Horizontal Air Flow Oven
203 Blue M DCA-206C Inert Gas Oven
204 Blue M DCC-256B Clean Room Oven
205 Blue M DCC-256G-HP Clean Room Oven
206 Blue M DCC-336B Stainless Steel Large Inert Gas Clean Room Oven
207 Blue M DCI-206-E-ST350 Inert Gas Oven
208 Blue M MO1420A-1 Mechanical Convection Oven
209 Blue M MO1450SA Deluxe Tabletop Mechanical Convection Oven
210 BOC Edwards IL600N Dry Pump Package
211 BOC Edwards Tempest NRB642000 Exhaust Management Gas Scrubber
212 Bohlin Instruments Gemini 200 Rheological Characterizatio​n System
213 Branson MU 2112-40-24S Ultrasonic Tank
214 Bright 5030 Refrigerated Microtome
215 Brighton Reactor
216 Brooks Automation Fixload 6-inch Load Port to FOUP Module
217 Bruce BDF-41 Four Stack Diffusion Furnace
218 Buehler 10-4320-010 MetAbrase Abrasive Cut-Off Wheels
219 Buehler Aluminum Grinding/Polish​ing Plate
220 Buehler Brass Grinding/Polish​ing Plate
221 Buehler Duomet II Belt Surfacer Coarse Grinder
222 Buehler Ecomet 250 Sample Polisher Grinder
223 Buehler Ecomet III Tabletop Polisher/Grinde​r
224 Buehler Ecomet IV Polishing Platen for Variable Speed Polisher/Grinde​r
225 Buehler Metlap 40-5202 12-inch Grinding Plate for Lapper Polisher
226 Buehler Metlap 40-5204 12-inch Grinding Plate for Lapper Polisher
227 Buehler Metlap 40-5208 12-inch Grinding Plate for Lapper Polisher
228 Buehler Metlap 40-5210 12-inch Grinding Plate for Lapper Polisher
229 Buehler Minimet Compact Polisher Grinder
230 Buffalo Dental 200 Heavy Duty Vibrator for Dental Labs
231 Burleigh HI Fase 800-1 Etalon System
232 CAE Ultrasonics Sweepsonik 40-S2DG-8T-208V​-A Advanced Ultrasonic Generator
233 Camelot CAM/ALOT 3700 In-Line Dispensing System
234 Camelot Systems CAMALOT 3800 Fluid Dispensing System for SMT Applications
235 Canon Gap Set Tool
236 Carbolite CR/220 Clean Room Oven
237 Carbolite PF120 (200) 300 deg C Mechanical Convection Laboratory Oven
238 Carbolite PF60 (200) Benchtop Laboratory Oven
239 Cascade Microtech  FPD-100  Vacuum Based Dual Probe Holder
240 Cascade Microtech  FPS-10X Probe Tip
241 Cascade Microtech MPH Magnetic Base Microprobe Holder
242 Cascade Microtech Precision High Res Magnetic Base Probe Manipulator
243 Cascade Microtech Precision High Res Magnetic Base Probe Manipulator
244 Cascade Microtech Precision High Res Magnetic Base Probe Manipulator
245 Cascade Microtech Precision High Res Magnetic Base Probe Manipulator
246 Cascade Microtech REL-4800 200mm Manual Analytical Probe Station
247 Cascade Microtech RF Microwave East-West Probe Manipulator
248 Cascade Microtech RF Microwave North-South Probe Manipulator
249 Cascade Microtech RF-1 Microwave Probe Station
250 Cascade Microtech Summit 9000 Manual Precision Analytical Probe Station
251 Cascade Microtech Summit 9000 Manual Precision Analytical Probe Station
252 CDE Resmap 178 Four Point Probe Resistivity Mapping System
253 CDE Resmap 273 Large Substrate Resistivity Mapping System
254 Cee 1100 Series Programmable Precision Hot Plate
255 Cee 4000 Cassette to Cassette Photoresist Spinner with Hot Plate Bake and Cool
256 Cee 4500D Cassette to Cassette Photoresist Developer Track
257 Cee Brewer Science 200CB Spin Coater Bake Plate System
258 Cee Brewer Science 200CB Spin Coater Bake Plate System
259 Cencorp TR1000 Circuit Board Depaneling Stand Alone Routing System
260 Centurion Scientific K241 Benchtop Centrifuge
261 CHA Bell Jar
262 CHA SE-1000 E-Beam Evaporator Deposition System
263 CHA SE-1000-RAP Multisource E Beam and Thermal Evaporation System
264 CHA SE-600 High Vacuum E-Beam Evaporation System
265 CHA SE-600 Multiple Thermal Evaporation Source High Vacuum Deposition System
266 CHA SE-600-RAP Single Pocket E-Beam Evaporator
267 CHA SEC-1000-RAP Dual Gun E-Beam Evaporator
268 Chatillon LTCM-6 Motorized Test Stand
269 Chatillon LTCM-6 Tensile Compression Force Tester
270 Chatillon LTCM-6 Tensile Compression Force Tester
271 Chatillon LTCM-6 Tensile Compression Force Tester
272 Chatillon LTCM-6 Tensile Compression Force Tester
273 Chatillon TCM200 Tensile Compression Force Tester
274 Chemspeed ASW 2000 Automated Synthesis Work Station
275 Cincinnati Sub Zero ZH-8-033-H/AC Temperature Humidity Test Chamber
276 Cleanroom Pass Thru
277 Cleanroom Pass Thru
278 Colandis 10961 Cleanroom Storage Cabinet with Horizontal Filter Blower
279 Colandis 10961/RTW01 Cleanroom Storage Cabinet w/Horizontal Filter Blower
280 Colandis 10961/RTW01 Cleanroom Storage Cabinet w/Horizontal Filter Blower
281 Colandis 10961/RTW01 Cleanroom Storage Cabinet w/Horizontal Filter Blower
282 Colandis 11994 Cleanroom Trolley with Battery Power
283 Colandis 12568 Cleanroom Trolley with Battery Power
284 Colandis 12568 Cleanroom Trolley with Battery Power
285 Colandis 13076/RTW04  Cleanroom Trolley with Battery Power
286 Colandis 13076/RTW04  Cleanroom Trolley with Battery Power
287 Colandis RTW01 Cleanroom Storage Cabinet with Horizontal Filter Blower
288 Cold Trap 8-inch – Two 2-3/4 inch Ports
289 Cold Trap 8-inch ASA Flange
290 Cole Parmer 52000-55 Laboratory Mechanical Convection Oven
291 Cole Parmer 7520-50 Peristaltic Pump
292 Comdel CLF-5000/400 5000Watt 400 kHz Low Frequency Power Supply
293 Comdel CPS 1000/4 RF Generator
294 Comdel CXV-2000 Virtual-Quad Series RF Generator
295 Commonwealth Scientific N2000 PBES Neutralizer Power Supply
296 Control Micro Systems CMS UL-10  Laser Marking System
297 Control Micro Systems CMS5050Y In-Line PC Board Laser Marking System
298 CPA V2000 Five Target RF and DC Magnetron In Line Sputtering System
299 Crest OC4-1218-HE Ultrasonic Cleaning Line
300 Crest Ultrasonics 4HT-710-3 Stainless Steel Heated Ultrasonic Cleaning Tank
301 Crest Ultrasonics Custom In-Line Ultrasonic Cleaning System
302 Crest Ultrasonics Custom Ultrasonic Cleaner
303 Crest Ultrasonics Custom Ultrasonic Cleaning Line
304 Crest Ultrasonics OC3-1014-HE Three Station Ultrasonic Cleaning System
305 Cryomech ST10 Cryogenic Parts Test System
306 CTA Foreline Trap – NEW, Never Used
307 CTI Cryo-Torr 7 Cryopump
308 CTI Cryo-Torr 8 High Vacuum Cryopump
309 CTI Cryo-Torr 8 High Vacuum Cryopump
310 CTI Cryo-Torr 8 High Vacuum Cryopump
311 CTI Cryo-Torr 8 High Vacuum Cryopump
312 CTI Cryo-Torr 8 High Vacuum Cryopump
313 CTI Cryo-Torr 8 High Vacuum Cryopump
314 CTI Cryo-Torr 8F Flat Cryo Pump
315 CTI Cryo-Torr 8F Flat Cryo Pump
316 CTI Helium Lines
317 CTI Onboard 10F Cryopump
318 CTI Onboard 50 ft. Cryopump Cable
319 Daedal 2 in. x 2 in.  X-Y Stage
320 Dage 4000BS5KG Dage 4000 Ball Shear Load Cell
321 Dage 4000HS High Speed Bond Tester
322 Dage 4000P Modular Wire Pull/Die Shear Bond Tester
323 Dage TP5KG Dage 4000 5 kg Tweezer Pull Load Cell
324 Dark Box and Vibration Isolation Table for Wafer Prober
325 Davis & Wilder 3520BC Large Vacuum Chamber
326 Davis & Wilder 35SC-5454 Very Large Box Coater
327 Denton Vacuum Desk IV Standard Sputter Coater for SEM Samples
328 Denton Vacuum DV-502A Dual Source High Vacuum Thermal Evaporator
329 Denton Vacuum DV-502A High Vacuum Thermal Evaporator
330 Denton Vacuum Explorer 14  Four Target RF DC Sputtering System with Loadlock
331 Denton Vacuum Explorer 14 Auto Turbo High Vacuum  DC Sputtering System
332 Denton Vacuum Explorer 14 DC Sputtering System
333 Denver Instrument Company TR-402 Top Loading Balance
334 Denver Instrument Company TR402 Toploading Balance
335 Denver Instrument Company TR402 Toploading Balance
336 Descon International Plastic Work Station Hood
337 Design Components DC-66 Motorized Stage
338 Despatch LCC1-11-2 Benchtop Clean Room Oven
339 Despatch LCC1-51V-3 High Performance Clean Process Oven
340 Disco DAC-2SP/86 Automated Dicing Saw
341 Disco DAD522 Precision Automatic Wafer Dicing Saw
342 Diversified Technologies HPM 2.5-150110397
343 DMP CryoSwitch Liquid Nitrogen Tanks and Switching System
344 Dockweiler Chemicals Heatexchanger 20000
345 Dockweiler Chemicals Heatexchanger 8000
346 Dongan 63-30-834 30 kVA Transformer
347 Dry Box Single Door Desiccator Storage Cabinet
348 Dry Box Three Door Desiccator Storage Cabinet
349 Dubilier Ion Source
350 Dymax PC-2 UV Curing Light
351 Dynamic Solutions DS-220VH/6-1 Electro Dynamic Shaker System
352 Dynatex DXB-120-01 – DXB 120 Series Wafer Bonder
353 Dynatronix PMC103-1-3 Programmable Multi-Channel Power Supply
354 Dynavac 8542 Ion Source Controller
355 Eagle CRA-62 60 Gallon Acid Safety Cabinet
356 Ebara 50X20 Dry Pump Package
357 Ebara 80X25 Mechanical Dry Pump Package
358 Ebara 929570 Large Vacuum Forline Filter – New, Never Used
359 Ebara A10S Vacuum Dry Pump
360 Ebara A10S Vacuum Dry Pump
361 Ebara A10S Vacuum Dry Pump
362 Ebara A10S Vacuum Dry Pump
363 Ebara A10S Vacuum Dry Pump
364 Ebara A10S Vacuum Dry Pump
365 Ebara A150W-M High Volume Vacuum Dry Pump Package
366 Ebara A150W-M High Volume Vacuum Dry Pump Package
367 Ebara A30W Vacuum Dry Pump
368 Ebara A30W Vacuum Dry Pump Package
369 Ebara AA10 Vacuum Dry Pump
370 Ebara AA70W Vacuum Dry Pump
371 Ebara AAS300WN High Speed Dry Pump Package
372 EDC 100 Exclusive Design Co. Rigid Disk Cleaning System
373 Edwards 1850 14.5-inch O.D. ISO Flange Throttle Valve
374 Edwards Auto 306 Compact Thermal Evaporator Coating System
375 Edwards Auto 500 Deposition System
376 Edwards Auto 500 Turbo Three Target RF Sputtering System Thin Film Deposition
377 Edwards DP40 Dry Vacuum Pump
378 Edwards DP40 Oil Free Vacuum Pump
379 Edwards DP40 Oil Free Vacuum Pump
380 Edwards EH250FF/DP40 Dry Pump Package
381 Edwards GXS450/2600 HV MD Dry Screw Pump & Booster Vac Pump Package – Never Used
382 Edwards GXS750F HV MD RE CA Dry Screw Vacuum Pump – Never Used
383 Edwards IQDP40-QMB250/I​QDP40 Dry Pump and Blower Package
384 Edwards MBraun Auto 306 OLED Deposition Thermal Evaporator w/Partial Glove Box
385 Edwards PV16PKA-B Right Angle Valve – Never Used
386 Edwards QDP40-QMB250 Dry Pump and Blower Package
387 Edwards QMB250F/QDP40 Oil Free Pump and Blower Package
388 Edwards QMK II System IQDP80/QMB500 Dry Pump and Blower Package
389 Edwards QSB160P Quarter Swing Butterfly Vacuum Valve – NEW Never Used
390 E-Gun 8 Pocket
391 Ehrhorn Technological Operations 80-S04 Two RF Generators for Applied Materials
392 EIP Microwave 545A CCN-2204 Microwave Frequency Counter
393 Electroglas Horizon 4085X Automated Wafer Prober
394 Electro-Lite Corp. EC-500 UV Curing Chamber
395 Electron Microscopy Sciences K850 Critical Point Dryer
396 Electron Vision ElectronCure 30X E-Beam Photoresist Curing Tool
397 Electronic Measurements EMHP10-1500-412​14 10 Volt 1500 Amp DC Power Supply
398 Electronic Measurements EMS 60-80-2-D Programmable High Power DC Power Supply
399 Electronic Measurements TCR20S50-1-D-04​87-OV-LB  20V 50A DC Power Supply
400 Electronic Measurements TCR20S90-1-D-04​87-OV 20V, 90A DC Power Supply
401 Electronic Measurements TCR30T100-1-D-0​487  30V 100A  DC Power Supply
402 Electronic Measurements TCR30T200-1-10/​T-LB 30V, 200A DC Power Supply
403 Electronic Micro Systems EMS 1000-1 PID Controlled Hot Plate
404 Electronic Micro Systems EMS 1000-1 PID Controlled Hot Plate
405 Electronic Micro Systems EMS 1000-1 PID Controlled Hot Plate
406 Electronic Micro Systems EMS 1000-1 PID Controlled Hot Plate
407 Electronic Micro Systems EMS 1000-1 Precision Electronic Hot Plate
408 Electronic Micro Systems EMS 1000-1 Precision Electronic Hot Plate
409 EM Corp Hummer XP-EPS Cold Deposition/Etch Sputter Coater
410 Emcor Products 10 Series Half Rack Electronic Equipment Rack
411 Empty Electronics Rack on Wheels
412 Empty Test Equipment Cabinet
413 ENI DCG-200Z Reactive Sputtering Power Supply
414 ENI EGR9600B Power Generator
415 ENI HF-300T 300 Watt 13.56 MHz RF Generator
416 ENI HF-300T 300 Watt 13.56 MHz RF Generator
417 ENI LPG-1A-000-51 100 KHz 50W RF Generator
418 ENI LPI-10 Linear Pulsed Amplifier
419 ENI OEM-12A Solid State RF Generator
420 ENI OEM-12A-21041-5​1 1250W 13.56 MHz RF Generator
421 ENI OEM-12A-6 1250W 13.56 MHz RF Generator
422 ENI OEM-12A-AM 1250W 13.56 MHz RF Generator
423 ENI OEM-12J 1250W 13.56 MHz RF Generator
424 ENI OEM-50N-11601 13.56 MHz 5000W RF Plasma Generator
425 ENI OEM-50N-11601 RF Solid State Power Generator
426 ENI OEM-50N-11601 RF Solid State Power Generator
427 ENI OEM-50NM1 13.56 MHz 5000W RF Plasma Generator
428 ENI Polara 260A Pulsed Bipolar Power Supply
429 ENI Polara 260A Pulsed Bipolar Power Supply
430 ENI RFC-2000 Controller
431 ENI RFC-4T Controller
432 ENI VL-400 M2 Phase Shift Controller
433 Environmental Air Control Tabletop Hood
434 EPI H818-D4 Effusion Cell Controller
435 Ericsson FSU 925 RTC Fusion Splicer
436 ESEC 3018 AWB Automated Gold Ball Bonder
437 ESI 110M-PS Laser Power Supply
438 ESI 4410 Laser Trimming System without Parts Handler
439 ESI 80R Wafer Laser Trimmer
440 Espec EGNX12-4CWL Ultra Low Temperature Humidity Test Chamber
441 Espec ET3-CWA Benchtop Temperature Test Chamber
442 Espec ETS-4 4 Cubic Ft. Two Zone Thermal Shock Chamber
443 E-Tek MSLS-1000 Multi-Channel Stabilized Laser Source
444 ETS 5405 RF Emissions Immunity Test Cell
445 FAS Technologies MicroE™ 200 Cassette to Cassette Extrusion Coating System
446 FAS Technologies MicroE™ 200 Extrusion Coating System
447 Filtrine PCP-200-30A-WP Refrigerated Recirculating Chiller
448 Finnigan MAT GCQ Ion Trap Mass Spectrometer Coupled to a Gas Chromatograph
449 Finstruments 347 Microplate Reader
450 Four Premium Compatible Brand Toner Cartridges – Black, Cyan, Yellow, Magenta
451 Fuji Electric ZRH1DFY2-6BAYY NDIR Infrared Gas Analyzer
452 Furnace Retort
453 Furnace Tube
454 Gaertner L-26 Simple Manual Ellipsometer
455 Gaertner L2W16D.830 Multiwavelength 200mm Ellipsometer
456 Gatan 600 CTMP Turbo Pumped Argon/Reactive Plasma DuoMill Dual Station
457 General Electric Meters GE Kv2C Form 9S CL20 – Lot of 4 –
458 General Scanning XY10A/R1-000-Y2 CW Green Laser with Scan Head Module
459 Global Processing MASP TTTT Thermal Oxide Scrubber
460 Goniometer Cradle
461 Grundfos A96796825P31050 Vertical Multisntrifugal Pump
462 Grundfos A98329507P31233 Vertical Multisntrifugal Pump
463 H2O Solutions 12 gpm DI Water System
464 Haake A25 Arctic Series Refrigerated Heated Bath Circulator
465 Halco Products Cleanroom Pass Through
466 Halco Products Pass Through
467 Hammond Power Solutions 199251 3 Phase Dry Type Transformer
468 Hammond Power Solutions 199251 3 Phase Dry Type Transformer
469 Harry W. Dietert 80,000lb Press
470 Haskris WW1 Water to Water Heat Exchanger
471 Haskris WW6 Water to Water Heat Exchanger
472 Hastings MD6 All Metal Right Angle Leak Valve
473 Headway Research 1-PM101D-R485 Photo Resist Spinner with Motor Control
474 Headway Research PWM32-PS-R790 Photoresist Spinner
475 Headway Research PWM32-PS-R790 Photoresist Spinner
476 Headway Research PWM32-R790 Photoresist Spinner Mounted in Exhaust Hood Table
477 Heat Exchange and Transfer SL500-12-WC-223 Fluid Heat Transfer System
478 Heraeus Votsch HT 4002 Compact Benchtop Temperature Chamber
479 Heraeus Votsch HT 4002 Compact Benchtop Temperature Chamber
480 Herioducts Wet Bench
481 Highmax SHM-200 Manual Wafer Tape Frame Mounter
482 Hitachi F1000 HPLC Fluorescence Spectrophotomet​er Detector
483 Hitech Furnaces Ltd. H4-6218 Four Stack Tube Furnace
484 Honle UVASPOT 400T High Powered Ultra-Violet UV Curing System
485 Honle UVASPOT 400T High Powered Ultra-Violet UV Curing System
486 HP 06A (C3906A) Two Black Original Toner Cartridges
487 HP 10642 G2 Empty Universal Equipment Rack – Never Used
488 HP 10833C HP-IB Cable. 13.2 Ft. (4 meters)
489 HP 3456A Digital Multimeter
490 HP 3488A Switch Control Unit
491 HP 34970A Data Aquisition Switch System
492 HP 4145B Semiconductor Parameter Analyzer
493 HP 41501B Pulse Generator and Expander for 4155 and 4156
494 HP 4156A Semiconductor Analyzer
495 HP 4195A Network Spectrum Analyzer
496 HP 46298C Empty Electronics Cabinet
497 HP 6684A/V50 5000W DC Power Supply
498 HP 70310A Precision Frequency Reference Module
499 HP 70902A IF Section Module 10 Hz to 300 kHz
500 HP 70903A IF Section Module 100 kHZ to 3 MHz
501 HP 70907B External Mixer Interface Module
502 HP 8169A Lightwave Polarization Controller
503 HP 83752B Synthesized Sweeper
504 HP 8562E Spectrum Analyzer
505 HP 8567A Spectrum Analyzer
506 HP 8657B RF Signal Generator
507 HP 8662A High Performance RF Signal Generator
508 HP 8702B Lightwave Component Analyzer
509 HP 87511A S-Parameter Test Set
510 HP 8751A Baseband, IF and RF Network Analyzer 5 Hz to 500 MHz
511 HP 8753D Option 006, 1D5 6 GHz Network Analyzer
512 HP 8753D Option 1D5, W08 3 GHz Network Analyzer
513 HP 8753E RF Vector Network Analyzer Opt 006, 011 30 kHz to 6 GHz
514 HP 95A (92295A) Two Black Original Toner Cartridges
515 HP E4433B ESG-D 250 kHz to 4 GHz RF Signal Generator
516 HP E5250A Low Leakage Switching Matrix
517 HPS KF 40 Right Angle Vacuum Valve
518 HPS KF40 Vacuum Valve
519 HPS Manual KF50 Valve
520 HPS Manual Vacuum Valve
521 HPS Right Angle Vacuum Valve
522 HPS Right Angle Vacuum Valve KF 40
523 HPS Valve
524 H-Square SQ22131-1 Visual Inspection Station
525 Huber Unistat 340 ATT-Edition 1 Precision Recirculating Chiller
526 Huber Unistat 340-PV-S35 Precision Recirculating Chiller
527 Huber Unistat 380 HT Precision Recirculating Chiller
528 Huber Unistat Tango High Precision Recirculating Chiller
529 Hughes HTT-1000-C04 Programmable Reflow Solder Power Supply
530 Huntington A00-1554-REV-E Butterfly Valve
531 HVA 11120-0400 Manual 6 in. OD Conflat Gate Valve
532 HVA 11212-1009RB-00 High Vacuum Gate Valve
533 HVA 11212-1009RB-00​1 High Vacuum Stainless Steel Gate Valve
534 HVA 11212-1009RS-00​1 High Vacuum Stainless Steel Gate Valve
535 HVA 124-0600 Manual Conflat Gate Valve
536 HVA 14214-0150X Right Angle Vacuum Valve
537 HVA 21210-0306Z-002 3 inch Gate Valve
538 HVA 21250-003062-00​1 Gate Valve
539 HVA 8-inch Conflat Flange Gate Valve
540 Hypervision Chip Unzip Backside Preparation System
541 Hyprez 15LM115V 15-inch Polishing System
542 Icon Dynamics Chemsource High Purity Chemical Delivery System
543 ILX Lightwave 3916376 1.5A 9W Laser Diode Controller Module
544 ILX Lightwave LDC-3916 Laser Diode Controller with three 3916376 modules
545 Imtec Accubath QRT/S-A1502 Quartz Process Bath
546 Imtec Accubath QRT-110 Heated Quartz Tank
547 Imtec Accubath QZ A1002-11 Heated Quartz Bath
548 Imtec Accubath QZ-A1502 Heated Quartz Bath
549 Inficon Protec P3000 Helium Sniffer Leak Detector
550 Inficon UL 500 Dry Oil-Free Helium Leak Detector
551 In-Line Sputter System Chambers
552 Innotec Group ES-26CB Box Coater EBeam Vacuum Evaporation System
553 Innotec Group SVB-22A-NW50 Gate Valve
554 Innovative Technology S1-M-DS8S Three Sided Large Work Area Glove Box
555 Innovative Technology System One Glove Box with Refrigerator Freeze
556 Instron 8872 10kN Version Servohydraulic Fatigue Testing System
557 Instrument Research Company High Volwer Supply
558 Insulating Flange
559 Integrated Technology Corp. PB500A Probe Card Repair and Analysis Station
560 Intellidrives RTH-AA-18-14-24 Direct Drive Rotary Table
561 International Light Technologies ILT1400 Hand Held Radiometer Photometer
562 International Scientific Instruments PS-2 Sputter Coater
563 InterTest 1014C Pressurization System
564 Ion Systems 91-0210 Charged Plate Monitor
565 Ircon Mirage Infrared Thermometer
566 Ircon Modline Plus Infrared Thermometer
567 Ircon Modline Plus Infrared Thermometer
568 Ircon Modline Plus Infrared Thermometer
569 Isolation Gate Valve
570 IVI Corp. Box Coater 48-inch Thermal Evaporator
571 IVI Corp. Box Coater
572 Jelight 144AX UV Ozone Cleaner
573 Jelight 256 UV Ozone Cleaner
574 Jelight 256 UV Ozone Cleaner
575 Jeol JWS-7515 In-Line Wafer Inspection Electron Microscope
576 Jeol JWS-7515 In-Line Wafer Inspection Electron Microscope
577 Jeol JWS-7555 Wafer Inspection SEM
578 Jeol JWS-7555 Wafer Inspection SEM with X-Ray Detector
579 Jeol/Agilent JMS-T100GC/6890​N AccuTOF GC Time of Flight Mass Spectrometer
580 Jipelec JetFirst 150 Rapid Thermal Processing System with Vacuum Chamber
581 Jipelec SiC  Series High Temperature Batch Furnace
582 JMAR S2610-01 3-Axis Tabletop Measurement System
583 Jobin Yvon Horiba Fluorolog Tau-3 Lifetime System
584 Julabo LH46 High Dynamic Temperature Control Recirculating Chiller
585 Julabo TCU Alpha II Recirculating Chiller – NEW Never Used
586 Julabo TCU Recirculating Chiller
587 Julabo TCU1 High Precision Heat Exchanger – Never Used
588 Julabo TCU1 High Precision Heat Exchanger – Never Used
589 Julabo TCU2 High Precision Recirculating Chiller Heat Exchanger – Never Used
590 K&S 4123 Manual Universal Wedge Bonder
591 K&S 4124 Manual Gold Ball Bonder
592 K&S 4500 Series Wire Bonder Spot Light Targeting Option
593 K&S 4522 Manual Gold Ball Wire Bonder
594 K&S 4522 Manual Gold Ball Wire Bonder
595 K&S 4523 Manual Wedge Wire Bonder
596 K&S 4523AD Programmable Digital Manual or Semiautomatic Wedge Bonder
597 K&S 4523D Tabletop Manual Wedge Bonder
598 K&S 4526 Auto Stepback Deep Access Wedge Bonder
599 K&S 4526 Auto Stepback Deep Access Wedge Bonder
600 K&S 4526 Auto-Stepback Wedge Bonder
601 K&S 7100AD Precision Wafer Dicing Saw
602 K&S 7100AD Precision Wafer Dicing Saw
603 K&S 7100ADHM Precision Dicing Saw Suitable for Hard Materials
604 K&S 971 MicroWash Wafer Cleaning Station
605 K&S 973 High Pressure Microwash Substrate Cleaning System
606 K&S 973 High Pressure Microwash Substrate Cleaning System
607 K&S 973 High Pressure Microwash Substrate Cleaning System
608 K&S 982-6 Precision Dicing Saw
609 K&S 982-6 Precision Dicing Saw
610 K&S 984-6 Precision Wafer Dicing Saw for Hard Materials
611 K&S MWB Maintenance Tool Kit
612 Kanomax 6036-0G Anemomaster Multifunction Hot-Wire Anemometer
613 Karl Suss MA150M Mask Aligner with Manual Substrate Handling
614 Karl Suss MA6 Mask Aligner
615 Karl Suss MJB3 High Performance Mask Alignment & Exposure System
616 Karl Suss MJB3 Precision High Performance Mask Aligner
617 Karl Suss MJB3 Precision High Performance Mask Alignment Exposure System
618 Karl Suss MJB3 Research Mask Aligner
619 Karl Suss MJB3 Research Mask Aligner
620 Karl Suss MJB3 Standard Mask Aligner
621 Karl Suss MJB3-IR Mask Aligner with Backside and Topside Alignment Capabilities
622 Karl Suss MJB3-IR Mask Aligner with IR Transmission Alignment
623 Karl Suss Prober Dark Box Enclosure
624 Karl Suss Prober Dark Box Enclosure
625 Karl Suss RC8-MS3 Photoresist Spinner
626 Kensington Laboratories 8500 10X6 Stage
627 Kinetic Systems 1201-04-00 Vibration Isolation Table
628 Kinetic Systems 1202-02-11 Vibration Isolation Table
629 Kinetic Systems 2208-61-11 Tabletop Vibration Isolation Platform
630 Kinetic Systems 390188-01-0711 Tabletop Vibration Isolation Platform
631 King Design KD-9363EM Vibration Analysis Shaker System
632 KLA Tencor P-11 Long Scan Stylus Surface Profiler
633 KLA Tencor P-6 Stylus Profiler
634 Klinger CC1.2 Stepping Motor Controller with Two Stages
635 Klinger Vertical Movement Linear Positioning Stage
636 Klinger Z Storized Z Translation S
637 Kruss DSA10 Drop Shape Analysis System for Contact Angle Measurement
638 Kruss FM40 EasyDrop Contact Angle Measuring System
639 Krytar 9000B Portable Power Meter with 9530A Power Sensor
640 Kurt J. Lesker SL0150MVCF Manual Vacuum Valve
641 Kurt J. Lesker Vacuum Chamber
642 Labconco 50701006495 Controlled Atmosphere Glove Box
643 Lab-Line 3490M Clean Room Oven
644 Lab-Line 3497M-3 Class 100 Cleanroom Oven
645 Lab-Line 685ADC2XR26 Environmental Humidity Cabinet with Glove Access
646 Lab-Line 685ADC2XR4 Environmental Humidity Cabinet with Glove Access
647 Labrepco Futura Lab Freezer Model F22CWF4
648 Labsphere LPS-200-H Halogen Lamp Source and Power Supply
649 Labsphere RSA-PE-20 Perkin Elmer Reflectance and Transmittance Accessory
650 Labsphere SC-5000 Integrating Sphere System Control
651 Lakeshore 321 Autotuning Temperature Controller
652 Lakeshore 7000 AC Susceptometer
653 Lapmaster 24E Precision Open Face 24-inch Polisher
654 Lauda RMS6-AC Refrigerating Bath
655 Laurell WS-500-8TFM WS-500 Series Spin Processor Developing Station
656 Laurier CP-222 Chip Placement System
657 Laurier SA-202 Epoxy Die Bonder
658 Laurier SA-202 Epoxy Die Bonder with Rotary Collet Die Pickup option
659 Leco FP-228 Nitrogen Determinator
660 Leco Leak Detector Vacuum Test Chamber
661 Lecroy LC684DXL 1.5 GHz 4 Channel 8 GSa/s Oscilloscope
662 Leko L820U Heat Bonding Machine for Thermocompressi​on Bonding
663 Leko L930U Pulse Heat Alignment and Bonding TAB/FPC onto TFT/LCD
664 Leybold 297 23 B2 Right Angle Vacuum Valve
665 Leybold Empty Vacuum Chamber
666 Leybold IPC-2 Pressure Converter Valve
667 Leybold L560 Empty Vacuum Chamber
668 Leybold NT 20 Turbo Controller Electronic Frequency Converter
669 Leybold T1600 Turbo Vacuum Pump with Integrated Frequency Converter
670 Leybold TMP TW 50 D2 Turbo Molecular Vacuum Pump
671 Leybold Transfer Fixture
672 Leybold Turbovac 50D Turbo Molecular Vacuum Pump
673 Leybold UL 500 Dry Helium Leak Detector with Dry Vacuum System
674 Leybold UL 500 Dry Helium Leak Detector with Dry Vacuum System
675 Leybold UL 500 Dry Helium Leak Detector with Dry Vacuum System
676 Leybold UL 500 Helium Leak Detector
677 Leybold UL 500 Helium Leak Detector
678 Leybold UL 500 Helium Leak Detector with Opt. D65B Large Volume Aux Pump
679 Liberty 605LIB Motorized Shoe Cleaner with Vacuum
680 Light Control Instruments LCI-1020 Laser Diode Regulated Current Source
681 Lighthouse AMC Sampling System
682 Lighthouse Differential Pressure Sensor
683 Lighthouse Sensor Interface Unit
684 Lighthouse UM-II Universal Manifold and Controller
685 Lighthouse Universal Manifold and Controller
686 Lighthouse Universal Manifold with Controller and Vacuum/Blower
687 Lightwave 120-02 Ring Laser Power Supply
688 Lindberg 11-MT-122412-21 Treet-All Large Box Furnace
689 Lindberg 51333 1500 deg C Box Furnace
690 Lindberg 55035-DP Small 1000 deg C Split Tube Furnace
691 Lindberg 55342 Single Zone Tube Furnace
692 Lindberg Blue M BF51442C 1200 deg C Box Furnace with Programmable Controller
693 Lindberg Blue M BF51732PBC-1 Box Furnace
694 Lindberg Blue M BF51842PC-1 1200 deg C Box Furnace  3
695 Lindberg Blue M BF51842PC-1 1200 deg C Box Furnace
696 Lindberg Blue M BF51842PC-1 1200 deg C Box Furnace
697 Lindberg Blue M BF51842PC-1 1200 deg C Box Furnace
698 Lindberg Blue M BF51842PC-1 1200 deg C Box Furnace
699 Lindberg Blue M BF51842PC-1 1200 deg C Box Furnace
700 Lindberg Blue M BF51842PC-1 1200 deg C Box Furnace
701 Lindberg Blue M BF51842PC-1 1200 deg C Box Furnace
702 Lindberg Blue M BF51842PC-1 1200 deg C Box Furnace
703 Line Tool A LH Precision Micropositioner
704 Line Tool A-RH Precision Micropositioner
705 Line Tool Lens Holder – New, Never Used
706 Logitech 1ACCS-1100 Polishing Jig Holder – NEW
707 Logitech 1ACCS-2050  6-inch Grooved Cast Iron Test Block – NEW
708 Logitech 1PM42 PM4 Precision Lapping and Polishing Machine
709 Logitech 1SDG2-2 Flat Polishing Gauge – New, Never Used
710 Logitech 1WBS4 Wafer Substrate Bonding Unit
711 Logitech LP30 Precision Polishing System
712 Logitech PM4 Precision Lapping and Polishing Machine
713 Logitech PM4 Precision Lapping and Polishing Machine
714 Logitech WCS Thin Film Wax Coating System
715 Loomis LSD-100 Precision Scriber Breaker
716 LPKF ZelFlow RO4/N2 Hot Air Quartz Reflow Oven
717 Lunaire CEO910-4 Stability Temperature Humidity Test Chamber
718 Lunaire CEO910-4 Stability Temperature Humidity Test Chamber
719 Luxel OLED II – OLED Furnace Evaporation Source
720 Luxel OLED II Thermal Evaporation Source with Power Supply
721 Lytron RC045J03EB2M007 Recirculating Chiller
722 Macam UVLS202810002 Flexicure UV Adhesive Curing System
723 Magna-Power Electronics Electromagnet
724 Manix TSC-1210 Triple Snvection Reflow Soldering System
725 Man-U-Bond 007 Hot Bar Solder Reflow System
726 March Instruments Jupiter II-A Parallel Plate RIE Reactive Ion Etcher
727 March Instruments PX 1000 Plasma Etcher/Cleaner
728 March Instruments PX 1000E8 Plasma Asher/Etcher with Pneumatic Vertical Door
729 March Instruments PX 250 Plasma Cleaning System
730 March Instruments PX 500 Plasma Treatment System
731 Markem 553 Plate Processing System
732 Markem Smartlase 110i Compact CO2 Laser Parts Marker
733 MBraun Glove Box Extension
734 MBraun Heated Antechamber
735 MBraun Labmaster 130 Glove Box Work Station with Storage Refrigerator
736 MBraun T Shaped Antechamber
737 MBraun T Shaped Antechamber
738 MDA Scientific 7100 Continuous Toxic Gas Monitor
739 MDA Scientific CM4 Multipoint Gas Analyzer
740 MDA Scientific CM4 Multipoint Gas Analyzer
741 MDA Scientific SPM Single Point Toxic Gas Monitor
742 MDC 400-2LM  6-inch OD Conflat Elbow
743 MDC 8-inch T Fitting
744 MDC Air Actuated Feedthrough
745 MDC Conflat Flange
746 MDC Elbow Fitting
747 MDC GV-8000M-P-01-0​5 Pneumatic Gate Valve
748 MDC High Vacuum Flexible Coupling
749 MDC LDFT-8250-2LN Vacuum Line Liquid Nitrogen Trap
750 Melles Griot Precision Rotating Sd X-Y Linear Sag #06
751 Melles Griot Precision X-Y Sag #59
752 Met One A2408-1-115-1 Portable Airborne Particle Counter
753 Met One A2408-1-115-1 Portable Airborne Particle Counter
754 Metroline/IPC 7102 Automatic Plasma Treatment and Cleaning System
755 Mettler Toledo PB602 Precision Balance
756 Micro Robotics Systems Inc. MRSI 170 Automatic Liquid Dispenser
757 Micromanipulato​r 2550 Vacuum Base Probe Manipulator
758 Micromanipulato​r 350 Magnetic Base Precision Probe Micropositioner
759 Micromanipulato​r 450 Vacuum Base Precision Probe Micropositioner
760 Micromanipulato​r 450 Vacuum Base Probe Manipulator
761 Micromanipulato​r 525 Precision High Resolution Vacuum Base Probe
762 Micromanipulato​r 550 Magnetic Base Precision Probe Micropositioner
763 Micromanipulato​r 550 Magnetic Base Precision Probe Micropositioner
764 Micromanipulato​r 550 Vacuum Base Probe Manipulator
765 Micromanipulato​r 550 Vacuum Base Probe Manipulator
766 Micromanipulato​r 550 Vacuum Base Probe Manipulator
767 Microvision ESI 740-301-001 Wafer Robot with Flat Finder
768 Microvision MVT 5080 Robot Parts from Microvision Inspection System
769 Millipore MDV-160B Throttle Valve
770 Millipore MDVX160B05 Throttle Valve
771 Millipore WCDS216L1 Photoresist Pump
772 Millipore WCDSF16L1 Photoresist Pump
773 Misumi ZLPG60 Z Axis Cross Roller
774 Mitutoyo M PLAN NUV 50 50X Near Ultraviolet Range Microscope Objective
775 Miyachi Unitek Series 70 Resistance Welder with HG3 Process Sentry
776 MKS 110A Portable Digital Pressure Readout
777 MKS 162-0063P Air Operated Valve
778 MKS 170M-27E Digital Pressure Readout
779 MKS 253A-3-2-2 Exhaust Throttle Valve
780 MKS 253A-3-2-2/152 Precision Vacuum Exhaust Control System
781 MKS 253A-8-6-2 8-inch ASA Throttle Valve
782 MKS PR4000-F2V1I 2 Channel Power Supply and Readout for Flow & Pressure
783 Modular Process Technology RTP-600S Rapid Thermal Processing System
784 Modular Process Technology RTP-600S Rapid Thermal Processing System
785 Modutek TS16 Heated Bath
786 Molecular Dynamics 375A Personal Densitometer
787 Mosaid MS2200 Memory Tester Model M22100 Controller with PMU Model M22110
788 Motorized X-Y Stage
789 MPI Corp. LEDA-8F 3G Plus-V 110 LED Prober Station
790 MPM SPM Precision Semiautomatic Stencil Printer
791 MRC 943 Down Sputtering System
792 MRSI 505 Assembly Work Cell
793 MTA DEG 0050 Air Dryer with 120 gallon Tank
794 MTI NSX 250 Dicing System
795 MTI STX-202A Small Precision Diamond Wire Saw
796 MVE Cryogenics XLC 500 Cryogenic Storage Unit
797 Mydax 2H14A-P Dual Channel DI Water Chiller
798 Nanometrics 8300X Thin Film Metrology Tool
799 Nanometrics Nanospec 6100 Automated Film Thickness Measurement System
800 Napco 5430 Dual Chamber CO2 Incubator
801 Naples Coombe SiV Alignment Rig
802 Naples Coombe Stage
803 National Instruments NI PXI 1044 Test and Measurement Express Chassis
804 Neslab CFT 300 Refrigerated  Recirculating Chiller
805 Neslab CFT 33 Recirculating Chiller
806 Neslab HX 150 Air Cooled Refrigerated Recirculating Chiller
807 Neslab HX 150 Water Cooled Recirculating Chiller
808 Neslab HX 150 Water Cooled Refrigerated Recirculating Chiller
809 Neslab HX 500 Air Cooled Refrigerated Recirculating Chiller
810 Neslab HX 500 Recirculating Chiller
811 Neslab HX 500AC Recirculating Chiller
812 Neslab HX 75 Air Cooled Recirculating Chiller
813 Neslab HX 750 Air Cooled Refrigerated Recirculating Chiller
814 Neslab HX 750 Large Refrigerated Water Chiller
815 Neslab M150 Merlin Series Air Cooled Recirculating Chiller
816 Neslab M150 Merlin Series Air Cooled Recirculating Chiller
817 Neslab M25 Merlin Series Recirculating Chiller
818 Neslab M33 Merlin Series Recirculating Chiller
819 Neslab RTE 111 Refrigerated Bath Circulator
820 Neslab System III Liquid to Liquid Heat Exchanger
821 Neslab System V Liquid to Liquid Heat Exchanger
822 Neslab Thermoflex 1400 Air Cooled Refrigerated Recirculating Chiller
823 Neslab Thermoflex 1400 Air Cooled Refrigerated Recirculating Chiller
824 Neslab Thermoflex 1400 Air Cooled Refrigerated Recirculating Chiller
825 Neslab Thermoflex 15000 Recirculating Chiller
826 Neslab Thermoflex 15000 Recirculating Chiller
827 New England Affiliated Stage
828 Newport 10 ft. x 5 ft. Optical Table with Vibration Isolation Legs
829 Newport 1830-C Optical Power Meter
830 Newport 2832-C Dual Channel High Performance Power Meter
831 Newport 4 x 10 Optical Table with Vibration Isolation Legs
832 Newport 420/425 Linear S
833 Newport 430 Linear S
834 Newport 440/420 Extended Range Ball Bearing Linear Stages
835 Newport 5600-65 High Power Laser Diode Driver
836 Newport 6000/6560A Laser Diode Controller
837 Newport 60090 Quartz Tungsten Halogen Lamp
838 Newport ATS Series 8 ft. Optical Table Shelf
839 Newport ATS Series 8 ft. Optical Table Shelf
840 Newport Light Enclosure Laser Dark Box
841 Newport LW4200 Optoelectronics Laser Welding System
842 Newport M-BGM160MS Manual Drive Goniometric Cradle with Encoder
843 Newport Optical Accessories
844 Newport Optical Accessories
845 Newport Optical Accessories
846 Newport Optical Components Mirror and Rod Mounting Kit
847 Newport OSM-400-UV-NIR Optical Spectrometer UV to NIR Range
848 Newport Research Series Optical Vibration Isolation Table
849 Newport Research Series Plus Optical Breadboard Table
850 Newport RS 2000 Optical Tabletop for Vibration Isolation
851 Newport RS2000 12 ft. Optical Table with Vibration Isolation Legs
852 Newport TR160BL Precision Steel Rotation Sag #26
853 Newport TS100DC TS Series Precision Linear Stage
854 Newport U-13X UV Microscope Objective Lens
855 Newport U-27X UV Objective Lens
856 Newport VH3660W-OPT Optical Breadboard Table with Vibration Damping
857 Nikko Desiccator
858 Nikon Optiphot 2 Fluorescence Microscope  49
859 Nikon Optiphot 2 Microscope with Nomarski Optics  60
860 Nikon SMZ-U High Resolution StereoZoom Microscope  16
861 Nikon V-12 Profile Projector with Quadra-Chek 200
862 Nippon Scientific PA103 Plastic Mold Decapsulation System
863 Nippon Scientific PA103 Plastic Mold Decapsulation System
864 Niton XLt 898P Portable Non-destructive Light Element Analysis
865 Nitto ANZ-100S Ball Mill Rotating Table
866 Noah Precision Model 10.0 MOCVD Thermoelectric Chiller
867 Nor-Cal 3870-01160 Ion Tube Isolation Valve
868 Nor-Cal 3870-01161 Valve
869 Nor-Cal 3870-01162 Valve
870 Nor-Cal ESV-1002-NWB Manual Right Angle Vacuum Valve
871 Nor-Cal ESVP-1502-NWB-A Right Angle Vacuum Valve
872 Nor-Cal FTWA-8-2002-NWB Water Cooled Foreline Trap for Vacuum Pump
873 Nor-Cal Right Angle Vacuum Valve
874 Nordson Select Coat System Conformal Coating System
875 Novellus Gasonics PEP Iridia DL Plasma Asher
876 NRC Varian VHS-250 Diffusion Pump
877 NSC PA103 Plastic Mold Decapsulation System
878 Nuaire NH-425-600 Laminar Flow Hood
879 OAI 356 Photolithograph​y UV Light Intensity Meter
880 OAI Hybralign 200 High Res. Table Top Mask Alignment & Exposure System
881 OAI Hybralign 200 High Resolution Mask Alignment & Exposure System
882 OAI Hybralign 200 High Resolution Table Top Mask Aligner & Exposure System
883 OAI Hybralign 400 Large Area Mask Aligner and Exposure System
884 OAI J500/VIS 8-inch 200mm Mask Aligner and Exposure System
885 Ohashi CAJ-11 Tabletop Chip Aligner for LCD or FPC
886 Ohashi CAJR-02SDPX Tabletop Chip Alignment System for LCD or FPC
887 Ohashi CBM-16 Tabletop Constant Heat Bonder
888 Ohashi CBMR-02SDPX Flat Panel Connector Bonder
889 Ohaus Adventurer Pro Precision Balance
890 Ohaus CQ50L33 Champ Bench Scale
891 Ohaus TS400D Precision Standard Electronic Balance
892 Olympus SZ40 Inspection Microscope  59
893 Olympus SZ40 Microscope Head  53
894 Olympus SZ40 StereoZoom Inspection Microscope  50
895 Olympus SZ40 StereoZoom Inspection Microscope  57
896 Olympus SZ40 StereoZoom Inspection Microscope  58
897 Olympus SZ40 StereoZoom Inspection Microscope w/Fiber Optic Illuminator 6
898 Olympus SZH 10 StereoZoom Microscope
899 Olympus SZH10 Microscope
900 Olympus SZX 12 Stereo Microscope  55
901 Omega DFG60-11 Digital Force Gauge
902 Opal Vacuum Chamber Stage
903 Operating Manuals for Balzers LLS 801 Sputtering Deposition System
904 Opti Temp OTC-.75AL-P3-11​6-SC1-LW1-M1L Refrigerated Recirculating Fluid Chiller
905 Optical Accessories
906 Opto Micron FX520-08 Motorized Stage
907 Opto Micron FX-610 Motorized Stage
908 Oriel 66087 Ozone Eater™ Ozone Filter System
909 Oriel 87351 Large Area Deep UV Flood Exposure System
910 Oriel 91293-1000 1 kW Solar UV Simulator 6 x 6 Beam
911 Oriel Optical Breadboard Table
912 Orthodyne 20 Ultrasonic Heavy Wire Wedge Bonder
913 Orthodyne 20B Semiautomatic Ultrasonic Large Wire Bonder
914 Oxford Instruments 80 Plus RIE Compact Plasma Reactive Ion Etching System
915 Oxford Instruments Plasmalab 800 Plus PECVD Chemical Vapor Deposition System
916 Oxford Instruments Plasmalab 800 Plus RIE Reactive Ion Etching System
917 Oxford Instruments Plasmalab ICP 180 High Density Etching System
918 PACE Arm-Evac 1500 Fume Extraction System
919 Pacific Scientific 3313LL .3 1 CFM SS 0.3 Micron Sensitivity Particle Counter
920 Papenmeier Lodige High Intensity Mixer
921 Parker 106012BTES Single Axis 12 inch Stage
922 Parker 2360 8-inch Optical Cell Mount
923 Parker 4451 Ball Bearing Positioner
924 Parr 1271 Dual System Calorimeter
925 Particle Counter Fittings
926 Particle Measuring Lasair 1001-(11) Aerosol Particle Counting System
927 Particle Measuring Systems Lasair 1001-(8) Aerosol Particle Counting System
928 Particle Measuring Systems Lasair 510-(6) Aerosol Particle Counting System
929 Particle Measuring Systems SAS 5800 Surface Analysis Particle Measuring System
930 Patterson Industries Porta-Shell Laboratory Blender
931 Patterson-Kelle​y Eight Quart V Blender
932 PCT Systems SSD4 Stainless Steel Heated Tank with Drain Hole – Never Used
933 Perfection Products FFM-3978-01 Tape Frame Cassettes
934 Perkin Elmer Lambda 14 UV/VIS Spectrometer
935 Perkin Elmer Lambda 18 UV-VIS Spectrometer
936 Perkin Elmer Lambda 3B UV/VIS Spectrophotomet​er
937 Pfeiffer TMH 1600C Rebuilt Turbo Pump with Controller
938 Pfeiffer TMH 1600PC Rebuilt Turbo Pump with Controller
939 Pfeiffer TMU 200MP Magnetic Bearing Turbo Vacuum Pump and Controller
940 Pfeiffer TPH 040 Turbo Pump and Controller
941 Philips PLM-100 Photoluminescen​ce Mapping Tool
942 Philips Xpert System X-Ray Diffraction System
943 Plasmatherm 790 6-inch Single Chamber Plasma RIE Reactive Ion Etching System
944 Plasmatherm 790 MF Plasma RIE Reactive Ion Etch System
945 Plasmatherm SLR 720 MF RIE Reactive Ion Etch System
946 Plasmatherm SLR 770/770MF Load Locked Dual Chamber Plasma Etching System
947 Polycold 1XCL-3 Closed Loop Gas Chiller
948 Polyscience SD28R-30-A11B Recirculating Chiller
949 Praxair 3 Cylinder Gas Cabinet
950 Premier Mill Corp. PLM-5 Planetary Mixer
951 Princeton Applied Research 263A Potentiostat Galvanostat
952 Probing Solutions Inc. S6 Low Cost Desktop Prober
953 Process Products Corporation RTM 2018H-BT-M 2FM-ADS-SP
954 Process Technology HCT 1084-S-G-X Electric Instantaneous DI Water Heater
955 PVA Tepla 660 Microwave Plasma Cleaning System
956 PVA Tepla M4L Plasma Etching System
957 PVA Tepla PS-210 Microwave Plasma Surface Treatment Etch System
958 Q Corporation QMT-1000 SMD Taping Machine
959 Quad Group Romulus III-A Universal Mechanical Strength Tester
960 QuadTech Sentry 30 AC DC IR Hipot Tester
961 QuadTech Sentry 30 AC DC IR Hipot Tester with HV Probe
962 Rame Hart 190-F2 Contact Angle Goniometer with DROPimage CA Control Software
963 Reichert Jung 2040 Auto Microtome
964 Reichert-McBain Stage
965 Rein Raum Technik Lanz HP 300 300 degree Wafer Bake Hotplate
966 Retsch PM 400 MA/1=1-2 Planetary Ball Mill
967 Reynolds Tech 3 ft. Stainless Steel Solvent Bench
968 Reynolds Tech Controlled Environment Glove Box
969 Reynolds Tech Wet Process Bench
970 RF Power Products 7622729010 25000W 13.56 MHz RF Impedance Matching Network
971 RF Power Products 7622729010 25000W RF Impedance Matching Network
972 Riedel PC 501.20-KES Refrigerated Recirculating Chiller  52 Kilowatts
973 Rietschle SAP 220 Combination Blow and Vacuum Pump
974 Rietschle Thomas CLFG 101 V (09) Vacuum Pump
975 Rietschle VHTD-100-121 Rotary Vane Vacuum Pump for Lab House Vacuum
976 Right Angle Valve
977 Rofin Ultra Waferlase Laser Marking System
978 Rohde & Schwarz ZVCE 20 KHz to 8 GHz Vector Network Analyzer
979 Royce 650 Universal Bond Tester
980 Royce DE 35i Semiautomatic Die Handler
981 Royce System A45 Automatic Die Handling Pick and Place System
982 Rucker & Kolls 222 Magnetic Left Hand Micropositioner
983 Rucker & Kolls 329 Magnetic Base Probe Manipulator
984 Rucker & Kolls 329 Magnetic Base Probe Manipulator
985 Rucker & Kolls 329-4 Magnetic Base Probe Manipulator
986 Rucker & Kolls 681A Semiautomatic Wafer Prober
987 Rucker & Kolls 8 inch Manual Probing Station
988 Sanplatec Dry Keeper High Performance Dehumidificatio​n Unit
989 Sartorius CP3202S Lab Balance
990 Sartorius LA16001S Master Pro Analytical Balance 16,000 X 0.1g
991 Sartorius MA50C-000115V1 Moisture Analyzer
992 Sartorius RGS 4000 Analytical Balance
993 SAS PACM 250 Hard Material Dicing Saw
994 Schott TitroLine KF Volumetric Karl Fischer Titrator
995 SCI 4624 Two Bottle Gas Cabinet
996 Scientific Sealing Technology HV-2200-GT High Vacuum Thermal DAP Package Sealer
997 SDC Gas Distribution Cabinet
998 Seika MCU-580 McDry Ultra Low Humidity Storage Cabinet
999 Seiko Seiki STP 300H Magnetic Suspension Turbopump
1000 Semiconductor Equipment Corp. 410 Flip Chip Die Bonder
1001 Semiconductor Equipment Corp. 4461 Hot Shot Sweep SMD Rework Station
1002 Semi-Gas NH3 Ammonia Gas Cabinet
1003 Semitool SRD-240S Table Top Spin Rinse Dryer Wafer Cleaner
1004 Semitool SRD-240S-3-1-E-​ML Table Top Spin Rinse Dryer Wafer Cleaner
1005 Semitool SST614AG Solvent Chemical Stripping and Developing Tool
1006 Semitool STI 300mm Spinner Spin Rinse Dryer
1007 Semitool STI 860 Spin Rinse Dryer for Wafer Cleaning
1008 Semitool STI 860 Spin Rinse Dryer for Wafer Cleaning
1009 Semitool STI 870 Dual Stack Spin Rinse Dryer
1010 Semitool STI 870ST Spin Rinse Dryer for Wafer or Substrate Cleaning
1011 Semitool STI PA72-40MB-0603 Single Bolt Rotor
1012 Semitool STI SRD-240S-3-1-E-​ML Tabletop Spin Rinse Dryer
1013 Seren L1001 1000W Variable Frequency RF Generator
1014 SEZ Semiconductor Equipment MCM 203 Single Wafer Wet Chemical Spin Processor
1015 Shimadzu E1-2003M1 Turbopump Controller
1016 Sierra Technology Group Poppet Valve
1017 Sigma Instruments SID-142 Multi Channel Thin Film Deposition Controller
1018 Sigma Systems M90 Environmental LN2 Temperature Test Chamber
1019 Signatone CM400 Automated Analytical Probe Station
1020 Signatone Magnetic Base Probe Manipulator
1021 Signatone S-250 Submicron Manual Analytical Wafer Prober
1022 Signatone S-725-PRM Magnetic Base Probe Manipulator
1023 Signatone S-926 Precision Vacuum Base Probe Manipulator
1024 Signatone S-926 Vacuum Base Probe Manipulator
1025 Signatone S-926 Vacuum Base Probe Manipulator
1026 Signatone S-931 Precision 3-Axis Magnetic Base Probe Manipulator
1027 Signatone S-931 Precision 3-Axis Vacuum Base Probe Manipulator
1028 Signatone S-931 Vacuum Base Probe Manipulator
1029 Signatone SP-150 High Resolution InLine Micropositioner w/Picoprobe Controller
1030 Single Bottle Empty Gas Cabinet
1031 SMC CDRB2BWU30-90S-​R73C Rotary Actuator Shutter Vacuum Feedthrough
1032 SMC CDRB2BWU30-90S-​R73C Rotary Actuator Shutter Vacuum Feedthrough
1033 SMC CRB1BW20-180S Rotary Actuator
1034 SMC INR-498-056 Thermo Chiller
1035 SMC INR-498-056 Water to Water Heat Exchanger
1036 SMC XGT512-70508-X6​93 High Vacuum Slit Valve – New in Crate
1037 Solitec 5110 (8mm) Photoresist Coater Developer
1038 Solitec 5110 Single Head Wafer Processing Photoresist Spin Coater
1039 Solitec 5110-C Photoresist Spinner Coater
1040 Solitec 5110-C-T Photoresist Coater Developer  -A
1041 Solitec 5110-SJ High Pressure DI Water Substrate and Mask Cleaning System
1042 Solitec OptiTrac Photoresist Developer
1043 Sonitek EZ 554/2 One Ton Pneumatic Thermal Press for Plastic Assembly
1044 Sono-Tek Cleaning Systems IG-200 PCB Ultrasonic Cleaning and Drying System
1045 Sony SS-40 Video Microscope
1046 Sorensen DCS-55-55M9A Programmable Switching Supply
1047 Sorensen SGA60/250D-1DAA Precision DC Power Supply
1048 Sorensen SGA60W250D-1DAA​BG Programmable DC Power Supply 0-60V, 250A
1049 Sorensen SGA60X250D-1DAA​AS 60V 250A Programmable DC Power Supply
1050 Sorensen SGA60X250D-1DAA​AS Programmable DC Power Supply
1051 Sorvall Instruments T6000D Tabletop Centrifuge
1052 South Bay Technology 900 Table Top Polisher Grinder
1053 Sower SWFS-18.5 SWFS High Speed Hydraulic Lift Dispersing Machine
1054 Specialty Coating Systems G3P-12 Precision Photoresist Spin Coater
1055 Specialty Coating Systems I500M Ionic Contamination Test System
1056 Specialty Coating Systems PDS 2010 Parylene Coating System
1057 Speedfam Free Abrasive Machine FAM Grinder Polisher
1058 Speedline/MPM UP3000/A Ultraprint 3000™ Stencil Printer
1059 Spellman SL120N30/NSS/FG​LL/DPM4/LL40 High Vol0kV Power Supply
1060 Spellman SLM15N300 High Volpply Module
1061 Spellman SLM3P1200 High Volpply Module
1062 Spex 1702 0.75 m Spectrometer
1063 Spex SamplePrep 8000M Mixer/Mill
1064 Spex SamplePrep 8000M Mixer/Mill
1065 Sputter Target Magnetron Cathode
1066 Sputtering Source
1067 Square D EE75T1814H Transformer
1068 SSEC M3302 Single Wafer Chemical Etch Processor
1069 SSEC Two Chamber Glove Box with Two Vacuum Ovens
1070 Stainless Steel Gate Valve
1071 Stainless Steel In-Line Sputtering Chamber
1072 Stainless Steel In-Line Sputtering Chamber
1073 Stainless Steel In-Line Vacuum Chamber
1074 Stanford Research DG535 Digital Delay Pulse Generator
1075 Stanley Vidmar 4 Drawer Industrial Storage Cabinet
1076 Stanley Vidmar 6 Drawer Industrial Storage Cabinet
1077 Stanley Vidmar 7 Drawer Industrial Storage Cabinet
1078 Stanley Vidmar 7 Drawer Industrial Storage Cabinet
1079 Stanley Vidmar Sentry 100 StaticGard Inert Gas Desiccator Storage Cabinet – NEW
1080 Strasbaugh 6BA-3 Lapping System
1081 Strasbaugh 6BA-3 Polishing System
1082 StraEagle Eye II Imaging System Dark Box
1083 Struers 300mm Polishing Plate for Lapper/Polisher
1084 Struers Prepamatic Fully Automatic Specimen Polisher
1085 Sun International/S​OPRA GESP-5 Variable Angle Spectroscopic Ellipsometer
1086 Sun Valley Plastics Piranha Station Wet Bench
1087 Surface Interface DBLRP-36 Precision Magnetic Manipulator Transfer System
1088 Suss Microtec MJB4 Precision Mask Aligner and UV Exposure System
1089 Suss Microtec MJB4 Precision Mask Aligner and UV Exposure System
1090 Suss Microtec MJB4 Precision Mask Aligner and UV Exposure System
1091 SVG 90S Vapor Prime/Coat Track System
1092 SVG Brush Cleaner
1093 SVG Rite Track 8826-28-36  88 Series Dual Track Photoresist Coater and Developer
1094 SVG/SITE Services 8632CTD/8636HPO Developer-Expos​ure Track
1095 Swagelok SS-65TS16 Stainless Manual Ball Valve
1096 Sweco Pressure Vessel
1097 TDI Power SPS5930-LF 3 Phase Hot Swap Liquid Cooled DC Power Supply
1098 Technics PE II-A Table Top Planar Etch Plasma Etching System
1099 Tegal  803 Inline Automatic Plasma Etcher
1100 Tegal  900E Cassette to Cassette Photoresist Strip/Backside Etching
1101 Tegal 801 Inline Plasma Etcher
1102 Tek-Temp TKD250/2.5K/R2K Water Cooled Recirculating Chiller
1103 Tektronix AFG 3022 Arbitrary Function Generator
1104 Teledyne Instruments 702/501NH3/201E Ammonia Gas Analyzer
1105 Temescal BJD-1800 4 Pocket E-Beam Evaporator Upgraded by TES
1106 Temescal BJD-1800 E-Beam Vacuum Deposition System
1107 Temescal BJD-1800 Electron Beam Evaporator
1108 Temescal BJD-1800 PC/PLC Controlled High Vacuum E Beam Evaporator with Ion Tech
1109 Temescal FC-1800 E-Beam Thin Film Evaporator with Substrate Heaters
1110 Temescal FC-1800 Load-Locked Electron Beam Evaporator with Substrate Heaters
1111 Temescal SCT/BJD 2400 E-Beam Evaporator Thin Film Deposition System
1112 Temescal VES-2550 E-Beam Evaporation System with 2 Four Pocket E-Guns
1113 Temptronic TPO4100A-1 Thermal Inducing System
1114 Temptronic TPO4100A-2 Tabletop Thermal Forcing System
1115 Tencor AS 500 Stylus Based Surface Profiler
1116 Tencor FLX 2320 Thin Film Stress Measurement System
1117 Tencor FLX 2908 900 deg C Thin Film Stress Measurement System
1118 Tenney BTRC Temperature Humidity Test Chamber
1119 Tenney BTS Benchtop Temperature Test Chamber
1120 Tenney Lunaire TSJR T Shock Jr Benchtop Thermal Shock Chamber
1121 Tenney Lunaire TUJR Ultra Low Temperature Test Chamber
1122 Tenney T Shock Jr Benchtop Thermal Shock Chamber
1123 Tenney TBIC 1753.60150 Low Temperature Fast Cooling Temperature Burn-in Chamber
1124 Tenney TJR Benchtop Temperature Chamber
1125 Tenney/Lunaire T32C-2 Large Ultra Low Temperature Cycling Chamber
1126 Terra Universal 3772-00 Desiccator Dry Box
1127 TestEquity FH5 Forced Air Mechanical Convention Oven
1128 TestEquity FH5 Forced Air Mechanical Convention Oven 8
1129 TestEquity FH5 Forced Air Mechanical Convention Oven 8
1130 TestEquity FH5 Forced Air Mechanical Convention Oven
1131 TestEquity FH5 Forced Air Mechanical Convention Oven 8
1132 TestEquity Model 140 Environmental Test Chamber
1133 TEW TISF-605 Foot Sealer
1134 Thermo Electron T6200 High Performance 300 deg C Drying Oven
1135 Thermo Environmental Instruments 200 SPC Stack Probe Controller
1136 Thermo Oriel Accudose 9000 Photospeed Tool
1137 Thermolyne F79325 1200 deg C Split Tube Furnace
1138 Thermotron SE-1000-10-10 Ultra Low Temperature Environmental Chamber
1139 Thermotron SE-1200-6-6 Ultra Low Temperature Environmental Chamber
1140 Thermotron SE-300-6-6 Environmental Temperature Test Chamber
1141 Thermotron SM-5.5S Temperature Humidity Test Chamber
1142 Thermotron SM-5.5S Temperature Humidity Test Chamber
1143 Thermotron SM-8C Mini Max Temperature Humidity Test Chamber
1144 Thermotron SM-8C Ultralow Temperature Humidity Environmental Test Chamber
1145 Thermotron SM-8C Ultralow Temperature Humidity Environmental Test Chamber
1146 Thermotron SM-8C-7800 Temperature Humidity Environmental Testing Chamber
1147 Thermtec 712 Black Max 1200 deg C Three Zone Tube Furnace
1148 Think & Tinker 3000 Photopolymer Dry Film Developing Module
1149 THK Translation Stage
1150 Thor Labs LDC500 Laser Diode Driver
1151 Thor Labs PTR12115 12′ x 5′ UltraPlus Series Optical Vibration Isolation Table
1152 Throttle Valve
1153 Throttle Valve 11-inch OD ASA Flange
1154 Throttle Valve from Applied Materials 8300 etcher
1155 Tiger-Vac CD-2600 CR SS ARP Vacuum Cleaner System
1156 Titanium Sublimation Pump
1157 TM Electronics S1A-L1-MAX1 Problem Solving Leak and Flow Tester
1158 TMC 63-542 Vibration Isolation Table
1159 TMC 784-676-12R 12 ft. Optical Table with Vibration Isolation Legs
1160 TMC Stacis Legs Active Piezoelectric Vibration Isolation Legs
1161 TMC Table and Dark Box
1162 TMP 12 in. x 12 in. Hydraulic Heated Press
1163 Toddco General PRO-65 Hot Bar Bonding System
1164 Tridak 450 Precision Fluid Dispensing Controller
1165 Trion Minilock Single Wafer RIE Etcher
1166 Trion Technology Phantom II ICP Inductively Coupled Plasma Etch System
1167 Trio-Tech G-489-2-8DS Dual Chamber Gross Leak Pressure System
1168 Tylan General MDVX-160BS05 Motor Driven Throttle Valve
1169 Ultrasonic Systems P450 Selective Coating System
1170 Ultrasonic Systems PRISM P450-1-151 Selective Coating System
1171 Ultron UH 102 UV Curing System
1172 Ultron UH 114 Wafer/Frame Film Mounter
1173 Ultron UH 130 Die Matrix Expander
1174 Ultron UH 130 Die Matrix Expander
1175 Ulvac CRTM-9100G Crystal Deposition Rate Controller
1176 Ulvac Phoenix Enviro I Single Chamber Multiple Step RF & Microwave Plasma Asher
1177 Ulvac Vacuum Chamber
1178 Unaxis BAK EVO (760) Multiple Source Thermal Evaporator
1179 Unit 1665 Mass Flow Controller
1180 Unit 1665 Mass Flow Controller  0
1181 Unit 3165 Mass Flow Controller
1182 Unit Instruments DX-5 Five Channel Mass Flow Control System
1183 Unit Instruments DX-5 Five Channel Mass Flow Control System with 2 MFC
1184 Unit Instruments UCAL-1000 Mass Flow Transfer Calibrator
1185 Unit Instruments URS-10 MFC Power Supply and Readout
1186 Unit UFC-3165 Mass Flow Controller
1187 Unit UFC-3165 Mass Flow Controller
1188 Unitek Phasemaster IV Hot Bar Solder Reflow System
1189 Uvexs 15053 CCU Tabletop UV Curing System
1190 UVOCS T10X10/OES Ultra Violet Ozone Cleaning System
1191 UVP PR-100 Ozone Surface Cleaner
1192 Vacuubrand MV 2 Oil-Free Diaphragm Vacuum Pump
1193 Vacuum Atmospheres HE-243-XW Double Sided Single Length Glove Box
1194 Vacuum Atmospheres HE-43 Glove Box with Two Vacuum Oven Antechambers
1195 Vacuum Atmospheres Nexus Controlled Atmosphere Glove Box
1196 Vacuum Atmospheres Nexus One Glove Box with Dual Vacuum Ovens and O2 Monitor
1197 Vacuum Atmospheres NX1-101000-0120 Nexus Single Sided Glove Box
1198 Vacuum Atmospheres NX1-811000-0114 Nexus Single Sided Double Length Glove Box
1199 Vacuum Baffle
1200 Vacuum Baseplate
1201 Vacuum Collar
1202 Vacuum Collar
1203 Vacuum Collar
1204 Vacuum Flange
1205 Vacuum Flange
1206 Vacuum Flange 12-inch OD
1207 Vacuum Research Gate Valve
1208 Vacuum Research Gate Valve
1209 Vacuum Transfer Fixture
1210 Varian 3180 Cathode Assembly
1211 Varian 951-5090 Valve
1212 Varian Adapter Flange
1213 Varian Cary 5000 UV-VIS-NIR Spectrophotomet​er
1214 Varian Cold Trap
1215 Varian Diode Ion Pump
1216 Varian V3900 Large Electromagnet
1217 Varian VHS-4 High Speed Diffusion Pump
1218 Varian VHS-4 High Speed Diffusion Pump
1219 Varian VHS-6 High Speed Diffusion Pump
1220 VAT 6 inch Gate Valve
1221 VAT 8-inch ASA Vacuum Gate Valve
1222 VAT 8-inch ID ASA Flange Gate Valve 1
1223 VAT Gate Valve
1224 VAT Gate Valve
1225 VAT Gate Valve
1226 VAT Gate Valve
1227 VAT Large Stainless Steel Gate Valve
1228 VAT Manual Gate Valve
1229 VAT Manual Gate Valve
1230 VAT Rectangular Gate Valve
1231 VAT Series S022/032 Rectangular Gate Valve Monovat – New in Box
1232 VAT Vacuum Gate Valve
1233 Veeco Autoprobe Di CP-II Atomic Force Microscope
1234 Veeco Dektak 3 Surface Profiler
1235 Veeco Dektak 3 Surface Profiler
1236 Veeco Dektak 6M Precision Surface Profiler
1237 Veeco Digital Instruments Dimension 3100 Atomic Force Microscope
1238 Veeco Dimension X3D-DS 3 Dimensional AFM Atomic Force Microscope Metrology Sys.
1239 Veeco Julabo TCU1 High Precision Heat Exchanger
1240 Veeco Julabo TCU1 High Precision Heat Exchanger
1241 Veeco Julabo TCU1 High Precision Heat Exchanger
1242 Veeco MS-30T Turbo Pumped Leak Detector
1243 Veeco-Bruker Wyko SP9900 Large Format Optical Surface Profiler
1244 Verteq GFM-CMP-200M-G2​L-E0 Goldfinger Megasonic Single Wafer Cleaning System
1245 VWR 1350M Microprocessor Controlled Gravity Convection Oven
1246 VWR 1410S Safety Vacuum Oven
1247 VWR 1410S Safety Vacuum Oven
1248 VWR 1430 Vacuum Oven
1249 VWR 1610 Cleanroom Oven
1250 VWR 1670 High Performance Horizontal Air Flow Oven
1251 VWR 1670 High Performance Horizontal Air Flow Oven
1252 VWR 550T Ultrasonic Bath
1253 VWR Symphony 414004-568 Horizontal Air Flow Mechanical Convection Oven
1254 Wabash 12-12-2T  12 Ton Heated Hydraulic Platen Press
1255 Wafab International Quartz Heated Bath
1256 Walker Scientific HF-9H Laboratory Electromagnet and Power Supply
1257 Wallac 1234-001 1232 Delfia Fluorometer
1258 Water Cooled Baffle
1259 Waters 484 Tunable Absorbance HPLC Detector
1260 Waters 486 Tunable Absorbance Detector
1261 Waters 712 Wisp Automated HPLC Sample Processor
1262 Waters 717 Plus HPLC Autosampler with Optional Cooling/Heating Module
1263 Waters 717 Plus HPLC Autosampler with Optional Heating/Cooling Module
1264 Waters 990 Photodiode Array Detector
1265 Waters 991 Photodiode Array Detector
1266 Waters 994 Programmable Photodiode Array Detector
1267 Waters M484 Tunable Absorbsance Detector for HPLC
1268 Waters Micromass ZQ Mass Spectrometer LC/MS
1269 Web Technology 6300 Bubble Leak Detection System
1270 Wentworth 0-001-1096 Vacuum Base Probe Manipulator
1271 Wentworth 001-1122 Precision Vacuum Base Probe Manipulator
1272 Wentworth 001-1123 Precision Vacuum Base Probe Manipulator
1273 Wentworth 006-1027 High Resolution Vacuum Base Probe Manipulator
1274 Wentworth MP 0901 Manual Microanalytical Probing Station
1275 Wentworth PR0195LH Precision Probe Manipulator
1276 Wentworth SPV195 Precision Vacuum Base Probe Manipulator
1277 West Bond 7400B Ultrasonic Wedge Bonder
1278 Whip Mix VPM2 Programmable Vacuum Mixing Unit
1279 Wilson Tukon 300 FMDF Microhardness Tester
1280 Wyko BP2000W Bump Measurement Profiling System
1281 Wyko Noncontact Profiler
1282 Xactix e1 XeF2 Xenon Diflouride Etching System
1283 XDry Corp. XD2-702-01 Ultra Low Relative Humidity Storage Cabinet
1284 Yield Engineering YES 6P Vacuum Bake Oven
1285 Yieldup Omega 6200 Megasonic Wafer Cleaning System
1286 Zeiss HBO 50 50 Watt Microscope Illuminator with Power Supply  56
1287 Zygo 5600 Maxim 3D Laser Interferometric Microscope
1288 Zygo SXM300 Multimode Scanning Probe Microscope

The items are subject to prior sale without notice. These items are only for end users.

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5626

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers