Main Maker

Equipment_Front-End

Categories: ,

Description

The items are subject to prior sale without notice. These items are only for end users.

1 ADE Metrology WaferSight Wafer Flatness Measurement 12
2 Alcatel Etch Alcatel Gir Dry Etch 5
3 AMAT CMP Reflexion Oxide CMP 12
4 AMAT CVD Centura DxZ PESiON 8
5 AMAT CVD Centura DxZ PESiON 8
6 AMAT CVD Centura UltimaX IMD FSG/USG HDP 12
7 AMAT CVD Centura UltimaX IMD FSG/USG HDP 12
8 AMAT CVD P5000 CVD 8
9 AMAT CVD P5000 LTO CVD, TEOS 8
10 AMAT CVD P5000 LTO CVD, TEOS 8
11 AMAT CVD P5000 LTO CVD, TEOS 8
12 AMAT CVD P5000 Oxide 8
13 AMAT CVD P5000 PECVD 4
14 AMAT CVD P5000 PECVD 4
15 AMAT CVD P5000 PECVD 4
16 AMAT CVD P5000 PECVD 5
17 AMAT CVD P5000 TEOS 6
18 AMAT CVD P5000 TEOS 6
19 AMAT CVD P5000 Optima LP-CVD 8
20 AMAT CVD Producer GT Chamber Low K
21 AMAT CVD Producer SE ACL 12
22 AMAT CVD Producer SE SA BPSG 12
23 AMAT Etch Centura DPS2 Metal Metal 12
24 AMAT Etch Centura DPS2 Poly Poly 12
25 AMAT Etch Centura DPS2 Poly Poly 12
26 AMAT Etch Centura2 eMAX Oxide 8
27 AMAT Etch P5000 Metal 6
28 AMAT Etch P5000 Metal 6
29 AMAT Etch P5000 Metal 6
30 AMAT Etch P5000 Poly 6
31 AMAT Etch P5000 Poly 5
32 AMAT Etch P5000 Poly 6
33 AMAT Metrology Orbot WF720 Metrology 5
34 AMAT Metrology SEMVision G3 12
35 AMAT Metrology SEMVision G3 FIB DR SEM 12
36 AMAT Metrology UVision 4 Bright field inspection 12
37 AMAT Metrology WF720 Metrology 6
38 AMAT Metrology WF730 Metrology 6
39 AMAT PVD Endura 2 METAL 12
40 AMAT PVD Endura CL PVD 12
41 AMAT RTP AMC7800RPX EPI 6
42 AMAT RTP AMC7811 EPI 6
43 AMAT RTP AMC7821 EPI 6
44 AMAT RTP AMC7821 EPI 6
45 AMAT RTP Centura XE Anneal 8
46 ASM CVD Dragon 2300 PECVD Equipment for Barrier 12
47 ASM CVD Eagle10 DARC 8
48 ASM CVD Eagle10 PETEOS 8
49 ASM CVD Eagle12 Curing 12
50 ASML Scanner AT850T Twin Scanner 12
51 ASML Scanner XT1250D Arf twinscan 12
52 ASML Scanner XT1400F ArF, twinscan 12
53 ASML Stepper PAS 2500/30 Lithography 5
54 ASML Stepper PAS 2500/40 Lithography 5
55 ASML Stepper PAS 2500/40 Lithography 5
56 ASML Stepper PAS 5500/100D i-Line 6
57 ASML Stepper PAS 5500/400D i-line Scanner 8
58 Asyst FAB ETC LPT2000 SMIF, LEFT 8
59 Asyst FAB ETC LPT2200 SMIF, RIGHT 8
60 Aviza/SVG Furnace 10K Diffusion 5
61 Aviza/SVG Furnace 10K Diffusion 5
62 Axcelis Asher Microlite Lithography 5
63 Axcelis Track RapidCure 320FC UV anneal Unit 12
64 Blue M FAB ETC DCC 606 EMP550 12
65 BMR Etch ICP Etcher ICP Etch 4
66 BMR Etch ICP Etcher ICP Etch 4
67 Canon Scanner FPA-5000ES3 KrF Scanner 8
68 Canon Scanner FPA-6000ES6a 90nm,KrF) Scanner 12
69 Canon Stepper FPA-3000i4 i-line 8
70 Canon Stepper FPA-5500iZ+ i-Line Stepper 12
71 Cymer Scanner ELS-5400 KrF Laser
72 Cymer Scanner ELS-5410 KrF Laser
73 DNS CMP AS2000 8
74 DNS CMP AS2000 [Part] AS2000 8
75 DNS CMP AS2000 [Part]AS2000 8
76 DNS CMP AS2000 Oxide 8
77 DNS RTP LA-820 Lamp Anneal 8
78 DNS RTP LA-W820-A Lamp Anneal 8
79 DNS Track RF-300A Track 8
80 DNS Track SS-3000-A SCRUBBER 12
81 DNS Track SS-3000-A SCRUBBER 12
82 DNS Track SS-3000-A SCRUBBER 12
83 DNS Track SS-3000-A SCRUBBER 12
84 DNS Track SS-3000-A SCRUBBER 12
85 DNS Track SS-3000-A SCRUBBER 12
86 DNS Track SS-3000-AR SCRUBBER 12
87 DNS Track SS-3000-AR SCRUBBER 12
88 DNS Track SS-3000-AR SCRUBBER 12
89 DNS Track SS-3000-AR SCRUBBER 12
90 DNS Track SS-W80A-A Scrubber 8
91 DNS Track SS-W80A-A Scrubber 8
92 DNS Track SS-W80A-A Scrubber 8
93 DNS Track SS-W80A-A Scrubber 8
94 DNS Track SS-W80A-A Scrubber 8
95 DNS WET FC-3000 Wet Station 12
96 DNS WET SU-3100 CLN 12
97 DNS WET WS-820C Wet Etching 8
98 EBARA CMP EPO-222 WCMP 8
99 EBARA CMP EPO-222A W 8
100 EBARA CMP EPO-222A W 8
101 EBARA CMP EPO-222A W 8
102 EBARA CMP EPO-222A W 8
103 EBARA CMP EPO-222A W 8
104 EBARA CMP EPO-223 W 8
105 EBARA CMP EPO-223 W 8
106 EBARA CMP EPO-223 W 8
107 EBARA CMP F-REX200 ox 8
108 EBARA CMP F-REX300S W 12
109 EBARA CMP F-REX300S W 12
110 EBARA CMP F-REX300S W 12
111 Fusion Track M150 Dry Etch 5
112 Fusion Track M150PC Lithography 6
113 Fusion Track M150PC Lithography 6
114 GES CVD CAYMAN PESiON 12
115 GES CVD CAYMAN PESiON 12
116 GES CVD CAYMAN PESiON 12
117 GES CVD CAYMAN PESiON 12
118 GES CVD CAYMAN PESiON 12
119 Hitachi Etch DM421P Etch 8
120 Hitachi FAB ETC UTS2020 Lithography 6
121 Hitachi Metrology I6300 Defect Inspection 12
122 Hitachi Metrology I6300 Defect Inspection 12
123 Hitachi Metrology IS2700 Dark Field inspection 12
124 Hitachi Metrology RS3000 DR SEM 8, 12
125 Hitachi Metrology RS3000T DR SEM 12
126 Hitachi Metrology RS4000 DR SEM 12
127 Hitachi Metrology RS4000 DR SEM 12
128 Hitachi Metrology RS5000 DR SEM 12
129 Hitachi Metrology S-5200 FE SEM
130 Hitachi Metrology S-9220 METRO 8
131 Hitachi Metrology S-9380II CD SEM 12
132 Hitachi Metrology S-9380II CD SEM 12
133 Hitachi Kokusai Asher RAM-8500II Asher 8
134 Hitachi Kokusai Asher RAM-8500II Asher 8
135 Hitachi Kokusai Asher RAM-8500II Asher 8
136 Hitachi Kokusai Asher RAM-8500ZX Asher 8
137 Hitachi Kokusai Furnace DD-1206V-DF Gate OX 12
138 Hitachi Kokusai Furnace DD-1206V-DF Gate OX 12
139 Hitachi Kokusai Furnace DD-1206V-DF Gate OX 12
140 Hitachi Kokusai Furnace DD-1206V-DF Oxide undope 12
141 Hitachi Kokusai Furnace DD-1206V-DF Oxide undope 12
142 Hitachi Kokusai Furnace DD-1206V-DF Oxide undope 12
143 Hitachi Kokusai Furnace DD-1206V-DF Oxide undope 12
144 Hitachi Kokusai Furnace DD-1206V-DF Oxide undope 12
145 Hitachi Kokusai Furnace DD-1206V-DF Oxide undope 12
146 Hitachi Kokusai Furnace DD-1206V-DF Oxide undope 12
147 Hitachi Kokusai Furnace DD-1206V-DF Oxide undope 12
148 Hitachi Kokusai Furnace DD-1206V-DF Oxide undope 12
149 Hitachi Kokusai Furnace DD-1206VN-DF BPSG-ANL 12
150 Hitachi Kokusai Furnace DD-1206VN-DF Oxide undope 12
151 Hitachi Kokusai Metrology VR-120SD Resistivity Measurement 12
152 Horiba Metrology PR-PD2 Reticle/Mask Particle Detection System 6
153 JEOL Metrology JSM-7401F FE SEM 12
154 Karl SUSS Stepper MA150 Aligner 6
155 Karl SUSS Stepper MA150 Aligner 6
156 Karl SUSS Stepper MA200 Aligner 8
157 Karl SUSS Stepper MA200 Aligner 6, 8
158 Karl SUSS Stepper MA200 Aligner 6, 8
159 KLA-Tencor Metrology AIT Fusion Dark Field inspection 8
160 KLA-Tencor Metrology AIT XUV Dark Field inspection 12
161 KLA-Tencor Metrology Aleris CX Film thickness measurements 12
162 KLA-Tencor Metrology Aleris HX8500 Thickness Mesurement 12
163 KLA-Tencor Metrology Archer 10 AIM+ Overlay 12
164 KLA-Tencor Metrology Archer AIM MPX Overlay 12
165 KLA-Tencor Metrology Archer AIM+ Overlay 12
166 KLA-Tencor Metrology Archer AIM+ Overlay 12
167 KLA-Tencor Metrology Archer AIM+ Overlay 12
168 KLA-Tencor Metrology AWIS-3110 Particle counter 8
169 KLA-Tencor Metrology AWIS-3110 Particle counter 8
170 KLA-Tencor Metrology EDR5210 Defect Review SEM 12
171 KLA-Tencor Metrology EDR5210 Defect Review SEM 12
172 KLA-Tencor Metrology Ergolux Metrology 6
173 KLA-Tencor Metrology INM100+INS10 Metrology 6
174 KLA-Tencor Metrology KLA2371 Inspection 8
175 KLA-Tencor Metrology KLA2552 Data Review Station 8
176 KLA-Tencor Metrology KLA2800 Bright Filed inspection 12
177 KLA-Tencor Metrology KLA5100 Metrology 8
178 KLA-Tencor Metrology LDS3300M Macro inspection 8
179 KLA-Tencor Metrology MPV CD2 AMC Metrology 5
180 KLA-Tencor Metrology MPV CD2 AMC Metrology 5
181 KLA-Tencor Metrology MPV-CD Metrology 5
182 KLA-Tencor Metrology NANOMAPPER Nanotopography 12
183 KLA-Tencor Metrology P11 Profiler 6
184 KLA-Tencor Metrology Puma 9000 Dark field defect Inspection 12
185 KLA-Tencor Metrology Puma 9130 Dark field defect Inspection 12
186 KLA-Tencor Metrology SFS7700 Particle Counter 5
187 KLA-Tencor Metrology Surfscan 2.1 Particle Counter 5
188 Komatsu Scanner G20K2-1 KrF Laser
189 Komatsu Scanner G20K2-1 KrF Laser
190 Komatsu Scanner G20K4-1 KrF Laser
191 Komatsu Scanner G20K4-1 KrF Laser
192 Komatsu Scanner G20K4-1 KrF Laser
193 Lam Etch 2300 Exelan Oxide 12
194 Lam Etch 2300 Exelan Flex Oxide 12
195 Lam Etch R4420 Oxide 8
196 Lam Etch R4420 Poly 8
197 Lam Etch R4520 Oxide 8
198 Lam Etch R4520 Oxide 8
199 Lam Etch TCP9600SE Metal 8
200 Mattson Asher Aspen 2 Photoresist Stripper 8
201 Mattson Asher Aspen 2 Photoresist Stripper 8
202 Mattson Asher Aspen 3 Light etch, 3LP + 2 chamber 12
203 Mattson Etch Paradigme SP Light Etch 12
204 Mattson Etch Paradigme SP Light Etch 12
205 Mattson RTP AST3000 RTP 8
206 Mattson RTP AST3000 RTP 12
207 Mattson RTP AST3000 RTP 12
208 Mattson RTP AST3000 RTP 8
209 Mattson RTP AST3000 RTP 12
210 Mattson RTP AST3000 plus RTP 12
211 Mattson RTP Helios RTP 12
212 Mattson RTP Helios RTP 12
213 Mattson RTP Helios RTP 12
214 Montair Etch Prefurnace clean General 5
215 Montair Etch Wafer etch General 5
216 Nanometrics Metrology Caliper Mosaic Overlay 12
217 Nanometrics Metrology Caliper Mosaic Overlay 12
218 Nanometrics Metrology Caliper Mosaic Overlay 12
219 Nanometrics Metrology Metra2200M Overlay 8
220 Nanometrics Metrology Metra7200 Overlay 8
221 Nanometrics Metrology NanoSpec 210 Metrology 5
222 Nikon Metrology OPTIPHOT 66 Microscope 6
223 Nikon Scanner NSR-S204B KrF Scanner 8
224 Nikon Scanner NSR-S204B KrF Scanner 8
225 Nikon Scanner NSR-S205C KrF Scanner 8
226 Nikon Scanner NSR-S609B Scanner 12
227 Nikon Stepper NES1-H04 Mini stepper 4
228 Nikon Stepper NSR-2005i10C i line stepper 2
229 Nikon Stepper NSR-2005i9C i line stepper 8
230 Nikon Stepper NSR-2005i9C i line stepper 8
231 Nikon Stepper NSR-2205EX12B KrF Stepper 8
232 Nikon Stepper NSR-2205EX12B KrF Stepper 8
233 Nikon Stepper NSR-2205EX14C KrF Stepper 8
234 Nikon Stepper NSR-2205i11D i-Line stepper 4
235 Nikon Stepper NSR-4425i i-Line 8
236 Nikon Stepper NSR-SF120 i-Line stepper 12
237 Nikon Stepper NSR-SF130 Stepper 12
238 Nikon Stepper NSR-TFHEX14C KrF Stepper 6
239 Novellus Asher Gamma2130 Asher 12
240 Novellus CVD C2 Altus WCVD 8
241 Novellus CVD C2 Speed Shrink HDP 8
242 Novellus CVD C2 Speed Shrink HDP 8
243 Novellus CVD C3 Speed MAX HDP 12
244 Novellus CVD C3 Speed NEXT HDP 12
245 Novellus CVD C3 Speed XT ILD, IMD 12
246 Novellus CVD Vector Passivation SiO2/SiN, BL ARC 12
247 Novellus CVD Vector PESiN/PETEOS 12
248 Novellus CVD Vector Express PESiN/PETEOS 12
249 Novellus CVD Vector Extreme CVD 12
250 Novellus PVD Inova PVD 8
251 Novellus WET Sabre XT Electro Copper Plating 8
252 Novellus WET Sabre XT Electro Copper Plating 8
253 Novellus WET Sabre XT Electro Copper Plating 8
254 Novellus WET Sabre XT Electro Copper Plating 8
255 Novellus WET Sabre XT Electro Copper Plating 8
256 OAI Metrology 358 Stepper Exposure Analyzer 6
257 OAI Metrology 358 Stepper Exposure Analyzer 6
258 OAI Metrology 358 Stepper Exposure Analyzer 6
259 Olympus Metrology BHMJL Microscope 6
260 Olympus Metrology BHMJL Microscope 6
261 PSK Asher Tera 21 PR Ashing 12
262 Rudolph/August Metrology 3Di8500 Wafer Inspection 12
263 Rudolph/August Metrology Axi-S Macro inspection 12
264 Rudolph/August Metrology Axi-S Macro Inspection 12
265 Rudolph/August Metrology Axi-S Macro Wafer Inspection 8
266 Rudolph/August Metrology FE-IV Inspection 8
267 Rudolph/August Metrology FE-VII Ellipsometer 8
268 Rudolph/August Metrology FE-VII-D Focus Ellipsometer 8
269 Rudolph/August Metrology FE-VII-D Focus Ellipsometer 8
270 Rudolph/August Metrology MetaPULSE 300 Thickness Measurement 12
271 Rudolph/August Metrology NSX105 Macro Inspection 8
272 Rudolph/August Metrology NSX105 Macro Inspection 8
273 SEMES WET WS-820L WET 8
274 Semitool WET Raider ECD Electroplating 12
275 Semitool WET Raider ECD Electroplating 8
276 Semitool WET SST-F-421-280-F Spin Dryer 5
277 Semitool WET SST-F-421-280-F Spin Dryer 6
278 Semitool WET SST-F-421-280-FK Spin Dryer 6
279 Semitool WET SST-F-421-280-K Spin Dryer 5
280 Semitool WET SST-F-421-280-K Spin Dryer 6
281 Semix Track TZP Lithography 6
282 SEZ WET SP201 8
283 SEZ WET SP201 8
284 SEZ WET SP201 8
285 Star FAB ETC 2000 Primer ATV 5
286 Star FAB ETC 2000 Primer General 5
287 Surftens Metrology Measurement Metrology 6
288 SVG Furnace 5204 Diffusion 5
289 SVG Furnace 5204 Diffusion 5
290 TEL CVD Trias ALD TiN 12
291 TEL CVD Trias CVD TiN 12
292 TEL CVD Trias Metal 12
293 TEL CVD Trias SPA CVD 12
294 TEL CVD Trias SPA CVD 12
295 TEL Etch Telius SCCM Shin Oxide 12
296 TEL Etch Telius SCCM Shin Oxide 12
297 TEL Etch Telius SCCM Shin Oxide 12
298 TEL Etch Telius SCCM Shin Oxide 12
299 TEL Etch Telius SCCM Shin Oxide 12
300 TEL Etch Telius SCCM Shin Oxide 12
301 TEL Furnace Alpha-303i-H D-Poly 12
302 TEL Furnace Alpha-303i-H D-Poly 12
303 TEL Furnace Alpha-303i-H MTO 12
304 TEL Furnace Alpha-303i-H MTO 12
305 TEL Furnace Alpha-303i-H MTO 12
306 TEL Furnace Alpha-303i-K DCS MTO 12
307 TEL Furnace Alpha-303i-K HTO/SiN 12
308 TEL Furnace Alpha-303i-K MTO 12
309 TEL Furnace Alpha-303i-K MTO 12
310 TEL Furnace Alpha-303i-K MTO 12
311 TEL Furnace Alpha-303i-K MTO 12
312 TEL Furnace Alpha-303i-K MTO 12
313 TEL Furnace Alpha-303i-K PIQ 12
314 TEL Furnace Alpha-303i-K Poly 12
315 TEL Furnace Alpha-303i-K TEOS 12
316 TEL Furnace Formula Nit 12
317 TEL Furnace Formula Nit 12
318 TEL Furnace Formula SiGe-POLY 12
319 TEL Furnace Indy-A DCS Nit 12
320 TEL Furnace Indy-A DCS Nit 12
321 TEL Furnace Indy-B DIFF 12
322 TEL PVD MarkIV Metal sputter 8
323 TEL Track ACT12 COT/DEV 8
324 TEL Track ACT12 COT/DEV 12
325 TEL Track ACT12 COT/DEV 12
326 TEL Track ACT12 COT/DEV 12
327 TEL Track ACT8 COT/DEV 8
328 TEL Track ACT8 COT/DEV 8
329 TEL Track ACT8 COT/DEV 6
330 TEL Track LITHIUS 12
331 TEL Track LITHIUS 12
332 TEL Track LITHIUS 12
333 TEL Track LITHIUS 12
334 TEL Track LITHIUS 12
335 TEL Track LITHIUS 12
336 TEL Track LITHIUS 12
337 TEL Track LITHIUS
338 TEL Track LITHIUS COT/DEV 12
339 TEL Track LITHIUS COT/DEV 12
340 TEL Track LITHIUS COT/DEV 12
341 TEL Track LITHIUS COT/DEV 12
342 TEL Track LITHIUS COT/DEV 12
343 TEL Track LITHIUS COT/DEV 12
344 TEL Track LITHIUS COT/DEV 12
345 TEL Track LITHIUS COT/DEV 12
346 TEL Track LITHIUS COT/DEV 12
347 TEL Track LITHIUS COT/DEV 12
348 TEL Track LITHIUS i+ 8
349 TEL Track LITHIUS i+ COT/DEV 12
350 TEL Track Mark7 COT/DEV 8
351 TEL Track Mark7 COT/DEV 8
352 TEL Track NS300 Scrubber 12
353 TEL Track NS300 Scrubber 12
354 TEL Track NS300 Scrubber 12
355 Ultratech Stepper 1500 Lithography 6
356 Ultratech Stepper 1500 Lithography 6
357 Ultratech Stepper 1500 Lithography 6
358 Ulvac PVD Ceraus Z-1000 PVD 8
359 Ulvac PVD Ceraus ZX-1000 PVD 8
360 Ulvac PVD Ceraus ZX-1000 PVD 8
361 Ulvac PVD Ceraus ZX-1000 PVD 8
362 Ulvac PVD Ceraus ZX-1000 PVD 8
363 Ulvac PVD Entron EX PVD 12
364 Ulvac PVD Entron EX W300 PVD 12
365 Ulvac PVD Entron EX W300 PVD 12
366 Ulvac PVD Entron S PVD 12
367 Ulvac PVD Entron T PVD 12
368 UNAXIS PVD LLS900 PVD 8
369 Ushio Track UMA-1002-HC93FS Stabilizer 8
370 Ushio Track UMA-1002-HC93FWL Stabilizer 8
371 Varian PVD 3290 PVD 6
372 Veeco Metrology Dimension X3D AFM 12
373 WONIK IPS CVD MAHA SP PTEOS 12
374 Yield Engineering System FAB ETC YES-5 Lithography 6
375 Yield Engineering System FAB ETC YES-5E Lithography 6
376 Yield Engineering System FAB ETC YES-5E Lithography 6

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5684

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers