Main Maker

Used Semiconductor Equipment Parts

Categories: ,

Description

Used Semiconductor Equipment Parts

These are  subject to prior sale. These are only for end user. Appreciate your time.

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.

1 A-B Lasers Inc. (Rofin) Waferlase Compact Laser Scribe 200mm
2 A-B Lasers Inc. (Rofin) Waferlase Laser Scribe 200mm
3 Ableprint Technology (APT) VFS-60A Cure Oven
4 Ableprint Technology (APT) VFS-60A Cure Oven
5 Ableprint Technology (APT) VFS-60A Cure Oven
6 Ableprint Technology (APT) VFS-60A Cure Oven N/A
7 Ableprint Technology (APT) VFS-60A Cure Oven N/A
8 Accretech/TSK UF3000 Production Wafer Prober 300mm
9 Accretech/TSK UF3000 Production Wafer Prober 300mm
10 Accretech/TSK UF3000 Production Wafer Prober 300mm
11 Accretech/TSK UF3000 Production Wafer Prober 300mm
12 Accretech/TSK UF3000 Production Wafer Prober 300mm
13 Accretech/TSK UF300 Production Wafer Prober 200mm
14 Accretech/TSK UF3000 Production Wafer Prober 300mm
15 Accretech/TSK UF3000 Production Wafer Prober
16 Accretech/TSK UF3000 Production Wafer Prober
17 Accretech/TSK UF3000 Production Wafer Prober
18 Accretech/TSK UF3000 Production Wafer Prober
19 Accretech/TSK UF3000 Production Wafer Prober
20 Accretech/TSK UF3000 Production Wafer Prober 300mm
21 Acu-Gage AG 36 Optical comparator N/A
22 ADE Corporation AFS-3220 Wafer Characterization 300mm
23 ADE Corporation Microsense 6033 Wafer Characterization
24 Advanced Design Lasers (ADLAS) DPY421-Q-II Power Supply N/A
25 Advanced Energy ICP 20P Power Supply N/A
26 Advanced Technology Inc. Cypress Lead Inspection Equipment N/A
27 Advanced Thermal Sciences (ATS) DEX-20A Chiller/Heat Exchanger N/A
28 Advantest T5585 Memory Tester 300mm
29 Advantest T5585 Memory Tester 300mm
30 Advantest T2000-MSMF SOC Tester N/A
31 Advantest MPT3000HVM Gen 3 SSD Test System N/A
32 Advantest M6542AD Pick & Place Memory Handler
33 Aetrium 1164 Dynamic Life Test System 200mm
34 AG Associates Heatpulse 4108 Standalone RTP Equipment 200mm
35 Agilent / Keysight E4990A Impedance Measuring Instruments
36 Air Control, Inc. Microvoid FH-45D-S Fume Hood Workstation N/A
37 Air Control, Inc. Microvoid FH-45D-S Fume Hood Workstation N/A
38 Air Control, Inc. Microvoid FH-45D-SS-6 Fume Hood Workstation 200mm
39 Akrometrix, LLC AXP Thermal Warpage & Strain N/A
40 Alcatel ADS 1802H Dry Pump N/A
41 Alcatel ADS1802P Dry Pump N/A
42 Alcatel A1803H Dry Pump N/A
43 AMETEK, Inc. 1726 Tachometer N/A
44 Amray, Inc. 2030 SEM – Defect Review (DR) N/A
45 Amray, Inc. 2030 SEM – Defect Review (DR) 200mm
46 Amray, Inc. 3800c SEM – Defect Review (DR) 200mm
47 Amray, Inc. 2030 SEM – Defect Review (DR) N/A
48 Amray, Inc. 3800c SEM – Defect Review (DR) 200mm
49 Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch 300mm
50 Applied Materials (AMAT) Reflexion LK Copper Copper CMP 300mm
51 Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch 300mm
52 Applied Materials (AMAT) Centura SiNgen Chamber LPCVD 200mm
53 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch 300mm
54 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch
55 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch
56 Applied Materials (AMAT) Centris AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 300mm
57 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing 300mm
58 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing 300mm
59 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing 300mm
60 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch 300mm
61 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch 300mm
62 Applied Materials (AMAT) Centura AP ASP II – Chamber Only Metal Etch 300mm
63 Applied Materials (AMAT) AMAT 0 Chiller/Heat Exchanger N/A
64 Applied Materials (AMAT) Producer Etch Dielectric Dielectric Etch 300mm
65 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
66 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch 300mm
67 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch 300mm
68 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch 300mm
69 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm
70 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm
71 Applied Materials (AMAT) Endura II Chambers: Multiple PVD (Physical Vapor Deposition) 300mm
72 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
73 Applied Materials (AMAT) Reflexion – Dielectric Dielectric CMP 300mm
74 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
75 Applied Materials (AMAT) Quantum II Low/Ultra Low Energy Implanter 300mm
76 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch 300mm
77 Applied Materials (AMAT) ComPLUS MP Darkfield Inspection 200mm
78 Applied Materials (AMAT) ComPLUS MP Darkfield Inspection 200mm
79 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
80 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
81 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch 300mm
82 Applied Materials (AMAT) Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm
83 Applied Materials (AMAT) Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm
84 Applied Materials (AMAT) Reflexion LK Multi-Process CMP
85 Applied Materials (AMAT) Centura AP eMax CT Dielectric Etch 300mm
86 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition) 300mm
87 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
88 Applied Precision / RUDOLPH Precision Point VX2 Extension Probe Card Analyzer N/A
89 Aqueous Technologies Trident III Flux Cleaner 200mm
90 ASC International VM1550 Vision System 200mm
91 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 300mm
92 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 300mm
93 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 300mm
94 ASM International Eagle XP EmerALD ALD (Atomic Layer Deposition) 300mm
95 ASM International A600 LPCVD-OXIDE Vertical Furnace 200mm
96 ASM International A412 POLY Vertical Furnace 300mm
97 ASM Pacific Technology (ASMPT) NUCLEUS XP Wafer Substrate Bonding 300mm
98 ASML TWINSCAN XT:1460K 193nm (ArF) Scanner 300mm
99 ASML YieldStar S-200B Overlay Measurement System 300mm
100 ASML YieldStar S-100B Overlay Measurement System 300mm
101 ASML TWINSCAN AT:850D 248nm (KrF) Scanner 300mm
102 ASML TWINSCAN XT:400F i-Line Scanner 300mm
103 ASML TWINSCAN AT:400 i-Line Scanner 300mm
104 ASML YieldStar S-250 Overlay Measurement System 300mm
105 ASML TWINSCAN NXT:2000i Immersion Scanner 300mm
106 Athlete-FA Corporation BM-2000WI Solder Ball Mount 300mm
107 Atlas Copco AWD 1680 Pump N/A
108 BE Semiconductor Industries N.V. (BESI) Fico sawing line (FSL) Substrate Singulation N/A
109 BESI Datacon 8800 TC Die Bonder
110 Big Sky Engineering, Inc. HYDRATION/DISICCATION SYS Dryer N/A
111 Blue M Electric Company STK-05I-E-UP55A Cure Oven
112 Bluefors Oy BF-XLD400 Cryogenic system N/A
113 Boschman BXXP\21 Molding Equipment 200mm
114 Brooks Automation, Inc. MTX2000 Wafer Sorter 300mm
115 Brooks Automation, Inc. MTX2000 Wafer Sorter 300mm
116 Brooks Automation, Inc. MTX 4000 Wafer Sorter 300mm
117 Brooks Automation, Inc. MTX2000 Wafer Sorter 300mm
118 Bruce Technology International BDF-41 Horizontal Furnace 200mm
119 BTU International Pyramax 98N IR reflow 200mm
120 CAMECA EX-300 Implant Dosing Measurement 300mm
121 Campbell Wrapper Corporation Revolution Packaging System N/A
122 Can-Am Integration 122-0000 Loader/unloader N/A
123 Can-Am Integration 112-0000 Packaging system N/A
124 Canon FPA-6000 ES6a 248nm (KrF) Scanner 300mm
125 Cascade S300 Engineering Wafer Prober 300mm
126 Chemwest K232 Quartz Tube Cleaner
127 Chemwest K232 Quartz Tube Cleaner N/A
128 Cleanroom Depot SW6168 Portable Clean Room N/A
129 Control Laser Corporation. (CLC) FALIT Package Auto Decap System N/A
130 Corning PC-610D Stirrer N/A
131 Cybeq Systems 6000 robot Robot Arm Accessories N/A
132 CyberOptics SQ3000-DD Automatic Optic Inspection (AOI)
133 CyberOptics SE600 Solder Paste Inspection (SPI)
134 Dainippon Screen Mfg. Co. (DNS) SU-3000 Single Wafer Processing 300mm
135 Dainippon Screen Mfg. Co. (DNS) MP-3000 Single Wafer Processing 300mm
136 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing 200mm
137 Dainippon Screen Mfg. Co. (DNS) WS-820C Batch Wafer Processing 200mm
138 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing 200mm
139 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing 200mm
140 Dainippon Screen Mfg. Co. (DNS) WS-820C Batch Wafer Processing 200mm
141 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing 200mm
142 Dainippon Screen Mfg. Co. (DNS) SS-3000-AR Wafer Scrubber
143 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
144 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
145 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
146 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
147 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
148 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
149 DAS ESCAPE DUO Chemical/Gas Treatment System N/A
150 DAS ESCAPE DUO Chemical/Gas Treatment System N/A
151 Daymarc Corporation 3287 Gravity Feed SOC Handler N/A
152 Daymarc Corporation 3287 Gravity Feed SOC Handler N/A
153 Daymarc Corporation 3287 Gravity Feed SOC Handler N/A
154 Daymarc Corporation 3287 Gravity Feed SOC Handler N/A
155 Daymarc Corporation 3287 Gravity Feed SOC Handler
156 Daymarc Corporation 3287 Gravity Feed SOC Handler
157 Daymarc Corporation 3287 Gravity Feed SOC Handler
158 DEK USA Inc. 710 Europa Stencil Printer ( Screen Printer) N/A
159 DEK USA Inc. 710 Europa Stencil Printer ( Screen Printer) N/A
160 DEK USA Inc. 710 Europa Stencil Printer ( Screen Printer) N/A
161 Delatech Inc. 858 – V2 Abatement – CDO Scrubber N/A
162 Despatch Industries LND 2-11 Cure Oven N/A
163 Despatch Industries LND 2-11 Cure Oven N/A
164 Despatch Industries LCD1-51N-3 Cure Oven N/A
165 Despatch Industries LLC1-51N-3 Cure Oven
166 Despatch Industries LLC1-51N-3 Cure Oven N/A
167 Despatch Industries LLC1-51N-3 Cure Oven
168 Disco Hi-Tec DFL7340 Laser Saw 150mm
169 Disco Hi-Tec DFL7160 Laser Saw 300mm
170 Disco Hi-Tec DFS8910 Surface Planarization 200mm
171 Disco Hi-Tec DGP8760/DFM2700 Wafer Backside Grinder 300mm
172 Disco Hi-Tec DAD3350 Wafer Dicing Saw 200mm
173 Disco Hi-Tec DFL7160 Laser Saw 300mm
174 Disco Hi-Tec DFL7160 Laser Saw 300mm
175 Ebara FREX300S Tungsten Tungsten CMP 300mm
176 Ebara FREX300S Tungsten Tungsten CMP 300mm
177 Ebara FREX300 Tungsten Tungsten CMP 300mm
178 Ebara FREX300S Tungsten Tungsten CMP 300mm
179 Ebara FREX300S Tungsten Tungsten CMP 300mm
180 Ebara FREX300S Tungsten Tungsten CMP 300mm
181 Ebara FREX300S2 Tungsten Tungsten CMP 300mm
182 Ebara FREX300S2 Tungsten Tungsten CMP 300mm
183 Ebara FREX300 Tungsten Tungsten CMP 300mm
184 Ebara FREX300S2 Multi-Process CMP
185 Eclipse Automation Inc. Assembly Line Assembly Lines N/A
186 ECSAL Technologies Pte. Ltd. Loader ( to AMHS ) Material Exchanger
187 ECSAL Technologies Pte. Ltd. HPS360 Flux Cleaner
188 ECSAL Technologies Pte. Ltd. HPS360 Flux Cleaner
189 ECSAL Technologies Pte. Ltd. Hydrotek-03 Flux Cleaner
190 Edwards E2M8 Pump/Blower N/A
191 Edwards Misc Pump Parts Dry Pump N/A
192 Edwards iL70 Dry Pump N/A
193 Edwards HOX Abatement – Exhaust Management System N/A
194 Edwards Atlas TPU+WESP Abatement – Scrubber N/A
195 Electro Scientific Industries 9800 Laser Repair System 200mm
196 Electro Scientific Industries 9830 Laser Repair System 200mm
197 Electroglas Inc. (EMTS) Horizon 4080X Production Wafer Prober 200mm
198 Electron Vision Corporation ElectronCure 30X UV Cure System 200mm
199 Electrovert / SPEEDLINE Aquastorm 100C Aqueous Cleaner 200mm
200 Entegris KA198-80M Wafer Cassette 200mm
201 Envirotronics TSV5-2-LN2 Environmental Chamber
202 Envirotronics TSV5-2-LN2 Environmental Chamber
203 ESCO Ltd. EMD-WA1000S Temperature Desorption Analyzer 200mm
204 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection 200mm
205 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection 200mm
206 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection 200mm
207 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection 200mm
208 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection 200mm
209 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection 200mm
210 Estek Automation SDN BHD WIS-600B Broadband Patterned Wafer Defect Inspection 200mm
211 Estek Automation SDN BHD WIS-600B Broadband Patterned Wafer Defect Inspection 200mm
212 Estek Automation SDN BHD WIS-850 Broadband Patterned Wafer Defect Inspection 200mm
213 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection 200mm
214 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection 200mm
215 Estek Automation SDN BHD WIS-150 Broadband Patterned Wafer Defect Inspection 150mm
216 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition)
217 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 150mm
218 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 200mm
219 Frontier Semiconductor Measurements, Inc. (FSM) 900TC-VAC Stress Measurement 300mm
220 FSI International Zeta 300 G3 Batch Wafer Processing 300mm
221 FSI International Excalibur Batch Wafer Processing 200mm
222 FSI International Excalibur Batch Wafer Processing 200mm
223 FTS Systems (SP Scientific) RC311GLAM Chiller/Heat Exchanger N/A
224 GCA Tropel Autosort Mark II 150 Flatness Measurement 150mm
225 Gecko Alliance Aqua Flo XPO Pumps
226 Genesis 2020B Vapor Prime Oven N/A
227 GSI Lumonics WaferMark 200HS Laser Scribe 200mm
228 Hermes Microvision (HMI) eScan 300 E-beam Inspection 300mm
229 Hermes Microvision (HMI) eScan 400 E-beam Inspection 300mm
230 Hermes Microvision (HMI) eScan 320 E-beam Inspection
231 Hermes Microvision (HMI) eScan 320 E-beam Inspection
232 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
233 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
234 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
235 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
236 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
237 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
238 Hitachi (Semiconductor) CG5000 SEM – Critical Dimension (CD) Measurement 300mm
239 Hitachi (Semiconductor) CG5000 SEM – Critical Dimension (CD) Measurement 300mm
240 HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System 300mm
241 HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System 300mm
242 HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System 300mm
243 HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System 300mm
244 HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System 300mm
245 IPEC 372M Multi-Process CMP 200mm
246 Ismeca TMBZ SP Taping
247 Ismeca TMBZ SP Taping
248 JEOL JWS-7555 SEM – Defect Review (DR) 200mm
249 JEOL JFS-9815 Focused Ion Beam System 200mm
250 JEOL JFS-9855S Focused Ion Beam System 200mm
251 JEOL JWS-7855S Mask Inspection & Repair System N/A
252 JEOL JEM-2010F TEM
253 JEOL JSM-6400F FE SEM
254 Jordan Valley Semiconductors LTD JVX 7200 X-ray Fluorescence Spectrometer 300mm
255 JST Manufacturing, Inc. Fume Hood Workstation Fume Hood Workstation 300mm
256 JST Manufacturing, Inc. Fume Hood Workstation Fume Hood Workstation 300mm
257 JUKI Automation Systems GmbH CX-1 SMT Mounter (Pick and Place) N/A
258 Kaijo Corporation 778T-A Batch Wafer Processing 200mm
259 Keithley Instruments, Inc. 300 Switching Matrix N/A
260 Keysight / Agilent / Hewlett-Packard (HP) 16500C Logic Analysis & Emulation Solutions N/A
261 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester 300mm
262 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester 300mm
263 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester 300mm
264 KLA-Tencor Corp / ICOS ICOS T830 Lead Inspection Equipment N/A
265 KLA-Tencor Corp / SensArray SensArray 1790 Temperature Monitoring 200mm
266 KLA-Tencor Corp / SensArray SensArray 1530 Temperature Monitoring 200mm
267 KLA-Tencor Corp. eS805 E-beam Inspection 300mm
268 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR) 300mm
269 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR) 300mm
270 KLA-Tencor Corp. AIT UV Darkfield Inspection 300mm
271 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR) 300mm
272 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR) 300mm
273 KLA-Tencor Corp. Ultrapointe 1000 Optical Review System 200mm
274 KLA-Tencor Corp. Surfscan 7700 Particle Measurement 200mm
275 KLA-Tencor Corp. 2111 Brightfield Inspection 200mm
276 KLA-Tencor Corp. 2111 Brightfield Inspection 200mm
277 KLA-Tencor Corp. 2111 Brightfield Inspection 200mm
278 KLA-Tencor Corp. 2111 Brightfield Inspection 200mm
279 KLA-Tencor Corp. CRS-1200 Optical Review System 200mm
280 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System 300mm
281 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System
282 KLA-Tencor Corp. Archer 500 AIM Overlay Measurement System 300mm
283 KLA-Tencor Corp. Archer 500 Overlay Measurement System
284 KLA-Tencor Corp. Puma 9130 Darkfield Inspection 300mm
285 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System 300mm
286 KLA-Tencor Corp. Puma 9130 Darkfield Inspection 300mm
287 KLA-Tencor Corp. VisEdge CV300R Edge Defect 300mm
288 Kokusai Quixace II Nitride Vertical Furnace 300mm
289 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
290 Kokusai Quixace II ALD Oxide Vertical Furnace 300mm
291 Kokusai Quixace Ultimate ALD SiO2 Vertical Furnace 300mm
292 Kokusai Quixace II ALD Nitride Vertical Furnace 300mm
293 Kokusai Quixace II ALD Nitride Vertical Furnace 300mm
294 Kokusai Quixace Ultimate ALD SiN Vertical Furnace 300mm
295 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
296 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
297 Kokusai Quixace II Doped Poly Vertical Furnace
298 Kokusai Quixace II Doped Poly Vertical Furnace
299 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
300 Kokusai Quixace Ultimate ALD SiN Vertical Furnace 300mm
301 Kokusai Quixace II Poly Vertical Furnace 300mm
302 Kokusai Quixace Ultimate ALD SiN Vertical Furnace 300mm
303 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
304 Kokusai Quixace II ALD Nitride Vertical Furnace 300mm
305 Kokusai Quixace II Doped Poly Vertical Furnace
306 Kokusai Quixace II Anneal Vertical Furnace 300mm
307 Kokusai Quixace II ALD Nitride Vertical Furnace
308 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
309 Kokusai Quixace II Anneal Vertical Furnace 300mm
310 Kokusai Quixace II Anneal Vertical Furnace 300mm
311 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
312 Kokusai Quixace II Nitride Vertical Furnace 300mm
313 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
314 Kokusai Quixace II Nitride Vertical Furnace 300mm
315 Kokusai Quixace II Doped Poly Vertical Furnace
316 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
317 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
318 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
319 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
320 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
321 Kokusai Quixace II Nitride Vertical Furnace 300mm
322 Kokusai Quixace II Nitride Vertical Furnace 300mm
323 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
324 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
325 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
326 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
327 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
328 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
329 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
330 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
331 LAM Research 2300 Exelan Flex FX – Chamber Only Dielectric Etch 300mm
332 LAM Research Lam Research Parts Parts/Peripherals 200mm
333 Lam Research EOS – Parts Single Wafer Processing 300mm
334 LAM Research Alliance (A6) Exelan HP – Spare Parts Dielectric Etch 200mm
335 LAM Research 2300e5 Exelan Flex FX Dielectric Etch
336 LAM Research 2300 Versys Metal Metal Etch 300mm
337 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma 300mm
338 LAM Research 2300e6 Exelan Flex FX Dielectric Etch 300mm
339 LAM Research Alliance (A6) TCP 9400DSiE Polysilicon Etch 150mm
340 Leica Inc. INS10 Microscope 200mm
341 Leica Inc. INM 20 Microscope 200mm
342 Leica Inc. INS3300 Macro-Defect 300mm
343 Leica Inc. INS3300 Macro-Defect 300mm
344 Leica Inc. INS3300 Macro-Defect 300mm
345 Leica Inc. INS3300 Macro-Defect 300mm
346 LFE Plasma Systems APE-110 Plasma Cleaner 100mm
347 March Plasma Systems, Inc.(Nordson) FasTRAK Plasma Cleaner
348 March Plasma Systems, Inc.(Nordson) FasTRAK Plasma Cleaner
349 Matrix Integrated Systems Matrix 105 Stripper/Asher 200mm
350 Matrix Integrated Systems Matrix 105 Stripper/Asher 200mm
351 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
352 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
353 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
354 Mechatronics Inc. SG200 XPO Bearing Parts/Peripherals N/A
355 Melles Griot 3227H-C-64 Laser Parts/Peripherals N/A
356 Memmert GmbH HPP750eco Environmental Chamber N/A
357 Metryx Mentor DF3 Mass Measurement 300mm
358 Micromanipulator (MM) P300J Engineering Wafer Prober 200mm
359 MicroTure Machine Tool Corp. 4 Sigma Wafer Polisher Wafer Polisher 200mm
360 Micro-Vu VERTEX 420 Confocal Vision System N/A
361 MKS Instruments Inc. In-Line Valve Parts/Peripherals N/A
362 Modular Process Technology RTP-600S Standalone RTP Equipment 200mm
363 MTI Instruments, Inc. PROFORMA 300 Flatness Measurement 200mm
364 Muratec Murata Machinery, Ltd. G3-2 Wafer Stocker 300mm
365 Nanometrics Inc. Tevet Trajectory T3 Film Thickness Measurement System N/A
366 Nikon OPTIPHOT 200C Microscope 200mm
367 Nikon OPTIPHOT 200C Microscope 200mm
368 Nikon MICROSCOPE Microscope
369 Nikon UM-2 Microscope
370 Nikon NSR-S308F 193nm (ArF) Scanner 300mm
371 Nikon AMI-3000 Macro-Defect 300mm
372 Nikon N-SISV R Optical Review System 300mm
373 Nikon NSR-S308F 193nm (ArF) Scanner
374 Nisso engineering co. ltd / NISON 1800S-55C Batch Wafer Processing N/A
375 Nordson Asymtek S920N Adhesive Dispenser N/A
376 Nordson Asymtek S920N Adhesive Dispenser N/A
377 Nordson Asymtek S920N Adhesive Dispenser N/A
378 Nordson Asymtek S920N Adhesive Dispenser N/A
379 Nordson Asymtek S920N Adhesive Dispenser N/A
380 Nordson Asymtek S920N Adhesive Dispenser N/A
381 Nordson Asymtek S920N Adhesive Dispenser
382 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM) 300mm
383 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)
384 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM) 300mm
385 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM) 300mm
386 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM) 300mm
387 Nova Measuring Instruments, Inc. (Brook) V2600 Integrated CMP Endpoint / Film Measurement 300mm
388 Novellus Systems Inc. VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition) 300mm
389 Novellus Systems Inc. SABRE 3D ECD (Electro Chemical Deposition) 300mm
390 Novellus Systems Inc. Concept Three Speed HDP CVD (Chemical Vapor Deposition) 300mm
391 Novellus Systems Inc. VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition) 300mm
392 Novellus Systems Inc. Concept Three Altus WCVD (Chemical Vapor Deposition) 300mm
393 Novellus Systems Inc. SABRE ECD (Electro Chemical Deposition) 300mm
394 Novellus Systems Inc. Concept Three Altus WCVD (Chemical Vapor Deposition) 300mm
395 Nutek Private Limited (NUTEK) NTM4414LM Loader/unloader
396 Nutek Private Limited (NUTEK) NTA0410M Conveyor & buffer
397 Nutek Private Limited (NUTEK) NTA0410M Conveyor & buffer
398 Nutek Private Limited (NUTEK) NTA0510RCM Conveyor & buffer
399 Nutek Private Limited (NUTEK) NTA0510DTICM Conveyor & buffer
400 Nutek Private Limited (NUTEK) NTA0920DCTV Conveyor & buffer
401 Nutek Private Limited (NUTEK) NTA0920DCTV Conveyor & buffer
402 Nutek Private Limited (NUTEK) NTA0410RCM Conveyor & buffer
403 Nutek Private Limited (NUTEK) NTA0920DCTV Conveyor & buffer
404 Nutek Private Limited (NUTEK) NTA0510DTRC Conveyor & buffer
405 Nutek Private Limited (NUTEK) NTM4414UM Loader/unloader
406 Nutek Private Limited (NUTEK) NTM4412UM Loader/unloader
407 Nutek Private Limited (NUTEK) NTM4412UM Loader/unloader
408 OnTrak Systems, Inc. Synergy Wafer Scrubber – Post CMP 200mm
409 Orient Service 1862-WA Chemical/Gas Treatment System N/A
410 P.S.K. Tech Inc. FUTAS Stripper/Asher 300mm
411 P.S.K. Tech Inc. Supra IV Stripper/Asher 300mm
412 P.S.K. Tech Inc. Supra IV Stripper/Asher 300mm
413 P.S.K. Tech Inc. Supra IV Stripper/Asher 300mm
414 P.S.K. Tech Inc. Supra IV Stripper/Asher 300mm
415 P.S.K. Tech Inc. Supra IV Stripper/Asher 300mm
416 Panasonic Factory Solutions Co., Ltd NPM-D3 (NM-EJM6D) SMT Mounter (Pick and Place)
417 Panasonic Factory Solutions Co., Ltd NPM-D3 (NM-EJM6D) SMT Mounter (Pick and Place)
418 Panasonic Factory Solutions Co., Ltd PanaCIM Line Management System
419 PBT Works s.r.o. SuperSWASH II Stencil Cleaner N/A
420 PCI Ozone and Controls Systems Inc. G-7 Ozone Generator N/A
421 Pfeiffer Vacuum GmbH Various Dry Pump N/A
422 Philips / Technos TREX 632 X-ray Fluorescence Spectrometer 300mm
423 Plasma-Therm I.P. Inc. 790 Etch Multi-Process Etch 200mm
424 Poly-Flow Engineering S-422 Quartz Tube Cleaner 200mm
425 Prometrix SM-300/SpectraMap Film Thickness Measurement System 200mm
426 PS System FPS 6000 Trim From Combo N/A
427 PS System FPS 6000 Trim From Combo
428 PVA TePla America, Inc. PS 660 Plasma Cleaner
429 PVA TePla America, Inc. PS 660 Plasma Cleaner
430 PVA TePla America, Inc. PS 660 Plasma Cleaner N/A
431 PVA TePla America, Inc. PS 660 Plasma Cleaner
432 R. Howard Strasbaugh Fine Polisher Wafer Polisher 200mm
433 Raytex Corporation RXW-1227 EdgeScan Edge Defect 300mm
434 Reid-Ashman PS3040-1000 Manipulator 200mm
435 ReVera RVX1000 Film Thickness Measurement System 300mm
436 ReVera RVX1000 Film Thickness Measurement System 300mm
437 RIGHT RP3000 N2 Purge System 300mm
438 Risshi / Maruyama YR-8020SC Chiller/Heat Exchanger N/A
439 Risshi / Maruyama YR-8020 Chiller/Heat Exchanger N/A
440 Risshi / Maruyama YR-8020SC Chiller/Heat Exchanger N/A
441 Rogers Machinery DUAL COMPRESSOR Air Compressors N/A
442 Rudolph Technologies, Inc. NSX 105 Macro-Defect 200mm
443 Rudolph Technologies, Inc. Axi 935 Macro-Defect 300mm
444 Rudolph Technologies, Inc. ultra-II Ellipsometer 300mm
445 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
446 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
447 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
448 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
449 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
450 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
451 Rudolph Technologies, Inc. AutoEL III Ellipsometer 200mm
452 Rudolph Technologies, Inc. Matrix S-300 Ellipsometer 300mm
453 Rudolph Technologies, Inc. NSX 105 – Spare Parts Macro-Defect 200mm
454 Rudolph Technologies, Inc. MetaPULSE 300 Film Thickness Measurement System 300mm
455 Rudolph Technologies, Inc. MetaPULSE 300 Film Thickness Measurement System 300mm
456 Rudolph Technologies, Inc. MetaPULSE 300 Film Thickness Measurement System 300mm
457 Rudolph Technologies, Inc. Axi 935 Macro-Defect 300mm
458 Rudolph Technologies, Inc. / STI WAV-1000 Wafer Level Inspection 200mm
459 Scienion sciFLEXARRAYER S100 Adhesive Dispenser N/A
460 Scienion sciFLEXARRAYER S100 Adhesive Dispenser N/A
461 Scienion sciFLEXARRAYER S100 Adhesive Dispenser N/A
462 SCREEN PRINTING ENTERPRISES, INC. LPEH1-30/10 Bake Oven
463 Seiko Instruments SFT 7155 X-ray Fluorescence Spectrometer N/A
464 SEMI-GAS / Applied Energy Systems (AES) Three Cylinder Fully Automatic Gas Cabinet Chemical/Gas Storage & Delivery N/A
465 Semitool Inc. 270-ST Spin Rinse / Dryer (SRD) 200mm
466 Semitool Inc. 270-ST Spin Rinse / Dryer (SRD) 200mm
467 Semitool Inc. Scepter Solvent Batch Wafer Processing 200mm
468 Semitool Inc. 270-ST Spin Rinse / Dryer (SRD) 150mm
469 Semitool Inc. Semitool SRD Parts Spin Rinse / Dryer (SRD) N/A
470 SEZ Group SP304 Single Wafer Processing 300mm
471 SEZ Group SP4300 Single Wafer Processing 300mm
472 SEZ Group SP203 Single Wafer Processing 200mm
473 Shibaura Engineering Works Ltd. CDE-300 Metal Etch 300mm
474 SpeedFam Corp. IPEC 472 Multi-Process CMP 100mm
475 Standard Research Systems SR560 — Low-noise voltage preamplifier Parts/Peripherals N/A
476 Steag Industrie AG Marangoni IPA Dryer Batch Wafer Processing 200mm
477 S-TEC / S.E.S. CO., LTD. AE1085F Batch Wafer Processing 200mm
478 Strasbaugh 6DS-SP Multi-Process CMP 200mm
479 Strasbaugh 6DS-SP Multi-Process CMP 200mm
480 Strasbaugh 6DS-SP Multi-Process CMP 200mm
481 Strasbaugh 6DS-SP Multi-Process CMP 200mm
482 Strasbaugh 6DS-SP Multi-Process CMP 200mm
483 Strasbaugh 6DS-SP Multi-Process CMP 200mm
484 Strasbaugh 6DS-SP Multi-Process CMP 200mm
485 Strasbaugh 6DS-SP Multi-Process CMP 200mm
486 Strasbaugh 6DS-SP Multi-Process CMP 200mm
487 Strasbaugh 6DS-SP Multi-Process CMP 200mm
488 Strasbaugh 6DS-SP Multi-Process CMP 200mm
489 Strasbaugh 6DS-SP Multi-Process CMP 200mm
490 Strasbaugh 6DS-SP Multi-Process CMP 200mm
491 Struers Hexamatic Semi-Automatic Specimen Polisher
492 Sumitomo Precision Products Co., Ltd. SGRC Series Ozone Generator N/A
493 TBD Furnace Tube Cart Quartz Tube Cart N/A
494 Tecdia Inc. TEC-1228AL Wafer Breaker 200mm
495 Teikoku Taping System Co., Ltd. DXL2-800CS-LSR-BMP-CE Tape Laminator 200mm
496 Teikoku Taping System Co., Ltd. DXL2-800CS-LSR-BMP-CE Tape Laminator 200mm
497 Teikoku Taping System Co., Ltd. DXR2-800CS-CE Taper/Detaper 200mm
498 Teikoku Taping System Co., Ltd. DXR2-800CS-CE Taper/Detaper 200mm
499 Teikoku Taping System Co., Ltd. DXR2-800CS-CE Taper/Detaper 200mm
500 Teikoku Taping System Co., Ltd. DXL2-800CS-LSR-BMP-CE Tape Laminator 200mm
501 Teikoku Taping System Co., Ltd. DXL2-800CS-LSR Taper/Detaper 200mm
502 Teikoku Taping System Co., Ltd. EXM 800CS UVR CE Taper/Detaper 200mm
503 Teikoku Taping System Co., Ltd. DXL2-800HS-LSR-BMP-CE-V1 Tape Laminator 200mm
504 Teikoku Taping System Co., Ltd. DXR2-800CS-CE Taper/Detaper 200mm
505 Tektronix 177 Curve Tracer N/A
506 Teradyne, Inc. Parts Parts/Options N/A
507 Teradyne, Inc. J993 Memory Tester N/A
508 Teradyne, Inc. IP750 Image Sensor Tester N/A
509 Teradyne, Inc. IP750 Image Sensor Tester N/A
510 Teradyne, Inc. IP750 Image Sensor Tester
511 Teradyne, Inc. Catalyst
512 Texas INstruments LIS 4000ER Lead Inspection Equipment
513 Therma-Wave Inc. Therma-Probe 300 Implant Dosing Measurement 200mm
514 Thermo (Fisher) Scientific MK.4TE ESD Simulator / Gun N/A
515 Thermo (Fisher) Scientific Orion2 ESD Simulator / Gun N/A
516 Thermo Fisher Scientific Precision CIR 35 Bath N/A
517 Thermo Fisher Scientific (Electron) Theta 300 MKII Wafer Characterization 300mm
518 Thermo Scientific UFP5030A Freezers
519 Thermo Scientific PlasmaQuad XS Spectrometry
520 Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm
521 Tokyo Electron Ltd. (TEL) Tactras Vigus Dielectric Etch 300mm
522 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing 300mm
523 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing 300mm
524 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
525 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace 300mm
526 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
527 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
528 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
529 Tokyo Electron Ltd. (TEL) Tactras RLSA Poly Polysilicon Etch 300mm
530 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch 300mm
531 Tokyo Electron Ltd. (TEL) TELINDY Plus IRAD Oxide Vertical Furnace 300mm
532 Tokyo Electron Ltd. (TEL) Tactras DRM3 Dielectric Etch 300mm
533 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
534 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace 300mm
535 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace 300mm
536 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch 300mm
537 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer) 300mm
538 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer) 300mm
539 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm
540 Tokyo Electron Ltd. (TEL) Tactras Vesta NV3 Polysilicon Etch 300mm
541 Tokyo Electron Ltd. (TEL) Tactras Vesta NV3 Polysilicon Etch 300mm
542 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace 300mm
543 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
544 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
545 Tokyo Electron Ltd. (TEL) Tactras Vigus RK5 Dielectric Etch 300mm
546 Tokyo Electron Ltd. (TEL) ALPHA-303i process TBD Vertical Furnace 300mm
547 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace 300mm
548 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace 300mm
549 Tokyo Electron Ltd. (TEL) Tactras Vigus – Chamber Only Dielectric Etch 300mm
550 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
551 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing 300mm
552 Tokyo Electron Ltd. (TEL) TELFORMULA Anneal Vertical Furnace 300mm
553 Tokyo Electron Ltd. (TEL) TELFORMULA Anneal Vertical Furnace 300mm
554 Tokyo Electron Ltd. (TEL) TELFORMULA LPRO Vertical Furnace 300mm
555 Tokyo Electron Ltd. (TEL) TELFORMULA LPRO Vertical Furnace 300mm
556 Tokyo Electron Ltd. (TEL) TEL Various Parts Parts/Peripherals N/A
557 Tokyo Electron Ltd. (TEL) TELINDY Plus Nitride Vertical Furnace 300mm
558 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace 300mm
559 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace 300mm
560 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm
561 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
562 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch 300mm
563 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm
564 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm
565 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm
566 Tokyo Electron Ltd. (TEL) TELFORMULA Oxide Vertical Furnace 300mm
567 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition) 300mm
568 Tokyo Electron Ltd. (TEL) P-8LC Production Wafer Prober 200mm
569 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS COAT ONLY Coat only Track 300mm
570 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Z Multi Block (Resist Coater/Developer) 300mm
571 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
572 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
573 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch 300mm
574 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
575 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch 300mm
576 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch 300mm
577 Tokyo Electron Ltd. (TEL) ALPHA-303i Oxide Vertical Furnace 300mm
578 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Z-i Multi Block (Resist Coater/Developer) 300mm
579 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
580 Tokyo Electron Ltd. (TEL) ALPHA-303i Oxide Vertical Furnace 300mm
581 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
582 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
583 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
584 Tokyo Electron Ltd. (TEL) NS 300+ Wafer Scrubber
585 Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)
586 Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)
587 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
588 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
589 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
590 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
591 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
592 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
593 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
594 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
595 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
596 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
597 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
598 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
599 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
600 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
601 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing 300mm
602 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
603 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm
604 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
605 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
606 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
607 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
608 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
609 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
610 Toray Engineering Co., Ltd. PB3000 Flip Chip Bonder
611 Toray Engineering Co., Ltd. FC3000WL Flip Chip Bonder N/A
612 Toyota Industries Corporation T100L Dry Pump N/A
613 Ultratech CGS-300 Stress Measurement 300mm
614 Ulvac Corporation Entron-EX: Aluminum Interconnect PVD (Physical Vapor Deposition) 300mm
615 Unaxis/Balzers EHV215A Power Supply N/A
616 Unisem UN-2002A-PG Abatement – Scrubber N/A
617 Ushio UMA-2003 UV Cure System 300mm
618 Ushio UMA-2003 UV Cure System
619 UTS Microservices, Inc. UTS8600 Coat and Develop Track 150mm
620 Varian Semiconductor Equipment Associates (VSEA) VIISta PLAD High Dose Implant 300mm
621 Varian Semiconductor Equipment Associates (VSEA) VIISta 810 Mid Current Implanter 300mm
622 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter 300mm
623 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
624 Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ High Current Implanter 300mm
625 Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ High Current Implanter 300mm
626 Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ High Current Implanter 300mm
627 Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ High Current Implanter
628 Varian, Inc. Turbo-V 300 HT Turbo Pump N/A
629 Various Various Parts/Peripherals N/A
630 VARIOUS 1.25″ SILICON WAFER Wafers
631 VARIOUS 1.5″ SILICON WAFER Wafers
632 VARIOUS 1.875″ SILICON WAFER Wafers
633 VARIOUS 2″ SILICON WAFER Wafers
634 VARIOUS 2.25″ SILICON WAFER Wafers
635 VARIOUS 2.5″ SILICON WAFER Wafers
636 VARIOUS 2.875″ SILICON WAFER Wafers
637 VARIOUS 3″ SILICON WAFER Wafers
638 VARIOUS 3.25″ SILICON WAFER Wafers
639 VARIOUS 200MM SILICON WAFER Wafers 200mm
640 VARIOUS SILICON INGOT SILICON INGOT
641 VARIOUS 100MM SILICON WAFER Wafers 100mm
642 VARIOUS 125MM SILICON WAFER Wafers 125mm
643 VARIOUS 150MM SILICON WAFER Wafers 150mm
644 Veeco Instruments Inc. Dimension 7000 Atomic Force Microscope (AFM) 200mm
645 VennTek VT-MFB-XL-C-SC Conveyor & buffer N/A
646 Verigy (Agilent) V4400 Memory Tester N/A
647 Verigy (Agilent) V4400 Memory Tester N/A
648 Verigy (Agilent) V4400 Memory Tester N/A
649 Verigy (Agilent) V4400 Memory Tester N/A
650 VERSA CONN CORP. WB-302 Triple+ Semi Automated High Force Wafer Bonder 150mm
651 Verteq, Inc. ST800 Batch Wafer Processing 200mm
652 White Mountain Process Blender Mixer / Agitator / Blender N/A
653 X-Tek Orbita 160Xi X-Ray Inspection System 300mm
654 YAMATO WORKS NRY-101V6W/LU IR reflow N/A
655 Yield Engineering Systems, Inc. (YES) EcoCoat HVM PECVD (Chemical Vapor Deposition) N/A
656 Zeiss UMSP 80 Spectrophotometer
657 Zen Voce Corporation BM388 Solder Ball Mount N/A
658 Zen Voce Corporation BM388 Solder Ball Mount N/A
659 Zygo Corp. UniFire 7900 Overlay Measurement System 300mm

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5319-0-15-1-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers