Main Maker

2024 Jan. Resell

Category: Tag:

Description

These items are only for end users. They are subject to prior sale without notice. Please contact us for the availability. Appreciate your time.

Please use keyboard “Ctrl” +“”F” to search the page with your “key word” if necessary.

 
No. Model and Description ID and Remarks
1 STS Pro ICP Etcher.2007 Vintage. Working in Fab. Located in EU OEM-35
2 STS Multiplex ICP Etcher .2000 Vintage. Warehoused. Located in EU OEM-35
3 Disco DFD 6341 Dicing Saw, 2017 Vintage 5816-4-1
4 SPTS / STS Versalis fxP Etcher 5816-5-1
5 Cascade Summit 12000 AP Probe Station 5816-6-1
6 DISCO DFD 6360 Dicing saw 5487-00-1
7 VEEX MTT Plus 410+(1310/1150/1625) 7828-1-1-1
8 Anritsu MS46122B-Op.002,010(8GHz) 7828-1-1-2
9 DISCO DAD 341 in Asia. 11 sets  5487-00-2
10 DISCO DCS 1440 Cleaning system, 6″ 5487-00-3
11 SEZ / LAM RESEARCH RST 201 Spin etcher 5487-00-4
12 Intellivation R 2 R 500 E Vacuum Web Coating System 5816-7-1
13 OptiPro PX 200 Polisher up to 8″ ,100mm Hydraulic converter/air cylinder infeed.Manual loading 5816-8-1
14 Hitachi S 4500 II Scanning Electron Microscope (SEM) 5816-9-1
15 Veeco Ion Beam Deposition System,6 targets. Two ion guns 5816-10-1
16 Precitech Freeform 3000 Machining System  5816-11-1
17 Dainippon (DNS) WS 820 L Wafer Scrubber 5816-12-1
18 Disco DAD 3220 Dicing Saw  5816-13-1
19 Mitutoyo Formtracer Extreme SC 5000 CNC Profilometer 5816-14-1
20 Nikon i-10 C Stepper 5816-15-1
21 JA Woollam W-VASE 32 Ellipsometer 5816-16-1
22  Philips / Panalytical X’Pert Analytical X-ray 5816-17-1
23 Karl Suss MA 56 Mask Aligner 5816-18-1
24 Takatori 612 SD Wire Saw 5816-19-1
25  Estek WIS 900 Inspection Machine 5816-20-1
26 Veeco / Digital Instruments Dimension 3100 Atomic Force Microscope (AFM) 5816-21-1
27 Zeiss Leo 1550 Scanning Electron Microscope  5816-22-1
28 Disco DFG 850 Grinder 5816-23-1
29 Accretech / TSK UF 3000 EX-e Prober, 5 sets 5816-24-1
30 Gasonics 9104 Plasma Asher 6″  5816-25-1
31 Gasonics L 3200 Asher 4″, 6″   5816-25-2
32 Semic Opus III Prober ,Direct Dock,Tri-Temp Chiller,2010 Vintage   5816-26-2
33 KLA-Tencor ASET F 5 X Film Thickness Measurement 5816-27-1
34 Applied Materials DPS G 5 Mesa Etcher 5816-28-1
35 Nanometrics Nanospec 9200 Film Thickness Measurement System   5816-29-1
36 Ultratech Unity AP 300 Stepper  5816-30-1
37 Nanotech 650 FG V 2 Multi Axis Freeform 5816-2024-1
38 Karl Suss MA 8 / BA 8 Mask Aligner  5816-2024-1
39 KLA-Tencor SFS 7700 Wafer Inspection System 5816-2024-1
40 KLA-Tencor Prometrix SpectraMap SM 200 / e Film Thickness System 5816-2024-1
41 Hitachi S 4500 Scanning Electron Microscope (SEM)  5816-2024-1
42 Coherent Compex Pro 201 F Laser  5816-2024-1
43 Disco DFL 7160 Laser Saw  5816-2024-1
44 Nissin NH 20 SP Medium Current Ion Implanter  5816-2024-1
45 Plasmatherm Versalok 700 Bosch Etcher,2000 Vintage 5816-2024-1
46 Karl Suss FC 250 Die Bonder 5816-2024-1
47 ThermaWave TP300
Dymatix/Viking 1046 Die Tool
ASM AS896A Die Tool
MTI/S3 Flexi Photoresist Wafer Spin Coating System
EVG EV640 Die Tool
Agi lent 66332A DC Source
NarkoMed GS Anesthesia Machine
Royce 580 Bond Tester w/ Accessories
CTI IS-1000
Thermo Savant DNA 120 Vacuum Concentrator
Bu chi Rotovap R-210 w/ V-850
Edwards 28 Pump
Sogevac SV 40 Bl
AMAT Bearing
Yaskawa NXC100 Controllerw/ Expansion
PfeifferTMH 1001 P
Brooks VAC 407-2A Robot
Ultrasonics Ultrasonic Processor
Black Box 42U Server Cabinet (Servers/UPS systems not included)
Thermal Edge SA32252 Server Cooler
10584-1-1
48 KLA-Tencor Candela CS 920 Surface Analyzer.Software version: 10.2.4.0101. This is running CS920 software.Does not have a handler.Tool powers on and initializes.2017 Vintage.  5816-2024-1
49 Taylor Hobson LUPHOScan 420 HD 3D Optical Profilo  5816-2024-1
50 NORDSON / MARCH AP-1000 7464-1-2024-1
51 METRON / AG ASSOCIATES HEATPULSE 8108 7464-1-2024-1
52 LAM RESEARCH CORPORATION TCP 9400  7464-1-2024-1
53 SCREEN / DNS / DAINIPPON SCREEN FC-821L 7464-1-2024-1
54 MATRIX 105  7464-1-2024-1
55 KLA ALPHA-STEP D-100 7464-1-2024-1
56 KLA Zeta-300 7464-1-2024-1
57 ANNEALSYS AS-One 7464-1-2024-1
58 TEL / TOKYO ELECTRON MARK 7  7464-1-2024-1
59 FERROTEC / TEMESCAL BJD 1800  7464-1-2024-1
60 METRON / AG ASSOCIATES HEATPULSE 8800  7464-1-2024-1
61 FERROTEC / MEIVAC / ALCATEL 2460  7464-1-2024-1
62 SUSS MicroTec / KARL SUSS MA150  7464-1-2024-1
63 MODUTEK IPA DRYER 7464-1-2023
64 MODUTEK MVD16-PP 7464-1-2023
65 KLA / THERMA-WAVE TP-500 7464-1-2023
66 CHA Mark 40 7464-1-2023
67 KLA AIT II 7464-1-2023
68 ASML PAS 5500/300C 7464-1-2023
69 KLA QUANTOX 64100 7464-1-2023
70 APPLIED MATERIALS (AMAT) CENTURA 5200 TPCC  7464-1-2023
71 ONTO / RUDOLPH / AUGUST NSX-105 7464-1-2023
72 ADVANCED WET TECHNOLOGIES (AWT) EKC-IPA 7464-1-2023
73 KLA SURFSCAN 6420  7464-1-2023
74 PLASMA-THERM VERSALINE ICP 7464-1-2023
75 KLA P-17  7464-1-2023
76 AIR CONTROL CS-41-SS-8FT 7464-1-2023
77 SCREEN / SOKUDO RF-300A 7464-1-2023
78 KLA SURFSCAN 5500 7464-1-2023
79 PLASMA-THERM 790  7464-1-2023
80 LAM RESEARCH CORPORATION 2300 EXELAN FLEX EX+ 7464-1-2023
81 Perkin Elmer 4400 Sputtering Four Target System 5816-2024-1
82 Camtek Eagle-i 2D Inspection System 5816-2024-1
83 KLA / Therma-Wave OP-2600 DUV  7464-1-2024-1
84 Novellus Inova XT PVD 300mm Wafer, Complete Tool 6305-1-2024
85 LAM RESEARCH DSiE3 Chamber 5487-2024
86 Advantest AF8652 Tester
Advantest B6700 Tester
Advantest T5371 Tester +  M6741/M6751 Handlers
Advantest T5375 Tester + 5 M6542 Handlers
Advantest T5585 Tester + 4 M6542 Handlers
Advantest T5588 Tester + 2 Techwing TW350H(T)  Handlers
Advantest T5588 Tester + M6300/M6242/TW350H(T)  Handlers
Advantest T5593 Tester + M6541/M6542 Handlers
Advantest T5832/T5833 Tester + M6242 + Techwing TW350H(T) Handlers
Advantest T5851 Tester + 4 Techwing TW350H(T) HandlersKeysight Agilent, Rohde Schwarz, Tektronix, Anritsu, Aeroflex, Viavi, JDSU, IFR, and Keithley
7300-2024
87 Advantest T 5588 Memory Tester 5816-2024-1
88
Advantest T2000 GVIUP (LS Frame) Test System
Advantest T5832ES Memory Test System
Exatron 202 Tape and Reel System
TEL Precio XL Prober (Brand New Never Installed!)
TEL Precio Prober (Like New!)
Seiko EpsonNS7000Seiko Epson NS7000
TeradyneJ750(2) Teradyne J750 Test Systems
Karl SussMA200Karl Suss MA200 Mask Aligner
Agilent4073BAgilent 4073B Parameter Test System
Agilent4073BAgilent 4073B Parametric Test System
Agilent4073AAgilent 4073A Parameter Test System
Electroglas EG6000 EG6000 300mm Production Wafer Prober
TeradyneUltraFlex HD
TeradyneJ750EX
Advantest T5593 Fully Operational Working Condition T5593 Memory Tester
Advantest T5832ES Advantest T5832ES Memory Test System
AdvantestT5588 & M6242 Test CellT5588 Memory Test System with M6242 Handler
Advantest V9300
TSK UF3000LX
Advantest T5383/ UF3000EX Test Cell Fully operational and refurbished to OEM standards
ELECTROGLAS EG4090U
OLYMPUS MX80 AF-F MICRO SCOPE
CANON FPA-5510ix (SN. 4101032)
KLA AIT 8010
KLA AIT 8020
TEL NS300
RUDOLPH METAPULSE200cuX
RUDOLPH METAPULSE200C
NIKON Nexiv Microscope
KLA Tencor SFS7200
AMAT ENDURA 2
10585-2024
89
TRIKON / ELECTROTECH Omega II Metal etcher
5487-2024
90
DISCO DFD 6360 Dicing saw
5487-2024
91
ADE / KLA / TENCOR 024187-01 XTZ BD for 9600
5487-2024
92
KLA / TENCOR 6220 Surfscan Inspection system
5487-2024
93
SPTS Pegasus Deep Reactive Ion Etcher (DRIE)
5487-2024
94
KLA / TENCOR P15 Profilometer
5487-2024
95
ADE / KLA / TENCOR Ultragage 9500 Measurement system
5487-2024
96
ASM Eagle 60AP Wire bonder 2008 vintage
5487-2024
97 KAIJO MPB-1110,Gold wire bonder,Type: Ball wedge,Rotary bond,Manual load / Unload
5487-2024
98 Accretech / TSK UF 200 Prober, 5 sets
5816-2024-1
99 Cohu Delta Design Matrix Handler
7300-2024-1
100 SUSS MBJ3
7464-2024-1
101 Branson/IPC Strippers L3100 3000LP S3003 4000C
10034-2024-1 OEM-11
102 Lam Rainbow 4520 Etcher
5816-2024-1
103 DISCO DFD 6362
10588-2024-1
104 1. AMAT TPCC controller rack….AC rack and main frame
2. AMAT centura 1 P2
3. AMAT procuder AC rack
4. AMAT Endura monoris frame
5. AMAT 6inch chamber CVD, lamp type
6. AMAT 6inch oxide EMXP plus chamber set
7. AMAT 12inch producer CVD
8. LAM rainbow power supply and PCB board
9. CDX2000 generator
10. neslab HX150 chiller
11. DPS metal gate valve
12. DPS poly NSK throttle valve
13. AXCELIS fusion 200PCU
14 VHP Robot set include controller
15 P5000 completed (5EA)
5692-2024-1

Please use keyboard “Ctrl” +“”F” to search the page with your “key word” if necessary.

SemiStar Corp. may provide certain information related to equipment offered for sale. Any and all such information is unverified and, therefore,  supplied for information purposes only without guarantees or warranties of any kind. .

Please contact us for more information on the part:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers