Main Maker

Used Semiconductor Equipment Parts

Categories: ,

Description

Used Semiconductor Equipment Parts

These are  subject to prior sale. These are only for end user. Appreciate your time.

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.

1 A-B Lasers Inc. (Rofin) Waferlase Compact Laser Scribe 200mm
2 A-B Lasers Inc. (Rofin) Waferlase Laser Scribe 200mm
3 Accretech/TSK UF3000EX Production Wafer Prober 300mm
4 Accretech/TSK UF3000EX Production Wafer Prober 300mm
5 Accretech/TSK UF3000EX Production Wafer Prober 300mm
6 Accretech/TSK UF3000EX Production Wafer Prober 300mm
7 Accretech/TSK UF3000EX Production Wafer Prober 300mm
8 Accretech/TSK UF3000EX Production Wafer Prober 300mm
9 Accretech/TSK UF3000EX Production Wafer Prober 300mm
10 Accretech/TSK UF3000EX Production Wafer Prober 300mm
11 Accretech/TSK UF3000EX Production Wafer Prober
12 Accretech/TSK UF3000EX Production Wafer Prober
13 Accretech/TSK UF3000EX Production Wafer Prober
14 Accretech/TSK FP3000 Wafer Frame Prober
15 Accretech/TSK FP3000 Wafer Frame Prober
16 Accretech/TSK FP3000 Wafer Frame Prober
17 Accretech/TSK UF3000 Production Wafer Prober 300mm
18 Accretech/TSK UF3000 Production Wafer Prober 300mm
19 Accretech/TSK UF3000 Production Wafer Prober 300mm
20 Accretech/TSK UF3000 Production Wafer Prober 300mm
21 Accretech/TSK UF3000 Production Wafer Prober 300mm
22 Accretech/TSK UF300 Production Wafer Prober 200mm
23 Accretech/TSK UF3000 Production Wafer Prober 300mm
24 Accretech/TSK UF3000 Production Wafer Prober 300mm
25 Accretech/TSK UF3000 Production Wafer Prober 300mm
26 Accretech/TSK UF3000 Production Wafer Prober 300mm
27 Accretech/TSK UF3000 Production Wafer Prober 300mm
28 Accretech/TSK UF3000EX Production Wafer Prober 300mm
29 Accretech/TSK UF3000 Production Wafer Prober 300mm
30 Accretech/TSK UF3000 Production Wafer Prober 300mm
31 Accretech/TSK UF3000 Production Wafer Prober 300mm
32 Accretech/TSK UF3000EX Production Wafer Prober 300mm
33 Accretech/TSK UF3000 Production Wafer Prober 300mm
34 Acu-Gage AG 36 Optical comparator N/A
35 ADE Corporation AFS-3220 Wafer Characterization 300mm
36 ADE Corporation Microsense 6033 Wafer Characterization
37 Advanced Design Lasers (ADLAS) DPY421-Q-II Power Supply N/A
38 Advanced Energy ICP 20P Power Supply N/A
39 Advanced Thermal Sciences (ATS) DEX-20A Chiller/Heat Exchanger N/A
40 Advantest T5585 Memory Tester 300mm
41 Advantest T5585 Memory Tester 300mm
42 Advantest T5383 Memory Tester N/A
43 Advantest T5383 Memory Tester 300mm
44 Advantest T5383 Memory Tester N/A
45 Advantest T5383 Memory Tester N/A
46 Advantest MPT3000HVM Gen 3 SSD Test System N/A
47 Advantest M6542AD Pick & Place Memory Handler N/A
48 Advantest M6542AD Pick & Place Memory Handler
49 Advantest M6542AD Pick & Place Memory Handler N/A
50 Aetrium 1164 Dynamic Life Test System 200mm
51 Agilent / Keysight E4990A Impedance Measuring Instruments
52 Air Control, Inc. Microvoid FH-45D-S Fume Hood Workstation N/A
53 Air Control, Inc. Microvoid FH-45D-S Fume Hood Workstation N/A
54 Air Control, Inc. Microvoid FH-45D-SS-6 Fume Hood Workstation 200mm
55 Akrometrix, LLC AXP Thermal Warpage & Strain N/A
56 Alcatel ADS 1802H Dry Pump N/A
57 Alcatel ADS1802P Dry Pump N/A
58 Alcatel A1803H Dry Pump N/A
59 AMETEK, Inc. 1726 Tachometer N/A
60 Amray, Inc. 2030 SEM – Defect Review (DR) N/A
61 Amray, Inc. 2030 SEM – Defect Review (DR) 200mm
62 Amray, Inc. 3800c SEM – Defect Review (DR) 200mm
63 Amray, Inc. 2030 SEM – Defect Review (DR) N/A
64 Amray, Inc. 3800c SEM – Defect Review (DR) 200mm
65 Applied Materials (AMAT) Producer SE SACVD SACVD (Chemical Vapor Deposition) 300mm
66 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition) 300mm
67 Applied Materials (AMAT) Centura AP DPS II Polysilicon Polysilicon Etch 300mm
68 Applied Materials (AMAT) Centura AP DPS II Polysilicon Polysilicon Etch 300mm
69 Applied Materials (AMAT) Centura AP DPS II Polysilicon Polysilicon Etch 300mm
70 Applied Materials (AMAT) Vantage RadiancePlus Platform RTP Equipment 300mm
71 Applied Materials (AMAT) VeritySEM 4i SEM – Critical Dimension (CD) Measurement 300mm
72 Applied Materials (AMAT) VeritySEM 4i+ SEM – Critical Dimension (CD) Measurement 300mm
73 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP 300mm
74 Applied Materials (AMAT) Centura AP eMax CT Dielectric Etch 300mm
75 Applied Materials (AMAT) Producer SE SACVD SACVD (Chemical Vapor Deposition) 300mm
76 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
77 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
78 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch 300mm
79 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm
80 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
81 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
82 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
83 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
84 Applied Materials (AMAT) Producer GT Selectra Selective Etch 300mm
85 Applied Materials (AMAT) Producer SE PECVD TEOS PECVD (Chemical Vapor Deposition) 300mm
86 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition) 300mm
87 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition) 300mm
88 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition) 300mm
89 Applied Materials (AMAT) Producer SE PECVD TEOS PECVD (Chemical Vapor Deposition) 300mm
90 Applied Materials (AMAT) Producer SE PECVD TEOS PECVD (Chemical Vapor Deposition) 300mm
91 Applied Materials (AMAT) Raider ECD_ ECD (Electro Chemical Deposition) 300mm
92 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 300mm
93 Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch 300mm
94 Applied Materials (AMAT) Reflexion LK Copper Copper CMP 300mm
95 Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch 300mm
96 Applied Materials (AMAT) Centura SiNgen Chamber LPCVD 200mm
97 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm
98 Applied Materials (AMAT) Endura II Chambers: Multiple PVD (Physical Vapor Deposition) 300mm
99 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch 300mm
100 Applied Materials (AMAT) Producer Etch eXT Dielectric Dielectric Etch
101 Applied Materials (AMAT) Producer Etch eXT Poly Polysilicon Etch 300mm
102 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition) 300mm
103 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP 300mm
104 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing 300mm
105 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing 300mm
106 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing 300mm
107 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition) 300mm
108 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch 300mm
109 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch 300mm
110 Applied Materials (AMAT) Centura AP ASP II – Chamber Only Metal Etch 300mm
111 Applied Materials (AMAT) AMAT 0 Chiller/Heat Exchanger N/A
112 Applied Materials (AMAT) Producer Etch Dielectric Dielectric Etch 300mm
113 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch 300mm
114 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition) 300mm
115 Applied Materials (AMAT) Raider ECD_ ECD (Electro Chemical Deposition) 300mm
116 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch 300mm
117 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP 300mm
118 Applied Materials (AMAT) Endura II Chambers: Multiple PVD (Physical Vapor Deposition) 300mm
119 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
120 Applied Materials (AMAT) Reflexion – Dielectric Dielectric CMP 300mm
121 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
122 Applied Materials (AMAT) Quantum II Low/Ultra Low Energy Implanter 300mm
123 Applied Materials (AMAT) ComPLUS MP Darkfield Inspection 200mm
124 Applied Materials (AMAT) ComPLUS MP Darkfield Inspection 200mm
125 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
126 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch 300mm
127 Applied Materials (AMAT) Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm
128 Applied Materials (AMAT) Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm
129 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
130 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition)
131 Applied Materials (AMAT) Reflexion LK Multi-Process CMP
132 Applied Materials (AMAT) Producer GT BD/BLOk Low k Dielectric PECVD (Chemical Vapor Deposition) 300mm
133 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
134 Applied Materials (AMAT) Centura AP eMax CT Dielectric Etch
135 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
136 Applied Materials (AMAT) Producer Etch eXT Dielectric Dielectric Etch 300mm
137 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 300mm
138 Applied Materials (AMAT) Centris AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 300mm
139 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP 300mm
140 Applied Materials (AMAT) Centura AP eMax CT Dielectric Etch 300mm
141 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition) 300mm
142 Applied Materials (AMAT) Producer GT PECVD TEOS PECVD (Chemical Vapor Deposition) 300mm
143 Applied Materials (AMAT) Reflexion LK Multi-Process CMP 300mm
144 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm
145 Aqueous Technologies Trident III Flux Cleaner 200mm
146 ASM International A412 POLY Vertical Furnace 300mm
147 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 300mm
148 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 300mm
149 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 300mm
150 ASM International Eagle XP EmerALD ALD (Atomic Layer Deposition) 300mm
151 ASM International A600 LPCVD-OXIDE Vertical Furnace 200mm
152 ASM International A412 POLY Vertical Furnace 300mm
153 ASM Pacific Technology (ASMPT) NUCLEUS XP Wafer Substrate Bonding 300mm
154 ASML TWINSCAN XT:400F i-Line Scanner
155 ASML TWINSCAN XT:400F i-Line Scanner
156 ASML YieldStar S-200B Overlay Measurement System 300mm
157 ASML YieldStar S-100B Overlay Measurement System 300mm
158 ASML TWINSCAN XT:400F i-Line Scanner 300mm
159 ASML TWINSCAN AT:400 i-Line Scanner 300mm
160 ASML YieldStar S-250 Overlay Measurement System 300mm
161 ASML TWINSCAN NXT:2000i Immersion Scanner 300mm
162 ASML TWINSCAN XT:400G i-Line Scanner
163 Athlete-FA Corporation BM-2000WI Solder Ball Mount 300mm
164 Aviza Technology, Inc. RVP-300 Vertical Furnace 300mm
165 Axcelis Technologies Inc. Radiantstrip 320 Stripper/Asher 300mm
166 Axcelis Technologies Inc. Radiantstrip 320 Stripper/Asher 300mm
167 Axcelis Technologies Inc. GSD/HE High Energy Implanter
168 Blue M Electric Company STK-05I-E-UP55A Cure Oven
169 Bluefors Oy BF-XLD400 Cryogenic system N/A
170 Boschman BXXP\21 Molding Equipment 200mm
171 Brooks Automation, Inc. MTX2000 Wafer Sorter 300mm
172 Brooks Automation, Inc. MTX2000 Wafer Sorter 300mm
173 Brooks Automation, Inc. MTX 4000 Wafer Sorter 300mm
174 Brooks Automation, Inc. MTX2000 Wafer Sorter 300mm
175 Bruce Technology International BDF-41 Horizontal Furnace 200mm
176 BUEHLER HandiMet 2 Grinder Polisher
177 BUEHLER MetaServe 2000 Grinder Polisher N/A
178 BUEHLER EcoMet 3000 Grinder Polisher 200mm
179 CAMECA EX-300 Implant Dosing Measurement 300mm
180 Canon FPA-6000 ES6 248nm (KrF) Scanner
181 Canon Surpass 300 Stripper/Asher 300mm
182 Canon FPA-6000 ES6a 248nm (KrF) Scanner
183 Canon FPA-6000 ES6a 248nm (KrF) Scanner
184 Canon FPA-6000 ES6a 248nm (KrF) Scanner 300mm
185 Canon FPA-6000 ES6a 248nm (KrF) Scanner 300mm
186 Canon FPA-5500 iZ+ i-Line Wide-Field Stepper
187 Canon FPA-6000 ES5 248nm (KrF) Scanner
188 Canon FPA-6000 ES5 248nm (KrF) Scanner
189 Canon FPA-5500 iZ+ i-Line Wide-Field Stepper 300mm
190 Cascade S300 Engineering Wafer Prober 300mm
191 Chemwest K232 Quartz Tube Cleaner
192 Chemwest K232 Quartz Tube Cleaner N/A
193 Cleanroom Depot SW6168 Portable Clean Room N/A
194 Control Laser Corporation. (CLC) FALIT Package Auto Decap System N/A
195 Cybeq Systems 6000 robot Robot Arm Accessories N/A
196 CyberOptics SQ3000-DD Automatic Optic Inspection (AOI)
197 CyberOptics SE600 Solder Paste Inspection (SPI)
198 Dainippon Screen Mfg. Co. (DNS) SS-3000-AR Wafer Scrubber 300mm
199 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing 300mm
200 Dainippon Screen Mfg. Co. (DNS) MP-3000 Single Wafer Processing 300mm
201 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing 200mm
202 Dainippon Screen Mfg. Co. (DNS) WS-820C Batch Wafer Processing 200mm
203 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing 200mm
204 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing 200mm
205 Dainippon Screen Mfg. Co. (DNS) WS-820C Batch Wafer Processing 200mm
206 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing 200mm
207 Dainippon Screen Mfg. Co. (DNS) SS-3000-AR Wafer Scrubber 300mm
208 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
209 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
210 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
211 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
212 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
213 Dainippon Screen Mfg. Co. (DNS) SU-3200 Single Wafer Processing
214 Dainippon Screen Mfg. Co. (DNS) SU-3100 Single Wafer Processing
215 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing 300mm
216 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing 300mm
217 Dainippon Screen Mfg. Co. (DNS) FC-3100 Batch Wafer Processing 300mm
218 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing 300mm
219 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber 300mm
220 DAS ESCAPE DUO Chemical/Gas Treatment System N/A
221 DAS ESCAPE DUO Chemical/Gas Treatment System N/A
222 Daymarc Corporation 3287 Gravity Feed SOC Handler N/A
223 Daymarc Corporation 3287 Gravity Feed SOC Handler N/A
224 Daymarc Corporation 3287 Gravity Feed SOC Handler N/A
225 Daymarc Corporation 3287 Gravity Feed SOC Handler N/A
226 Daymarc Corporation 3287 Gravity Feed SOC Handler
227 Daymarc Corporation 3287 Gravity Feed SOC Handler
228 Daymarc Corporation 3287 Gravity Feed SOC Handler
229 Delatech Inc. 858 – V2 Abatement – CDO Scrubber N/A
230 Despatch Industries LCD1-51N-3 Cure Oven N/A
231 Disco Hi-Tec DFL7340 Laser Saw 150mm
232 Disco Hi-Tec DFL7160 Laser Saw 300mm
233 Disco Hi-Tec DFS8910 Surface Planarization 200mm
234 Disco Hi-Tec DAD3350 Wafer Dicing Saw 200mm
235 Disco Hi-Tec DFL7160 Laser Saw 300mm
236 Disco Hi-Tec DFL7160 Laser Saw 300mm
237 Ebara FREX300S2 Multi-Process CMP 300mm
238 Ebara FREX300S Tungsten Tungsten CMP 300mm
239 Ebara FREX300S Tungsten Tungsten CMP 300mm
240 Ebara FREX300 Tungsten Tungsten CMP 300mm
241 Ebara FREX300S Tungsten Tungsten CMP 300mm
242 Ebara FREX300S2 Multi-Process CMP 300mm
243 Ebara FREX300S Poly/STI Poly/STI CMP 300mm
244 ECSAL Technologies Pte. Ltd. Hydrotek-03 Flux Cleaner
245 Edwards E2M8 Pump/Blower N/A
246 Edwards Misc Pump Parts Dry Pump N/A
247 Edwards iL70 Dry Pump N/A
248 Edwards HOX Abatement – Exhaust Management System N/A
249 Edwards Atlas TPU+WESP Abatement – Scrubber N/A
250 Electroglas Inc. (EMTS) Horizon 4080X Production Wafer Prober 200mm
251 Electron Vision Corporation ElectronCure 30X UV Cure System 200mm
252 Electrovert / SPEEDLINE Aquastorm 100C Aqueous Cleaner 200mm
253 Entegris KA198-80M Wafer Cassette 200mm
254 ESCO Ltd. EMD-WA1000S Temperature Desorption Analyzer 200mm
255 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection 200mm
256 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection 200mm
257 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection 200mm
258 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection 200mm
259 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection 200mm
260 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection 200mm
261 Estek Automation SDN BHD WIS-600B Broadband Patterned Wafer Defect Inspection 200mm
262 Estek Automation SDN BHD WIS-600B Broadband Patterned Wafer Defect Inspection 200mm
263 Estek Automation SDN BHD WIS-850 Broadband Patterned Wafer Defect Inspection 200mm
264 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection 200mm
265 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection 200mm
266 Estek Automation SDN BHD WIS-150 Broadband Patterned Wafer Defect Inspection 150mm
267 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition)
268 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 150mm
269 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 200mm
270 FEI Company Helios NanoLab 400 Focused Ion Beam (FIB)
271 Fischione 1050 Specimen Preparation N/A
272 Fischione 1050 Specimen Preparation N/A
273 FSI International Zeta 300 G3 Batch Wafer Processing 300mm
274 FSI International Excalibur Batch Wafer Processing 200mm
275 FSI International Excalibur Batch Wafer Processing 200mm
276 FTS Systems (SP Scientific) RC311GLAM Chiller/Heat Exchanger N/A
277 GCA Tropel Autosort Mark II 150 Flatness Measurement 150mm
278 Gecko Alliance Aqua Flo XPO Pumps
279 Genesis 2020B Vapor Prime Oven N/A
280 GSI Lumonics WaferMark 200HS Laser Scribe 200mm
281 Hermes Microvision (HMI) eP3 XP E-beam Inspection 300mm
282 Hermes Microvision (HMI) eScan 320 E-beam Inspection
283 Hermes Microvision (HMI) eScan 320 E-beam Inspection
284 Hitachi (Semiconductor) S-5500 FE SEM 300mm
285 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
286 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
287 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
288 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
289 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
290 Hitachi (Semiconductor) CG5000 SEM – Critical Dimension (CD) Measurement 300mm
291 Hitachi (Semiconductor) S-4800 FE SEM 300mm
292 Hitachi (Semiconductor) S-5500 FE SEM 300mm
293 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
294 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement 300mm
295 IPEC 372M Multi-Process CMP 200mm
296 Ismeca TMBZ SP Taping
297 Ismeca TMBZ SP Taping
298 JEOL JWS-7555 SEM – Defect Review (DR) 200mm
299 JEOL JFS-9815 Focused Ion Beam System 200mm
300 JEOL JFS-9855S Focused Ion Beam System 200mm
301 JEOL JWS-7855S Mask Inspection & Repair System N/A
302 JEOL JEM-2010F TEM
303 JEOL JSM-6400F FE SEM
304 JEOL JWS-7555S SEM – Defect Review (DR) 200mm
305 Jordan Valley Semiconductors LTD JVX 7200 X-ray Fluorescence Spectrometer 300mm
306 JTCorp JST-2000 Burn-in Board Loader/Unloader N/A
307 JUKI Automation Systems GmbH CX-1 SMT Mounter (Pick and Place) N/A
308 Kaijo Corporation SFT-300 Batch Wafer Processing 300mm
309 Kaijo Corporation SFT-300 Batch Wafer Processing 300mm
310 Kaijo Corporation 778T-A Batch Wafer Processing 200mm
311 Keithley Instruments, Inc. 300 Switching Matrix N/A
312 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester 300mm
313 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester 300mm
314 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester 300mm
315 Keysight / Agilent / Hewlett-Packard (HP) 16500C Logic Analysis & Emulation Solutions N/A
316 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester 300mm
317 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester 300mm
318 Keysight / Agilent / Hewlett-Packard (HP) 4072B Parametric Tester N/A
319 Keysight / Agilent / Hewlett-Packard (HP) 4072B Parametric Tester N/A
320 Keysight / Agilent / Hewlett-Packard (HP) 4072B Parametric Tester N/A
321 Keysight / Agilent / Hewlett-Packard (HP) 4072B Parametric Tester N/A
322 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester 300mm
323 KLA-Tencor Corp / SensArray SensArray 1790 Temperature Monitoring 200mm
324 KLA-Tencor Corp / SensArray SensArray 1530 Temperature Monitoring 200mm
325 KLA-Tencor Corp. Surfscan SP2 Particle Measurement 300mm
326 KLA-Tencor Corp. eS805 E-beam Inspection 300mm
327 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR) 300mm
328 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR) 300mm
329 KLA-Tencor Corp. AIT UV Darkfield Inspection 300mm
330 KLA-Tencor Corp. Ultrapointe 1000 Optical Review System 200mm
331 KLA-Tencor Corp. Surfscan 7700 Particle Measurement 200mm
332 KLA-Tencor Corp. 2111 Brightfield Inspection 200mm
333 KLA-Tencor Corp. 2111 Brightfield Inspection 200mm
334 KLA-Tencor Corp. 2111 Brightfield Inspection 200mm
335 KLA-Tencor Corp. 2111 Brightfield Inspection 200mm
336 KLA-Tencor Corp. CRS-1200 Optical Review System 200mm
337 KLA-Tencor Corp. Archer 500 Overlay Measurement System 300mm
338 KLA-Tencor Corp. Archer 500 Overlay Measurement System 300mm
339 KLA-Tencor Corp. Archer 500 Overlay Measurement System 300mm
340 KLA-Tencor Corp. SpectraShape 8660 Optical Review System
341 KLA-Tencor Corp. SpectraShape 8660 Optical Review System
342 KLA-Tencor Corp. SL514 Reticle Inspection 300mm
343 KLA-Tencor Corp. VisEdge CV300R Edge Defect 300mm
344 Kokusai Quixace II CURE Vertical Furnace 300mm
345 Kokusai Quixace II CURE Vertical Furnace 300mm
346 Kokusai Quixace II Doped Poly Vertical Furnace
347 Kokusai Quixace II Doped Poly Vertical Furnace
348 Kokusai Quixace II Doped Poly Vertical Furnace
349 Kokusai Quixace II Doped Poly Vertical Furnace
350 Kokusai Quixace Ultimate TEOS Vertical Furnace
351 Kokusai Quixace Ultimate TEOS Vertical Furnace
352 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
353 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
354 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
355 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
356 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
357 Kokusai Quixace II ALD Oxide Vertical Furnace 300mm
358 Kokusai Quixace Ultimate ALD SiO2 Vertical Furnace 300mm
359 Kokusai Quixace II ALD Nitride Vertical Furnace 300mm
360 Kokusai Quixace II ALD Nitride Vertical Furnace 300mm
361 Kokusai Quixace Ultimate ALD SiN Vertical Furnace 300mm
362 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
363 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
364 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
365 Kokusai Quixace II Doped Poly Vertical Furnace
366 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
367 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
368 Kokusai Quixace Ultimate ALD SiN Vertical Furnace 300mm
369 Kokusai Quixace II Poly Vertical Furnace 300mm
370 Kokusai Quixace Ultimate ALD SiN Vertical Furnace 300mm
371 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
372 Kokusai Quixace II ALD Nitride Vertical Furnace 300mm
373 Kokusai Quixace II Doped Poly Vertical Furnace
374 Kokusai Quixace II ALD Nitride Vertical Furnace
375 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
376 Kokusai Quixace II Anneal Vertical Furnace 300mm
377 Kokusai Quixace II Anneal Vertical Furnace 300mm
378 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
379 Kokusai Quixace II Anneal Vertical Furnace 300mm
380 Kokusai Quixace II Nitride Vertical Furnace 300mm
381 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
382 Kokusai Quixace II Nitride Vertical Furnace 300mm
383 Kokusai Quixace II Doped Poly Vertical Furnace
384 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
385 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
386 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
387 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
388 Kokusai Vertron-V(S2) DD-835V Vertical Furnace 200mm
389 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
390 Kokusai Quixace II ALD TIN Vertical Furnace 300mm
391 Kokusai Quixace II Doped Poly Vertical Furnace
392 Kokusai Quixace II Doped Poly Vertical Furnace
393 Kokusai ZESTONE DJ-1223VN-DF Vertical Furnace 300mm
394 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
395 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
396 Kokusai Quixace II Poly Vertical Furnace 300mm
397 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
398 Kokusai Quixace II Doped Poly Vertical Furnace 300mm
399 Kokusai Quixace II Nitride Vertical Furnace 300mm
400 Kokusai Quixace II Nitride Vertical Furnace 300mm
401 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
402 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
403 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
404 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
405 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
406 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
407 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
408 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
409 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
410 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
411 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
412 Kokusai Electric Co., Ltd. Lambda-300LE Stripper/Asher 300mm
413 Kokusai Electric Co., Ltd. Lambda-300LE Stripper/Asher 300mm
414 Kokusai Electric Co., Ltd. Lambda-300LE Stripper/Asher 300mm
415 Kokusai Electric Co., Ltd. Lambda-300LE Stripper/Asher 300mm
416 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
417 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
418 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
419 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
420 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
421 Kokusai Electric Co., Ltd. Lambda Stripper/Asher 300mm
422 LAM Research 2300e4 Exelan Flex GXE Dielectric Etch
423 LAM Research 2300 Exelan Flex Dielectric Etch 300mm
424 LAM Research 2300 Exelan Flex Dielectric Etch 300mm
425 LAM Research 2300 Exelan Flex 45 Dielectric Etch 300mm
426 LAM Research 2300 Exelan Dielectric Etch
427 LAM Research 2300 Exelan Dielectric Etch
428 LAM Research 2300 Exelan Dielectric Etch
429 LAM Research 2300e6 KIYO FX Polysilicon Etch 300mm
430 LAM Research 2300e6 Exelan Flex FX Dielectric Etch 300mm
431 LAM Research 2300e5 KIYO FX Polysilicon Etch 300mm
432 LAM Research 2300e5 KIYO FX Polysilicon Etch 300mm
433 LAM Research 2300e6 KIYO FX Polysilicon Etch 300mm
434 LAM Research 2300e6 KIYO FX Polysilicon Etch 300mm
435 LAM Research 2300e5 KIYO FX Polysilicon Etch 300mm
436 LAM Research 2300e5 KIYO FX Polysilicon Etch 300mm
437 LAM Research 2300e6 Exelan Flex HX Dielectric Etch 300mm
438 LAM Research 2300 Exelan Dielectric Etch 300mm
439 LAM Research 2300e6 KIYO EX Polysilicon Etch 300mm
440 Lam Research EOS Single Wafer Processing 300mm
441 LAM Research 2300 Exelan Flex FX – Chamber Only Dielectric Etch 300mm
442 LAM Research 2300e5 Exelan Flex FX Dielectric Etch 300mm
443 LAM Research Lam Research Parts Parts/Peripherals 200mm
444 Lam Research EOS – Parts Single Wafer Processing 300mm
445 LAM Research Alliance (A6) Exelan HP – Spare Parts Dielectric Etch 200mm
446 LAM Research 2300e4 KIYO MCX Metal Etch 300mm
447 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma 300mm
448 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma 300mm
449 LAM Research Alliance (A6) TCP 9400DSiE Polysilicon Etch 150mm
450 LAM Research 2300e5 Exelan Flex FX Dielectric Etch
451 LAM Research 2300e5 Exelan Flex FX Dielectric Etch
452 LAM Research 2300e5 Exelan Flex FX Dielectric Etch
453 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma
454 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma
455 LAM Research 2300e5 Exelan Flex FX Dielectric Etch
456 Leica Inc. INS10 Microscope 200mm
457 Leica Inc. INM 20 Microscope 200mm
458 Leica Inc. INS3300 Macro-Defect 300mm
459 Leica Inc. INS3300 Macro-Defect 300mm
460 Leica Inc. INS3300 Macro-Defect 300mm
461 Leica Inc. INS3300 Macro-Defect 300mm
462 Leica Inc. INS2000 Macro-Defect 200mm
463 Leica Inc. INS2000 Macro-Defect 200mm
464 Matrix Integrated Systems Matrix 105 Stripper/Asher 200mm
465 Matrix Integrated Systems Matrix 105 Stripper/Asher 200mm
466 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
467 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
468 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
469 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
470 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
471 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
472 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
473 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
474 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
475 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
476 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
477 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
478 Mattson Technology, Inc. Suprema Stripper/Asher
479 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
480 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
481 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
482 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
483 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 300mm
484 Mechatronics Inc. SG200 XPO Bearing Parts/Peripherals N/A
485 Melles Griot 3227H-C-64 Laser Parts/Peripherals N/A
486 Micromanipulator (MM) P300J Engineering Wafer Prober 200mm
487 MicroTure Machine Tool Corp. 4 Sigma Wafer Polisher Wafer Polisher 200mm
488 Micro-Vu VERTEX 420 Confocal Vision System N/A
489 MKS Instruments Inc. In-Line Valve Parts/Peripherals N/A
490 Modular Process Technology RTP-600S Standalone RTP Equipment 200mm
491 MOSAID Technologies MS4205 Design Verification Tester 200mm
492 MOSAID Technologies MS4205 Design Verification Tester 200mm
493 MOSAID Technologies MS3490 Design Verification Tester 200mm
494 MOSAID Technologies MS3490 Design Verification Tester 200mm
495 MOSAID Technologies MS3480 Design Verification Tester 200mm
496 MOSAID Technologies MS3490 Design Verification Tester 200mm
497 MOSAID Technologies MS3480 Design Verification Tester 200mm
498 MOSAID Technologies MS3490 Design Verification Tester 200mm
499 MOSAID Technologies MS3480 Design Verification Tester 200mm
500 MTI Instruments, Inc. PROFORMA 300 Flatness Measurement 200mm
501 MultiProbe Inc. AFP-MP2 Engineering Wafer Prober 300mm
502 Muratec Murata Machinery, Ltd. SRC330 Wafer Stocker 300mm
503 Muratec Murata Machinery, Ltd. G3-2 Wafer Stocker 300mm
504 Nanometrics Inc. Tevet Trajectory T3 Film Thickness Measurement System N/A
505 NARISHIGE MF-90 Microforge
506 Nikon NSR-S308F 193nm (ArF) Scanner
507 Nikon OPTIPHOT 200C Microscope 200mm
508 Nikon OPTIPHOT 200C Microscope 200mm
509 Nikon MICROSCOPE Microscope
510 Nikon UM-2 Microscope
511 Nikon NSR-S308F 193nm (ArF) Scanner 300mm
512 Nikon AMI-3000 Macro-Defect 300mm
513 Nikon N-SISV R Optical Review System 300mm
514 Nikon NSR-S308F 193nm (ArF) Scanner 300mm
515 Nisso engineering co. ltd / NISON 1800S-55C Batch Wafer Processing N/A
516 Nordson Asymtek S920N Adhesive Dispenser N/A
517 Nordson Asymtek S920N Adhesive Dispenser N/A
518 Nordson Asymtek S920N Adhesive Dispenser N/A
519 Nordson Asymtek S920N Adhesive Dispenser N/A
520 Nordson Asymtek Forte Adhesive Dispenser N/A
521 Nordson Asymtek Forte Adhesive Dispenser N/A
522 Nordson Asymtek S920N Adhesive Dispenser N/A
523 Nordson Asymtek S920N Adhesive Dispenser N/A
524 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM) 300mm
525 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)
526 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM) 300mm
527 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM) 300mm
528 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM) 300mm
529 Nova Measuring Instruments, Inc. (Brook) V2600 Integrated CMP Endpoint / Film Measurement 300mm
530 Novellus Systems Inc. VECTOR Extreme PECVD (Chemical Vapor Deposition) 300mm
531 Novellus Systems Inc. VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition) 300mm
532 Novellus Systems Inc. SABRE 3D ECD (Electro Chemical Deposition) 300mm
533 Novellus Systems Inc. Concept Three Altus Max WCVD (Chemical Vapor Deposition) 300mm
534 Novellus Systems Inc. SABRE 3D ECD (Electro Chemical Deposition) 300mm
535 Novellus Systems Inc. Concept Three Altus Max WCVD (Chemical Vapor Deposition)
536 Novellus Systems Inc. Concept Three Altus Max WCVD (Chemical Vapor Deposition)
537 Novellus Systems Inc. Concept Three Altus Max WCVD (Chemical Vapor Deposition)
538 Novellus Systems Inc. VECTOR Extreme PECVD (Chemical Vapor Deposition)
539 Novellus Systems Inc. Concept Three Altus WCVD (Chemical Vapor Deposition) 300mm
540 Orient Service 1862-WA Chemical/Gas Treatment System N/A
541 Panasonic Factory Solutions Co., Ltd NPM-D3 (NM-EJM6D) SMT Mounter (Pick and Place)
542 Panasonic Factory Solutions Co., Ltd NPM-D3 (NM-EJM6D) SMT Mounter (Pick and Place)
543 Panasonic Factory Solutions Co., Ltd PanaCIM Line Management System
544 PBT Works s.r.o. SuperSWASH II Stencil Cleaner N/A
545 PCI Ozone and Controls Systems Inc. G-7 Ozone Generator N/A
546 Pfeiffer Vacuum GmbH Various Dry Pump N/A
547 Philips / Technos TREX 632 X-ray Fluorescence Spectrometer 300mm
548 Plasma-Therm I.P. Inc. 790 Etch Multi-Process Etch 200mm
549 Poly-Flow Engineering S-422 Quartz Tube Cleaner 200mm
550 Prometrix SM-300/SpectraMap Film Thickness Measurement System 200mm
551 PS System FPS 6000 Trim From Combo N/A
552 PS System FPS 6000 Trim From Combo
553 PVA TePla America, Inc. PS 660 Plasma Cleaner
554 PVA TePla America, Inc. PS 660 Plasma Cleaner N/A
555 R. Howard Strasbaugh Fine Polisher Wafer Polisher 200mm
556 Raytex Corporation RXW-1227 EdgeScan Edge Defect 300mm
557 ReVera RVX1000 Film Thickness Measurement System 300mm
558 ReVera RVX1000 Film Thickness Measurement System 300mm
559 RIGHT RP3000 N2 Purge System 300mm
560 Risshi / Maruyama YR-8020SC Chiller/Heat Exchanger N/A
561 Risshi / Maruyama YR-8020 Chiller/Heat Exchanger N/A
562 Risshi / Maruyama YR-8020SC Chiller/Heat Exchanger N/A
563 Rogers Machinery DUAL COMPRESSOR Air Compressors N/A
564 Rudolph Technologies, Inc. NSX 105 Macro-Defect 200mm
565 Rudolph Technologies, Inc. Axi 935 Macro-Defect 300mm
566 Rudolph Technologies, Inc. ultra-II Ellipsometer 300mm
567 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
568 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
569 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
570 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
571 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
572 Rudolph Technologies, Inc. NSX 105 Macro-Defect 300mm
573 Rudolph Technologies, Inc. AutoEL III Ellipsometer 200mm
574 Rudolph Technologies, Inc. NSX 105 – Spare Parts Macro-Defect 200mm
575 Rudolph Technologies, Inc. MetaPULSE 300 Film Thickness Measurement System 300mm
576 Rudolph Technologies, Inc. MetaPULSE 300 Film Thickness Measurement System 300mm
577 Rudolph Technologies, Inc. MetaPULSE 300 Film Thickness Measurement System 300mm
578 SCREEN PRINTING ENTERPRISES, INC. LPEH1-30/10 Bake Oven
579 Seiko Instruments SFT 7155 X-ray Fluorescence Spectrometer N/A
580 SELA Ltd. MC200 Wafer Cleaver 200mm
581 SEMI-GAS / Applied Energy Systems (AES) Three Cylinder Fully Automatic Gas Cabinet Chemical/Gas Storage & Delivery N/A
582 Semitool Inc. Raider ECD310 ECD (Electro Chemical Deposition) 300mm
583 Semitool Inc. 270-ST Spin Rinse / Dryer (SRD) 200mm
584 Semitool Inc. 270-ST Spin Rinse / Dryer (SRD) 200mm
585 Semitool Inc. Raider ECD310 ECD (Electro Chemical Deposition) 300mm
586 Semitool Inc. 270-ST Spin Rinse / Dryer (SRD) 150mm
587 Semitool Inc. Semitool SRD Parts Spin Rinse / Dryer (SRD) N/A
588 SEZ Group SP304 Single Wafer Processing 300mm
589 SEZ Group SP4300 Single Wafer Processing 300mm
590 SEZ Group SP203 Single Wafer Processing 200mm
591 SEZ Group DV-34 Single Wafer Processing 300mm
592 Shibaura Engineering Works Ltd. CDE-300 Metal Etch 300mm
593 SpeedFam Corp. IPEC 472 Multi-Process CMP 100mm
594 Standard Research Systems SR560 — Low-noise voltage preamplifier Parts/Peripherals N/A
595 Steag Industrie AG Marangoni IPA Dryer Batch Wafer Processing 200mm
596 S-TEC / S.E.S. CO., LTD. AE1085F Batch Wafer Processing 200mm
597 Strasbaugh 6DS-SP Multi-Process CMP 200mm
598 Strasbaugh 6DS-SP Multi-Process CMP 200mm
599 Strasbaugh 6DS-SP Multi-Process CMP 200mm
600 Strasbaugh 6DS-SP Multi-Process CMP 200mm
601 Strasbaugh 6DS-SP Multi-Process CMP 200mm
602 Strasbaugh 6DS-SP Multi-Process CMP 200mm
603 Strasbaugh 6DS-SP Multi-Process CMP 200mm
604 Strasbaugh 6DS-SP Multi-Process CMP 200mm
605 Strasbaugh 6DS-SP Multi-Process CMP 200mm
606 Strasbaugh 6DS-SP Multi-Process CMP 200mm
607 Strasbaugh 6DS-SP Multi-Process CMP 200mm
608 Strasbaugh 6DS-SP Multi-Process CMP 200mm
609 Strasbaugh 6DS-SP Multi-Process CMP 200mm
610 Struers Hexamatic Semi-Automatic Specimen Polisher
611 Sumitomo Eaton Nova (SEN) NV-GSD-HE3 High Energy Implanter 300mm
612 Sumitomo Eaton Nova (SEN) NV-GSD-HE3 High Energy Implanter 300mm
613 Sumitomo Precision Products Co., Ltd. SGRC Series Ozone Generator N/A
614 TBD Furnace Tube Cart Quartz Tube Cart N/A
615 Tecdia Inc. TEC-1228AL Wafer Breaker 200mm
616 Teikoku Taping System Co., Ltd. DXL2-800CS-LSR-BMP-CE Tape Laminator 200mm
617 Teikoku Taping System Co., Ltd. DXR2-800CS-CE Taper/Detaper 200mm
618 Teikoku Taping System Co., Ltd. DXR2-800CS-CE Taper/Detaper 200mm
619 Teikoku Taping System Co., Ltd. DXL2-800CS-LSR-BMP-CE Tape Laminator 200mm
620 Teikoku Taping System Co., Ltd. DXL2-800CS-LSR Taper/Detaper 200mm
621 Teikoku Taping System Co., Ltd. EXM 800CS UVR CE Taper/Detaper 200mm
622 Teikoku Taping System Co., Ltd. DXR2-800CS-CE Taper/Detaper 200mm
623 Tektronix 177 Curve Tracer N/A
624 Teradyne, Inc. Parts Parts/Options N/A
625 Teradyne, Inc. J993 Memory Tester N/A
626 Texas INstruments LIS 4000ER Lead Inspection Equipment
627 Therma-Wave Inc. Therma-Probe 300 Implant Dosing Measurement 200mm
628 Thermo (Fisher) Scientific MK.4TE ESD Simulator / Gun N/A
629 Thermo (Fisher) Scientific Orion2 ESD Simulator / Gun N/A
630 Thermo Fisher Scientific (Electron) Theta 300 MKII Wafer Characterization 300mm
631 Thermo Scientific UFP5030A Freezers
632 Thermo Scientific PlasmaQuad XS Spectrometry
633 Thermo Scientific / Heraeus UT 6 P Vapor Prime Oven
634 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
635 Tokyo Electron Ltd. (TEL) ALPHA-303i Oxide Vertical Furnace
636 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace 300mm
637 Tokyo Electron Ltd. (TEL) Cellcia Production Wafer Prober
638 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Developer) 300mm
639 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS COAT ONLY Coat only Track 300mm
640 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer) 300mm
641 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)
642 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer) 300mm
643 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)
644 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)
645 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)
646 Tokyo Electron Ltd. (TEL) CLEAN TRACK MARK V Single Block (Resist Coater/Developer) 200mm
647 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
648 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
649 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition) 300mm
650 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition) 300mm
651 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
652 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
653 Tokyo Electron Ltd. (TEL) P-12XLn+ Production Wafer Prober 300mm
654 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
655 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
656 Tokyo Electron Ltd. (TEL) Precio XL Production Wafer Prober
657 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
658 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
659 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
660 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
661 Tokyo Electron Ltd. (TEL) TELINDY ALDOX Vertical Furnace
662 Tokyo Electron Ltd. (TEL) TELINDY ALDOX Vertical Furnace 300mm
663 Tokyo Electron Ltd. (TEL) TELINDY ALDOX Vertical Furnace
664 Tokyo Electron Ltd. (TEL) TELINDY Plus IRad process TBD Vertical Furnace 300mm
665 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
666 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
667 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
668 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
669 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch 300mm
670 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
671 Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm
672 Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm
673 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
674 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
675 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
676 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
677 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
678 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
679 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
680 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
681 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
682 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
683 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
684 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace 300mm
685 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace 300mm
686 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace 300mm
687 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace 300mm
688 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace 300mm
689 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
690 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace 300mm
691 Tokyo Electron Ltd. (TEL) ALPHA-303i Oxide Vertical Furnace 300mm
692 Tokyo Electron Ltd. (TEL) ALPHA-303i process TBD Vertical Furnace 300mm
693 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace 300mm
694 Tokyo Electron Ltd. (TEL) Cellesta Single Wafer Processing
695 Tokyo Electron Ltd. (TEL) Cellesta Single Wafer Processing
696 Tokyo Electron Ltd. (TEL) Cellesta+ Single Wafer Processing 300mm
697 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Developer) 300mm
698 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Developer) 300mm
699 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Developer) 300mm
700 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Developer) 300mm
701 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer) 300mm
702 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer) 300mm
703 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer) 300mm
704 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)
705 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)
706 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer) 300mm
707 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer) 300mm
708 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer) 300mm
709 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer) 300mm
710 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer) 300mm
711 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer) 300mm
712 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer) 300mm
713 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing 300mm
714 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing 300mm
715 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing 300mm
716 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing 300mm
717 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing
718 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing 300mm
719 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
720 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
721 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
722 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
723 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
724 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
725 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
726 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
727 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
728 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
729 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
730 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
731 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
732 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
733 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
734 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
735 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
736 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
737 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
738 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
739 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
740 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
741 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber 300mm
742 Tokyo Electron Ltd. (TEL) NS 300Z Wafer Scrubber 300mm
743 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition) 300mm
744 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober 200mm
745 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober 300mm
746 Tokyo Electron Ltd. (TEL) P-12XLn+ Production Wafer Prober 200mm
747 Tokyo Electron Ltd. (TEL) P-8 Production Wafer Prober 200mm
748 Tokyo Electron Ltd. (TEL) P-8 Production Wafer Prober 200mm
749 Tokyo Electron Ltd. (TEL) P-8 Production Wafer Prober 200mm
750 Tokyo Electron Ltd. (TEL) P-8LC Production Wafer Prober 200mm
751 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
752 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
753 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
754 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
755 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
756 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
757 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
758 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
759 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
760 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
761 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
762 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
763 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 300mm
764 Tokyo Electron Ltd. (TEL) Tactras DRM3 Dielectric Etch 300mm
765 Tokyo Electron Ltd. (TEL) Tactras RLSA Poly Polysilicon Etch 300mm
766 Tokyo Electron Ltd. (TEL) Tactras Vesta NV3 Polysilicon Etch 300mm
767 Tokyo Electron Ltd. (TEL) Tactras Vesta NV3 Polysilicon Etch 300mm
768 Tokyo Electron Ltd. (TEL) Tactras Vigus Dielectric Etch 300mm
769 Tokyo Electron Ltd. (TEL) Tactras Vigus – Chamber Only Dielectric Etch 300mm
770 Tokyo Electron Ltd. (TEL) Tactras Vigus RK3 Dielectric Etch 300mm
771 Tokyo Electron Ltd. (TEL) Tactras Vigus RK3 Dielectric Etch 300mm
772 Tokyo Electron Ltd. (TEL) Tactras Vigus RK5 – Chamber Only Dielectric Etch 300mm
773 Tokyo Electron Ltd. (TEL) TEL Various Parts Parts/Peripherals N/A
774 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace 300mm
775 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace 300mm
776 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace 300mm
777 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace 300mm
778 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
779 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
780 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
781 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
782 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
783 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
784 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
785 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace 300mm
786 Tokyo Electron Ltd. (TEL) TELINDY ALD High-K Vertical Furnace 300mm
787 Tokyo Electron Ltd. (TEL) TELINDY ALDOX Vertical Furnace 300mm
788 Tokyo Electron Ltd. (TEL) TELINDY Plus IRAD Oxide Vertical Furnace 300mm
789 Tokyo Electron Ltd. (TEL) TELINDY Plus IRAD Oxide Vertical Furnace 300mm
790 Tokyo Electron Ltd. (TEL) TELINDY Plus IRAD Oxide Vertical Furnace 300mm
791 Tokyo Electron Ltd. (TEL) TELINDY Plus Oxide Vertical Furnace 300mm
792 Tokyo Electron Ltd. (TEL) TELINDY Plus Oxide Vertical Furnace 300mm
793 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
794 Tokyo Electron Ltd. (TEL) Telius 305 SCCM Dielectric Etch 300mm
795 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch 300mm
796 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch 300mm
797 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch 300mm
798 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch 300mm
799 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch
800 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch 300mm
801 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch 300mm
802 Tokyo Electron Ltd. (TEL) Trias EX-II Plus HT Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm
803 Tokyo Electron Ltd. (TEL) Trias EX-II Plus HT Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm
804 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm
805 Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm
806 Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)
807 Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)
808 Tokyo Electron Ltd. (TEL) UW300Z Batch Wafer Processing 300mm
809 Toray Engineering Co., Ltd. PB3000 Flip Chip Bonder
810 Toray Engineering Co., Ltd. FC3000WL Flip Chip Bonder N/A
811 Toyota Industries Corporation T100L Dry Pump N/A
812 Ultratech CGS-300 Stress Measurement 300mm
813 Ulvac Corporation Entron-EX: Aluminum Interconnect PVD (Physical Vapor Deposition) 300mm
814 Unaxis/Balzers EHV215A Power Supply N/A
815 Unisem UN-2002A-PG Abatement – Scrubber N/A
816 Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System 300mm
817 Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System 300mm
818 Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System 300mm
819 Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System 300mm
820 Ushio UMA-2003 UV Cure System 300mm
821 Ushio UMA-2003 UV Cure System
822 Varian Semiconductor Equipment Associates (VSEA) VIISta PLAD High Dose Implant 300mm
823 Varian Semiconductor Equipment Associates (VSEA) VIISta 810 Mid Current Implanter 300mm
824 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter 300mm
825 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
826 Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ High Current Implanter 300mm
827 Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ High Current Implanter 300mm
828 Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ High Current Implanter 300mm
829 Varian Semiconductor Equipment Associates (VSEA) VIISta PLAD High Dose Implant 300mm
830 Varian Semiconductor Equipment Associates (VSEA) VIISta PLAD High Dose Implant 300mm
831 Varian, Inc. Turbo-V 300 HT Turbo Pump N/A
832 Various Various Parts/Peripherals N/A
833 VARIOUS 1.25″ SILICON WAFER Wafers
834 VARIOUS 1.5″ SILICON WAFER Wafers
835 VARIOUS 1.875″ SILICON WAFER Wafers
836 VARIOUS 2″ SILICON WAFER Wafers
837 VARIOUS 2.25″ SILICON WAFER Wafers
838 VARIOUS 2.5″ SILICON WAFER Wafers
839 VARIOUS 2.875″ SILICON WAFER Wafers
840 VARIOUS 3″ SILICON WAFER Wafers
841 VARIOUS 3.25″ SILICON WAFER Wafers
842 VARIOUS 200MM SILICON WAFER Wafers 200mm
843 VARIOUS SILICON INGOT SILICON INGOT
844 VARIOUS 100MM SILICON WAFER Wafers 100mm
845 VARIOUS 125MM SILICON WAFER Wafers 125mm
846 VARIOUS 150MM SILICON WAFER Wafers 150mm
847 Veeco Instruments Inc. Dimension 7000 Atomic Force Microscope (AFM) 200mm
848 Verigy (Agilent) V4400 Memory Tester N/A
849 Verigy (Agilent) V4400 Memory Tester N/A
850 Verigy (Agilent) V4400 Memory Tester N/A
851 Verigy (Agilent) V4400 Memory Tester N/A
852 VERSA CONN CORP. WB-302 Triple+ Semi Automated High Force Wafer Bonder 150mm
853 Verteq, Inc. ST800 Batch Wafer Processing 200mm
854 Wentworth Laboratories MP-2000 Engineering Wafer Prober 200mm
855 X-Tek Orbita 160Xi X-Ray Inspection System 300mm
856 YAMATO WORKS NRY-101V6W/LU IR reflow N/A
857 Zeiss UMSP 80 Spectrophotometer
858 Zygo Corp. UniFire 7900 Overlay Measurement System 300mm
859 Zygo Corp. AutoKMS-100 Reticle CD SEM 200mm
860 Zygo Corp. UniFire 7900 Overlay Measurement System 300mm

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5319-0-2024-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers