Main Maker

Semiconductor Equipment

Category:

Description

The following Semiconductor Equipment are only for end user. Please contact us if you have any questions. Subject to prior sale without notice. Appreciate your time!

1 ABB IRB120 Component
2 Accretech Huricane A5000 Metrology
3 Accretech Huricane A5000 Metrology
4 Accretech Win-Win 50 1500 Metrology
5 Accretech Win-Win 50 1600 Metrology
6 Accretech Win-Win 50 1600 Metrology
7 Accretech Win-Win 50 1600 Metrology
8 Accretech UF200SA Prober
9 Actes Kyosan ADE-3000S Small Developing Equipment
10 ADE NANOMAPPER SQM
11 ADE EpiScan 1000 Metrology
12 Adixen APR4300 Component
13 Adixen APR4300 Component
14 Adixen APR4300 Component
15 Adixen APR4300 Component
16 Adixen APR4300 Component
17 ADVANSRIKO RTA-0208S Infrared-Lamp Equipment
18 ADVANSRIKO ALY-98/98 Small Alloy Furnace
19 ADVANSRIKO RTA-4000 Infrared-Lamp annealing
Equipment
20 ADVANTEST M6542AD HANDLER
21 ADVANTEST M6542AD
22 ADVANTEST M6542AD
23 Advantest 83000 Tester
24 Advantest 83000 Tester
25 Advantest 83000 Tester
26 Advantest 83000 Tester
27 Advantest 83000 Tester
28 Advantest 83000 Tester
29 Advantest T5771 Tester
30 Advantest T6371 Tester
31 Advantest T6372 Tester
32 Advantest T6573 Tester
33 Advantest T2000 Tester
34 Advantest T2000LS Tester
35 Advantest T5365P Tester
36 Advantest T5371 Tester
37 Advantest T5375 Tester
38 Advantest T5581P Tester
39 Advantest T5581H Tester
40 Advantest T5581D Tester
41 Advantest T5585 Tester
42 Advantest T5591 Tester
43 Advantest T5592 Tester
44 Advantest T3347A Tester
45 Advantest V3300 Tester
46 Advantest M6741A Handler
47 Advantest M6751AD Handler
48 Advantest M6541AD Handler
49 Advantest M6542AD Handler
50 Advantest M6771AD Handler
51 Advantest M7211A Handler
52 adwelds FA1000 Bonder
53 afty TS-PVN-1 Sputtering Equipment
54 AG Associates Extraction, Amine Metrology
55 AG Associates Steag Etch
56 AG Associates Heatpulse 8108 Lamp Annealing
57 Agilent 8510 General-Tester
58 Agilent 7500cs-C General-Tester
59 Agilent 81110A General-Tester
60 Agilent HP4062C Tester
61 Air Liquide Japan Fabstream III Component
62 Air Liquide Japan Fabstream III Component
63 Akrion MP-2000 WET
64 Akrion UP V2 MP.2000 WET
65 Akrion UP V2 MP.2000 WET
66 Akrion UP V2 MP.2000 WET
67 Akrion UP V2 MP.2000 Component
68 Akrion UP V2 MP.2000 WET
69 Akrion UP V2 MP.2000 WET
70 Akrion UP V2 MP.2000 Component
71 AKROMETRIX LLC PS400 WARPAGE Measurement
72 AKT 1600 Display
73 Alcatel ASM 180 TD Metrology
74 alps engineering Heating Stirring
Device
Heating Stirring Device
75 AMANO Powder Transporter Powder Transporter
76 AMAT DPS G5 ETCHER
77 AMAT DPS2AE_POLY ETCHER
78 AMAT Centura DPS II (AE) POLY ETCHER
79 AMAT DPS2AE_POLY ETCHER
80 AMAT DPS2_Poly ETCHER
81 AMAT CARINA MESA ( Centura Advantage Mesa) ETCHER
82 AMAT DPS2AE MESA ETCHER
83 AMAT UV5 WAFER INSPECTION
84 AMAT ACMS XT II Component
85 AMAT Centura Chamber Etch
86 AMAT Centura DPS2 Etch
87 AMAT Centura DPS2 Chamber Etch
88 AMAT Centura DPS2 G5 Etch
89 AMAT Centura Enabler Chamber Etch
90 AMAT Dfinder2 Metrology
91 AMAT Dfinder2 Metrology
92 AMAT Elite M5 MC Metrology
93 AMAT Elite MS MC Metrology
94 AMAT Endura 2 Chamber PVD
95 AMAT Endura 2 Chamber PVD
96 AMAT Endura 2 Chamber PVD
97 AMAT Endura 2 Chamber PVD
98 AMAT Endura2 PVD
99 AMAT NanoSEM 3D Metrology
100 AMAT NanoSEM 3D Metrology
101 AMAT NanoSEM 3D Metrology
102 AMAT NanoSEM 3D Metrology
103 AMAT NanoSEM 3D Metrology
104 AMAT NanoSEM 3D Metrology
105 AMAT SemVision CX Metrology
106 AMAT UVision 5 Metrology
107 AMAT UVision 5 Metrology
108 AMAT Vantage Vulcan RTP
109 AMAT Vantage Vulcan RTP
110 AMAT Vantage Vulcan RTP
111 AMAT Verity Metrology
112 AMAT ENDURA Sputtering Equipment
113 AMAT P-5000(W-CVD) CVD System
114 AMAT AMAT8116 Oxide Etch
115 AMAT AMAT8116 Oxide Etch
116 AMAT AMAT8336 Oxide Etch
117 AMAT Centura Carina Chamber Etch
118 AMAT Centura DPS2 G5 Etch
119 AMAT Centura Enabler Etch
120 AMAT Uvision 200 Metrology
121 AMAT(SEMITOOL) RAIDER_ECD WET STATION
122 AMESS APB-0850 Bonder
123 Ametek/Cameca LEXFAB300 Metrology
124 Ancosys P13010 Component
125 ANDSAW TA-350LM-30-DIA Contour Machine
126 ANDSAW TA-350LM-30-DIA Contour Machine
127 ANDSAW TA-300L-DIA Type Diamond Saw
128 APOLLOWAVE α200CS Manual Prober
129 Applied Materials Olympia CVD
130 Applied Materials Producer GT CVD
131 Applied Materials Producer GT CVD
132 Applied Materials Producer GT CVD
133 Applied Materials Producer GT CVD
134 Applied Materials Producer GT Chambers CVD
135 Applied Materials Producer GT Chambers CVD
136 Applied Materials Producer GT Chambers CVD
137 Applied Materials Producer SE CVD
138 Applied Materials Producer SE CVD
139 Applied Materials Producer SE Chamber CVD
140 Applied Materials Producer SE Chamber CVD
141 Applied Materials Producer SE Chamber CVD
142 ASM IBE139 Plasma Cleaner
143 ASM A412 Furnace
144 ASM A412 Furnace
145 ASM A412 Furnace
146 ASM E3200 RP CVD
147 ASM Eagle XP CVD
148 ASM Eagle10 CVD System
149 ASM LED Chip Sorter LED Chip sorter
150 ASML XT1250 Scanner
151 ASML XT1250B Scanner
152 ASML XT1250D Scanner
153 ASML XT1700Gi Scanner
154 ASUMI GIKEN ASM1101N UV Ozone Cleaning Reformer
155 Asymtek X1020 Dispenser
156 Asymtek S820B SMT ETC
157 Asyst RMS 2150 INX/S Component
158 Autoclean ISG-2000 WET
159 Autolab PGSTAT-302 Multi-channel line
electrochemical measuring Equipment
160 Axcelis Compact II Furnace
161 Axcelis HE3 Implant
162 Axcelis Optima HDxT Implant
163 Axcelis Summit RTP
164 Blue M DCC 206CY Component
165 Blue M DCC-1406CY Component
166 Blue M DCC-206-EV-ST350 Component
167 Blue M DDC 206CY Component
168 Blue M DDC-206CY Component
169 Blue M RG-3010F-2 Component
170 Blue M RG-3010F-2 Component
171 Branson 5210DTH WET
172 Bridgeport Series I 2 HP Component
173 Brooks M1900 Component
174 Brooks Spartan Component
175 Bruker D8 DISCOVER Metrology
176 Bruker D8FABLINE Metrology
177 Bruker Insight 3D Metrology
178 Camtek X-ACT Metrology
179
CANON FPA-6000 ES6 Krf SCANNER
180 CANON MAS801-HR Low Damage Ashing System
181 CANON MAS801-HR Low Damage Ashing System
182 CANON MPA500FAB Mask Aligner
183 CANON MPA500FAB Mask Aligner
184 CANON MAS8220 Plasma Peeling Equipment
185 CANON ANELVA Special Evaporation
SystemEVP-34234
Vapor Deposition System
186 CANON ANELVA C-7730FH Sputtering Equipment
187 CANON ANELVA C-7730FH Sputtering Equipment
188 CANON ANELVA SPF-313H Sputtering Equipment
189 CANON ANELVA SPF-312 Sputtering Equipment
190 CANON ANELVA COSMOS I-1201PVD Sputtering Equipment
191 CANON ANELVA Vacuum Equipment Vacuum Equipment
192 Canon Anelva C-7100GT PVD
193 Carl Zeiss AIMS 32-193i Metrology
194 Carl Zeiss Axiospect 300 Metrology
195 Carl Zeiss Axiospect 300 Metrology
196 Carl Zeiss Axiotron-2 Metrology
197 Carl Zeiss LEA1530 Metrology
198 Carl Zeiss MeRit HR32 Plus Metrology
199 CATERPILLAR V40C Component
200 Change KIT HP93000/UFLEX
201 CheckPoint InfraScanTDM 300 TDE Component
202 Chemical Safety Technology, Inc., Dual drum waste Cabinet Component
203 Chemscan UV3150 PR Stripper
204 Chemscan UV3150 PR Stripper
205 Chiron XACT830A Component
206 CHUO KAKOHKI ULVJ-500 Ball Mill Device for Medium
Grinding
207 CI Science TORUS300K Etch
208 Control Air D-9-L-SM-UM-MOD 184 Component
209 Cymer EX-5700 Component
210 Cymer EX-5700 Component
211 Daitron DBM-602R Braking Equipment
212 Daitron DBM-602R Braking Equipment
213 Daitron DBM-602R Braking Equipment
214 Daitron DBM-602R Braking Equipment
215 DALTON C501C Ultrasonic Vibrating Sieve
216 DEFECT INSPECTION HIMS
217 Denton Infinity 18 Component
218 DISCO DFG840 Grinder
219 DISCO DFG841 Grinder
220 DISCO DFL7160 WBL LASER SAW(DAF SAW)
221 Disco DFG-821/F8 Back-Grinder
222 DISCO + SEMES EAD6750S+ SEMHAWK HANDLER Package Saw
223 DMS Tornado 200 WET
224 DNS DNS 80B Track
225 DNS SS-W60A-AV Scrubber
226 DYNATECH DT_ECS2030 LAMINATOR
227 E.A. Fischione Instr 2040 Component
228 E.A. Fischione Instruments 1030 Component
229 E.A. Fischione Instruments 1060 Component
230 EBARA EPO-222 CMP
231 EBARA EPO-113 CMP
232 EBARA EST 300 Component
233 EBARA EST100WN Component
234 EBARA EST200WN Component
235 EBARA F-REX300S CMP
236 EBARA F-REX300S CMP
237 ECI QLC-7500 Chemical Monitoring
238 EIKO
ENGINEERING
IB-3 Ion Coater Equipment
239 Electroglas EG2001X Prober
240 Electroglas EG4090u Prober
241 EME V-mini300 Self-Revolving Vacuum Stirring
Defoaming Mixer
242 Empire Abrasive Equipment PF-2632 M-02522 Component
243 ENTEGRIS LPDF40SS4 Component
244 ENTEGRIS 01-026052-13 Component
245 EO TECH BSM2424 LASER MARKING
246 ESCO EHWS 8C Component
247 ESI M9830 LASER REPAIR
248 ESI M9830 LASER REPAIR
249 ESI M9825 Laser Repair
250 ESI HD9830 Laser Repair
251 ESI HDE9830 Laser Repair
252 espec SU-220 Incubator
253 Estion / Benchmark TECHNOLOGIES E-RETICLE V 4M Metrology
254 ETCH BT-1 Plasma Cleaner
255 EXICON S3000A Tester
256 EYELA LTI-601ED Bake Furnace
257 Feedmatic Vacuum Sealer Component
258 FEI CLM Metrology
259 FEI CLM 3D Metrology
260 FEI DA300 Metrology
261 FEI Ex-Situ Plucker Metrology
262 FEI Meridian-IV Component
263 FEI Micrion 2500 Component
264 FEI Micrion 2500 Component
265 FEI Micrion 9000 Component
266 FEI TEMLINK – KY02 Metrology
267 FEI TEMLINK 14771-003 Metrology
268 FEI XL40 SEM
269 FSI Antares WET
270 FSI Antares WET
271 FSI Antares WET
272 FSI Antares WET
273 FSI Aries WET
274 FSI ORION WET
275 FSI ORION WET
276 FSI ORION WET
277 FSI ORION WET
278 FSI PWB-48X38X64-3E Component
279 FSI PWB-48X38X64-3E Component
280 FSI PWB-48X38X64-3E Component
281 FSI ORION WET
282 FSI Scorpio Track
283 FSM FSM128LC2C Metrology
284 fuchigamimicro Film UV Coater Resist Coater
285 FUJI FILM FPD-9210 Ver.2 Pressure Imaging Equipment
286 FULL-TECH FT-1100-250RK Rotary Kiln Furnace
287 FULL-TECH FTV-1600 High Temperature Tubular
Atmosphere Electric Furnace
288 FULL-TECH FT-2000R-400RK Rotary Kiln Furnace
289 Fusion M150PC UV Bake
290 Fusion M150PC UV Bake
291 GEMETEC Elymat III Metrology
292 GEMETEC WSPS3 Component
293 GSYUASA DUV-25x4B
294 GTX Wet Bench WET
295 HAMAMATSU
photonics
PHEMOS-200 Emission Analysis Equipment
296 HELLER HELLER1808EXL REFLOW
297 Hermes Microvision eP4 Metrology
298 Hermes Microvision eScan320 Metrology
299 Hermes Microvision eScan500 Metrology
300 HiSOL M90 Flip Chip Bonder
301 HiSOL 4200-SCS/F
KEITHLEY
I-V, C-V Measurement System
302 HITACHI I6300 E-BEAM
303 HITACHI IS3000 DARK FIELD
304 HITACHI HD2300 STEM
305 HITACHI CM-700 6SET DIE BONDER
306 HITACHI CM-700H DIE BONDER
307 HITACHI SPA300-SUPER DIE BONDER
308 HITACHI DB700SM DIE BONDER
309 HITACHI DB700SM DIE BONDER
310 Hitachi HF-2000 Metrology
311 Hitachi M-8190XT Tester
312 Hitachi S-5200 Metrology
313 Hitachi Z-5700 Metrology
314 Hitachi AS5000 Metrology
315 Hitachi EX-250/350/450 Metrology
316 Hitachi High
Tecnologies
M-308FX Dry Etching Equipment
317 Hitachi High
Tecnologies
M-318 Dry Etching Equipment
318 Hitachi High
Tecnologies
SCQ05 Excimer UV irradiation
equipment
319 Hohsen HSRP007 Tabletop Roll Press
320 Hohsen Chamber type
vacuum sealing
Chamber type vacuum sealing
machine
321 Hologenix MTX 2000/2/MIS SLIPBAY Component
322 HORIBA UT-300 Ellipsometer
323 HSEB Axiospect 301 Metrology
324 HSEB Axiospect 301 Metrology
325 Hugle UPC-12100 WET
326 Hypersonic Chipheraser 1630 Component
327 IMS XTS-FT Tester
328 Inheco Temperature Controller For Micro
Paddle
Temperature Controller For Micro Paddle
329 IPG Micro IX-280 ML Component
330 ITS Single Mix Tank Component
331 Jackson Automation EXHAUST BOX Component
332 Jackson Automation Exhaust Cabinet Component
333 Jackson Automation Sink Component
334 Jackson Automation Storage Box Component
335 JEOL ARM200CF Super X Metrology
336 JEOL JEM-2500SE Metrology
337 JEOL JWS-7555S Metrology
338 JEOL JWS-7555S Metrology
339 JEOL Small Sputtering
Equipment
Small Sputtering Equipment
340 JEOL JFD-310 Vacuum Freeze Drying System
341 JEOL JEM-2500SE Metrology
342 JEOL JWS-7515 Metrology
343 Jordan Valley JVX 7300 Metrology
344 Jordan Valley JVX 7300 Metrology
345 Jordan Valley JVX6200i Metrology
346 Jordan Valley JVX6200i Metrology
347 K&S MAXUM ULTRA WIRE BONDER
348 K&S MAXUM ULTRA WIRE BONDER
349 Kashiyama SDE1203B Component
350 Kashiyama SDE90 Component
351 Keithely 590 General-Tester
352 Kinetic Systems 9101-21-21 Component
353 Kinetic Systems Megapure 6001 HC Component
354 Kinetic Systems Megapure 6001 HC Component
355 KINIK BI2 Component
356 KLA SFX100 Thickness Measurement System
357 KLA ES35 E-BEAM INSPECTION
358 KLA FIT3120 PARTICLE COUNTER
359 KLA CRS1010 Metrology
360 KLA DP2 Metrology
361 KLA eS31 Metrology
362 KLA eS32 Metrology
363 KLA P11 Metrology
364 KLA Polylite 88 Metrology
365 KLA RS-50 Metrology
366 KLA SLF576 Metrology
367 KLA Viper 2435 Metrology
368 KLA Viper 2438 Metrology
369 KLA Tencor P10 Profile
370 KLA Tencor P11 Profile
371 KLA Tencor RS55 Four Point
372 KLA Tencor SFS 7600M Particle
373 KLA Tencor Tencor 6100 Particle
374 KLA Tencor SFS 7600 Particle
375 KLA Tencor AIT-2 Inspection device pattern error
detection
376 KLA-TENCOR SLF516 Metrology
377 KLA-Tencor RS-100 Four Depth Sheet Resistance
Measuring Equipment
378 Kobelco Research
Institute
LTA-1512 Lifetime measuring Equipment
379 KOKUSAI DD-802V-H Vertical Furnace
380 KOKUSAI CX-5000 (DJ-1206VN-DF)
381 KOKUSAI CX-5000 (DJ-1236VN -DL)
382 KOKUSAI CX-5000 (DJ-1206V -DF)
383 KOKUSAI CX3000(DD-1223VN)
384 KOKUSAI CX3000(DD-1223VN)
385 KOKUSAI CX3000(DD-1223V )
386 KOKUSAI CX3000(DJ-1206VN-DM)
387 KOKUSAI CX3000(DJ-1223VN)
388 KOKUSAI CX3000(DJ-1206V -DF)
389 KOKUSAI CX3000(DD-1206V )
390 KOKUSAI CX3000(DD-1223VN)
391 KOKUSAI CX3000(DJ-1223VN)
392 Kokusai DD-1206V-DF Furnace
393 Kokusai DD-1223VN Furnace
394 Kokusai DJ-1206VN-DM Furnace
395 Kokusai DJ-1236VN-DF Furnace
396 Kokusai Quixace Furnace
397 Kokusai DD-1223V Furnace
398 Kokusai DD-1236VN-DF Furnace
399 Kokusai DJ-1206VN-DM Furnace
400 Kokusai DJ-1206VN-DM Furnace
401 Kokusai DJ-1236VN-DF Furnace
402 KOSAKA Roughness Roughness Tester
403 KOSES KLM405 LASER MARKING
404 KOSES KLM405 LASER MARKING
405 KOSES KLM610 + SLD402G(GREEN) LASER MARKING
406 KOSES FLM-807MV + SY2002(YAG) LASER MARKING
407 KOSES DLM-807MV LASER MARKING
408 KOSES BTM364 LASER MARKING
409 KOSES BTM364 LASER MARKING
410 KOSES SLG-402G (DLM-807MV) LASER MARKING
411 KOSES QUADRIS 5588A CHIP CAP MOUNTER
412 KOSES QUADRIS 5588B CHIP CAP MOUNTER
413 KOSES KPM300 Screen Print
414 KOSES ASFT3260 SLT HANDLER
415 KOWA
KOGYOSHO
KFC-500D-1D Vibrating Sieving Machine
416 KOWA
KOGYOSHO
KFC-500D-1D Vibrating Sieving Machine
417 KOWA
KOGYOSHO
KFC-500D-1D Vibrating Sieving Machine
418 KOWA
KOGYOSHO
KFC-500D-1D   100 Vibrating Sieving Machine
419 koyo Lindbergh 206M100M30X108H Electric Furnace
420 KS Science KS-703-2-UT Sputtering Equipment
421 KULICKE&SOFFA 4523-AD AL Wedge Bonder
422 Kurita Unknown Component
423 Kyoto Denkiki KDP-2T030 PKG ETC
424 Kyowa Interface
Science
DMs-401 Contact Angle Meter
425 Kyowa Interface
Science
DM500 Automatic Contact Angle Meter
426 KYOWA VACUUM
ENGINEERING
RLE-204(Batch
Type)
Vacuum Freeze Drying System
427 KYUSHU NISSHO MSC-600 Curing furnace
428 Lab-Line 3606 Small Oven
429 LAM Ontrack Cleaner
430 LAM VECTOR EXPRESS FAB-CVD
431 Lam 2300 ELD WET
432 Lam 2300 ELD WET
433 LAM TORUS300K DRY ETCH
434 LAM TORUS300S DRY ETCH
435 LASERTEC BI 100 Metrology
436 LASERTEC PEGSIS P100 Metrology
437 Laurier DS9000 DIE SORTER
438 Leica INM 20 Leica Microscope
439 Litho Tech Japan LTNIP-500 Simple UV Nanoprinting Device
For Experiments
440 LYNCEE TEC Holographic Microscope Component
441 M&W Products IPRO 7 Metrology
442 M.D.COM MEIRA-S-1-200-C Small Excimer Irradiation
Equipment
443 MARCH PX-1000E8 Plasma Cleaner
444 MARUSHO DENKI BM-DWF-1013 Degreasing Furnace
445 MARUSHO DENKI DWF-111112 Degreasing Furnace
446 MAT MAT-BC15C CMP / Polishing
447 MAT MAT-MGR-15F CMP / Polishing
448 Matrix Matrix 303 Oxide Etch
449 Matrix Matrix 403 Oxide Etch
450 Matrix Matrix 403 Oxide Etch
451 MATSUSHITA M515II Metrology
452 MATSUSHITA M777 Metrology
453 MATTSON ASPEN III Light Etcher
454 MATTSON SUPREMA PR STRIPPER
455 Mattson Helios RTP
456 Mattson Millios RTP
457 Mattson Steag Etch
458 MCC ABES-V ATE ETC
459 MCC ABES-V ATE ETC
460 MCC ABES-V Component
461 MCC ABES-V Component
462 MCK MN-812D Film-laminated Equipment
463 Met One 3313 Metrology
464 Micro Control Abes Memory Test Tool ATE ETC
465 Micro Control Abes IV Component
466 Micro Control Abes Memory PreScreener ATE ETC
467 Micro Control Abes Memory PreScreener ATE ETC
468 Micro Control WRP256 ATE ETC
469 Micro Control WRP256 ATE ETC
470 Micro Control WRP256 ATE ETC
471 Micro Control WRP64 Component
472 Micro Lithography 7002 ATE ETC
473 Micro Lithography Mask Pellicles Component
474 Micromanipulator 9000-VIT Prober
475 MIKASA 1H-D2 Spin Coater
476 MIKASA 1H-D2 Spin Coater
477 MIKASA MS-B100 Spin Coater
478 MITUTOYO PJ-H30005F PROFILE PROJECTOR
479 MITUTOYO PJH30005FT2100 PROFILE PROJECTOR
480 MKS AX8559 ASTeX Generator Component
481 MKS Liquozone PrimO3 Component
482 MOCON OX-TRAN 2/22 Oxygen Permeability Measuring
Equipment
483 MORY DSV-3000 Plasma Cleaner
484 MOSAID MOSAID4205 BIT MAP TESTER
485 Mosaid MS4205 Tester
486 Mosaid MS4205ex Tester
487 Multiprobe MP1 Prober
488 Muratec Murata Machinery, Ltd. SRC330 Component
489 Muratec Murata Machinery, Ltd. SRC330 Component

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

ID-SS9145-3-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers