Main Maker

AMAT Spare parts

Category: Tag:

Description

AMAT Spare parts

Condition: Used/NEW/TBD

Location: CA,USA

Subject to prior sale without notice. Appreciate your time

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.

1 0010-00215, AMAT, PHASE/MAG DETECTOR ASSY
2 0010-00367, AMAT, GRIPPER ASSY, 150MM OX
3 0010-00548, AMAT, RF MATCH
4 0010-00938, ASSY ESC_RF 200MM FLAT DUAL ZONE EMXP
5 0010-01393, Applied Materials, SUSCEPTOR ASSEMBLY, BB TEOS, 200MM
6 0010-01394, AMAT, SUSCEPTOR ASSEMBLY, TEOS, 200MM
7 0010-01397, AMAT, ASSY, ENDPT DETECTOR / PLASMA CELL
8 0010-02991, AMAT, ASSY SUSCEPTOR 150MM BSE BWCVD
9 0010-03171, AMAT, SUSCEPTOR 8” SCREWLESS
10 0010-03872, AMAT, ASSY, SABPSG 8″ T1SABB THICK SUSCEPTOR
11 0010-05223, AMAT, ASSEMBLY, 200MM PLASMA CELL, END PT DETE
12 0010-09662, AMAT, ASSY SUS .271 THK 200MM BWCVD
13 0010-10128, AMAT, ASSY, SUSCEPTOR ,SQ P21 200MM, BWCVD SR
14 0010-10214, AMAT, SUSC-ASSY, 150MM-TG
15 0010-10214, Applied Materials, SUSC-ASSY, 150MM-TG
16 0010-10259, Applied Materials, ASSY, SUSC, 8″, 8MM THK,WSI
17 0010-10517, AMAT, SUSC-ASSY, 150MM, WSIX-DCS
18 0010-10521, AMAT, SUSCEPTOR 8 INCH, T1 THICK
19 0010-10565, AMAT, ASSY,BABPSg 8″-6″ TISABB THK SUSCEPTOR
20 0010-10640, AMAT, MXP + SIM CATH, BELLOWS ASSY
21 0010-10982, AMAT, SHELL ASSY, 150MM, FLAT(1S), 6inch, Used
22 0010-13753, Applied Materials, ASSEMBLY, 300MM MAINFRAME WAFER SENSOR
23 0010-16198, AMAT-LIGHT PEN SELECT FOR 3 MONITORS
24 0010-20442, AMAT, ASSY, 8″ RF MATCH
25 0010-20481, AMAT, ASSY 200MM CASSETTE HANDLER (LLB)
26 0010-30012, AMAT P5000 OPTIMA MC ROBOT
27 0010-30109 AMAT, PEDESTAL ASSY 200MM NOTCH
28 0010-30109, PEDESTAL ASSY,200MM NOTCH,S-XTAL,EDGE FE
29 0010-30246, Applied Materials, SUSCEPTOR ASSY 150MM WSIX-DCS
30 0010-35282, Applied Materials, ASSY OXIDE BULB 750 W
31 0010-36159, AMAT, ASSY, THROTTLE VALVE SHIPPING PLATE, DPS
32 0010-36417, AMAT, ASSY,HEATER TXZ,200MM,SNNF
33 0010-36523, SUSC ASSY, 150mm CLF3-DCS
34 0010-77323, AMAT, GEAR ASSY
35 0020-03811, AMAT, DISK, SHUTTER, B101, TAN, DIA 7.94, 200M
36 0020-04160, AMAT, SPACER CIRCULAR EXT CATHODE
37 0020-04172, AMAT, INSERT, BASE (EXT, CATHODE)
38 0020-04306, AMAT, INSERT,200MM EXT CATHOD
39 0020-05103, Applied Materials, PLATE,ISOLATOR CLAMP,EC,WXZ
40 0020-05425, AMAT, COIL 1/8 THK CTR HOLES-KNURLED, VECTRA I
41 0020-06927, Applied Materials
42 0020-09031, AMAT, INSULATING WASHER – ONLY. Part from P5000 SPUTTER & TR OPEN
43 0020-09911, AMAT, Applied Materials CARRIER, SPUTTER, From P5000 Sputter kit
44 0020-10117, AMAT, Applied Materials, SHOWERHEAD TEOS PLATE PERF OXIDE 200MM
45 0020-10185, AMAT, Applied Materials, SUPPORT HOOP 200MM P5000
46 0020-10192, AMAT, Applied Materials, RING WAFER LIFT 200MM P5000
47 0020-10527, AMAT, Applied Materials, SPUTTER PIPE , Teflon
48 0020-13679, AMAT, PLATE,HT-COOLDOWN PEDESTAL,200/300MM
49 0020-13770, AMAT, STUD, CRYO/GATE VALVE W/HEX SOCKET, each
50 0020-17996, AMAT, GIMBAL GASKET
51 0020-18332, AMAT, MOTOR MOUNT,UPPER,ROBOT EXTENSION
52 0020-20114, AMAT, ISOLATOR, DC BIAS
53 0020-20356, Applied Materials, CAP 8″ BLADE, FROG LEG
54 0020-21480, Applied Materials, CLAMPING RING 5″ TIW SEMI MAJOR X 2 MINO
55 0020-21753, Applied Materials, FINGER 6″
56 0020-22196, AMAT, PEDESTAL 8″
57 0020-23043, AMAT, Applied Materials, SHILD TIN, UPPER 8″ WAFER
58 0020-23811, AMAT, APPLIED MATERIALS, 8 coherent with 1.251 0.5 hex, NEW, SEALED
59 0020-24387, AMAT, PEDESTAL 6″ 101 SST/MATL
60 0020-25775, AMAT, RING 5 DUAL BLADE ROBOT
61 0020-25866, AMAT, APPLIED MATERIALS, SHIELD UPPER 8inch, NEW, SEALED
62 0020-26035 AMAT, CLAMP RING 8″ JMF AL/TI ACAM
63 0020-26225 AMAT, ADAPTER FOIL COL 1.25:1 COH TI SST
64 0020-27311 AMAT, COVER RING 8″ 101% TI AL FLAME SPRAYED
65 0020-27472 AMAT, PLATE, MTG. DRIVE MOTORS, 5000 MC ROBOT
66 0020-28434, AMAT, APPLIED MATERIALS, CLAMP RING 8 JMF TI PVD DEGAS HTHU BU, NEW
67 0020-28772 AMAT, SHIELD DARK SPACE PVD INTERNAL
68 0020-28881 AMAT
69 0020-30347, 0021-97477, AMAT,CYLINDER EXTERNAL COVER
70 0020-30362, AMAT, RING QUARTZ 8″EXT CATH
71 0020-30481, 0020-09029, 0020-31570, 0020-30482 CARRIER ASSY WITH FLEX COUPLING
72 0020-30747, AMAT, SUSCEPTOR,125MM SACVD UNIVERSAL CHAMBER
73 0020-30854,AMAT, Applied Materials, PLATE GAS DIST OX_MLR_NIT
74 0020-30855, AMAT, Applied Materials, PLUG GAS DIST PLATE OX_MLR_NIT
75 0020-31247, Applied Materials, AMAT, RING WAFER LIFT 100-150 MM UNIV. CHAMBER
76 0020-31470, AMAT, INSERT, NI, MEDIUM, 150MM POLY,EXT CATH
77 0020-31601, AMAT, GAS DISTRIBUTION PLATE, 5 HOLES
78 0020-31627, AMAT, FLANGE,BASE, ESC
79 0020-31632, AMAT, Applied Materials, P5000, CARRIER,ESC (from S20 UNI 92 kit)
80 0020-31658, AMAT, Applied Materials, CLAMP, VESPEL, OX_MLR_NIT, 200MM P5000
81 0020-31684, AMAT, RING, VESPEL, EXT CATHODE, 200MM, XTAL T,
82 0020-32036, AMAT, Applied Materials, PLATE BLOCKER .100SX UNIVERSAL CHMBR,MES
83 0020-32261, AMAT, GAS DIST PLATE,101 HOLES .156 THICK, **
84 0020-32262, AMAT, GAS DIST PLATE,133 HOLES .156 THICK,
85 0020-32320, AMAT, GAS DISTR PLATE, 133 HOLES, OXALIC 5-7MI
86 0020-33784, AMAT, ASSEMBLY 6″ CROWN
87 0020-35004, AMAT, INSULATOR, COOLDOWN PEDESTAL
88 0020-39087, AMAT, PEDESTAL, ESC 195MM, NOTCH, DPS CHAMBER
89 0020-39685, AMAT, SLEEVE, INSULATING, IR TEMP
90 0020-49785, AMAT, FACEPLATE, APF, 300MM PRODUCER SE, 2nd SOURCE NEW
91 0020-60047, AMAT, PEDESTAL CROWNED 6″ OXIDE
92 0020-60273, AMAT, APPLIED MATERIALS COVER RING 8inch HTR 101, NEW
93 0020-70095 AMAT, WINDOW A, LID, 29 POSN STOR ELEV
94 0020-70532, AMAT, FLG BLANK ORIENTER PORT W/RGA PORT POS
95 0020-76074, AMAT, ARM LWR RT ROBOT DRIVE
96 0020-78697, AMAT, 8″ WAFER RING, TUNGSTEN, TIIAN HEAD
97 0020-78711, AMAT, CLAMP,MEMBRANE
98 0020-78955, NEW, SEALED, Applied Materials, AMAT, MEMBRANE SUPPORT
99 0020-79311, AMAT, TUNGSTEN WAFER RING
100 0020-89025, Applied Materials, Reflector, SPLIT LEFT, RIGHT SLED ASSY
101 0020-A0049, AMAT WAFER JIG FOR 200MM CENTER RING
102 0021-00460, AMAT, PLATE, PUMPING, 8″ FC, AXZ
103 0021-00891, AMAT, CLEAR LID, SEE-THRU, DPS POLY
104 0021-01569,AMAT, 2nd SOURCE, BLOCKER PLATE,USG,GIGA FILL,200mm, USED and CLEANED
105 0021-01813, Applied Materials, FACEPLATE, TEOS, PRODUCER, 200MM
106 0021-06169, Applied Materials, POST, SOLID FLOW AROUND ANODE, ENCAPSULA
107 0021-07076, AMAT, BUTTON, SPRING PLUNGER, EP WINDOW
108 0021-07599, AMAT, FILTER,FEEDTHRU
109 0021-08343, AMAT, PANEL, Front AC Box, Producer
110 0021-09154, AMAT, COVER CLEAR LID, ENHANCED UNIBODY
111 0021-09708, AMAT INSULATOR, GDP, TRENCH-NARROW GAP
112 0021-09874, AMAT, PLENUM, CATHODE LINER, RPS
113 0021-10694, AMAT LINER, PUMP THROAT
114 0021-11298, Applied Materials,OUTER CLAMP, 8″ TITAN HD II
115 0021-11807, AMAT, CLAMP, QUICK RELEASE, EP WINDOW
116 0021-12790, AMAT, TSI-A12790, BLOCKER PLATE, SILANE, 300mm, TWIN CHAMBER,
117 0021-17726, AMAT, COVER RING, 8″ SIP TA/TAN, SZBESC, INTEL
118 0021-18436, AMAT, COVER RING, 200MM, BESC, TITANIUM
119 0021-19079, AMAT, COVER, PIN LIFT #2, PRODUCER SE
120 0021-20588, AMAT, CLAMP RING,8″ JMF,SST,BUFFER THRU A
121 0021-22154, Applied Materials, CLAMP RING, 8″ SNNF, TI, HTHU PVD DEGAS
122 0021-22181, AMAT, HEAT SHIELD LEFT
123 0021-22182, AMAT, HEAT SHIELD, RIGHT, 300MM
124 0021-35008, AMAT, PLATE, REFLECTOR, 200MM, MOD II, Used
125 0021-35944, OBS SHIELD,OUTER,CHB,TXZ
126 0021-76346, Applied Materials, COVER, LEAD SCREW HT ESC
127 0040-02656, AMAT, HOUSING, ADAPTER COUNTER MATCH 200MM DLK, PROD
128 0040-06595, AMAT, HV FARADAY SHIELD ASSY ELECTRODE BESC
129 0040-09256, AMAT, Applied Materials, PEDESTAL ,NOTCH OXIDE ETCH, 8, P5000
130 0040-18101, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
131 0040-18125, Applied Materials, AMAT, LASED, PEDESTAL, 125MM SF MIN
132 0040-18158 RING, SYM GAS DIST, ULTIMA HDP-CVD 18 PORTS
133 0040-18200 LASED, PEDESTAL, 200MM SNNF WTM, HDPCVD(0040-18219)
134 0040-20224, AMAT SUPPORT RING, SUCEPTOR
135 0040-20614, AMAT, COLLIMATOR FOIL 1: 1X5/8 HEX
136 0040-21821, AMAT, COLLIMATOR SST SHAPED 1.25:1 5/8″ HEX
137 0040-34029, AMAT, LID PLATE, SWLL, LLA, CENTURA AP
138 0040-35851, AMAT, PEDESTAL, ESC, 195mm FLAT, DPS
139 0040-36095, AMAT, BELLOWS, FINGER LIFT, HASTALLOY, PRODUCER
140 0040-48334 AMAT, LASED PEDESTAL EXTENDED ESC, SNNF W WTM
141 0040-61248, AMAT, LIFT PINS, P29, DPS, 150MM
142 0040-76577 or 0240-44887 AMAT, WEIGHT 300MM 5ZONE PROFILER
143 0040-77105, Applied Materials, DECHUCK BLADDER CLAMP
144 0040-77799, AMAT, RETAINING RING, COMPOSITE (NO GROOVES) 8
145 0040-88520, AMAT, Applied Materials, PLATE BLANK-OFF LEAK CHECK HDSA CHAMBER
146 0040-89016, Applied Materials
147 0040-89295, AMAT, COVER SHIELD LAMP BASE LOWER 32 LAMP
148 0040-90703, AMAT, ASSY,DOUBLE FEEDTHRU
149 0041-00593, AMAT, COVER, NARROW SAFETY SLEEVE CHUCK DSA
150 0041-24876, AMAT, REFLECTOR, FLAT / 1.38R 32 LAMP
151 0050-00030, AMAT, GAS LINE, WELDMENT
152 0050-05423, AMAT, WELDMENT, XDCR, TO FIN VLV, FJKN, 300MM
153 0050-06249, AMAT, WELDMENT MNF 2 FINAL VALVES OFFSET FUJIKIN ULTIMA
154 0050-28508, AMAT, WLDMNT, SLD TOP/BTM SPLIT, SPOOL, POS 1,
155 0050-37678, AMAT, WELDMENT SPOOL 4.69″ M/FGECO
156 0050-54791, AMAT, TEF HOSE ASSY, W/O INSULATION 96″LG, 3/8
157 0050-63022, AMAT, GAS LINE, INLET VALVES TO CHAMBER, AXIOM
158 0050-70852, AMAT, MANIFOLD, INLET, DUAL AR, RPG CHBR, 300M
159 0050-76592, AMAT, EXHAUSTE LINE
160 0090-00330, AMAT, ASSEMBLY, ELECTRICAL, PVD AL/TTN INTER
161 0090-00357, AMAT, SRD INTERLOCK ASSEMBLY
162 0090-00358, AMAT, Applied Materials, ASSY, ELECTRICAL, SERVO LIFT MOTOR
163 0090-20108, AMAT, ASSY, SENSOR CASSETTE
164 0090-20214, AMAT, ELECTRICAL ASSY, HI-VOLTAGE, HT-TEMP, 8″
165 0090-20282, Applied Materials, SWITCH ASSY 4DP RF CONN. INTERLOCK
166 0090-20283, AMAT, ELECT ASSY N2 FLOW SWITCH 24 SLM
167 0090-20314, AMAT, SW WATER FLOW B101 0.5-5.0GPM 1/2FNPT
168 0090-35015, AMAT, ASSY PRESSURE TRANSDUCER
169 0090-35051, AMAT ASSEMBLY, THERMOCOUPLE DISPLAY
170 0090-35159, Applied Materials, AMAT, ESC ASSY FLAT(JEIDA), 145MM R2
171 0090-36399, Applied Materials, AMAT, SHELL ASSY, 195MM SEMI NOTCH
172 0090-76115, AMAT, 300MM MAINFRAME WAFER SENSOR
173 0090-76190, AMAT, WXZ5000 PREFAB HARNESS
174 0090-77000, AMAT, ASSY SENSOR VAC PT-613 PM1
175 0090-A0006, AMAT, CHUCK VACUUM SENSOR
176 0100-00002, Applied Materials, AMAT, FUSE BOARD, PWB ASSY
177 0100-00010, AMAT, PWB ION GAUGE
178 0100-00022, AMAT, INTERCONNECT PWB
179 0100-00039, AMAT, ROBOT INTERCONNECT PWB
180 0100-00134, AMAT, PWB ASSY, OPTO SWITCH 5
181 0100-00208, PCB ASSY GAS PANEL III FUSED BD
182 0100-00217, AMAT, 5 ZONE TEMPERATURE CONTROLLER
183 0100-00274, 0130-00274, AMAT, PCB ASSY, LT/ESC POWER CONTROL
184 0100-00316, AMAT, PCB ASSEMBLY, MOTOR DRIVER DISTRUBUTION
185 0100-00335, Applied Materials, AMAT, PCB CHAMBER LIFT RF FILTER BOARD
186 0100-00390, AMAT, PRE-CLEAN INTERLOCK
187 0100-00438, Applied Materials, PCB ASSEMBLY,CHMBR INTLK PERSONALITY,HDP
188 0100-00558, AMAT, PCB ASSEMBLY, DRIVERS CONTROL INTERFACE
189 0100-00563, Applied Materials, PCB Assembly, TC AMP Interlock BD, Pack of 10
190 0100-00720, AMAT, PCB ASSY, SIP MAGNET ROTATION DIR.S
191 0100-09026, AMAT, PCB ASSY, SYSTEM WIRING DISTRIBUTION
192 0100-09068, Applied Materials, AMAT, MINI CONTROLLER ASSY, BACKPLANE
193 0100-09071, Applied Materials, AMAT, SBC I_O BREAKOUT BOARD
194 0100-09080, AMAT, PCB ASSY, ROBOT CAP SENSOR INTC BD
195 0100-09084, Applied Materials, PCBA MONITOR LT PEN
196 0100-09099, AMAT, PCB ASSY CHBR INTERCONN
197 0100-09107, Applied Materials, PCB ASSY TEOS GAS INTERFACE
198 0100-09108, AMAT, PCBA, LVL SNSR
199 0100-09134, Applied Materials, AMAT, DIO FUSE BOARD
200 0100-09136, AMAT, PCBA MINI DI/DO SHORT CIRCUIT PROTECTION
201 0100-09158, Applied Materials, AMAT, INTERCONNECT BOARD
202 0100-09216, AMAT, PCB ASSY ESC HIGH VOLTAGE CONTROLLER
203 0100-09304, AMAT, ASM PCB 5000 SYST WIRING
204 0100-11002, AMAT, PWB DIGITAL I/O
205 0100-18023, AMAT, PCB ASSY
206 0100-20040, Applied Materials, PCB ASSY, REMOTE SUPPLY DISTRIBUTION
207 0100-20048, Applied Materials, AMAT, CRYO DISTRIBUTION BOARD
208 0100-20268, Applied Materials, AMAT, FEED THROUGH BD, BIASABLE ELECTRODES, 300MM
209 0100-20458, AMAT, ASSEMBLY PCB,CONFIGURABLE INTERLOC
210 0100-35069, AMAT, ASSY PCB GENERIC RELAY INTFC
211 0100-35110, AMAT, PCB ASSEMBLY, T/C AMP
212 0100-35225, AMAT, ASSY, PCB MICROWAVE INTERLOCK
213 0100-38117, AMAT, PCB ASSEMBLY, WXZ ENDPOINT POWER CONTROL
214 0100-40021, AMAT, PCBA,MAINFRAME BACKPLANE
215 0100-40031, AMAT, PCBA, AC 3 PHASE VOLTAGE SENSE,
216 0100-76017, AMAT,PCB ASSY, INTERFACE PROCESSOR DISTRIBUTI
217 0100-76046, AMAT, PCB GAS PANEL INTERFACE (W/O STANDOFFS)
218 0100-76085, AMAT, PCB, ASSY SYSTEM ELECTR BAKPLANE
219 0100-76091, AMAT, PCB ASSY, SBC/WPS/CF DISTRIBUTION
220 0100-76110, Applied Materials, AMAT, ASSY, SYSTEM AC INTERLOCK DISTRIBUTION
221 0100-77016, AMAT, ASSY, PCB DET.ANAL.BD
222 0140-00413, AMAT, HARNESS ASSY, INTERLOCKS, CVD GAS BOX
223 0140-00413, AMAT, HARNESS ASSY, INTERLOCKS, CVD GAS BOX W/
224 0140-00474, AMAT, HARNESS REV E1
225 0140-00528, AMAT, HARNESS ASSY. COMMON CHAMBER PRODUCER
226 0140-00557, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
227 0140-00888, 0140-00887, AMAT, HARNESS ASSY, 12 MFC CHAMBER B, A
228 0140-01240, AMAT, HARNESS ASSY, PNEUMATIC INTCNT 300MM TXZ
229 0140-01326, AMAT, HARN ASSY, STD PVD CHAMBER
230 0140-01340, AMAT, HARNESS ASSY, AUTOBIAS INTERCONNECT
231 0140-01487, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
232 0140-01519, AMAT, HARNESS ASSY, 12 MFC CHAMBER A
233 0140-01527, 0140-01528, 0140-01529, AMAT, HARNESS PRESSURETRANSDUCER
234 0140-01639, AMAT, HARNESS ASY,SWLL/FI INTERLOCK,300MM CENT
235 0140-01745, AMAT, HARNESS ASSY, INTERCONNECT, PVD CHAMBER
236 0140-02023, AMAT, HARNESS ASSY PLATING POWER ECP SF3
237 0140-02144, AMAT, HARNESS XFER CH LLB LLA
238 0140-02329, AMAT, HARN ASSY, DC POWER INPUT, 300MM TXZ DLI
239 0140-02457 or 0140-07777, AMAT, HARNESS ASSY, IGL2 RT
240 0140-02854, AMAT, H/A, DI/O 4-STA PDO TRAY, 5 X F1
241 0140-03285, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
242 0140-03286, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
243 0140-04658, AMAT, HARNESS DPS-2 PNEU. 300MM, CHAMBER SLIT
244 0140-05984, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
245 0140-06436, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
246 0140-06576, AMAT, CBL PAVE MARKING-THERMO
247 0140-08833, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
248 0140-09047, AMAT, HARNESS HEAT EXCHANGER INTERFACE
249 0140-09102, AMAT, HARNESS STATUS LIGHTS 5011 & UP
250 0140-09517, AMAT, HARNESS ASSY, THROTTLE VALVE CARTRIDGE
251 0140-09650, AMAT, HARNESS ASSY, MFC/MANO DIST
252 0140-10115, AMAT, HARNESS ASSY CATHODE MAINT.RIGHT,CENTURA
253 0140-10260, AMAT, HARNESS ASSY,COMMON CHAMBER,RTP
254 0140-10950, AMAT, CABLE ASSY, LEFT SIDE CHAMBER, SACVD PRO
255 0140-16069, AMAT, H/A, LOADLOCK INTERCONNECT FOR SMIF
256 0140-18041, AMAT, HARNESS DRIVER, ENCODER AND HOME SEN
257 0140-20407, AMAT, HARNESS ASSY, TURBO PWR INTCNT BOX
258 0140-20540, AMAT, HARNESS ASSY CHAMBER 1&2 I/C
259 0140-20633, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
260 0140-20642, AMAT, HARNESS ASSY EMO INTCNT SYS AC
261 0140-20709, AMAT, HARNESS ASSY EXTERNAL C & D PCII INTERC
262 0140-20913, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
263 0140-21013, AMAT, HARN ASSY, XZ-CVD CHAMBER ON ENDURA
264 0140-21324, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
265 0140-21406, AMAT, HARNESS ASSY HIGH-EFFCIENCY MATCH /WTR F
266 0140-21529, AMAT, HARNESS ASSY,LEAK DET INTCT ADD GEN RACK
267 0140-21696, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
268 0140-21803, AMAT, HARNESS ASSY, WATER FLOW CH C OR D
269 0140-21951, AMAT, HARNESS ASSY, AC TO DC PWR SUPPLY
270 0140-22067, AMAT, CABLE ASSY, 12FT EMO W/UVIR I/C BUBBLER
271 0140-35100, AMAT, HARN ASSY, LOAD LOCKS INTERCONECT (STD M
272 0140-35102, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
273 0140-35103, AMAT, HARN ASSY, TRSFR CHMBR SLIT VALVES
274 0140-35429, AMAT, HARNESS ASSY HELIUM CNTRL METCH MXP CENT
275 0140-35429, AMAT, HARNESS ASSY HELIUM CNTRL METCH MXP CENT
276 0140-35760, AMAT, HARNESS ASSY, MAIN FRAME EXHAUST
277 0140-35787, AMAT, HARNESS CH AXZ
278 0140-35859, AMAT, HARNESS, PRESS XDCR, STD VME GAS PANEL C
279 0140-36231, AMAT, HARN, HEATER DRIVER A/C, PROD X
280 0140-36260, AMAT, HARNESS, G-PLIS, DRIP SPILL, CH A
281 0140-36703, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
282 0140-70230, AMAT, HARNESS TB2 S.E. AND ENCODER
283 0140-70233, AMAT, HARN, MAINFRAME PRESSURE
284 0140-70404, AMAT, H/A,CONTACTOR TO DRIVER, PH. II
285 0140-76014, AMAT, HARNESS ASSY PWR INTLK WAFER ORIENTER
286 0140-76016, Applied Materials, HARNESS ASSY RS232 WAFER ORIENTER
287 0140-76050, AMAT, HARNESS ASSY, TRANSFER CHAMBER & OPTIONS
288 0140-76099, AMAT, HARNESS ASSY, OTF CENTERFINDER
289 0140-76127, AMAT, HARNESS ASSY, EMO, CENTURA COMMON
290 0140-76138, AMAT, CABLE ASSY, POWER CORD MAGNET
291 0140-76222, AMAT, HARNESS ASSY, INTERCONNECT CH. W/B
292 0140-76327, AMAT, HARNESS, VHP TRANS CH DD MOTOR END
293 0140-76625, AMAT, HARNESS ASSY CENTURA MSM PWR #2
294 0140-76820, AMAT, H/A CD ADAPTOR, PHASE 1 TO PHASE 2, WATER
295 0140-76878, AMAT, H/A, SMIF INTEGRATED WB LLB INTRCNT PHAS
296 0150-00301, AMAT, CABLE ASSY, ROTATION INTC, 300MM ENDURA
297 0150-01030, AMAT, CABLE ASSY,MOD PWR DRIVER CONTROL I/O TO
298 0150-01229, AMAT, CABLE ASSY., EQUIP RACK, POWE
299 0150-01412, AMAT, CABLE ASSY, 2 MHZ COAXIAL, 75 FT, REACTI
300 0150-02043, AMAT, CABLE ASSY., GAS PANEL INTRC.
301 0150-02394, AMAT, CABLE ASSY, SENSOR LIQ IN LINE, 300MM TX
302 0150-03931, AMAT, CABLE ASSY, PVD MOTOR HEATER LIFT ASSY
303 0150-05197, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
304 0150-07472, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
305 0150-09057, AMAT, CABLE ASSY RF GENERATOR
306 0150-09076, AMAT, ASSY CABLE LIQ SRCE HTR
307 0150-09179, AMAT, ASSY CABLE MINI CONTROLLER
308 0150-09240, AMAT, CABLE ASSY, RF GENERATOR
309 0150-09395, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
310 0150-09402, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
311 0150-10116, AMAT, CABLE,RIBBON VDS POSN,D
312 0150-10426, AMAT, CABLE, ASSY, 24VAC, PWR TO GAS PNL INTLK
313 0150-10658, AMAT, CABLE ASSY 85FT EFF REMOTE MONITOR
314 0150-16158, AMAT, C/A,EMC COMP.,NESLABL CONTROL,83 FT
315 0150-16179, AMAT, CABLE LIGHT PEN SELECT MONITOR # 1
316 0150-18033, AMAT, CBL ASSY, COMMUNICATION, 75FT
317 0150-18074, AMAT, CBL ASSY, ETO MICROWAVE CONTROL,CHAMBER
318 0150-20027, AMAT, CABLE ASSY,OPERATOR PANEL,P26
319 0150-20031, AMAT, CABLE ASSY, 24V POWER INTERCONNECT
320 0150-20032, AMAT, CABLE ASSY, 15V POWER INTERCONNECT
321 0150-20059, AMAT, CABLE ASSY, CHAMBER SOURCE
322 0150-20160, AMAT, CABLE ASSEMBLY EMO INTERCONNECT
323 0150-20168, AMAT, CABLE ASSY,SEC.GEN RACK SUPPLIES
324 0150-20192, AMAT, CABLE ASSY GAS DI/DO EXTERNAL INTERCONNE
325 0150-20197, AMAT, HARNESS ASSY, TC INTERFACE
326 0150-20221, AMAT, CABLE ASSY, EBARA MONO PUMP
327 0150-20260, AMAT, CABLE ASSY,CRYO UPS UMBILICAL
328 0150-20469, AMAT, CABLE ASSY,COAXIAL 13.56 MHZ
329 0150-20499, AMAT, CABLE ASSY, 10 FT EMO GEN RACK 1/2 INT
330 0150-20580, AMAT, CABLE ASSY 2-PHS DRVR OUT MTR
331 0150-20585, AMAT, CL ASSY PUMP INTFC CH D PC
332 0150-20641, AMAT, CABLE ASSY TC CHAMBER TRAY INTERFACE
333 0150-20654, AMAT, CABLE ASSY SMIF-ARM/5500 LLB
334 0150-20837, AMAT, CABLE ASSY CVCF SYSTEM AC EMO INT
335 0150-21342, AMAT, CHAMBER 4 INTERCONNECT, (EMC COMPLIANT)
336 0150-21348, AMAT, SEC GENERATOR RACK INTERCONNECT,
337 0150-21388, AMAT, CABLE, DC P/S TO SPARC/SPARCLE UNIT 50FT
338 0150-21668, AMAT, CABLE ASSY, HN(M), R/A TO N(M), STR RG-2
339 0150-21766, AMAT, CABLE ASSY, HEATER TAPE POWER
340 0150-21768, AMAT, CABLE ASSY, COAX, 75FT
341 0150-21970, AMAT, CABLE ASSY, 100FT DC SOURCE CEM-96
342 0150-22041, AMAT, CABLE ASSY. HEATER
343 0150-22108, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
344 0150-22116, AMAT, C/A EMO SYS AC TO MF 100FT
345 0150-22258, AMAT, CABLE ASSY,REV E1
346 0150-22265, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
347 0150-22753, AMAT, CABLE ASSY, ENDURA INTEGRATED ASYST SMIF
348 0150-35205, AMAT, HARNESS ASSY MAINFRAME UMBILICAL CMJ1
349 0150-35207, AMAT, HARNESS ASSY MAINFRAME UMBILICAL CMJ3
350 0150-35208, AMAT, HARNESS ASSY LOAD LOCK UMBILICAL
351 0150-35210, AMAT, HARNESS ASSY CHAMBR A-B- C-D
352 0150-35212, AMAT, C/A, UNIT MFC SHORT
353 0150-35214, AMAT, C/A, UNIT MFC LONG
354 0150-35567, AMAT, C/A MAIN FRAME UMBILICAL #1, 55 FT
355 0150-35568, AMAT, C/A MAIN FRAME UMBILICAL #2, 55 FT
356 0150-35569, AMAT, C/A MAIN FRAME UMBILICAL #3, 55 FT
357 0150-35570, AMAT, C/A LOAD LOCK UMBILICAL, 55 FT
358 0150-35571, AMAT, C/A PNEUMATIC’S UMBILICAL, 55 FT
359 0150-35622, AMAT, CBL, ASSY DOME UMBILICAL #1
360 0150-35961, AMAT, CABLE ASSY,RECIPE SELECT,25 FT
361 0150-36097, AMAT, C/A MFC ADPTR W/PURGE/SHUT-OFF,9PIN D:CA
362 0150-36160, AMAT, C/A, UNIT MFC CARDEDGE LONG
363 0150-36204, AMAT, CABLE,APPLICATOR TO SYSTEM,GIGA-FILL SAC
364 0150-36334, AMAT, CABLE,ADAPTER STEC 7440 MFC
365 0150-36678, AMAT, CABLE, GAS PANEL EV MANIFOLD, MODULE B,
366 0150-36683, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
367 0150-36897, AMAT, CABLE ASSY, RF GEN CONTROL, DPA
368 0150-36952, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
369 0150-37288, AMAT, CABLE HEATER, GPLIS CH B, SEG 1,CENTURA
370 0150-38490, AMAT, CABLE, OUTER SOURCE CURRENT, BNC-BNC, IP
371 0150-38558, AMAT, CABLE, CHAMBER MICROWAVE, PRODUCER
372 0150-39111, AMAT, CABLE ASSY,GENRACK TO IGL BD.
373 0150-39248, Applied Materials, CABLE ASSY, IGL PCB POWER
374 0150-39371, AMAT, CABLE ASSY, TPU EDWARDS INTERFACE
375 0150-39373, AMAT, CABLE ASSY, TPU EDWARDS INTERFACE, 100FT
376 0150-39381, AMAT, CABLE ASSY, COAXIAL ENDPOINT
377 0150-70148, AMAT, CABLE ASSY CHAMBER DC SOURCE INT
378 0150-71037, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
379 0150-71056, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY
380 0150-75017, AMAT, CABLE, CABLE ASSY, EMO BLKHD TO REM AC TOP
381 0150-75055, Applied Materials, CABLE WATER FLOW SW, GEN RACK
382 0150-75149, AMAT, CABLE, ASSY DC POWER/INTERLOCK
383 0150-76029, AMAT, CABLE ASSY, RF MATCH CENTURA
384 0150-76066, AMAT, ASSY CABLE, SYSTEM VIDEO 35 FEET
385 0150-76171, AMAT, EMC COMP, CABLE 2ND NESLAB CAPABILITY
386 0150-76191, AMAT, EMC COMP., CABLE ASSY RS232C LIQ SRC 50′
387 0150-76206, AMAT, EMC COMP., CABLE ASSY, CHMBR UMILICAL
388 0150-76211, AMAT, CABLE ASSY,PNEUMATICS UMBILIC
389 0150-76288, AMAT, CABLE ASSY 50FT DC SOURCE – MDL
390 0150-76513, AMAT, CABLE ASSY, MAIN FRAME UMBILICAL #2,25.5
391 0150-76820, AMAT, CABLE, HARNESS MFC CHAMBER D
392 0150-76962, AMAT, ORIENTER F (25 FT) EMC COMPLIANT
393 0150-97079, AMAT, CABLE ASSY RPS2 POWER PRODUCER
394 0150-97563, AMAT, CABLE ASSY, CHAMBER HEATER 1, SACVD, PRO
395 0190-00722, AMAT, HOSE ASSY, HT/TTF 18″ FEM TO MANIFOLD
396 0190-01043, AMAT, HEATER JACKET,TOP PV ELEMENT,TXZ, CH2 AN
397 0190-01403, AMAT, T/C ASSY HEATER WXZ
398 0190-01548, AMAT, THERMOCUPLE ASSY B1AS ELECTRO
399 0190-02364, Applied Materials, BOARD ASSY LOAD LOCK INTERFACE
400 0190-02555, AMAT, HEATER JACKET, UPPER-CENTER MID-PRCS ELM
401 0190-02556, AMAT, HEATER JACKET, FINAL TEE ELMNT, TXZ, CH3
402 0190-02638, AMAT, HOSE ASSY, 75FT SUP/RET, EMAX 300MM LID
403 0190-02641, AMAT, HOSE ASSY, SUP/RET PIGTAIL, EMAX 300
404 0190-03156, AMAT, LAMP 520W FLEXIBLE, 10EA/PACK
405 0190-03435, AMAT, HOSE ASSY, 1/2″ ID X 96″ LG 1/2 TUBE X 3
406 0190-05052, AMAT, HOSE ASSEMBLY MNLFD SUPPLY/CLDWN SUPPLY
407 0190-05382, AMAT, LAMP, PHILIPS LONG LIFE, EPI / LPCVD
408 0190-05974, AMAT, LAMP, USHIO LONG LIFE, EPI / LPCVD
409 0190-06039, AMAT, HOSE ASSY, COOLDOWN H2O SUPPLY, 300MM VA
410 0190-09263, AMAT, Applied Materials, PUMPING PLATE, PLENUM, 200MM PETEOS
411 0190-09331, AMAT, ASSY,TC PROBE
412 0190-09372, AMAT, ASSY, TC, HTR, WxZ
413 0190-09901, Applied Materials, PIRANI PROCESS VACUUM ANALOG GAUGE
414 0190-11355, AMAT, .650 CALIBR REF.3 CHNL HTR LEVEL 300MM
415 0190-13287, AMAT, THERMOCOUPLE, 300MM DEGAS HEATER
416 0190-13414, AMAT, HOSE ASSY DXZ CH. C
417 0190-21223, AMAT, PURCH SPEC 45FT STABIL ION GAUGE CABLE
418 0190-23208 CYL RODLESS 1-1/4″ BORE 3MAG WITH LOW SP
419 0190-25970, AMAT, HEATER STRAP
420 0190-26258, AMAT, UNIT 8161, N2 250sccm
421 0190-35083, AMAT, WATER FLOW SWITCH .50 GPM
422 0190-35440, AMAT, WATER FLOW SWICTH,BRASS 11.0-9.3 GPM
423 0190-35511, AMAT, ASSY,THERMOCOUPLE,TxZ,MCVD
424 0190-35578, AMAT, TC, CERAMIC HEATER PROD X
425 0190-35630, AMAT, CABLE ASSY,BIAS GEN TO MATCH,QDS-QDS,98
426 0190-35864, AMAT, AC INOUT CABLE, 2015P-MS CONNECTOR
427 0190-35864, AMAT, AC INOUT CABLE, 2015P-MS CONNECTOR
428 0190-36078, AMAT, ASSY, TC, HEATER 200MM TI-XZ
429 0190-36184, AMAT, SCRW, DRIVE, 5-PH THROTTLE, VES SP21 NUT
430 0190-40061, AMAT, CABLE RF MATCH TO CHMBER SOURCE
431 0190-40064, AMAT, CABLE ASSY, SOURCE GENERATOR TO RF MATCH
432 0190-40068, AMAT, C/A ESC P.S. TO CHAMBER, BIAS RF, 10′ W/
433 0190-40277, AMAT, Brooks Instrument MFC, N2 30 sccm
434 0190-70040, AMAT, CABLE NUDE W/L GAUGE 30
435 0190-70103, AMAT, PCB ASSY ANALOG MONITOR LIGHT PEN
436 0200-00071, AMAT, INSULATING PIPE,QUARTZ, 200MM,EXT, NEW,
437 0200-00155, Applied Materials, INSULATOR, QUARTZ, 200MM, SIMPLE CATHODE
438 0200-00275 (or 0200-00313), Applied Materials, PIN, WAFER GUIDE TYPE D, WXZ
439 0200-00329 AMAT, RING,SINGLE,CERAMIC ESC,200MM JMF,POLY D
440 0200-00331 AMAT, Ring, Singl, Ceramic ESC, 200mm JMF, Low CE
441 0200-00340, Applied Materials, BUSHING, UPR SHIELD INS, S-IMP REV 1.3,
442 0200-00368, AMAT, COLLAR,200MM SNNF,HDPCVD ULTIMA
443 0200-00410, AMAT, UNI-INSERT, GAS DISTRIBUTION, 88 HOLES, QTZ
444 0200-00775, Applied Materials, PIN WAFER 300MM WCXZ
445 0200-00924, AMAT, RING PURGE FC JMF CERAMIC HTR 8″ WXZ
446 0200-00925, AMAT, COVER RING, QUARTZ, THICK, 45 DEG, 200MM
447 0200-00950, Applied Materials, AMAT, COLLAR LOW PROFILE 150MM SMF
448 0200-01089, Applied Materials, PIN WAFER CWXZ 300MM
449 0200-01367 AMAT, COVER RING, QUARTZ, THICK 45 DEG, 200MM
450 0200-01428, AMAT, PURGE RING
451 0200-01429, AMAT, RING PURGE
452 0200-01533, AMAT, INSOLATOR, CERAMIC, COMMON BD/BLOK LOWK
453 0200-01613, AMAT, PIN, HEATER LIFT, 300MM TICL4
454 0200-01676, Applied Materials, PLATE, FINGER LIFT, PRODUCER SE
455 0200-01972, AMAT, COVER EXTENDED E-CHUCK, HDPCVD 200MM, UL
456 0200-02269, Applied Materials, LINER, SIDE, GDP, AXIOM
457 0200-02762, THREADED NOZZLE, ULTIMA X HDP CVD 300MM
458 0200-02763, AMAT, NOZZLE SLEEVE, ULTIMA X HDP CVD 300MM
459 0200-02861, AMAT, PIN LIFT, 1.750 L FIXED FLOATING PRODUCE
460 0200-03313, AMAT RING FLOATING FXD-FLOAT PRODUCER SE REV001
461 0200-03314, AMAT, LIFT PIN 170 FIXED FLOATING 300MM PRODUC
462 0200-09061, AMAT, RING COVERING SPUTTER 5 QTZ
463 0200-09071, AMAT, Applied Materials, LIFTING PIN 200MM, P5000
464 0200-09072, Ceramic Plate, Ring Ceramic SHIELD 200MM
465 0200-09086, AMAT, Applied Materials, QUARTZ RING 200MM SPUTTER ETCH
466 0200-09135 ADAPTER, PUMPING PLATE 150MM, AMAT
467 0200-09179, AMAT, Applied Materials, INSULATING PIPE,QTZ,
468 0200-09200, Applied Materials, PLATE GAS DIST UPPER 5.75″ OD 8″ QUARTZ
469 0200-09216, AMAT, RING, PEDESTAL, QUARTZ, 6″,METAL ETCH CH
470 0200-09320, Applied Materials, RING OUTER 200MM SHADOW RING
471 0200-09448, AMAT, PLATE,QTZ,GAS DIST, LOWER, 150MM, PRSP3
472 0200-09558, Applied Materials, COLLAR, QTZ, 150MM POLY, EXT CATH
473 0200-09572, AMAT, COLLAR, SI, OX/MLR/NIT, 200MM, NOTCH
474 0200-09608, AMAT, Applied Materials,SHLD QUARTZ,200MM,SPUTTER ETCH
475 0200-09612, Applied Materials, CAP,1/4 TURN
476 0200-09618 AMAT, ADAPTOR RING, 8″, BSE
477 0200-09620, AMAT, RING CLAMP QTZ XTAL TIES IV,NOTCH
478 0200-09706 RING,CENTERING,200MM 194 MM,NOTCH(200.5), AMAT
479 0200-09706, Applied Materials, AMAT, RING CENTERING 200M 194MM NOTCH
480 0200-09746, AMAT, COLLAR AL 200MM CERAMIC
481 0200-09763, AMAT, RING,CLAMPING,NOTCH,AL, 200MM,1.11 HT,FI
482 0200-09771, Applied Materials, COVER END FINGER MCVD
483 0200-09772, Applied Materials, FILTER,SLIT WINDOW QTZ
484 0200-09776, AMAT, FOCUS RING, 150MM, POLY/ POLYCIDE, EXT,
485 0200-09778, AMAT, FOCUS RING, 200MM, POLY/ POLYCIDE, EXT,
486 0200-09830, AMAT, RING, PEDESTAL, ESC, 150MM, 1FL, WEB
487 0200-09834, AMAT, RING OUTER,200MM,NOTCH, SR,BWCVD
488 0200-09886, Applied Materials, FINGER, 6″, MCVD
489 0200-09893, AMAT, FOCUS RING, 162MM FLAT, POLY ESC
490 0200-09895, Applied Materials, FOCUS RING, 170MM FLAT, POLY ESC
491 0200-09974, AMAT, SHIELD, L-SUPPORT,PUMPING INSERT
492 0200-09997 AMAT, RING, OUTER, ALN 200 NOTCH SML WxZ
493 0200-10036, AMAT, RING,MIDDLE,4.00″ /1.50″ ,DBL ANNULUS,SGD
494 0200-10050 AMAT
495 0200-10054, AMAT, Applied Materials, RING, INNER ALN, SML, 20 1_194, NOTCH, W
496 0200-10143, AMAT, INSERT, RING, CHAMBER, CERAMIC
497 0200-10194, AMAT, Applied Materials, SHIELD, TAPERED, 200MM, P5000
498 0200-10233, AMAT, RING,CLAMP,CER,150/144MM,57.5MM 1SMF MOD
499 0200-10246, AMAT, UNI-INSERT,GDP,LINER,88 HOLD, QTZ
500 0200-10267, AMAT, APPLIED MATERIALS, COVER QTZ 200MM FLT S – XTAL HGT PRFL, NEW
501 0200-10416MP, AMAT P5000, COLLAR,NOTCH, LOW PROFILE, BARRIER
502 0200-10417, AMAT, P5000 COLLAR, OUTER, 200MM, NOTCH, BARRIER, QUARTZ
503 0200-10418, AMAT P5000 PIPE, QUARTZ INSULATING, THIN PEDASTAL
504 0200-10536, Applied Materials, LIFT PIN,CERAMIC
505 0200-18062 DOME 14 ID HDPCVD, REV 3
506 0200-18077, Applied Materials, AMAT, COVER PROCESS ULTIMA
507 0200-18078 AMAT, COLLAR,200MM SNNF,ULTIMA
508 0200-18084, Applied Materials, NOZZLE, CERMANIC 2.55L, HDPCVD, ULTIMA
509 0200-20137, AMAT, PIN, PCII INSULATOR
510 0200-20218, AMAT, BASE, RF COIL, IMP RF MATCH BOX
511 0200-20285 AMAT, PEDESTAL COVER UPPER 8″ B101 VECTRA-IMP
512 0200-20374 (or 0200-00937) AMAT, COVER,8″ PEDESTAL,B101 HTR,CERAMIC,AL AR
513 0200-22906, Applied Materials, PIN, PRECLEAN PEDESTAT
514 0200-35004, AMAT, BLADE, ROBOT, 8″ CERAMIC W/O PLUG, 2ND SOURCE NEW
515 0200-35013, AMAT, PIN,COOLDOWN LIFTER, EXTENDED
516 0200-35017, AMAT, CHAMBER LINER, QTZ UPPER RP EPI
517 0200-35023, AMAT, CHAMBER LINER, QTZ, LOWER RP
518 0200-35024, AMAT, CHAMBER LINER,QTZ UPPER
519 0200-35065, AMAT, CHAMBER LINER,QTZ, LOWER
520 0200-35097, Applied Materials, 200mm LIFT-PIN
521 0200-35108, AMAT, SUSC PROFILE 150 MM EPI R3 ROTATION
522 0200-35146, APPLIED MATERIALS, PIN, LIFT
523 0200-35182, AMAT, SUSC. TOS R3 ROTATION 125MM,EPI
524 0200-35183, AMAT, SUSC. TOS R3 ROTATION 150MM, EPI
525 0200-35235, AMAT, SUSCEPTOR, SGL, R3 ROT., EPI, 200MM
526 0200-35358, AMAT, SUSCEPTOR, XYC R3 ROTATION, 150MM, EP
527 0200-35437, Applied Materials, PIN, WAFER GUIDE TYPE D WXZ
528 0200-35511, AMAT, SUSC,TOS,R3 ROTATION,200MM,EPI
529 0200-35539, AMAT, APPLIED MATERIALS, BLADE ROBOT 200MM QTZ W_RADIUS
530 0200-35561, AMAT, COVER, 200MM, E-CHUCK, REDESIGNED
531 0200-35579, Applied Materials, WINSERT,CHB TxZ
532 0200-35595, AMAT, LINER,LID,TxZ
533 0200-35692, BLADE, 200MM QUARTZ, EHP-CR ROBOT
534 0200-35760, AMAT, RING,FOCUS,195MM,NOTCH,ZERO HT,SIMCAT
535 0200-35783, AMAT, SHADOW RING, 200MM NOTCH(2), SI/QTZ OXID
536 0200-35801, AMAT, WINDOW, RECESSED ENDPOINT, 4MM APERTURE
537 0200-36063, AMAT, ISOLATOR,PUMPING PLATE, DXZ,W/K-CLEAN
538 0200-36064, AMAT, PLATE EDGE COVER KYOCERA
539 0200-36417, AMAT, PUMPING RING, CERAMIC TOP, DXZ SACVD
540 0200-36534, AMAT, OSB PIN, LIFT, HEATER
541 0200-36536, Applied Materials, PIN,LIFT,HT TIN HEATER
542 0200-36541, AMAT, LID LINER, TI-XZ 200MM
543 0200-36580, AMAT, PIN LIFT, LEAP CVD
544 0200-36635, AMAT, SHADOW RING QUARTZ, 200MM NOTCH (2), LC
545 0200-39140, AMAT, Applied Materials, RING FOCUS 150MM SNNF
546 0200-39324, AMAT, INSERT, RING, CHAMBER, CERAMIC, DXZ
547 0200-39346, AMAT, SHADOW RING, 200MM, NOTCH(2) SELF
548 0200-40131, AMAT, COVER 200MM, E-CHUCK
549 0200-40169 AMAT, COVER RING 200MM SNNF NON CONTACT
550 0200-40190, Applied Materials, COVER RING,200MM SNNF, NON-CONTACT,3MM E
551 0200-89012, AMAT, SUSCEPTOR, TEMPERATURE CALIBRATION
552 0224-02351, AMAT, C/A ROBOT CONTROL, MULTISLOT COOLDOWN CH
553 0225-09267, AMAT, APPLIED MATERIALS, SUSCEPTOR SUPPORT, NEW, SEALED
554 0225-09279, AMAT, CES, MOD SUSCEPTOR ARM
555 0225-16301, AMAT, MFC, TYLAN 2902M-4V, 300SCCM N2
556 0225-30759, 3870-01245, AMAT, SLOW PUMP KIT
557 0225-40990, AMAT, TYLAN MFC 2902M-T, 100SCCM CO
558 0225-95381, AMAT, BLADE 200MM BUFFER EWOB CERAMIC
559 0226-09545, AMAT, 28M (96FT) CABLE ANALOG REMOTE
560 0227-39399, AMAT, STEC, MFC 4400 200sccm CO 1/4VCR MTL NC 9P
561 0240-01062, 0270-20044, AMAT, KIT, CALIBRATION TOOLS, 200MM ORIENTER
562 0240-07855, AMAT, KIT, EXHAUST SENSOR BOX, 300MM MM MESA,
563 0240-20752, 0020-23031, 0020-23032, 0200-23035 AMAT, KIT, AUTOMATED LOAD LOCK
564 0240-21734, 0020-21196, 0020-22237 AMAT, 8″ AL/MATL 101 PEDESTAL/ COVER RING KIT
565 0240-23499, AMAT, PUMP KIT HARDWARE
566 0240-48801, AMAT, KIT INTEGRATION COLLING PLATE, 0190-14333
567 0240-49035, AMAT, KIT PUCK INTEGRATION, 300MM ESC, DT
568 0240-71325, AMAT, KIT, CH B COOLDOWN, MAINFRAME GASBOX
569 0242-02791, or 0190-13415, AMAT, KIT, CH. D WATER HOSES PHASE II FOR DXZ
570 0242-25977, AMAT, KIT, CHMBR SHIP ALONG, 300MM VANTAGE QS, 0040-43456,3691-01359
571 0242-35278, AMAT, KIT, BOTTOM FEED ODD SLD SINGLE LINE
572 0242-85549, 0270-35320, 0190-36139, Applied Materials, HEATER TOOL KIT WXZ
573 0270-01632, AMAT, TOOL, POST WITH GRADUATED SCALE, IECP 20
574 0270-35025, AMAT, FIXTURE,ALIGNMENT,WAFER LIFT,.75PAD,R3
575 0620-01280, AMAT, CABLE AC HEATER 50FT FILAMENT
576 0620-01283, AMAT, CABLE DC HIGH VLTGE 50FT
577 0620-01522, AMAT, CABLE ASSY COAX RG-217/U 25FT R/A QDS-UL(M) C
578 0620-01715, AMAT, CABLE ASSY 15A 120V L5-15P IEC320-C13 14/3 SJT 25′
579 0620-02271, AMAT, CABLE ASSY CONTROLLER ONBOARD 15’L 9P-CIRCCONN M/F
580 0620-02482, AMAT, CABLE ASSY RS-232 25FT DB9-M/M EMI/RFI H
581 0620-02694, AMAT, CABLE ASSY COAX RG-217/U 100FT R/A QDS-UL(M)/C(M)
582 0660-00170, AMAT, CPCI FDD-0860 DRIVE BOARD
583 0660-00245 AMAT, CARD CPU P200+VGA+64MB JUMPERED (FRONT E
584 0680-02065, AMAT, CB MAG THERM 2P 240VAC 20A 10KAIC RING-LUG W/SHUNT TRIP
585 0910-01074, AMAT, FUSE CARR FOR 1/4X1-1/4 FUSES
586 0910-01673, AMAT, FUSE PANEL
587 1010-01250, AMAT, LAMPPILOT LED RND FLUSH AC ADAPTOR 240VA
588 1010-01254, AMAT, LAMP QUARTZ 500W 120V T3 TRANSLUCENT IRED NI BASE
589 1080-00011, AMAT, MOTOR S32 EXCHANGE ARM DRIVE
590 1080-01267,AMAT,Applied Materials, DRVR SERVO DIGITAL INDEXED 2KW 100-240VAC
591 1270-00039, AMAT, SW PRESS VAC ATM GAUGE 1/4VCR-M 24VDC INCR 9P-D
592 1270-01608, AMAT, SW PRESS DIFF 2-12MM H2O SPDT MANOSTAR-TYPE
593 1270-01803, AMAT, SW PRESS FLOWTHRU -25TORR GAUGE SP 1/4MVCR 9P-D
594 1290-02205, AMAT, TERM BLK 6P 1ROW 16-10AWG 150V50A #10SC
595 1310-01091, AMAT, TC DUAL TYPEK SST MNT 1/2-20 KNURL HD
596 1410-00194, AMAT, HTR TAPE, 48 INX.5 IN, 100W, 208V
597 15-118122-00, Novellus, LAM, INSULATOR TARGET, NEW, SEALED
598 15-118123-00, Novellus, LAM, RING INSULATOR ANODE, NEW, SEALED
599 3030-01058, AMAT, UNIT, UFC 1100A 50SCCM N2
600 3030-01425, AMAT, STEC, MFC 4400MC 10 SCCM WF6
601 3030-01538, AMAT, UNIT, MFM UNIT 9150 3 SLM HE HBD
602 3030-01683, AMAT, UNIT, UFC 1100 3 SLM O2
603 3030-01788, AMAT, STEC, MFC 4400MC 50sccm SIH4 C2F6
604 3030-02684, AMAT, UNIT, MFC 8165 50SLM HE 1/4VCR MTL NC HOV DN
605 3030-02765, AMAT, AERA, MFC 780 200SCCM N2 1/4VCR MTL N/C
606 3030-03129, AMAT, UNIT, MFC 16600 1L NF3
607 3030-03250, AMAT, AERA, EP FC-7800CD, 500 SCCM H2 1/4VCR
608 3030-04095, AMAT, STEC, MFC 7330 300SCCM AR 1/4 VCR MTL-SEAL N/C
609 3030-04699, AMAT, UNIT, MFC UNIT 1100 1SLM HCL 1/4VCR N/O
610 3030-04786, AMAT, MFC TYLAN 2900MEP5-4V-100SCCM N2 MTL
611 3030-05380, AMAT, UNIT, MFC 1660 150SCCM NF3 1/4VCR MTL
612 3030-06072, AMAT, STEC, MFC 4400 50SCCM AR 1/4VCR MTL NC
613 3030-06489, AMAT, STEC, MFC7340 10SLMO21/4VCR MTL NC 9P-D 10RA
614 3030-06784, AMAT, AERA, MFC 7800 100SCCM SIF4 1/4VCR MTL NC 9P-
615 3030-07687, AMAT, UNIT, MFC 8100 1SLM SIH4 1/4VCR VITON NC
616 3030-07866, AMAT, UNIT, MFC 8100 300SCCM H2 1/4VCR VIT/K-F NO
617 3030-07978, AMAT, UNIT, MFC 1660 1SLM NF3 1/4VCR MTL NC HOV 15
618 3030-08525, AMAT, STEC, MFC 4400 50SCCM O2 1/4VCR MTL NC 9P-D
619 3030-08683, AMAT, AERA, MFC D980C 1SLM NH3 1/4VCR MTL N/C 2
620 3030-08690, AMAT, AERA, MFC D980 2SLM SIH4 1/4VCR MTL NC 20P-D
621 3030-11163, AMAT, UNIT, MFC 8161 200SCCM C2F6 1/4VCR MTL N/C HOV
622 3030-13340, AMAT, UNIT MFC 8565C 20SCCM, AR DOWNPORT
623 3030-14508, AMAT, STEC- LFM T4 TEOS 6.0 GPM
624 3030-15387, AMAT, HORIBA-STEC-LF-F40M-A-EVD-107 TEOS 7G/MIN
625 3300-02263, AMAT, FTG RLF VALVE VENT APTR CRYOPUMP AL
626 3300-06184, AMAT, FTG QDISC BULKD STEM 3/8T SWAGELOK KEY4
627 3310-01012, AMAT, GAUGE TC 0.1 TO 2.5 TORR NKL PLT OCTAL BASE
628 33-18310-010-5-EW Fluoroflow-HSA Pleated Membrane Filter Cartridge
629 3320-01027, APPLIED MATERIALS, GSKT 2.75″ CFF OFHC Cu
630 3400-01068, AMAT, HOSE FLEX BELLOWS, THIN WALL, NW25, 12″
631 3690-03269 LEAD SCREW, BRG, NUT ASSY.
632 3690-04518 Leadscrew & nut ½” diameter,100- 6”” travel
633 3870-00028, AMAT, VALVE MNL DIAPH 3500PSI 1/4VCR-F/F LOTO 1/4TRN SS
634 3870-01810, 3870-01307, AMAT, VALVE MNL DIAPH 3500PSI 1/4VCR-F/F
635 3870-02798, AMAT, VALVE MNL DIAPH 1/4VCR-M/F 1/4SHORT HDL
636 3870-90294, AMAT, VALVE,VACUUM INLINE,KF40
637 3D10-150109-11, TEL, Tokyo Electron Limited, RING,BTM SHIELD FG8
638 600-115, TOOLING
639 716-003543-001, LAM, RING, HOT EDGE, SI, DFC 300MM, NEW SEALED
640 716-011969-001, LAM, RING, QTZ, COUPLING, DFC, NEW, SEALED
641 716-013344-001, LAM, RING, COVER, OUTER, GND, NEW, SEALED
642 716-013402-003, LAM, Cover, H/E ring ,quartz
643 716-014843-001, LAM, RING, WAP, QTZ, GENERIC, 17IN ,DFC, NEW, SEALED
644 716-017112-002, LAM Research, RING, WAP, TWIST TOP, 17IN, 300MM, NEW, SEALED
645 716-017112-330, LAM, RING, WAP, 17.07X1.10THK, AN SLT, USED
646 716-018468-090, LAM, RING, COVER, OUTER, GND, NEW, SEALED
647 716-020964-003, 18-Step, 300mm, Outer Electrode Ring, Scorch, NEW
648 716-044668-430, LAM, R, HE 4XX ESC, WO FLAT, 300MM, NEW, SEALED
649 716-800330-062, LAM, INSULATOR, BOTTOM, ESC, USED
650 716-800330-063, LAM, INSULATOR, BOTTOM, ESC, TAPPED, TMP PR, NEW, SEALED
651 9010-01379, CELERITY GAS CARD GeF4 QUANTUM X
652 ADAPTOR, WATER COOLING, DIRECT DRIVE, 0041-01558
653 Advanced Energy, 3155077-001A, Fixed Match
654 Advanced Energy, 3155094-002A, Fixed Match
655 AE-DD 1551- CROSSOVER INTERCONNECT PCB
656 AERA, MFC D980C 3SLM N2 1/4VCR MTL
657 AERA, TC FC- D980C 500SCCM N2 1/4VCR
658 AFSC-1964882-A-94V
659 ALLEN BRADLEY, 1398-DDM-009, SERVO DRIVE
660 AMAT 0020-10046 RING OUTSIDE
661 AMAT 0020-26305 CONDUCTOR, OUTER
662 AMAT 0040-07033 [ 0010-36734 & 0010-53901] 300mm Producer Ceramic Heater
663 AMAT 0090-09298 ESC ASSY,200MM, NOTCH(2),SHWR, THERM
664 AMAT 0200-40130 COVER PLATE, 200, 12 THK
665 AMAT 1010-01437 LAMP QUARTZ 1000W 240VAC
666 AMAT 200MM Producer S PECVD 3 Twin chambers System
667 AMAT ESC, TESTED, 150MM JMF, HDPCVD, ULTIMA #0040-18136
668 AMAT O-rings
669 AMAT PN 50412540200, BOARD ASSY DVD
670 AMAT Ultima 200MM ESC PART#0040-18219 8inch
671 AMAT, ORBOT, MATROX VIDEO , PN: 1950875
672 APPILED MATERIALS, CABLE ASSY, P021D-5M, P021Y006A101
673 APPLIED MATERIALS- STK 0703622
674 APPLIED MATERIALS, 0020-IR190-E1, E-CHUCK
675 APPLIED MATERIALS, 212AS015-E1, RING EDGE DCVD BST
676 APPLIED MATERIALS, 30XJ-0015-E2, EDGE CVD Ti CERAMIC HEATER
677 APPLIED MATERIALS, BES-7831-002, CABLE ASSY
678 APPLIED MATERIALS, CABLE ASSY, 1341054A, SCT47773
679 APPLIED MATERIALS, CABLE ASSY, 1341529-01, RAM0032
680 APPLIED MATERIALS, CABLE ASSY, HTR, JMP, 14/2, 10FT
681 APPLIED MATERIALS, ELEV. STEPPING MOTOR ASSY, M061-LS 08E
682 APPLIED MATERIALS, FLOW CHECKER, FC-SM40-TUR
683 APPLIED MATERIALS, PIN WC02-002-E1
684 APPLIED MATERIALS, RG-578, COLLAR EXTEND
685 APPLIED MATERIALS, RG-579, 8in, COVER, EXTENDED E-CHUCK
686 APPLIED MATERIALS, SMC-ISE4B-T1-26
687 ASSEMBLY, HEATER, 200MM MGF2 COATED, NGK, 0010-05190(0190-04207)
688 ASSY BEFFER BLADE HP PLUS HTHU 200MM, 0010-75512
689 ASTEX, ABX-X355, RF GENERATOR CONTROL BOARD
690 Celerity UNIT-8165 MFC, NEW OEM, 11EA
691 CHEMRAZ-5641-0547-SS 592
692 CTI-8112099G014- CONTROLLER CABLE
693 CWxZ Ceramic Heater, 200MM, 0040-46818(ASSY 0010-10252)
694 ES3D05-350027-11, TEL, Tokyo Electron, INSULATOR RING, FG8, NEW, SEALED
695 ES3D10-250205-11, TEL, Tokyo Electron, RING, SPACER, AL, FG8
696 FUJIKIN, 038821, PNEUMATIC VALVE
697 FUJIKIN, 038838, PNEUMATIC VALVE, 1/4” FEMALE VCR
698 FUJIKIN, 051386, V-BLOCK PNEUMATIC VALVE
699 GF125C, Brooks MFC, N2O 15000 sccm
700 GF125C, Brooks MFC, O2 10 slm
701 Granville Philips- AL430- HIGH PERFORMANCE IONIZATION GAUGE
702 HEATER, ASSY, 8″ JHT .029 AMJ WXZ, 0010-03345M
703 Holder, Lift Pin, 300mm Ultima X (326-00003 / 0020-70473 / 0020-28213)
704 Horiba Stec D224-SCU Digital Mass Flow Module 15000 SCCM
705 MDC-AV-075-P, VALVE
706 MDC-AV-150M-1042, VALVE
707 MITSUBISHI, CIRCUIT PROTECTOR, CP30-BA 3P
708 MKS- 100991588, ANGLE VALVE
709 MKS ASTRONi AX7670-60-R RPS Plasma Generator (Direct Replacement for 0190-26744)
710 MKS, 627A-15360-Pressure Transducer
711 MKS, MFC-1679A, 500 SCCM AR
712 MKS, Transducer P/N 842B12PCJ2GC + 13-32 VDC 100 PSIG AMAT # 0226-41187
713 MKS, Type- 626-Pressure Transducer
714 MKS-51A12TCA2BA071, BARATRON PRSSURE SWITCH
715 MKS-LPVI-50-IK-CNVS-SQUARE BODY LOPRO VALVE
716 MKS-UHV-25-AKK-ENVN, VALVE
717 MKS-UHV-40-ACC-EVNV, VALVE
718 Mykrolis MFC FC-2902MEP5-T, SIH4 1 SLM, AMAT 3030-06899
719 NOVELLUS, 02-10068-00, MODULE CABLE
720 NVLS, 06-54749-01
721 Omega, Thermocouple Scanner DPS3100 Series AMAT # 0226-45365
722 ORIENTAL MOTOR, RKD514L-C, 5-PHASE DRIVER
723 OUTSOURCED, ASSY, PLASMA CELL, IN LINE EPD DETECTOR, PRODUCER, 0010-06285
724 P5000 SPUTTER Process Kit. AMAT, Used, Individually Cleaned and Sealed.
725 RAYTEK- RAYMI45
726 RK569ACE, ORIENTAL MOTOR, VEXTA STEPPING MOTOR, 5PH, 0.72°STEP, DC 2.27V, 1.4A,
727 Seiko Seiki, 12A6941-1, CABLE ASSY SET
728 Seiko Seiki, RP921149-11, 5M, CABLE ASSY
729 Stec, LV-210 Butylacetate 0.1 g/min
730 Stec, MFC SEC-4400MC 100 SCCM, BCL3
731 Stec, MFC SEC-4400MC-SUC N2O 200 SCCM N2 C.F. 0.71
732 Stec, MFC SEC-4400RO 300 SCCM H2
733 Stec, MFC SEC-7340MC 12 SLM N2
734 Tylan, MFC FC-2900V 20 SCCM N2
735 Unit UFC-8160, 20 SLM H2
736 Unit, MFC 1600 50 SCCM N2, Cal Gas: N2
737 Unit, MFC UFC-1600 200 SCCM Cl2, Cal Gas: N2
738 Unit, MFC UFC-8160 500 SCCM H2
739 Unit, UFC-1101A 10 SCCM TMB
740 Unit, UFC-1260A 50 SCCM
741 UNIT, UFC-1661, 100 SCCM AR
742 UNIT, UFC-8161, 200 SCCM WF6
743 VAT, 10846-XE28-AXQ1/0002, VACUUM GATE VALVE
744 VAT, HV Angle Valve 26328-KA01-0001
745 VERIFLO-4510013222, REGULATOR VALVE
746 XVME-530-70530-001

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

  • SS380EB-1-IN-1-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers