Main Maker

Used Semiconductor Equipment Parts

Category:

Description

Used Semiconductor Equipment Parts

These are  subject to prior sale. These are only for end user. Appreciate your time.

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.

1 A-B Lasers Inc. (Rofin) Waferlase Compact Laser Scribe
2 A-B Lasers Inc. (Rofin) Waferlase Laser Scribe
3 Accretech/TSK UF3000 Production Wafer Prober
4 Accretech/TSK UF3000 Production Wafer Prober
5 Accretech/TSK UF3000 Production Wafer Prober
6 Accretech/TSK UF3000 Production Wafer Prober
7 Accretech/TSK UF3000 Production Wafer Prober
8 Accretech/TSK UF3000 Production Wafer Prober
9 Accretech/TSK UF3000 Production Wafer Prober
10 Accretech/TSK UF3000 Production Wafer Prober
11 Accretech/TSK UF3000 Production Wafer Prober
12 Accretech/TSK UF3000 Production Wafer Prober
13 Accretech/TSK UF3000 Production Wafer Prober
14 Accretech/TSK UF3000EX Production Wafer Prober
15 Accretech/TSK UF3000EX Production Wafer Prober
16 Accretech/TSK UF3000EX Production Wafer Prober
17 Accretech/TSK UF3000EX Production Wafer Prober
18 Accretech/TSK UF3000 Production Wafer Prober
19 Accretech/TSK UF3000 Production Wafer Prober
20 Accretech/TSK UF3000 Production Wafer Prober
21 Accretech/TSK UF3000 Production Wafer Prober
22 Accretech/TSK UF3000 Production Wafer Prober
23 Accretech/TSK UF3000 Production Wafer Prober
24 Accretech/TSK UF3000EX Production Wafer Prober
25 Accretech/TSK UF3000EX Production Wafer Prober
26 Accretech/TSK UF3000EX Production Wafer Prober
27 Accretech/TSK UF3000EX Production Wafer Prober
28 Accretech/TSK UF3000EX Production Wafer Prober
29 Accretech/TSK UF3000EX Production Wafer Prober
30 Accretech/TSK UF3000EX Production Wafer Prober
31 Accretech/TSK FP3000 Wafer Frame Prober
32 Accretech/TSK FP3000 Wafer Frame Prober
33 Accretech/TSK FP3000 Wafer Frame Prober
34 Accretech/TSK UF3000EX Production Wafer Prober
35 Acu-Gage AG 36 Optical comparator
36 ADE Corporation Microsense 6033 Wafer Characterization
37 ADE Corporation AFS-3220 Wafer Characterization
38 Advanced Design Lasers (ADLAS) DPY421-Q-II Power Supply
39 Advanced Energy ICP 20P Power Supply
40 Advanced Thermal Sciences (ATS) DEX-20A Chiller/Heat Exchanger
41 Advantest T5383 Memory Tester
42 Advantest T5383 Memory Tester
43 Advantest T5383 Memory Tester
44 Advantest T5383 Memory Tester
45 Advantest M6542AD Pick & Place Memory Handler
46 Advantest M6542AD Pick & Place Memory Handler
47 Advantest M6542AD Pick & Place Memory Handler
48 Advantest MPT3000HVM Gen 3 SSD Test System
49 Advantest T5585 Memory Tester
50 Advantest T5585 Memory Tester
51 Aetrium 1164 Dynamic Life Test System
52 Agilent / Keysight E4990A Impedance Measuring Instruments
53 Air Control, Inc. Microvoid FH-45D-S Fume Hood Workstation
54 Air Products GASGUARD Chemical/Gas Storage & Delivery
55 Akrometrix, LLC AXP Thermal Warpage & Strain
56 Alcatel ADS 1802H Dry Pump
57 Alcatel ADS1802P Dry Pump
58 Alcatel A1803H Dry Pump
59 AMETEK, Inc. 1726 Tachometer
60 Amray, Inc. 2030 SEM – Defect Review (DR)
61 Amray, Inc. 2030 SEM – Defect Review (DR)
62 Amray, Inc. 3800c SEM – Defect Review (DR)
63 Amray, Inc. 2030 SEM – Defect Review (DR)
64 Amray, Inc. 3800c SEM – Defect Review (DR)
65 APC SMART X3000NC HV Power Supply
66 Applied Materials (AMAT) AMAT 0 Chiller/Heat Exchanger
67 Applied Materials (AMAT) Endura II Chambers: Multiple PVD (Physical Vapor Deposition)
68 Applied Materials (AMAT) Vantage RadiancePlus Platform RTP Equipment
69 Applied Materials (AMAT) Quantum II Low/Ultra Low Energy Implanter
70 Applied Materials (AMAT) Centura SiNgen Chamber LPCVD
71 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing
72 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing
73 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing
74 Applied Materials (AMAT) ComPLUS MP Darkfield Inspection
75 Applied Materials (AMAT) ComPLUS MP Darkfield Inspection
76 Applied Materials (AMAT) Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition)
77 Applied Materials (AMAT) Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition)
78 Applied Materials (AMAT) Raider ECD_ ECD (Electro Chemical Deposition)
79 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)
80 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
81 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
82 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch
83 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
84 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
85 Applied Materials (AMAT) Endura II Liner/Barrier PVD (Physical Vapor Deposition)
86 Applied Materials (AMAT) Producer GT PECVD Silane PECVD (Chemical Vapor Deposition)
87 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
88 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
89 Applied Materials (AMAT) Producer SE SACVD SACVD (Chemical Vapor Deposition)
90 Applied Materials (AMAT) Raider ECD_ ECD (Electro Chemical Deposition)
91 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)
92 Applied Materials (AMAT) Centura AP DPS II Polysilicon Polysilicon Etch
93 Applied Materials (AMAT) Centura AP DPS II Polysilicon Polysilicon Etch
94 Applied Materials (AMAT) Reflexion LK Copper Copper CMP
95 Applied Materials (AMAT) Centura AP DPS II Polysilicon Polysilicon Etch
96 Applied Materials (AMAT) Producer Etch eXT Dielectric Dielectric Etch
97 Applied Materials (AMAT) Producer Etch eXT Poly Polysilicon Etch
98 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
99 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
100 Applied Materials (AMAT) VeritySEM 4i SEM – Critical Dimension (CD) Measurement
101 Applied Materials (AMAT) VeritySEM 4i+ SEM – Critical Dimension (CD) Measurement
102 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
103 Applied Materials (AMAT) Reflexion LK Multi-Process CMP
104 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
105 Applied Materials (AMAT) Centura AP eMax CT Dielectric Etch
106 Applied Materials (AMAT) Producer Etch eXT Dielectric Dielectric Etch
107 Applied Materials (AMAT) Centris AdvantEdge G5 Mesa T2 Poly Polysilicon Etch
108 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
109 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
110 Applied Materials (AMAT) Centura AP eMax CT Dielectric Etch
111 Applied Materials (AMAT) Producer SE SACVD SACVD (Chemical Vapor Deposition)
112 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
113 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
114 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
115 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
116 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
117 Applied Materials (AMAT) Producer SE PECVD TEOS PECVD (Chemical Vapor Deposition)
118 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)
119 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition)
120 Applied Materials (AMAT) Centura AP eMax CT Dielectric Etch
121 Applied Materials (AMAT) Centura AP eMax CT Dielectric Etch
122 Applied Materials (AMAT) Producer SE PECVD TEOS PECVD (Chemical Vapor Deposition)
123 Applied Materials (AMAT) Reflexion LK Multi-Process CMP
124 Applied Materials (AMAT) Producer SE PECVD TEOS PECVD (Chemical Vapor Deposition)
125 Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch
126 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
127 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
128 APT Automation LLC. TP-155 Tape Peel Force
129 Aqueous Technologies Trident III Flux Cleaner
130 ASM International A600 LPCVD-OXIDE Vertical Furnace
131 ASM International Eagle XP EmerALD ALD (Atomic Layer Deposition)
132 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
133 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
134 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
135 ASM International A412 POLY Vertical Furnace
136 ASM International A412 POLY Vertical Furnace
137 ASM Pacific Technology (ASMPT) NUCLEUS XP Wafer Substrate Bonding
138 ASM Pacific Technology Ltd. (ASMPT) iHawk-V Wire Bonder
139 ASML YieldStar S-100B Overlay Measurement System
140 ASML YieldStar S-200B Overlay Measurement System
141 ASML YieldStar S-250 Overlay Measurement System
142 ASML TWINSCAN XT:400G i-Line Scanner
143 ASML TWINSCAN XT:400F i-Line Scanner
144 ASML TWINSCAN XT:400F i-Line Scanner
145 ASML TWINSCAN AT:400 i-Line Scanner
146 Athlete-FA Corporation BM-2000WI Solder Ball Mount
147 Aviza Technology, Inc. RVP-300 Vertical Furnace
148 Axcelis Technologies Inc. Radiantstrip 320 Stripper/Asher
149 Axcelis Technologies Inc. Radiantstrip 320 Stripper/Asher
150 BESI Fico AMS-i 306 Molding Equipment
151 Blue M Electric Company DC-146 Cure Oven
152 Blue M Electric Company DCC-206 Bake Oven
153 Blue M Electric Company General Purpose Oven Cure Oven
154 Blue M Electric Company General Purpose Oven Cure Oven
155 Blue M Electric Company General Purpose Oven Cure Oven
156 Blue M Electric Company STK-05I-E-UP55A Cure Oven
157 Bluefors Oy BF-XLD400 Cryogenic system
158 Boschman BXXP\21 Molding Equipment
159 Branson/IPC S3000 Stripper/Asher
160 Brooks Automation, Inc. MTX2000 Wafer Sorter
161 Brooks Automation, Inc. MTX2000 Wafer Sorter
162 Brooks Automation, Inc. MTX 4000 Wafer Sorter
163 Brooks Automation, Inc. MTX2000 Wafer Sorter
164 Bruce Technology International BDF-41 Horizontal Furnace
165 Bruker Contour GT Profilometer
166 Bruker Contour GT Profilometer
167 Bruker Contour GT Profilometer
168 BUEHLER MetaServe 2000 Grinder Polisher
169 BUEHLER EcoMet 3000 Grinder Polisher
170 BUEHLER HandiMet 2 Grinder Polisher
171 C&D Semiconductor P8000 Coat and Develop Track
172 CAMECA EX-300 Implant Dosing Measurement
173 Canon Surpass 300 Stripper/Asher
174 Canon FPA-6000 ES6a 248nm (KrF) Scanner
175 Canon FPA-6000 ES6a 248nm (KrF) Scanner
176 Canon FPA-6000 ES6a 248nm (KrF) Scanner
177 Canon FPA-6000 ES6a 248nm (KrF) Scanner
178 Canon FPA-5500 iZ+ i-Line Wide-Field Stepper
179 Canon FPA-6000 ES5 248nm (KrF) Scanner
180 Canon FPA-6000 ES5 248nm (KrF) Scanner
181 Canon FPA-6000 ES6 248nm (KrF) Scanner
182 Canon FPA-5500 iZ+ i-Line Wide-Field Stepper
183 Cascade S300 Engineering Wafer Prober
184 Chemwest K232 Quartz Tube Cleaner
185 Chemwest K232 Quartz Tube Cleaner
186 Chroma ATE Inc. 58630 Test Handler
187 Chroma ATE Inc. 58630 Test Handler
188 Chroma ATE Inc. 58630 Test Handler
189 Chroma ATE Inc. 58630 Test Handler
190 Chroma ATE Inc. 58640 Test Handler
191 Cleanroom Depot SW6168 Portable Clean Room
192 Control Laser Corporation. (CLC) FALIT Package Auto Decap System
193 CUSTOM HANDLER Test Handler Test Handler
194 Cybeq Systems 6000 robot Robot Arm Accessories
195 CyberOptics SQ3000-DD Automatic Optic Inspection (AOI)
196 CyberOptics SE600 Solder Paste Inspection (SPI)
197 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing
198 Dainippon Screen Mfg. Co. (DNS) WS-820C Batch Wafer Processing
199 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing
200 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing
201 Dainippon Screen Mfg. Co. (DNS) WS-820C Batch Wafer Processing
202 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing
203 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing
204 Dainippon Screen Mfg. Co. (DNS) MP-3000 Single Wafer Processing
205 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
206 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
207 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
208 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
209 Dainippon Screen Mfg. Co. (DNS) SS-3000-AR Wafer Scrubber
210 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing
211 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing
212 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing
213 Dainippon Screen Mfg. Co. (DNS) SS-3000-AR Wafer Scrubber
214 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing
215 Dainippon Screen Mfg. Co. (DNS) SU-3200 Single Wafer Processing
216 Dainippon Screen Mfg. Co. (DNS) SU-3100 Single Wafer Processing
217 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
218 DAS ESCAPE DUO Chemical/Gas Treatment System
219 DAS ESCAPE DUO Chemical/Gas Treatment System
220 Daymarc Corporation 3287 Gravity Feed SOC Handler
221 Daymarc Corporation 3287 Gravity Feed SOC Handler
222 Daymarc Corporation 3287 Gravity Feed SOC Handler
223 Daymarc Corporation 3287 Gravity Feed SOC Handler
224 Daymarc Corporation 3287 Gravity Feed SOC Handler
225 Daymarc Corporation 3287 Gravity Feed SOC Handler
226 Daymarc Corporation 3287 Gravity Feed SOC Handler
227 Delatech Inc. 858 – V2 Abatement – CDO Scrubber
228 Despatch Industries LCD1-51N-3 Cure Oven
229 Dionex DX-600 Ion Chromatography
230 Disco Hi-Tec DAD3350 Wafer Dicing Saw
231 Disco Hi-Tec DFL7340 Laser Saw
232 Disco Hi-Tec DFS8910 Surface Planarization
233 Ebara FREX300 Tungsten Tungsten CMP
234 Ebara FREX300S2 Multi-Process CMP
235 Ebara FREX300S Tungsten Tungsten CMP
236 Ebara FREX300S Tungsten Tungsten CMP
237 Ebara FREX300S Tungsten Tungsten CMP
238 Ebara FREX300S Tungsten Tungsten CMP
239 ECSAL Technologies Pte. Ltd. Hydrotek-03 Flux Cleaner
240 Edwards E2M8 Pump/Blower
241 Edwards Misc Pump Parts Dry Pump
242 Edwards QDP80 Dry Pump
243 Edwards OMB500 Pump Controller
244 Edwards HOX Abatement – Exhaust Management System
245 Edwards Atlas TPU+WESP Abatement – Scrubber
246 Edwards iL70 Dry Pump
247 Electroglas Inc. (EMTS) Horizon 4080X Production Wafer Prober
248 Electroglas Inc. (EMTS) Horizon 4090 Production Wafer Prober
249 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
250 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
251 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
252 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
253 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
254 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
255 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
256 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
257 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
258 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
259 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
260 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
261 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
262 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
263 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
264 Electroglas Inc. (EMTS) 2001X Production Wafer Prober
265 Electron Vision Corporation ElectronCure 30X UV Cure System
266 Electrovert / SPEEDLINE Aquastorm 100C Aqueous Cleaner
267 Entegris KA198-80M Wafer Cassette
268 Entergris/Atcor Ultra 6210V Carrier Cleaner
269 Entergris/Atcor Ultra 6210V Carrier Cleaner
270 ESCO Ltd. EMD-WA1000S Temperature Desorption Analyzer
271 ESTEE EI903 Ionizer Fan
272 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection
273 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection
274 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection
275 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection
276 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection
277 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection
278 Estek Automation SDN BHD WIS-600B Broadband Patterned Wafer Defect Inspection
279 Estek Automation SDN BHD WIS-600B Broadband Patterned Wafer Defect Inspection
280 Estek Automation SDN BHD WIS-850 Broadband Patterned Wafer Defect Inspection
281 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection
282 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection
283 Estek Automation SDN BHD WIS-150 Broadband Patterned Wafer Defect Inspection
284 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition)
285 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition)
286 EXATRON 1010 Manual Taping
287 Fischione 1050 Specimen Preparation
288 Fischione 1050 Specimen Preparation
289 Four Dimensions 280 Series Resistivity Measurement
290 FSI International Excalibur Batch Wafer Processing
291 FSI International Excalibur Batch Wafer Processing
292 FSI International Zeta 300 G3 Batch Wafer Processing
293 FTS Systems (SP Scientific) RC311GLAM Chiller/Heat Exchanger
294 Future Fab Future Fab Solvent Hood Fume Hood Workstation
295 Future Fab Future Fab Solvent Hood Fume Hood Workstation
296 GCA Tropel Autosort Mark II 150 Flatness Measurement
297 Gecko Alliance Aqua Flo XPO Pumps
298 Genesis 2020B Vapor Prime Oven
299 Genesis Automation VCSEL Industrial Automation Systems
300 Genesis Automation GLOVE BOX Glove Box
301 GSI Lumonics WaferMark 200HS Laser Scribe
302 HANSOM Clean Hood Chemical/Fume Hood/Sink
303 Hermes Microvision (HMI) eP3 XP E-beam Inspection
304 Hermes Microvision (HMI) eScan 320 E-beam Inspection
305 Hermes Microvision (HMI) eScan 320 E-beam Inspection
306 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement
307 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement
308 Hitachi (Semiconductor) CG5000 SEM – Critical Dimension (CD) Measurement
309 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement
310 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement
311 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement
312 Hitachi (Semiconductor) S-4800 FE SEM
313 Hitachi (Semiconductor) S-5500 FE SEM
314 Hitachi (Semiconductor) CG4000 SEM – Critical Dimension (CD) Measurement
315 Hitachi (Semiconductor) S-5500 FE SEM
316 HPSP GENI-SYS Vertical Furnace
317 INFICON UL 200 Leak Detector
318 IPEC 372M Multi-Process CMP
319 Ismeca TMBZ SP Taping
320 Ismeca TMBZ SP Taping
321 JEOL JEM-2010F TEM
322 JEOL JSM-6400F FE SEM
323 JEOL JWS-7555 SEM – Defect Review (DR)
324 JEOL JFS-9815 Focused Ion Beam System
325 JEOL JFS-9855S Focused Ion Beam System
326 JEOL JWS-7555S SEM – Defect Review (DR)
327 JEOL JWS-7855S Mask Inspection & Repair System
328 Jordan Valley Semiconductors LTD JVX 7200 X-ray Fluorescence Spectrometer
329 JTCorp JST-2000 Burn-in Board Loader/Unloader
330 JTCorp JST-2000 Burn-in Board Loader/Unloader
331 JUKI Automation Systems GmbH CX-1 SMT Mounter (Pick and Place)
332 JUSTRITE Sure-Grip EX Flammable Waste Safety Cabinets Chemical/Gas Storage & Delivery
333 JUSTRITE Sure-Grip EX Classic Safety Cabinets Chemical/Gas Storage & Delivery
334 Kaijo Corporation 778T-A Batch Wafer Processing
335 Kaijo Corporation SFT-300 Batch Wafer Processing
336 Kaijo Corporation SFT-300 Batch Wafer Processing
337 Keithley Instruments, Inc. 300 Switching Matrix
338 Keysight / Agilent / Hewlett-Packard (HP) 16500C Logic Analysis & Emulation Solutions
339 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester
340 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester
341 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester
342 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester
343 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester
344 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester
345 Keysight / Agilent / Hewlett-Packard (HP) 4072B Parametric Tester
346 Keysight / Agilent / Hewlett-Packard (HP) 4072B Parametric Tester
347 Keysight / Agilent / Hewlett-Packard (HP) 4072B Parametric Tester
348 Keysight / Agilent / Hewlett-Packard (HP) 4072B Parametric Tester
349 KLA-Tencor Corp / SensArray SensArray 1790 Temperature Monitoring
350 KLA-Tencor Corp / SensArray SensArray 1530 Temperature Monitoring
351 KLA-Tencor Corp. Ultrapointe 1000 Optical Review System
352 KLA-Tencor Corp. Surfscan 7700 Particle Measurement
353 KLA-Tencor Corp. 2111 Brightfield Inspection
354 KLA-Tencor Corp. 2111 Brightfield Inspection
355 KLA-Tencor Corp. 2111 Brightfield Inspection
356 KLA-Tencor Corp. 2111 Brightfield Inspection
357 KLA-Tencor Corp. CRS-1200 Optical Review System
358 KLA-Tencor Corp. AIT UV Darkfield Inspection
359 KLA-Tencor Corp. eS805 E-beam Inspection
360 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR)
361 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR)
362 KLA-Tencor Corp. SpectraCD 200 Film Thickness Measurement System
363 KLA-Tencor Corp. Surfscan SP2 Particle Measurement
364 KLA-Tencor Corp. Archer 500 Overlay Measurement System
365 KLA-Tencor Corp. Archer 500 Overlay Measurement System
366 KLA-Tencor Corp. SpectraShape 8660 Optical Review System
367 KLA-Tencor Corp. SpectraShape 8660 Optical Review System
368 KLA-Tencor Corp. VisEdge CV300R Edge Defect
369 Kokusai ZESTONE DJ-1223VN-DF Vertical Furnace
370 Kokusai Vertron-V(S2) DD-835V Vertical Furnace
371 Kokusai Quixace II Doped Poly Vertical Furnace
372 Kokusai Quixace II Doped Poly Vertical Furnace
373 Kokusai Quixace II Doped Poly Vertical Furnace
374 Kokusai Quixace II Doped Poly Vertical Furnace
375 Kokusai Quixace II Doped Poly Vertical Furnace
376 Kokusai Quixace II Doped Poly Vertical Furnace
377 Kokusai Quixace II Poly Vertical Furnace
378 Kokusai Quixace II Doped Poly Vertical Furnace
379 Kokusai Quixace II Anneal Vertical Furnace
380 Kokusai Quixace II Anneal Vertical Furnace
381 Kokusai Quixace II Doped Poly Vertical Furnace
382 Kokusai Quixace II Doped Poly Vertical Furnace
383 Kokusai Quixace II Doped Poly Vertical Furnace
384 Kokusai Quixace II Doped Poly Vertical Furnace
385 Kokusai Quixace Ultimate TEOS Vertical Furnace
386 Kokusai Quixace Ultimate TEOS Vertical Furnace
387 Kokusai Quixace II Doped Poly Vertical Furnace
388 Kokusai Quixace II Doped Poly Vertical Furnace
389 Kokusai Quixace II Doped Poly Vertical Furnace
390 Kokusai Quixace II Doped Poly Vertical Furnace
391 Kokusai Quixace II ALD Nitride Vertical Furnace
392 Kokusai Quixace II ALD Nitride Vertical Furnace
393 Kokusai Quixace II ALD Nitride Vertical Furnace
394 Kokusai Quixace II Nitride Vertical Furnace
395 Kokusai Quixace II Doped Poly Vertical Furnace
396 Kokusai Quixace II Doped Poly Vertical Furnace
397 Kokusai Quixace II Doped Poly Vertical Furnace
398 Kokusai Quixace II Doped Poly Vertical Furnace
399 Kokusai Quixace II Doped Poly Vertical Furnace
400 Kokusai Quixace II Doped Poly Vertical Furnace
401 Kokusai Quixace II Doped Poly Vertical Furnace
402 Kokusai Quixace II ALD Nitride Vertical Furnace
403 Kokusai Quixace II Doped Poly Vertical Furnace
404 Kokusai Quixace II Doped Poly Vertical Furnace
405 Kokusai Quixace II Doped Poly Vertical Furnace
406 Kokusai Quixace II ALD Oxide Vertical Furnace
407 Kokusai Quixace II CURE Vertical Furnace
408 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
409 Kokusai Quixace II CURE Vertical Furnace
410 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
411 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
412 Kokusai Quixace II Doped Poly Vertical Furnace
413 Kokusai Quixace II Doped Poly Vertical Furnace
414 Kokusai Quixace II Doped Poly Vertical Furnace
415 Kokusai Quixace II Nitride Vertical Furnace
416 Kokusai Quixace II Doped Poly Vertical Furnace
417 Kokusai Quixace II Doped Poly Vertical Furnace
418 Kokusai Quixace II Doped Poly Vertical Furnace
419 Kokusai Quixace II Doped Poly Vertical Furnace
420 Kokusai Quixace II Poly Vertical Furnace
421 Kokusai Quixace II Doped Poly Vertical Furnace
422 Kokusai Quixace II Nitride Vertical Furnace
423 Kokusai Quixace II Nitride Vertical Furnace
424 Kokusai Quixace II ALD TIN Vertical Furnace
425 Kokusai Quixace Ultimate ALD SiO2 Vertical Furnace
426 Kokusai Quixace II Doped Poly Vertical Furnace
427 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
428 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
429 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
430 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
431 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
432 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
433 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
434 Kokusai Electric Co., Ltd. Lambda-300LE Stripper/Asher
435 Kokusai Electric Co., Ltd. Lambda-300LE Stripper/Asher
436 Kokusai Electric Co., Ltd. Lambda-300LE Stripper/Asher
437 Kokusai Electric Co., Ltd. Lambda-300LE Stripper/Asher
438 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
439 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
440 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
441 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
442 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
443 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
444 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
445 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
446 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
447 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
448 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
449 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
450 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
451 LAM Research Lam Research Parts Parts/Peripherals
452 LAM Research 2300e4 Exelan Flex GXE Dielectric Etch
453 LAM Research AutoEtch 590 Dielectric Etch
454 LAM Research AutoEtch 490 Polysilicon Etch
455 LAM Research AutoEtch 490 Polysilicon Etch
456 LAM Research Alliance (A6) Exelan HP – Spare Parts Dielectric Etch
457 LAM Research Alliance (A6) TCP 9400DSiE Polysilicon Etch
458 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma
459 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma
460 LAM Research 2300e6 KIYO FX Polysilicon Etch
461 LAM Research 2300e6 Exelan Flex FX Dielectric Etch
462 LAM Research 2300e5 KIYO FX Polysilicon Etch
463 LAM Research 2300e5 KIYO FX Polysilicon Etch
464 LAM Research 2300e6 KIYO FX Polysilicon Etch
465 LAM Research 2300e6 KIYO FX Polysilicon Etch
466 LAM Research 2300e5 KIYO FX Polysilicon Etch
467 LAM Research 2300e5 KIYO FX Polysilicon Etch
468 LAM Research 2300e6 Exelan Flex HX Dielectric Etch
469 LAM Research 2300 Exelan Dielectric Etch
470 LAM Research 2300e5 KIYO EX Polysilicon Etch
471 Lam Research EOS Single Wafer Processing
472 LAM Research 2300e5 Exelan Flex FX Dielectric Etch
473 LAM Research 2300e5 Exelan Flex FX Dielectric Etch
474 LAM Research 2300e5 Exelan Flex FX Dielectric Etch
475 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma
476 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma
477 LAM Research 2300e5 Exelan Flex FX Dielectric Etch
478 Lam Research EOS Single Wafer Processing
479 Lam Research EOS Single Wafer Processing
480 Lam Research EOS Single Wafer Processing
481 Lam Research EOS Single Wafer Processing
482 LAM Research 2300 Exelan Flex FX – Chamber Only Dielectric Etch
483 Lam Research EOS – Parts Single Wafer Processing
484 Leatherwood Plastics Wet Process Station Chemical/Fume Hood/Sink
485 LEE OS WQIC-100 Vision inspection
486 Leica Inc. INS3300 Macro-Defect
487 Leica Inc. INS10 Microscope
488 Leica Inc. INM 20 Microscope
489 Leica Inc. INS2000 Macro-Defect
490 Leica Inc. INS2000 Macro-Defect
491 Leica Inc. INS3300 Macro-Defect
492 Leica Inc. INS3300 Macro-Defect
493 Leica Inc. INS3300 Macro-Defect
494 Mactronix, Inc. EDL-800 Wafer Transfer
495 Mactronix, Inc. EV1-600 Wafer Transfer
496 Mactronix, Inc. MCL-425 Wafer Transfer
497 March Plasma Systems, Inc.(Nordson) AP-1000 Plasma Cleaner
498 March Plasma Systems, Inc.(Nordson) PM-1000 Plasma Cleaner
499 Matrix Integrated Systems Matrix 105 Stripper/Asher
500 Matrix Integrated Systems Matrix 105 Stripper/Asher
501 Matrix Integrated Systems Matrix 105 Stripper/Asher
502 Matrix Integrated Systems Matrix 105 Stripper/Asher
503 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
504 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
505 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
506 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
507 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
508 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
509 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
510 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
511 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
512 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
513 Mattson Technology, Inc. Suprema Stripper/Asher
514 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
515 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
516 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
517 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
518 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
519 MAT-VAC TECHNOLOGY, INC. MVT 942 PVD (Physical Vapor Deposition)
520 Mechatronics Inc. SG200 XPO Bearing Parts/Peripherals
521 Melles Griot 3227H-C-64 Laser Parts/Peripherals
522 Metryx Mentor OC23 Mass Measurement
523 Metryx Mentor OC23 Mass Measurement
524 Micromanipulator (MM) P300J Engineering Wafer Prober
525 MicroTure Machine Tool Corp. 4 Sigma Wafer Polisher Wafer Polisher
526 Micro-Vu VERTEX 420 Confocal Vision System
527 Miscellaneous Misc Equipment Other
528 MKS Instruments Inc. In-Line Valve Parts/Peripherals
529 MOSAID Technologies MS4205 Design Verification Tester
530 MOSAID Technologies MS4205 Design Verification Tester
531 MOSAID Technologies MS3490 Design Verification Tester
532 MOSAID Technologies MS3490 Design Verification Tester
533 MOSAID Technologies MS3480 Design Verification Tester
534 MOSAID Technologies MS3490 Design Verification Tester
535 MOSAID Technologies MS3480 Design Verification Tester
536 MOSAID Technologies MS3490 Design Verification Tester
537 MOSAID Technologies MS3480 Design Verification Tester
538 MRL Industries SMALL BATCH FURNACE Horizontal Furnace
539 MRL Industries SMALL BATCH FURNACE Horizontal Furnace
540 MRL Industries SMALL BATCH FURNACE Horizontal Furnace
541 MRL Industries SMALL BATCH FURNACE Horizontal Furnace
542 MTI Instruments, Inc. PROFORMA 300 Flatness Measurement
543 MultiProbe Inc. AFP-MP2 Engineering Wafer Prober
544 Muratec Murata Machinery, Ltd. G3-2 Wafer Stocker
545 Muratec Murata Machinery, Ltd. SRC330 Wafer Stocker
546 Nanometrics Inc. NanoSpec II Film Thickness Measurement System
547 Nanometrics Inc. Tevet Trajectory T3 Film Thickness Measurement System
548 NARISHIGE MF-90 Microforge
549 Nidec Power Motor Corporation EN-8T1 Motor
550 Nikon MICROSCOPE Microscope
551 Nikon UM-2 Microscope
552 Nikon N-SISV R Optical Review System
553 Nikon OPTIPHOT 200C Microscope
554 Nikon OPTIPHOT 200C Microscope
555 Nikon NSR-S308F 193nm (ArF) Scanner
556 Nikon AMI-3000 Macro-Defect
557 Nikon NSR-S308F 193nm (ArF) Scanner
558 Nikon NSR-S308F 193nm (ArF) Scanner
559 Nikon NSR-S308F 193nm (ArF) Scanner
560 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
561 Nisso engineering co. ltd / NISON 1800S-55C Batch Wafer Processing
562 Nordson Asymtek S920N Adhesive Dispenser
563 Nordson Asymtek S920N Adhesive Dispenser
564 Nordson Asymtek S920N Adhesive Dispenser
565 Nordson Asymtek S920N Adhesive Dispenser
566 Nordson Asymtek Forte Adhesive Dispenser
567 Nordson Asymtek Forte Adhesive Dispenser
568 Nordson Asymtek S920N Adhesive Dispenser
569 Nordson Asymtek S920N Adhesive Dispenser
570 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)
571 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)
572 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)
573 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)
574 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)
575 Nova Measuring Instruments, Inc. (Brook) V2600 Integrated CMP Endpoint / Film Measurement
576 Novellus Systems Inc. VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition)
577 Novellus Systems Inc. SABRE 3D ECD (Electro Chemical Deposition)
578 Novellus Systems Inc. Concept Three Altus Max WCVD (Chemical Vapor Deposition)
579 Novellus Systems Inc. Concept Three Altus Max WCVD (Chemical Vapor Deposition)
580 Novellus Systems Inc. Concept Three Speed MAX HDP CVD (Chemical Vapor Deposition)
581 Novellus Systems Inc. SABRE 3D ECD (Electro Chemical Deposition)
582 Olympus AL100 Microscope
583 Olympus MX50T-F Microscope
584 Onto / Accent Optical Technologies RPM 4000 Wafer Characterization
585 Opto System Co. Ltd. / P. System OSM-90TS Wafer Breaker
586 Opto System Co. Ltd. / P. System LDH-30TS Wafer Cleaver
587 Orient Service 1862-WA Chemical/Gas Treatment System
588 Panasonic Factory Solutions Co., Ltd NPM-D3 (NM-EJM6D) SMT Mounter (Pick and Place)
589 Panasonic Factory Solutions Co., Ltd NPM-D3 (NM-EJM6D) SMT Mounter (Pick and Place)
590 Panasonic Factory Solutions Co., Ltd PanaCIM Line Management System
591 PBT Works s.r.o. SuperSWASH II Stencil Cleaner
592 PCI Ozone and Controls Systems Inc. G-7 Ozone Generator
593 Pfeiffer Vacuum GmbH Various Dry Pump
594 Philips / Technos TREX 632 X-ray Fluorescence Spectrometer
595 Plasma-Therm I.P. Inc. SLR Series ICP Multi-Process Etch
596 Plasma-Therm I.P. Inc. Versaline Large-Area PECVD PECVD (Chemical Vapor Deposition)
597 Plasma-Therm I.P. Inc. 790 Etch Multi-Process Etch
598 Poly-Flow Engineering S-422 Quartz Tube Cleaner
599 Prometrix SM-300/SpectraMap Film Thickness Measurement System
600 PS System FPS 6000 Trim From Combo
601 PS System FPS 6000 Trim From Combo
602 PVA TePla America, Inc. PS 660 Plasma Cleaner
603 PVA TePla America, Inc. PS 660 Plasma Cleaner
604 R. Howard Strasbaugh Fine Polisher Wafer Polisher
605 Raytex Corporation RXW-1227 EdgeScan Edge Defect
606 ReVera RVX1000 Film Thickness Measurement System
607 ReVera RVX1000 Film Thickness Measurement System
608 ReVera RVX1000 Film Thickness Measurement System
609 Risshi / Maruyama YR-8020SC Chiller/Heat Exchanger
610 Risshi / Maruyama YR-8020 Chiller/Heat Exchanger
611 Risshi / Maruyama YR-8020SC Chiller/Heat Exchanger
612 Rogers Machinery DUAL COMPRESSOR Air Compressors
613 Rorze RR4111 Clean Booth
614 Rudolph Technologies, Inc. Axi 935 Macro-Defect
615 Rudolph Technologies, Inc. ultra-II Ellipsometer
616 Rudolph Technologies, Inc. NSX 105 – Spare Parts Macro-Defect
617 Rudolph Technologies, Inc. NSX 105 Macro-Defect
618 Rudolph Technologies, Inc. NSX 105 Macro-Defect
619 Rudolph Technologies, Inc. NSX 105 Macro-Defect
620 Rudolph Technologies, Inc. NSX 105 Macro-Defect
621 Rudolph Technologies, Inc. NSX 105 Macro-Defect
622 Rudolph Technologies, Inc. NSX 105 Macro-Defect
623 Rudolph Technologies, Inc. MetaPULSE 300 Film Thickness Measurement System
624 Rudolph Technologies, Inc. MetaPULSE 300 Film Thickness Measurement System
625 Rudolph Technologies, Inc. MetaPULSE 300 Film Thickness Measurement System
626 Rudolph Technologies, Inc. NSX 105 Macro-Defect
627 SCREEN PRINTING ENTERPRISES, INC. LPEH1-30/10 Bake Oven
628 Seiko Instruments SFT 7155 X-ray Fluorescence Spectrometer
629 SEMI-GAS / Applied Energy Systems (AES) Three Cylinder Fully Automatic Gas Cabinet Chemical/Gas Storage & Delivery
630 Semitool Inc. 270-ST Spin Rinse / Dryer (SRD)
631 Semitool Inc. Semitool SRD Parts Spin Rinse / Dryer (SRD)
632 Semitool Inc. Raider ECD310 ECD (Electro Chemical Deposition)
633 Semitool Inc. Raider ECD310 ECD (Electro Chemical Deposition)
634 Semitool Inc. 270-ST Spin Rinse / Dryer (SRD)
635 Semitool Inc. 270-ST Spin Rinse / Dryer (SRD)
636 SEZ Group SP203 Single Wafer Processing
637 SEZ Group SP304 Single Wafer Processing
638 SEZ Group SP4300 Single Wafer Processing
639 SEZ Group DV-34 Single Wafer Processing
640 Shibaura Engineering Works Ltd. CDE-300 Metal Etch
641 SpeedFam Corp. IPEC 472 Multi-Process CMP
642 Standard Research Systems SR560 — Low-noise voltage preamplifier Parts/Peripherals
643 Steag Industrie AG Marangoni IPA Dryer Batch Wafer Processing
644 S-TEC / S.E.S. CO., LTD. AE1085F Batch Wafer Processing
645 Strasbaugh 6DS-SP Multi-Process CMP
646 Strasbaugh 6DS-SP Multi-Process CMP
647 Strasbaugh 6DS-SP Multi-Process CMP
648 Strasbaugh 6DS-SP Multi-Process CMP
649 Strasbaugh 6DS-SP Multi-Process CMP
650 Strasbaugh 6DS-SP Multi-Process CMP
651 Strasbaugh 6DS-SP Multi-Process CMP
652 Strasbaugh 6DS-SP Multi-Process CMP
653 Strasbaugh 6DS-SP Multi-Process CMP
654 Strasbaugh 6DS-SP Multi-Process CMP
655 Strasbaugh 6DS-SP Multi-Process CMP
656 Strasbaugh 6DS-SP Multi-Process CMP
657 Strasbaugh 6DS-SP Multi-Process CMP
658 Struers Hexamatic Semi-Automatic Specimen Polisher
659 Sumitomo Eaton Nova (SEN) SHX II High Current Implanter
660 Sumitomo Eaton Nova (SEN) SHX II High Current Implanter
661 Sumitomo Eaton Nova (SEN) SHX II High Current Implanter
662 Sumitomo Eaton Nova (SEN) NV-GSD-HE3 High Energy Implanter
663 Sumitomo Eaton Nova (SEN) NV-GSD-HE3 High Energy Implanter
664 Sumitomo Precision Products Co., Ltd. SGRC Series Ozone Generator
665 TBD Furnace Tube Cart Quartz Tube Cart
666 Tecdia Inc. TEC-1228AL Wafer Breaker
667 Teikoku Taping System Co., Ltd. DXL2-800CS-LSR-BMP-CE Tape Laminator
668 Teikoku Taping System Co., Ltd. DXR2-800CS-CE Taper/Detaper
669 Teikoku Taping System Co., Ltd. DXL2-800CS-LSR-BMP-CE Tape Laminator
670 Teikoku Taping System Co., Ltd. DXL2-800CS-LSR Taper/Detaper
671 Teikoku Taping System Co., Ltd. EXM 800CS UVR CE Taper/Detaper
672 Teikoku Taping System Co., Ltd. DXR2-800CS-CE Taper/Detaper
673 Tektronix 177 Curve Tracer
674 Teradyne, Inc. Parts Parts/Options
675 Teradyne, Inc. J993 Memory Tester
676 TestEquity LLC. Half Cube Model 105 Temperature/Humidity Chamber
677 Texas INstruments LIS 4000ER Lead Inspection Equipment
678 Thermal Product Solutions (TPS) /Tenney/Lunaire TJR Temperature/Humidity Chamber
679 Therma-Wave Inc. Therma-Probe 300 Implant Dosing Measurement
680 Therma-Wave Inc. Opti-Probe 3290 Film Thickness Measurement System
681 Thermco Mini-Brute MB-80 Horizontal Furnace
682 Thermo (Fisher) Scientific Orion2 ESD Simulator / Gun
683 Thermo Fisher Scientific (Electron) Theta 300 MKII Wafer Characterization
684 Thermo Scientific UFP5030A Freezers
685 Thermo Scientific PlasmaQuad XS Spectrometry
686 Thermo Scientific / Heraeus UT 6 P Vapor Prime Oven
687 Thermotron SE-600-10-10 Environmental Chamber
688 Tokyo Electron Ltd. (TEL) CLEAN TRACK MARK V Single Block (Resist Coater/Developer)
689 Tokyo Electron Ltd. (TEL) TEL Various Parts Parts/Peripherals
690 Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)
691 Tokyo Electron Ltd. (TEL) Tactras Vesta NV3 Polysilicon Etch
692 Tokyo Electron Ltd. (TEL) Tactras Vesta NV3 Polysilicon Etch
693 Tokyo Electron Ltd. (TEL) Tactras RLSA Poly Polysilicon Etch
694 Tokyo Electron Ltd. (TEL) TELINDY Plus IRAD Oxide Vertical Furnace
695 Tokyo Electron Ltd. (TEL) ALPHA-303i process TBD Vertical Furnace
696 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
697 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
698 Tokyo Electron Ltd. (TEL) Tactras Vigus – Chamber Only Dielectric Etch
699 Tokyo Electron Ltd. (TEL) P-8 Production Wafer Prober
700 Tokyo Electron Ltd. (TEL) P-8 Production Wafer Prober
701 Tokyo Electron Ltd. (TEL) P-12XLn+ Production Wafer Prober
702 Tokyo Electron Ltd. (TEL) P-8 Production Wafer Prober
703 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober
704 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
705 Tokyo Electron Ltd. (TEL) Tactras Vigus Dielectric Etch
706 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch
707 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)
708 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)
709 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
710 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
711 Tokyo Electron Ltd. (TEL) TELINDY ALDOX Vertical Furnace
712 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS COAT ONLY Coat only Track
713 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)
714 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)
715 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing
716 Tokyo Electron Ltd. (TEL) UW300Z Batch Wafer Processing
717 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober
718 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)
719 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)
720 Tokyo Electron Ltd. (TEL) Cellcia Production Wafer Prober
721 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)
722 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)
723 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
724 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
725 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
726 Tokyo Electron Ltd. (TEL) ALPHA-303i Oxide Vertical Furnace
727 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
728 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
729 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)
730 Tokyo Electron Ltd. (TEL) TELINDY ALDOX Vertical Furnace
731 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Developer)
732 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)
733 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)
734 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch
735 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch
736 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)
737 Tokyo Electron Ltd. (TEL) Telius 305 SCCM Dielectric Etch
738 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing
739 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing
740 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
741 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
742 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
743 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
744 Tokyo Electron Ltd. (TEL) Tactras DRM3 Dielectric Etch
745 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
746 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
747 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
748 Tokyo Electron Ltd. (TEL) TELINDY ALD High-K Vertical Furnace
749 Tokyo Electron Ltd. (TEL) P-12XLn+ Production Wafer Prober
750 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Developer)
751 Tokyo Electron Ltd. (TEL) Cellesta+ Single Wafer Processing
752 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
753 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
754 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
755 Tokyo Electron Ltd. (TEL) TELINDY ALDOX Vertical Furnace
756 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch
757 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
758 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
759 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
760 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
761 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
762 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
763 Tokyo Electron Ltd. (TEL) TELINDY ALDOX Vertical Furnace
764 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
765 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
766 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
767 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
768 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
769 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
770 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
771 Tokyo Electron Ltd. (TEL) Precio XL Production Wafer Prober
772 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
773 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
774 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing
775 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
776 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch
777 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
778 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
779 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
780 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing
781 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
782 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)
783 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)
784 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)
785 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
786 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
787 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
788 Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)
789 Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)
790 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch
791 Tokyo Electron Ltd. (TEL) Cellesta Single Wafer Processing
792 Tokyo Electron Ltd. (TEL) Cellesta Single Wafer Processing
793 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
794 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
795 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
796 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
797 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
798 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
799 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
800 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing
801 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
802 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
803 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
804 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
805 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
806 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
807 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Developer)
808 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Developer)
809 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Developer)
810 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)
811 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)
812 Tokyo Electron Ltd. (TEL) Trias EX-II Plus HT Ti/TiN Metal CVD (Chemical Vapor Deposition)
813 Tokyo Electron Ltd. (TEL) Trias EX-II Plus HT Ti/TiN Metal CVD (Chemical Vapor Deposition)
814 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing
815 Tokyo Electron Ltd. (TEL) Tactras Vigus RK3 Dielectric Etch
816 Tokyo Electron Ltd. (TEL) Tactras Vigus RK3 Dielectric Etch
817 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
818 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)
819 Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition)
820 Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition)
821 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
822 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing
823 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
824 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
825 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
826 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
827 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
828 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
829 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
830 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
831 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
832 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
833 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
834 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
835 Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer)
836 Tokyo Electron Ltd. (TEL) Tactras Vigus RK5 – Chamber Only Dielectric Etch
837 Tokyo Electron Ltd. (TEL) NS 300Z Wafer Scrubber
838 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch
839 Toray Engineering Co., Ltd. PB3000 Flip Chip Bonder
840 Toray Engineering Co., Ltd. FC3000WL Flip Chip Bonder
841 Towa Corporation FFT1030G Molding Equipment
842 Toyota Industries Corporation T100L Dry Pump
843 UENO SEIKI CO.,LTD. WS-evo Wafer Inspection Equipment
844 UENO SEIKI CO.,LTD. WS-evo Wafer Inspection Equipment
845 UENO SEIKI CO.,LTD. WS-evo Wafer Inspection Equipment
846 UENO SEIKI CO.,LTD. WS-evo Wafer Inspection Equipment
847 Ultratech CGS-300 Stress Measurement
848 Ultron Systems Inc UH102-8 UV Irradiator
849 Ultron Systems Inc UH102-8 UV Irradiator
850 Ultron Systems Inc UH102-8 UV Irradiator
851 Ulvac Corporation Entron-EX: Aluminum Interconnect PVD (Physical Vapor Deposition)
852 Unaxis/Balzers EHV215A Power Supply
853 Unisem UN-2002A-PG Abatement – Scrubber
854 Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System
855 Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System
856 Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System
857 Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System
858 Unknown Dry Box Cabinets
859 Varian Semiconductor Equipment Associates (VSEA) 979-HE Leak Detector
860 Varian Semiconductor Equipment Associates (VSEA) VIISta 810 Mid Current Implanter
861 Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ High Current Implanter
862 Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ High Current Implanter
863 Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ High Current Implanter
864 Varian Semiconductor Equipment Associates (VSEA) VIISta PLAD High Dose Implant
865 Varian Semiconductor Equipment Associates (VSEA) VIISta PLAD High Dose Implant
866 Varian Semiconductor Equipment Associates (VSEA) VIISta PLAD High Dose Implant
867 Varian, Inc. Turbo-V 300 HT Turbo Pump
868 VARIOUS 1.25″ SILICON WAFER Wafers
869 VARIOUS 1.5″ SILICON WAFER Wafers
870 VARIOUS 1.875″ SILICON WAFER Wafers
871 VARIOUS 2″ SILICON WAFER Wafers
872 VARIOUS 2.25″ SILICON WAFER Wafers
873 VARIOUS 2.5″ SILICON WAFER Wafers
874 VARIOUS 2.875″ SILICON WAFER Wafers
875 VARIOUS 3″ SILICON WAFER Wafers
876 VARIOUS 3.25″ SILICON WAFER Wafers
877 VARIOUS 200MM SILICON WAFER Wafers
878 VARIOUS SILICON INGOT SILICON INGOT
879 VARIOUS 100MM SILICON WAFER Wafers
880 VARIOUS 125MM SILICON WAFER Wafers
881 VARIOUS 150MM SILICON WAFER Wafers
882 Various Various Parts/Peripherals
883 Veeco / Solid State Equipment Corporation (SSEC) Waferstorm 3300 / M3303 / M3304 (SSEC 3303/4) Single Wafer Processing
884 Veeco Instruments Inc. Dimension 7000 Atomic Force Microscope (AFM)
885 Verigy (Agilent) V4400 Memory Tester
886 Verigy (Agilent) V4400 Memory Tester
887 Verigy (Agilent) V4400 Memory Tester
888 Verigy (Agilent) V4400 Memory Tester
889 VERSA CONN CORP. WB-302 Triple+ Semi Automated High Force Wafer Bonder
890 Verteq, Inc. ST800 Batch Wafer Processing
891 Vistec Semiconductor Systems SB254 E-beam Lithography System
892 Vistec Semiconductor Systems SB254 E-beam Lithography System
893 Wentworth Laboratories MP-2000 Engineering Wafer Prober
894 X-Tek Orbita 160Xi X-Ray Inspection System
895 YAMATO WORKS NRY-101V6W/LU IR reflow
896 Zeiss UMSP 80 Spectrophotometer
897 Zygo Corp. AutoKMS-100 Reticle CD SEM
898 Zygo Corp. UniFire 7900 Overlay Measurement System
899 Zygo Corp. UniFire 7900 Overlay Measurement System

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5319-0-2024-2-3-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers