Main Maker

Typical Semiconductor Equipment

Description

  1. Mask Aligner/Step/Lithography
    • JEOL JBX 6300-FS Direct Write Electron Beam Lithography System, 10nm
    • Nanonex NX-2000 Nanoimprint Lithography (NIL) System, 10nm
    • Neutronix PLA501 Mask Aligner
    • Canon PLA-501 F
    • Canon PLA-501 F Mask Aligner
    • Neutronix PLA 500/501 Mask Aligner
    • Neutronix PLA-545 UV Mask Aligner
    • Heidelberg MLA150 Maskless Aligner,1um
    • ASML PAS5500/275D Stepper,280nm
    • Heidelberg Instruments DWL 2000 Laser Pattern Generator, 700nm
    • Suss MicroTec MA6/BA6 Contact Aligner , 1um
    • Suss MicroTec MA8 Contact Aligner, 1um
  2. Coater, Developer, Stabilization etc. for Lithography.
    • Brewer Science CEE 100 Spinner
    • BREWER SCIENCE CEE 200
    • CEE Apogee Spinner
    • Suss MicroTec ACS200 Automated Resist Coater
    • Suss MicroTec Delta12AQ Automated Resist Developer
    • Bachur & Associates LS-200FSX Photoresist Stabilization System
    • Silanization Oven
    • Microfluidic Test Station
    • PDMS Casting Station
    • Assembly Hood: Envirco Laminar Hood
    • Plasma Bonder: Harrick Plasma PDC-32
    • Forced Convection Curing Oven: Jeio Tech OF-12G
  3. Thin Film Equipment
    • Evaporator: Denton Vacuum Infinity 22
    • Electron Beam and Thermal Evaporator: Denton Vacuum Infinity 22
    • Thermal Thin-Al Evaporator, Denton “Bench Top Turbo” thermal evaporator
    • Cluster Sputter Deposition System: 4Wave IBD/BTD
    • Sputtering System B104 : Denton Vacuum Discovery 550
    • Atomic Layer Deposition: Oxford FlexAL
    • High Density Plasma Chemical Vapor Deposition (HDPCVD): Plasma-Therm Versaline
    • Parylene Deposition System: Specialty Coating Systems PDS 2010
    • LPCVD Silicon Nitride: Sandvik
    • LPCVD Polysilicon: Sandvik
    • Thermal Oxidation Furnaces: Sandvik
    • LPCVD LTO: Sandvik
    • Temescal FC-1800 Electron Beam Evaporator
    • CAMBRIDGE NANOTECH SAVANNAH S200 ALD. ATOMIC LAYER DEPOSITION SYSTEM
    • AMAT AMP-3300 PECVD
    • CPA S-Gun Sputter Deposition
    • CVC AST-601 Sputter
    • Emscope SC-650 Series
    • Kurt J Lesker Dual Thermo
    • MRC 603 MRC 693 TES
    • MRC 603 Sputter
    • MRC 643 Sputter
    • MRC 8671 Sputtering
    • Oxford 80+ DPCVD
    • Perkin-Elmer 4400 Sputtering Deposition Equipment
    • Perkin-Elmer 4450 Sputter
    • Plasma Therm 700
    • Plasmalab CVD-2
    • Plasma Thermal 790 PECVD
    • Temescal BJD-1800 E-Beam
    • Temescal BJD-1800 -TES
    • Temescal FC-1800 -TES
    • Plasmalab 80 Plus PECVD
    • Varian 3118 E-Beam Thermal
    • Varian 3120 EB Evaporator
    • CHA Industries Mark 50
    • Temescal FC-1800 Evaporator
    • TES FC-1800 E-Beam Evaporator
    • Perkin-ELmer 2400-8L
    • AIXTRON CCS 3X2 MOCVD reactor
  4. Dry Plasma Asher Descum
    • Downstream Plasma Asher: AllWin21 AW-1008
    • Microwave Asher TEPLA 300
    • Branson/ICP 3000
    • Allwin21 AW-B3000
    • Gasonics Aura 1000
    • Gasonics Aura 2000LL
    • Gasonics Aura 3000
    • Gasonics Aura 3010
    • Gasonics PEP3510
    • Gasonics L3510
    • Tegal 915
    • Tegal 200
    • Tegal 400
    • Tegal 900
    • Allwin21 AW-105R
    • Matrix 105
    • Matrix 209
    • Matrix 108
    • Matrix 205
  5. Dry Plasma Etcher ICP RIE DRIE Bosch Process
    • Deep Silicon Etcher: SPTS Omega c2L Rapier
    • Allwin21 AW303R
    • Allwin21 AW-901eR
    • Allwin21 AW-903eR
    • Matrix 303
    • Matrix 403
    • Tegal 903e
    • Tegal 901e
    • Branson/IPC 4150
    • Plasma Etch BT1
    • Plasma Etch PE-100 Series
    • Plasma Therm 700 PECVD Plasma Etcher
    • Plasma Therm 790 RIE
    • Lam Research AutoEtch 490 590 690 790
    • Lam Research Rainbow 4400 4500 4600 4700
    • Lam Research Rainbow 4420 4520 4620 4720
    • Lam Research Rainbow 4428 4528 4628 4728
    • Deep Silicon Etcher: Unaxis Shuttleline DSEII
    • Hydrofluoric Acid Vapor Etcher: SPTS microEtch
    • Inductively Coupled Plasma (ICP) Dielectric Etcher: Oxford Plasmalab 100
    • Plasmatherm SLR 720
    • STS MULTIPLEX -ICP Bosch
    • STS Multiplex ICP Plasma Etcher
    • STS Multiplex ICP MACS
    • Technics PE-11A
    • Oxford Plasmalab 800Plus RIE
    • AST Plasma Etch
    • SAMCO RIE-10NT
    • Inductively Coupled Plasma (ICP) Etcher: Plasmatherm Unaxis Shuttleline
    • Inductively Coupled Plasma (ICP) Metal Etcher: Oxford Plasmalab 100
    •  Oxford PlasmaLab System 133 RIE
    •  Oxford PlasmaLab System 100 RIE
    • Inductively Coupled Plasma (ICP) Silicon Etcher: Oxford Plasmalab 100
    • Inductively Coupled Plasma (ICP) III-V Etcher: Oxford Plasmalab 100
    • Ion Milling System: 4Wave IBE-20B
    • Reactive Ion Etcher: Plasmatherm Unaxis 790
    • Xenon Difluoride Silicon Etcher: Xactix Xetch
  6. Thermal Processors
    • Anneal Furnaces: Sandvik
    • AG Associates Heatpulse 210.Rapid Thermal Annealer
    • AG Associates Heatpulse 310
    • AG Associates Heatpulse 410
    • AG Associates Heatpulse 610
    • AG Associates Heatpulse 610I
    • AG Associates Heatpulse 4100
    • AG Associates Heatpulse 4100S
    • AG Associates Heatpulse 8008
    • AG Associates Heatpulse 8108
    • AG Associates Heatpulse 8800
    • Allwin21 AccuThermo AW610
    • Allwin21 AccuThermo AW810
    • Allwin21 AccuThermo AW820
    • Allwin21 AccuThermo AW820R
    • Allwin21 AccuThermo AW820V
    • AnnealSys AS-Master
    • MPT RTP-3000
    • MPT 600S
    • MPT 600XP
    • MPT 800S
    • JIPELEC JETFIRST 200
    • JIPELEC JETFIRST 100
  7. Wet processing
    • SSEC Single Wafer Cleaning System
    • Coppy MHY Plating
    • EEJA Manual Plater Model #4
    • Gold Techni TG25T Bath Rhodium 225
    • Semitool SD250 Spin Dryer
    • STI Semitool Spin Rinse Dryer ST-260
    • Technic Inc. Portable Wet Bench
    • Wet Process Equipment
    • Critical Point Dryer: Tousimis Automegasamdri-916B Series C
    • Critical Point Dryer: Tousimis Automegasamdri-815 Series B
    • Spray Solvent Lift-off Tool: Microprocess Technology Avenger
    • RCA Wet Bench: Reynolds
    • RCA Wet Bench B102: Reynolds
    • Silicon Nitride Etch Wet Bench: Reynolds
    • Silicon Etch Wet Bench: Reynolds
    • Spin Rinse Dryer: Semitool PSC-101
  8. Back End Equipment
    • Dicing Saw: Disco DAD-341
    • Dynex GSX Scribe and Break Tool
    • Flip Chip Bonder: Tresky T-3000-FC3-HF
    • Wedge Wire Bonder: Kulicke and Soffa Model 4526
    • Chemical Mechanical Polisher (CMP): IPEC 472
    • Post-CMP Wafer Cleaner: GnP 412S
    • Wafer Bonder: Suss MicroTec SB6e
  9. Metrology Imaging Analysis
    • Compound Optical Microscope: Nikon L200
    • Contact Angle Goniometer: First Ten Angstroms FTA125
    • Contact Profilometer: Bruker Dektak XT
    • Contact Profilometer: Bruker Dektak 6M
    • F.E.I. Quanta 200 FEG SEM
    • Nikon Microscope AFX-II
    • KLA Tencor P6
    • KLA Tencor P-7
    • CDE ResMap 178 Four Point Probe
    • EG 1034
    • West Bond Wire Bonder
    • Micromanipulator Probe Station
    • EG4090u+ 8 inch wafer probe
    • EG 4085X 8 inch wafer probe
    • Hitachi S-4700 SEM Working
    • Tencor M-Gage 200
    • Tencor MGage 300
    • Tencor Sonogage 200
    • Sloan Dektak II Profilometer I39930
    • Dektak model 3 Surface Stylus Profiler
    • Signatone CM170-6
    • Emscope SC-650 Series
    • Gaertner Scientific L117
    • Hewlett Packard 4061A
    • HP 4062 and Testers
    • Japan SANWA LC361C High Votage Probe
    • Leitz Ergolux AMC Microscope
    • MP2020 Wentworth Lab Probe
    • Nanoline CD Measurement
    • Nanometrics 210 Nanospec AFT
    • Olympus BHM
    • Olympus BX60 Microscope
    • Prometrix Film Thickness Probe
    • Prometrix SpectraMap SM-300
    • Relay Tester With APC Smart-UPS , HP Compaq Pickering Interfaces 40-914-001
    • Relay Tester With HP Compaq ,TDK Lambda
    • Relay Tester With HP Compaq Pickering Interfaces 40-914-001
    • Rudolph Research AutoEL SS291
    • Ecopia Hall Effect Measurement System HMS-5500
    • Filmetrics F40-UV Reflectometer
    • Filmetrics F50-UV Mapping Reflectometer
    • Four Point Probe: Four Dimension 280DI
    • Mercury Probe
    • Optical Profilometer: Sensofar
    • Parametric Test Station: Keithley 4200 SCS
    • Reflectometer: Nanometrics Nanospec
    • Spectroscopic Ellipsometer: J.A. Woollam M-2000 DI
    • Stereo Microscope: Nikon SMZ1500
    • Stereo Microscope: Olympus SZH10
    • Stress Measurement Tool: Toho Technology FLX-2320
    • Ted Pella easiGlow Glow Discharge Cleaning System
    • Leica EM GP Automatic Plunge Freezer
    • NanoMill Ion Mill: Fischone Model 1040
    • Cleaving Tool: Lattice Gear LatticAx
    • Analytical Transmission Electron Microscope: FEI Titan 80-300
    • Field Emission Scanning Electron Microscope: Zeiss Gemini 500
    • Field Emission Scanning Electron Microscope: Zeiss Ultra 60
    • Atomic Force Microscope: Bruker Dimension FastScan
    • High Resolution Atomic Force Microscope: Asylum Cypher
    • X-Ray Diffraction: Rigaku SmartLab
    • Dual Beam Scanning Electron Microscope (SEM) and Focused Ion Beam (FIB): FEI Helios NanoLab 660
  10. xxx

All the used equipment  trademarks belongs to the O.E.M. , the original equipment manufacturer. All rights reserved. 

SS2877/

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers