Main Maker

Semiconductor Equipment Parts in stock

Semiconductor Equipment Parts

Categories: ,

Description

The Semiconductor Equipment /parts are  in  USA and are subject to prior sale without notice. These items are only for end users. The items are subject to prior sale without notice.

6938 Thermco 2404 diffusion furnace, 4 stack, 3 tubes active, furnace and source cab
6939 Thermco 3100 furnace, 3 stack, 24 inch flat zone. perfect for 100mm
6940 Thermco 5 Loop Oxidation Gas Panel Assy for SVG Expertech Furnace, Used
6941 Thermco 5204 diffusion furnace, loadstation, source cabinet, tmx
6942 Thermco Furnace External Torch (Thermco)
6943 Thermco mini brute furnace, MB 71, with 2 loop rotometer gas panel
6944 Thermco MiniBrute MB-81 Annealing Tube Furnace 4″
6945 Thermco original furnace, 1966 model, single tube, museum piece, 1 inch wafers
6946 THERMCO PROCESS CONTROLLER TMX9000 117751-021
6947 Thermco Ranger diffusion furnace, 1 tube, 24 inch flat zone. perfect for 100mm
6948 Thermco TMX computer cabinet, 4 tube 5204, complete as removed from service
6949 THERMCRAFT INC. FURNACE SST-6.00-0-36-3C-D2155-DN UP TO 2204/1200 DEGREES
6950 THERMEX THERMATRON LABORATORY/PILOT MICROWAVE SYSTEM MODEL TM6 (LINE 2)
6951 THERMEX THERMATRON LABORATORY/PILOT MICROWAVE SYSTEM MODEL TM6C (LINE 1)
6952 Thermionics C94062NW-31 Vacuum Chamber Wafer Holder Slot, Flange, Access Window
6953 Thermionics Laboratory High Vacuum Wafer Transfer Module Chamber Robot Interface
6954 Thermionics Model HCR 1025-4-SD / 4-Pocket EGun / 25cc / 4 month warranty
6955 thermionics UHV 4 crucible 6kw electron beam gun evaporator on 12″ conflat MBE
6956 THERMO CHILLER SMC HRZ002-H-C
6957 THERMO CHILLER SMC HRZ010-WS-C
6958 THERMO ELECTRON NESLAB RTE 740
6959 THERMO ELECTRON SCIENTIFIC 622023991801 / 0190-06336 / DI COOLER 300MM ENDURA / THERMO ELECTRON SCIENTIFIC
6960 THERMO FISHER SCIENTIFIC 2015 NESLAB THERMOFLEX 5000 RECIRCULATING CHILLER
6961 THERMO FISHER SCIENTIFIC 2015 NESLAB THERMOFLEX 5000 RECIRCULATING CHILLER
6962 Thermo Fisher Scientific Model: HX-750W Pump Type: CP-75 (*) – Parts Only
6963 Thermo Kevex Model: KM12506SW X-Ray Tube. P/N: 5919-1005. <
6964 Thermo Kevex Model: P12506S X-Ray Tube Power Supply. <
6965 Thermo KeyTek RCDM3 Robtic CDM Test System ()
6966 Thermo LCQ Deca XP MS Mass Spectrometer, CTC HTS PAL, Michrom Paradigm HPLC, PC
6967 Thermo Neslab 622023991801 Heat Exchanger DIMAX 58201 Hrs Copper Tested Working
6968 Thermo Neslab 622023991801 Heat Exchanger DIMAX No Panels Tested As-Is
6969 Thermo Neslab 622023991801 Heat Exchanger DIMAX Tested Not Working As-Is
6970 Thermo Neslab DIMax DI Water Heat Exchanger DEI DI Max (AMAT 0205-00714) Maximum
6971 Thermo Neslab Steelhead 0 AMAT Thermal Fluid Recirculating Heat Exchanger
6972 Thermo Oriel Accudose 9000 Photospeed Tool
6973 Thermo Scientific PCB Assembly CoulArray Pstat/AD Circuit Board P/N: 70-1578
6974 Thermo Scientific/Forma 3911 Environmental Humidity Chamber 31″W x 24″H x 27″D
6975 thermo vacgen vacuum generators VG scienta HPT 4 axis heated cooled translation
6976 Thermo Vacuum Generators/ASM PN 6131050A P3000 MK2 Drive Assembly
6977 Thermo VG Scanning Auger XPS/AES Microlab 350 microprobe ***FINANCING***
6978 Thermo VG Scanning Auger XPS/AES Microlab 350 microprobe ***FINANCING*WARRANTY**
6979 Thermo VXR X-Ray Fluorescence Measurement System
6980 THERMOFISHER SCIENTIFIC 1333C1451020020 / CHILLER NESLAB THERMOFLEX3500 / THERMOFISHER SCIENTIFIC
6981 THERMOFISHER SCIENTIFIC1638D11010210000 / THERMOFLEX 10000 CHILLER TF100 B
6982 Thermonics T-2420 Precision Temperature Forcing System
6983 Thermonics T-2420BV Precision Temperature Forcing System **
6984 Thermonics T-2420SX7 Precision Temperature Forcing System
6985 Thermonics T-2420SX7 Precision Temperature Forcing System
6986 Thermonics T-2427 Temperature Forcing System
6987 Thermonics T2427 Temperature Forcing unit -80 225 C Calibrated Warranty CFC Free
6988 Thermonics T-2427 Thermal Inducing System Temperature Cycling *CALIBRATED*
6989 Thermonics T-2500 E Thermal Forcing Unit low usage
6990 Thermonics T2500E Temperature Forcing unit -80 to 225 C Calibrated & Warranty
6991 Thermonics T-2500-E-75 Thermal Inducing System Temperature Cycling *CALIBRATED*
6992 Thermonics T-2500S Thermal Inducing System Temperature Cycling *CALIBRATED*
6993 Thermonics T-2600BV Thermostream Thermal Inducing System Temperature Cycling
6994 Thermonics T-2650BV Benchtop Precision Temperature Forcing System -60°C to 200°C
6995 Thermonics T-2650BV-3 / Temptronics ATS 625 Thermostream Temp Forcing /4 mo wrty
6996 Thermonics T2820 Temperature Forcing unit -80 to 225 C Calibrated & Warranty
6997 Thermotron FM-16-CHM-5-5 Environmental Chamber Water Cooled 480V -60C
6998 THERMOTRON HUMIDITY CHAMBER
6999 Thermotron SM8C Humidity Chamber w/ Cascade Refridgeration
7000 Thermotron SM-8C Temperature Humidity Chamber *, 90 day warranty*
7001 THERMTEC MULTI TUBE FURNACE WITH ARGU GAS CONTROL 4FT LONG 6″ DIAMETER
7002 Thin Film Vacuum Chamber – Optical Coating, Various Application Capabilities
7003 THOMAS  608593 / MODEL 688CE44 150V 60HZ 3.9A FRAME 4.8 INS CLB /THOMAS PUMPS COMPRESSOR
7004 Thomas Swan EPISON II gas flow analyzer MOCVD Depositio
7005 Thomas Swan EPITOR Epison II MOCVD 1×2 Quartz Reactor Lepel RF Heater Deposition
7006 TIHMVA-60-V208-FC75-D50/3P/RT / HEATER IN-LINE, LUFRAN / PROCESS TECHNOLOGY
7007 Timing Generator Board User for sfs6400 / sfs6200
7008 TMC MICRO G ANTI VIBRATION CLASS 10 CLEAN ROOM TABLE- MODEL#63-631
7009 TMC Seismic Isolation Platform Sys. w/ Stacis 2100 & DC-2000 & 26 Iso Base
7010 TMC Stacis 2000 Complete Active Piezoelectric Virbration Control System
7011 TMP 25″ x 25″ COMPLETE 140 TON MULTI PLATTEN VACUUM HYDRAULIC LAMINATION PRESS
7012 Toddco General PRO-65 Hot Bar Bonding System
7013 Tokio Electron 2371 Tokio Electron (TEL) Clean Track AC Power Box ATBUL 150-175-100-2
7014 Tokuyama Vapor IPA Isopropyl SS Wafer Dryer Cleaaner +
7015 Tokuyama Vapor IPA Isopropyl SS Wafer Dryer Cleaaner +
7016 TOKYO ELCTRON LIMITED TEL 3M87-058595-11 / STAGE HEATER ASSY 300MM,(ELPR NS)
7017 TOKYO ELEC. 2187-207323-15 / MANIFOLD ASSEMBLY / TOKYO ELEC.
7018 Tokyo Electron (TEL) 3D10-150018-11 PLATE, EXHAUST (FC400 D32)
7019 TOKYO ELECTRON (TEL) ES2L80-050368-18 CONT EPD SE2000T..SC2650-S185
7020 Tokyo Electron (TEL) ES3D10-250102-11 NET, MANI FRONT (FC400 STEP)
7021 Tokyo Electron (TEL) ES3D10-250103-11 NET, MANI FRONT (FC400 STEP)
7022 Tokyo Electron (TEL) ES3D10-250104-11 NET,MANI RH(FC400 STEP)
7023 Tokyo Electron (TEL)  Unity DRM Bifurcate Fiber Optic Cable – EPD302/SE2000
7024 Tokyo Electron (TEL)  Unity SCCM Bifurcate Fiber Optic Cable – EPD302/SE2000
7025 TOKYO ELECTRON 1181-000451-14 or MC-31039B / TEL CONTROL CARD / TOKYO ELECTRON
7026 TOKYO ELECTRON 1B80-002821-12 / PCB SD2 ADD ON BOARD 96-24 WITH MEIDEN BOARD
7027 TOKYO ELECTRON 3D80-000214-V4 / BRINE DRM CHILLER,F24 ONLY LOW TEMP UT T-3055DD
7028 TOKYO ELECTRON A4020-90215HGE / CASSETTE TRANSFER ARM ASSY CARRIER ARM ROBOT / TOKYO ELECTRON
7029 TOKYO ELECTRON CS014-000722-1 / TEL KOMATSU ABBAA00220 CIRCULATOR, NE-363C / TOKYO ELECTRON
7030 TOKYO ELECTRON CS014-000733-1 / TEL TEMPERATURE CONTROLLER(KOMATSU AIH-64QS-T3)/TOKYO ELECTRON
7031 TOKYO ELECTRON CS1B14-000011-12 / HEAT EXCHANGER..AIH-64QS-T5 KOMATSU MODEL 20000300 / TEL
7032 TOKYO ELECTRON CS1B14-000011-12 / HEAT EXCHANGER..AIH-64QS-T5 MODEL 20000300 / KOMATSU TEL
7033 TOKYO ELECTRON CS1B50-000028-11/ COMPUTER..EC-CS30-WXP UA026-814Z/MEIDEN MEIDENSHA TEL
7034 TOKYO ELECTRON CT2980-090337-13/THETA DRIVER SR200A02-25N SUFFIX:-2SN*1C/96S2895/TOKYO ELECTRON
7035 TOKYO ELECTRON CT2985-428252-16 / CHAMBER (ACT8-ADH) CHAMBER ASSY / TOKYO ELECTRON TEL
7036 TOKYO ELECTRON LIMITED P-12XL AQA-K09-TYP2 CHILLER
7037 Tokyo Electron Limited TEL AQA-K09-TYP2 Chiller *, 90 day warranty*
7038 TOKYO ELECTRON TEL  UBRP4CTL DAIKIN BRINE CHILLER /TOKYO ELECTRON TEL 3D80-000709-V4 CHILLING UNIT
7039 TOKYO ELECTRON TEL 1181-000821-12 / BOARD, MDC FOR ALPHA-8SE / TOKYO ELECTRON TEL
7040 TOKYO ELECTRON TEL 1187-093732-11 / PCB ASSY / TOKYO ELECTRON TEL
7041 TOKYO ELECTRON TEL 1187-185982-11 / TC JUNCTION BOX 300MM 4 ZONE / TOKYO ELECTRON TEL
7042 TOKYO ELECTRON TEL 13002NP-159V7 / OXT,L3,ELECTROSTATIC CHUCK, YTTRIA COATED / TOKYO ELECTRON TEL
7043 TOKYO ELECTRON TEL 1D10-101965-12/ ELECTRODE BOTTOM C-ESC 88 DRM
7044 TOKYO ELECTRON TEL 1D10-150001-E1 / ELECTRODE UPPER (LD-D (HI-TEMP) (ES)
7045 TOKYO ELECTRON TEL 1D10-200734-12 / UNITY2-DRM COVER BELLOWS / TOKYO ELECTRON TEL
7046 TOKYO ELECTRON TEL 1D10-204338-13 / UPPER ELECTRODE TEL DRM / TOKYO ELECTRON TEL
7047 TOKYO ELECTRON TEL 1D10-204418-12 / UNITYME COVER BELLOWS Y203,RECOATED NON / TOKYO ELECTRON TEL
7048 TOKYO ELECTRON TEL 2105-122104-11 / BOAT, SIC BOAT 117P.HT ANNEAL INDYPLUS / TOKYO ELECTRON TEL
7049 TOKYO ELECTRON TEL 2105-122245-B1 REV A / BOAT, INDY A HTO 117 / TOKYO ELECTRON TEL
7050 TOKYO ELECTRON TEL 2105-420674-11 / QUARTZ TUBE, PROTECTION 303I / TOKYO ELECTRON TEL
7051 TOKYO ELECTRON TEL 2187-384446-11 / 46 HEATER 80A, 1-E / TOKYO ELECTRON TEL
7052 TOKYO ELECTRON TEL 3D05-200234C11 / COVER, SCREW UEL D424 / TOKYO ELECTRON TEL
7053 TOKYO ELECTRON TEL 3D05-350027-11 / INSULATOR RING,FG8 / TOKYO ELECTRON TEL
7054 TOKYO ELECTRON TEL 3D05-350028-11 / INSULATOR ESC ENCLOSURE / TOKYO ELECTRON TEL
7055 TOKYO ELECTRON TEL 3D10-100930-13 / ELECTRODE BTM 12Y HT-IST LIB / TOKYO ELECTRON TEL
7056 TOKYO ELECTRON TEL 3D10-150109-11 / BOTTOM-SHIELD-RING,FG8 / TOKYO ELECTRON TEL
7057 TOKYO ELECTRON TEL 3D10-150109-11, TEL, Tokyo Electron Limited, RING,BTM SHIELD FG8
7058 TOKYO ELECTRON TEL 3D10-200897-11 / SHIELD DEPO Y0 RECOAT / TOKYO ELECTRON TEL
7059 TOKYO ELECTRON TEL 3D10-250203-11 / RING,GND GROOVE,FG8 / TOKYO ELECTRON TEL
7060 TOKYO ELECTRON TEL 3D39-050149-41 /CONTROLLER, MATCHING, RF (DAIHEN WMN-50H6)
7061 TOKYO ELECTRON TEL DS012-007675-1 / VALVE, VAC / TOKYO ELECTRON TEL
7062 TOKYO ELECTRON TEL DS051-000487-1 / TORAY OXYGEN ANALYSER LC750L(D)/PC-120 / TORAY
7063 TOKYO ELECTRON TEL DS1110-210719-11 / CAP, NODE / TOKYO ELECTRON TEL
7064 TOKYO ELECTRON TEL DS1187-094316-11 / 76511 MANIFOLD / TOKYO ELECTRON
7065 TOKYO ELECTRON TEL DS2110-130940-14 / CAP SUS316L / TOKYO ELECTRON TEL
7066 TOKYO ELECTRON TEL DS2110-226747-11 / HEATER,PANEL (2110-226745-21) / TOKYO ELECTRON TEL
7067 TOKYO ELECTRON TEL DS2110-361026-11 / REDUCING, 100A X 80A / TOKYO ELECTRON TEL
7068 TOKYO ELECTRON TEL DS2124-000009-11 / MAGNETIC FLUID SEAL…MMVAHF 24 97 1 / TOKYO ELECTRON TEL
7069 TOKYO ELECTRON TEL DS2143-000001 / HEATER,RIBBON..34136-C01-2993 / TOKYO ELECTRON TEL
7070 TOKYO ELECTRON TEL DS2143-000042-11 / HEATER, MANIFOLD GPD / TOKYO ELECTRON TEL
7071 TOKYO ELECTRON TEL DS2180-020625-11 / MICA HEATER 24131-C03-1642 / TOKYO ELECTRON TEL
7072 TOKYO ELECTRON TEL DS2181-020069-1 /HSDL-VLV-I/F VLAVE CONTROL BOARD / TOKYO ELECTRON
7073 TOKYO ELECTRON TEL DS2185-034186-12, A31#2185-034186-12, MANIFOLD BDY.8SEN-L-MS TOKYO ELECTRON
7074 TOKYO ELECTRON TEL ES1D10-101446-12 / PLATE..BAFFLE, C (DRM2) / TOKYO ELECTRON TEL
7075 TOKYO ELECTRON TEL ES1D10-102222-13 / PLATE LID UPPER / TOKYO ELECTRON TEL
7076 TOKYO ELECTRON TEL ES1D10-302586-12 / COVER BELLOWS (B) / TOKYO ELECTRON TEL TOKYO ELECTRON TEL ES1D10-302586-12
7077 TOKYO ELECTRON TEL ES1D80-002210-11 / SCCM OXIDE ALUMINUM DUMMY CASETTE / TEL
7078 TOKYO ELECTRON TEL ES1D80-003143-46 / DAIHEN RF GENERATOR WGA-30C 2MHZ, 3KW / TOKYO ELECTRON
7079 TOKYO ELECTRON TEL ES1D80-003506-14,GEW3040MA-F2H00-01,RF GENERATOR 40.68MHZ ENI GENESIS TEL
7080 TOKYO ELECTRON TEL ES1D80-004539-11 / ANGLE V AVB61V-X0020 / TOKYO ELECTRON TEL
7081 TOKYO ELECTRON TEL ES1D80-004612-14RB / TOKYO ELECTRON TEL ES1D80-004612-14R,STP-A2203W1-U PUMP R / TOKYO ELECTRON TEL
7082 TOKYO ELECTRON TEL ES1D80-005356-14 / ETC ENI MW2-30 RF MATCH TEL UNITY IIE / TOKYO ELECTRON TEL
7083 TOKYO ELECTRON TEL ES1D85-010782-13R / CHUCK-ESC;TOKYO ELECTRON TEL ES1D85-010782-13R,ESC,SCCM,ASS / TEL
7084 TOKYO ELECTRON TEL ES3D05-350027-11, TEL, Tokyo Electron, INSULATOR RING, FG8, NEW, SEALED
7085 TOKYO ELECTRON TEL ES3D10-100912-11 / BAFFLE TEL DRM EXHAUST PLATE ANOIDIZED/Y2 / TOKYO ELECTRON
7086 TOKYO ELECTRON TEL ES3D10-150030-11 / DEPO, SHIELD ASSY / TOKYO ELECTRON TEL
7087 TOKYO ELECTRON TEL ES3D10-250205-11, TEL, Tokyo Electron, RING, SPACER, AL, FG8
7088 TOKYO ELECTRON TEL ES3D80-000075-17/GHW-50 ENI GHW55A-13DF4L0-001 5500W 13.56 MHZ RF GENERATOR/TEL
7089 TOKYO ELECTRON TEL ES3D87-002338-14 /DRM ESC 300 MM ELECTRODE BTM / TOKYO ELECTRON TEL
7090 TOKYO ELECTRON TEL ESBX80-7090-11 / END EFFECTOR TEL TELIUS TAPER / TOKYO ELECTRON TEL
7091 TOKYO ELECTRON TEL TSP-305D (PS4) / TEL TELIUS SP 305D 300MM ETCH CHAMBER / TOKYO ELECTRON TEL
7092 TOKYO ELECTRON TEL1D81-0000009-21C / TYB 121-1/COM / TOKYO ELECTRON TEL
7093 TOKYO ELECTRON TOB1 071 / BOARD IO MTR #04 MPC-T0070A-12 LITUIS PRO / TOKYO ELECTRON
7094 TOKYO ELECTRON TOB1069 / BOARD IO CSB #03 CPC-T0069A-13 / TOKYO ELECTRON TEL
7095 Tokyo Electron Vertical Diffusion Wafer Heater Heating Chamber VMU-40-009
7096 Tokyo Seimitsu Accretech UF200A AL Probing Machine **
7097 Tokyo Seimitsu A-PM-90A Full Auto Prober *, 90 day warranty*
7098 Tokyo TEL 3M87-021335 Rev. 17 Unity II Cooling Chamber with 30 day warranty
7099 Torit Downflo DFT2-4 Cartridge Collector & Flanders/CSC 304 SST Filter/Adsorber
7100 Tosch Large Double Walled Fused Quartz Tosch Furnace Bell Jar TC-163S-293-001
7101 TOSHIBA PC61910P07485 / MODULE, POWER TOSHIBA / TOSHIBA
7102 Toshiba TWH200JG Chamber Room Refrigerator TS480J2N Nikon NSR-S204B
7103 Toshiba TWH200JG Chamber Room Refrigerator TS480J2N Nikon NSR-S205C Working
7104 TOSOH 300MM PVD Chamber Kit VERSION 1 / 2ND PVD CIP 0021-26896, 0020-91244 / TOSOH SET
7105 TOSOH 300MM PVD Chamber Kit/CIP 0021-26896,0020-91244,0021-32761,0200-05896/TOSOH SET
7106 TOSOH MATERIAL ITO , D3367LN6-1G , 44790-29-027-250
7107 TOSOH MATERIAL ITO , D343x6.35 , no.D3275LN6-3G
7108 TPS (Thermal Product Solutions) Tenney T10RC-1.5 Humidity Cycling Test Chamber
7109 TPS Solar Belt Furnace, Model TPS-M-225 (3047-5)
7110 TPS-Thermal Product Solutions-Environmental Test Chamber
7111 Traverser Shuttle Conveyor, SMT Traverser Conveyor, Line Combiner Conveyor,
7112 TRAZAR 27-118072-00 MATCH,TRAZAR,IMPROVED COOLING
7113 Trazar AMU10A-1SP 1932-002 Auto Impedence RF Match Unit
7114 Trazar AMU10B-1 2739-001 RF Match Unit
7115 Trazar AMU5D-1 2334-005 Auto Impedence RF Match Unit
7116 Trazer 1308-002 RF Match Network AMU1A-20 Matrix System 10 Style 1104 Used
7117 Trebor 2472-AD Heater 2472-DI Untested As-Is
7118 TREBOR 620RF1285A0 / PUMP, MAGNUM 620, W/ SURGE (380-22013-000) / TREBOR
7119 TREBOR 620RF1285A0 / PUMP, MAGNUM 620, W/ SURGE (380-22013-000) / TREBOR
7120 Trebor Maxim 50 – Ultra High Purity Chemical Pump (Refurbished/Rebuilt)
7121 Trebor Quantum 144 QTM144V208A18-A, 208 VAC, Deionized DI Water Heater
7122 Trebor Quantum 144 QTM144V480AO4-A 480V Deionized DI Water Heater
7123 Trenton 92-005422 SBC Single Board Computer KLA-Tencor 389943 Tested
7124 TRI Test Research 7006L 2012 3D SPI Solder paste inspection system
7125 TRI Test Research AOI TR7500DTL
7126 TRI Test Research Inc. TR7500 (AOI) System
7127 TRI Test Research TR7006L SPI 3D Solder Paste Inspection
7128 TRI Test Research TR7500 Inline AOI 2D RGB+W 2010 vintage # T2001010018-001
7129 TRI Test Research TR7500 Inline AOI 2D RGB+W 2010 vintage # T2001010018-002
7130 TRI TR7100EPL Automated Optical Inspection Machine (2008)
7131 TRI TR7500 Automated Optical Inspection Machine (2011)
7132 TRI TR7502 DT Automated Optical Inspection Machine (2011)
7133 TRIKON TECHNOLOGIES LF 2.4 PSU / PLASMA SUPPLY UNIT
7134 Trion Minilock Reactive Ion Etcher RIE
7135 Trion Technology Phantom RIE Reactive Ion Etcher w/Dry Pump & Chiller 8″
7136 TRIO-TECH A-486-1 PRESSURIZATION SYSTEM FOR LEAK DETECTING
7137 TRIOTECH C103 TECHNOLOGY CENTRIFUGE
7138 TROPEL 29-0156 / OBJECTIVE, 15X / TROPEL
7139 Tropel lens (Make me an offer)
7140 trumpf huettinger truplasma mf3010 10kw 200-600 khz rfpp AE advanced energy
7141 TRUMPF HUTTINGER TIG30 DC PLUS 30 KW PLASMA EXCITATION DC GENERATOR 400V NEW
7142 Trumpf Lamp Power Supply Unit CW 0760874 New In Crate
7143 Trumpf Trulaser 2017 Trumpf Trulaser Station 5005 Laser Welding System, Fabrication
7144 Trust Automation TA2015 4-Axis Dual Robot Controller, ASM PN: 54-115407A09
7145 Trust Automation TA2015 4-Axis Robot Controller w/Pendant, ASM 54-115407A09
7146 Trust C-2030-D01 2 Axis Indexer Spindle Controller Lam 02-397379-00 Copper Spare
7147 TSK ID SAW
7148 Turbostream D 2500 G
7149 Turmatic Systems 819360057 UNIFLEX 258 Turret Head – Reconditioned
7150 TVL BB81-000943 / ARM ROBOT I/F PCB / TVL
7151 Tyco Electronics AVX1500 Screen Printer
7152 Tyco Press 5 Ton BMEP-5T ASG PCB SMT PC Board Electric MEP-6T MEP-12T Connector
7153 Tystar Mini Tytan 1600 Horizontal Furnace, 1 Stack, 150 mm, LPCVD, Complete
7154 Tystar Tytan 8300 Horizontal Furnace, 3 Stack, 200mm, Used
7155 udylite rectifiers
7156 UHV vacuum park instruments autoprobe VP STM scanning tunneling microscope AFM
7157 Ultra Violet Light Source UV EPROM Eraser PC8820 32×27
7158 UltraFab Stainless Steel Wet Bench 5ft
7159 Ultralow TTV SOI Wafer Silicon Wafer (SIMOX SOI Wafers)
7160 Ultrasonic Cleaner, Crest, Aqueous Precision Cleaning System | FREE SHIPPING
7161 ULTRATECH  9800109111 / CONTROLLER, ROBOT, PREALIGNER 18-25-00055 / ULTRATECH
7162 Ultratech 01-21-08944 Rev. B ASSY, BEAM TUBE, INPUT
7163 ULTRATECH 03-18-00440 / ULTRATECH STEPPER SIX PORT COMBO PCB / ULTRATECH
7164 Ultratech 1500 Reticle slider
7165 Ultratech 6” Chuck with Mirrors corts Stepper,
7166 Ultratech 60-08-0296 Power Line Conditioner for Model 1500 Wafer Stepper
7167 ULTRATECH INTERLOCK CONTROLLER 01-21-13050 REV B
7168 Ultratech Plate Cleaner 603 High Pressure 5″ Spin cleaner
7169 Ultratech Stepper 0423-82840-15 Photomultiplier Lens Alignment Chuck Mirror Asse
7170 Ultratech Stepper 19887320033 Wide Field Optics Module UltraStep 1000 Used
7171 Ultratech Stepper Illuminator Exposure Lamp Shutter Assembly Titan
7172 Ultratech Stepper Photomultiplier Lens Alignment Chuck Mirror Assembly, 17-1
7173 Ultratech Stepper UltraStep 1500 Big Field 1:1 Projection Stepper System Wafer
7174 Ultratech Stepper, UTS, 6”, B.4 Air Gate Loader, 0532-567400, Board: PCB S/N 20
7175 ULTRON SYSTEMS INC UH101C SEMIAUTOMATIC UV CURING SYSTEM
7176 Ultron Systems USI UH118 8″inch Wafer Cleaning System
7177 Ultron Systems, In. UH 130 Die Matrix expander
7178 Ultron Systems, Inc. Exposure system UH101
7179 Ultron Systems, Inc. UH 101
7180 Ultron Systems, Inc. USI UH130 Die-Matrix Expander for 5″ Wafers
7181 ULTRON UH104-8 UV TAPE EXPOSURE AND CURING SYSTEM4
7182 Ultron UH-130 for 200mm hoops
7183 ULVAC 1031640 / BELLOWS UNIT (EM117-025-02A) / ULVAC
7184 ULVAC 1035256 OR K2 / ROBOT WAFER TRANSFER SPECIAL / ULVAC
7185 ULVAC AA300EX-083-AA300EX-084 / ROBOT KEYTRAN IV ARMS / ULVAC
7186 Ulvac CRTM-9100G Crystal Oscillation Type Deposition Controller Working Spare
7187 ULVAC EM3506-123-65A / RING LOWER SHEILD 88618-08/ ULVAC
7188 ULVAC ENTRON W-200T6 PVD 200MM SPUTTERING SYSTEM
7189 Ulvac NE 7800 Ferroelectric Etcher
7190 Ulvac NE 7800 Ferroelectric Etcher
7191 Ulvac Technologies EM0852-160-04A Ti Target Holder Ceraus ZX-1000 New Surplus
7192 Ulvac Technologies EM1562-153-05B Shield Ring Cover Ceraus ZX-1000 PVD New
7193 Ulvac Technologies Enviro II Dual Chamber Advanced RF Strip System for 8″ Wafers
7194 Ulvac XeF2 release etch cluster tool FRE-200E
7195 ULVAC XU-MVS3121 / KEYTRON ROBOT ULVAC 4Z-EX W / XU-BDB0603 CONTROLLER / ULVAC
7196 ULVAC XU-MVS3122 ROBOT SET / ROBOT XU-MVS3122 CONROLLER XU-CM6000 ARMS / ULVAC
7197 Unaxis Balzers BAK1200 Box Coater / Carousel Loading / Dual Multipocket eguns
7198 Unaxis Balzers Swivel 2000 Metalizer Magsyst ARQ 900 w/Siemens Yokogawa Controls
7199 Unholtz Dickie MA100-20 Electrodynamic Shaker 20
7200 Unico 1105-460-005-C-Y-K31-E01-805604 AC Drive, 714937, 321178, 423766
7201 Unisem UN2000A-FSV Heat-Filter Burn Box Gas Scrubber/Abatement System
7202 Unisem UN9500A-R Dry Gas Scrubber/Abatement System
7203 Unit Design MDS-200 lead-free drag soldering machine
7204 UNITEK DP 125
7205 Unitek Micropull III Wire Bond Pull Tester (m/n: 6-095-06, Refurbished)
7206 Unitek Micropull IV Wire Bond Pull Tester (cat.no. MP4, m/n 6-099-02-01)
7207 Unitek Micropull IV Wire Bond Pull Tester (MP4/RS232C, 6-099-03-01)
7208 Unitek Phasemaster IV Hot Bar Solder Reflow System
7209 UNITEK UNIBOND Welder
7210 Univeral Instruments Advantis 4982 AC72 PCB Assembler
7211 Universal Advantis 4982-B Pick and Place Machine -FlexJet Head – 4.0 Mil Camera
7212 Universal Feeder Setup & Test Cart Station Triple Voltage Hover Davis MPUP07-06C
7213 Universal FlexJet 09, on head camera 7 spindle complete unit
7214 Universal Genesis GC-60 Pick and Place
7215 Universal Genesis GC-60 Pick and Place
7216 Universal Genesis GI-14D SMT Pick & Place Machine
7217 Universal Genesis GX-11S & PTF SMT Pick & Place
7218 Universal GSM 1 Placement Machine Pick & Place -(2) 4 Spindle Heads -Model 4681A
7219 Universal GSM C41 Head – 4-Spindle 44295103
7220 Universal GSM Hi Flow – 4-Spindle 44295102
7221 Universal GSM Pick and Place PCB SMT Instruments PC Board Placement 4681
7222 Universal Instruments 42004705 PC BD, VME QDF ASSY **NEW**
7223 Universal Instruments 44 inch Inspection Conveyor
7224 Universal Instruments 48789102 48789103 SERVO AMP Power Supply (PS Module)
7225 Universal Instruments 48987001 Thin Film Flux Dip Applictator Model# 10110
7226 Universal Instruments 49197601 GSM 1 Mil C4 Camera
7227 Universal Instruments 49400002 Magellan Digital Camera 2.3 mil/pixel ULC
7228 Universal Instruments 49400003 Magellan Digital Camera 2.3 mil/pixel ULC
7229 Universal Instruments 5362i 28″ Open-Center Edge Belt PCB Conveyor Station 110V
7230 Universal Instruments 5362i 28″ with 4″ extensions PCB Conveyor Station 110V
7231 Universal Instruments 5362i 28″,  4″ extensions with inspect. PCB Conveyor 110V
7232 Universal Instruments 5362I 66″ long Transfer Conveyor 110V 18″ wide # 10100939
7233 Universal Instruments 5362I Post AOI / SPI NG Sorting transfer conveyor cti
7234 Universal Instruments 5374i Shuttle Gate Aisle Transfer conveyor 18″ board width
7235 Universal Instruments 6241B VCD/Sequencer
7236 Universal Instruments 6287 VCD Axial Component Insertion Machine
7237 Universal Instruments 6346A PC Board Assembly and Manufacturing
7238 Universal Instruments 6772A MultiMod DIP Insertion Machine
7239 Universal Instruments 6772A Multi-Mod DIP Insertion Machine DIP/DIP tooling
7240 Universal Instruments Axial VCD 6241B Add On Module 20 Stations with 20 Heads
7241 Universal Instruments Axial VCD 6241D Add On Module 20 Station
7242 Universal instruments Boardflo 5423K multi magazine unloader asys
7243 Universal Instruments Feeder Bank Change Transfer Cart 49401802-030038 W/Feeders
7244 Universal Instruments Feeder Bank Change Transfer Cart 49401809
7245 Universal Instruments Front of Line Loader, End of Line Unloader & Push Conveyor
7246 Universal Instruments Genesis 4990E GC-60D UPS+ 8.5 High Speed Chip shooter # 10
7247 Universal Instruments GSM 4 Spindle Flex Head 45534201
7248 Universal Instruments GSM 4681A Pick and Place Machine T-Block “Upgraded”
7249 Universal Instruments GSM 4685A Pick and Place Machine
7250 Universal Instruments GSM Calibration Kit 45235205
7251 Universal Instruments GSM1 4681A
7252 Universal Instruments GSM2 4688A Dual Beam Pick and Place Machine “Upgraded”
7253 Universal Instruments GSMxs 5785A Advanced Semiconductor Pick and Place Machine
7254 Universal Instruments GSMxs 5785A Advanced Semiconductor Pick and Place Machine
7255 Universal Instruments Jumper Wire 8 Inserter 6293C
7256 Universal Instruments Magazine Loader System Model 5423K
7257 Universal Instruments Magellan 0.5Mpp Upward Looking Camera 50322401
7258 Universal Instruments Magellan 2.3 mil/pixel Upward Looking Camera 49400003
7259 Universal Instruments Magellan 2.3 mil/pixel Upward Looking Camera 49400003
7260 Universal Instruments Magellan digital camera 2.3Mil/pixel
7261 Universal Instruments MCOS Power Pca 49375801
7262 Universal Instruments PCB Conveyor 22″ Model# 5362i
7263 Universal Instruments PCB Conveyor 22″ Model# 5362i
7264 Universal Instruments PCB Conveyor 22″ Model# 5362i W/ Inspect & Power Width
7265 Universal Instruments PCB Conveyor 22″ Model# 5362i W/ Inspect W/ Flag & Follow
7266 Universal Instruments PCB Conveyor 22″ Model# 5362i W/ Inspect W/ Power Width
7267 Universal Instruments PCB Conveyor 22″ Model# 5362i W/ Inspect W/ Power Width
7268 Universal Instruments PCB Conveyor 22″ Model# 5362i W/ Inspect W/ Power Width
7269 Universal Instruments PCB Conveyor 22″ Model# 5362i W/ Inspect W/ Power Width
7270 Universal Instruments PCB Conveyor 36 inch Model 5362i
7271 Universal Instruments PCB Dual Stage Workstation/Inspection Conveyor 44″
7272 Universal Instruments PCB Inspection Conveyor 39″ Model# 5362i W/ Inspect
7273 Universal Instruments PCB Shuttle Gate Conveyor Model# 5374i
7274 Universal Instruments PCB Shuttle Gate Conveyor Model# 5374i
7275 Universal Instruments Radial 6358A Clinch Assembly
7276 Universal Instruments Radial 6380A Add On Sequencer Module 47040003
7277 Universal Instruments Sanyo Hitachi HSP Machine Moving / Rigging Wheel Kit
7278 UNIVERSAL INSTRUMENTS, 47545701, CPU Pentium
7279 Universal Magellan Digital 2.3 mil ULC camera PN# 49400003 Rev F
7280 Universal Multi Module 6772 Dip Inserter PCB SMT Instruments PC Board UIC Mode
7281 Universal Plastics Wet Station
7282 Universal Polaris Multi-Process Assembly Cells (4 Cell Available)
7283 Universal PTF G328C Tray Feeding Module
7284 Universal PTF G328C Tray Feeding Module
7285 Universal UniMod 6796A DIP Inserter with Keyence Light Curtain
7286 UNIVERSAL VOLTRONICS BMS-5-3000-VIS-E / 5KV POWER SUPPLY / UNIVERSAL VOLTRONICS
7287 UNIVERSAL VOLTRONICS BMS-5-300-VIS / HIGH VOLTAGE POWER SUPPLY LEFT WAFER / UNIVERSAL VOLTRONICS
7288 UNIVERSAL VOLTRONICS HIGH VOLTAGE POWER SUPPLY, ERC-10-1500N-STD-K3-A
7289 UNIVERSAL VOLTRONICS PRX-10-1500N-VSE-H17 /HIGH VOLTAGE POWER SUPPLY
7290 UNIVERSAL VOLTRONICS PRX-10-1500N-VSE-H17*E19011810 / HIGH VOLTAGE POWER SUPPLY/ UNIVERSAL VOLTRONICS
7291 UNOVIS Universal Genesis Advantis Matrix tray feeder / server
7292 Unused – Vacuum Deposition Evaporation Chamber w/ Cabinet & Components
7293 Unused Leybold Corona Sputtering System Elevator Board KHV-02
7294 Ushio UVFLS-172-VP-QC
7295 USI Ultra-Coat Prism 100 Automatic In-Line Conformal Coating Machine
7296 USI Ultra-Coat Prism 350 Conformal Coating Machine w/Dual Spray Head- X/Y Gantry
7297 USI Ultron Systems Inc. UH101 Semiautomatic UV Curing System
7298 USTC “STEELHEAD TYPE” Model 2200-DB-W96-CB Chiller W/ Thermal Control Mod 3602
7299 USTC 103320 Chiller, USTC-103320b-126,
7300 USTC 205000LC Chiller with hoses, 20A @ 208-230VAC, 205000LC-060,
7301 USTC 205000LC Chiller, S086, 405-002,
7302 USTC 205000LC Chiller, S094, 405-002,
7303 USTC 205000LC Chiller, USTC-205000LC, USTC-20500LC-073, 405-000-002,
7304 USTC Chiller, USTC-5000PC Chiller
7305 USTC Chiller, USTC-5000PC, USTC-5000PC-032,
7306 USTC USTC-205000LC Chiller, USTC-205000LC-052,
7307 USTC USTC-205000LC Chiller, USTC-20500LC-079, 405-002
7308 USTC USTC-5000PC Thermo Tool, PreChiller System, Chiller
7309 UTE Substrate Cleaning System SCS i 124
7310 UTI A18098 / ASSEMBLY POLARIZER TRUSS / UTI INVENTORY MANAGEMENT SOLUTIONS
7311 UTI A18576 / ASSY 300MM BASE CNTRL BD 4 W SNSR / UTI INVENTORY MANAGEMENT SOLUTIONS
7312 UTI A19607-001 REV A / 910 LASER PPM 910-100 / UTI INVENTORY MANAGEMENT SOLUTI
7313 UTXF5000 / CS-7000 ROBOT / MECS CORPORATION
7314 Uvexs 15053 CCU Tabletop UV Curing System1
7315 UW200 UW200Z UW-200Z Quartz Wafer Guide
7316 V.G. Quadruploes Ltd. Model 603 RF Controller
7317 vacgen VG scienta HPT-WX uhv vacuum Manipulator cryogenic heated
7318 VACNET RC4 RF Auto-Sputter 4″ Dual Target Load Lock Automatic Sputtering System
7319 Vacuum Atmospheres HE-243-XW Double Sided Single Length Glove Box
7320 Vacuum Atmospheres HE-43 Glove Box with Two Vacuum Oven Antechambers7
7321 Vacuum Atmospheres HE-553-4 Two Person Glove Box with Vacuum Oven7
7322 Vacuum Atmospheres Nexus Controlled Atmosphere Glove Box1
7323 Vacuum Atmospheres Nexus One Glove Box with Dual Vacuum Ovens and O2 Monitor
7324 Vacuum Atmospheres Nexus One Glove Box with Moisture Monitor0
7325 Vacuum Chuck for 6″ wafers
7326 Vacuum coater made by Shincron, Japan
7327 Vacuum Deposition Chamber- Stand Alone Universal Module
7328 Vacuum Deposition Chamber, 36” diameter X 46” high stainless steel
7329 Vapour Systems V-MAX Liquid Delivery Auto Refill System ARS-20/ARS20-I
7330 VARIAN  219480 / HIGH VOLT HV UNIT PS15 FARNELL HIVOLT VARIAN / FARNELL
7331 Varian / RF Plasma Products HFS-1000G/VA 1kW 13.56MHz Power Supply Rack A6-001
7332 VARIAN 001 / PCB ASSY X CORRECTION / VARIAN
7333 VARIAN 002-1100-12 / PCB BOARD / VARIAN BROOKS 002-1100-12 PRI 002-1100-12
7334 VARIAN 00-678549-01 / POWER SUPPLY, LOW VOLTAGE / VARIAN
7335 VARIAN 0068354402 / VARIAN 3290 WAFER CONROLLER / VARIAN
7336 VARIAN 0068498400 / VACUUM SYSTEM CONTROL / VARIAN
7337 VARIAN 04708211-01D / SERVO CONTROLLER VARIAN M2000/M2I / VARIAN
7338 VARIAN 04708626-02 REV E / WAFER ORIENTER CHASSIS VARIAN 04708677-07 M2000,M2I / VARIAN
7339 VARIAN 04708626-02 REV E / WAFER ORIENTER CHASSIS VARIAN 04708677-07 M2000,M2I / VARIAN
7340 Varian 04-714006 Rear Shield Plate
7341 Varian 04-714006 Rear Shield Plate
7342 Varian 04-714006 Rear Shield Plate
7343 VARIAN 04715350-01 / INTELLIGENT PROCESS COMPUTER VARIAN M2000,M2I / VARIAN
7344 VARIAN 04715359-01 / INTELLIGENT PROCESS COMPUTER / VARIAN
7345 Varian 04-715866 PP Weldment Shield
7346 VARIAN 04-716797 /MULTI RANGE DC SPUTTERING POWER SUPPLY M2000,M2I / VARIAN VPW2870P5-S
7347 VARIAN 04-719407-01D / TRANSFER COMPUTER VARIAN M2000,M2I / VARIAN
7348 Varian 04-721795-01 Chamber Liner Shield Assembly
7349 VARIAN 101557001 / POWER SUPPLY EMS 46-125-2-0674 190-250 VAC * 50 TO 60HZ * / VARIAN
7350 VARIAN 101866001 / GASBOX RDAC, CONTROLLER / VARIAN
7351 VARIAN 1035588001 / DOSE PROCESSOR ASSY / VARIAN
7352 VARIAN 104354001 / PCB SUBASSY RDAC / VARIAN
7353 VARIAN 105195001 / XP CURRENT INTEGRATOR / VARIAN
7354 VARIAN 107821001/ ELECTRON FLOOD CONTROL UNIT/ VARIAN
7355 VARIAN 108588002 / DOSE PROCESSOR ASSY ION IMPLANT SYSTEM / VARIAN
7356 VARIAN 11000044 / TCR POWER SUPPLY 20S50-2-D-0967 / VARIAN
7357 VARIAN 1106005 / VARIAN ACCEL COLUMN / VARIAN
7358 VARIAN 113110001 / 113032001 MOTOR MOUNT ASSY, MOTOR DRIVE ASSY / VARIAN
7359 VARIAN 3117 FILIMENT EVAPORATOR with REBUILT CTI CRYOPUMP WITH COMPRESSOR
7360 VARIAN 3117 FILIMENT EVAPORATOR with REBUILT DIFFUSION PUMP
7361 Varian 3190 Sputtering System – 100mm
7362 Varian 400 ATB PFG 5 mm NMR probe Kit 40-162 Mhz 1H/19F/X w/ warranty
7363 Varian 400-10 Ion Implanter Complete system / Complete crated system/ Inspection
7364 VARIAN 4282800 / APERTURE CHARGE EXCHANGE / VARIAN
7365 VARIAN 4372800 / OBS SHUTTLE MOTOR LEFT / VARIAN
7366 VARIAN 45-110-2-0767-1 / EMS POWER SUPPLY 7A1 BEAM FILTER MAGNET SUPPLY / EMS VARIAN
7367 VARIAN 45833-00 / TILT SCAN INTERFACE PCB/ VARIAN 46200-00 GETSPARES (208) 982-1862
7368 VARIAN 4600D-20250 / POWER TEN POWER SUPPLY 250 AMPS 20 VOLTS 190-253 VAC 3 PH / VARIAN
7369 VARIAN 46485-00 / PRECISION DOSE CONTROLLER, E500/E220 / VARIAN
7370 VARIAN 47210 USED Z AXIS ASSY HI CAP WIDE BELT XYFLEX PRO
7371 VARIAN 473003 / ACCEL/DECEL POWER SUPPLY / VARIAN
7372 VARIAN 6147800 / EMS POWERR SUPPLY / VARIAN
7373 VARIAN 677719 / WIDE RANGE THIN FILM MONITOR / VARIAN
7374 VARIAN 682211 CONMAG II INNER COOLING RING ASSEMBLY VAR 0474
7375 VARIAN 70007190 / ASSY CHASSIS PCB BASE / VARIAN
7376 Varian 7698931 TV-1001 SEM Turbo Pump
7377 VARIAN 919-0402S004 / ION PUMP DIODE STYLE / VARIAN
7378 VARIAN 9294011S002 929-4011S002 / MULTIVAC ION PUMP CONTROLLER KLA 780-14095-000
7379 Varian 959 Mass Spectrometer Leak Detector
7380 VARIAN 9699049S020 / TV 550 PUMP / VARIAN
7381 Varian 9699361K Turbo Pump w/ SQ158 Contoller, 422570
7382 VARIAN 9699448S003 / TURBO-V 2000 ICE C.U. / VARIAN
7383 Varian 9699546S002 Turbo-V 700 ICE Turbo Vacuum Pump Controller, 423285
7384 Varian Auto-Test 960 Leak Detector
7385 VARIAN BB95359685/ POWER SUPPLY (R) MASTER/SLAVE/ VARIAN
7386 VARIAN Cryo Vacuum Pump 12″ Model 325
7387 Varian DVP 500 Dry Oil-Free Fore Vacuum Pump 16 cfm
7388 VARIAN E104354004 / RDAC PCB ASSY / VARIAN
7389 VARIAN E11021390 / INTERFACE BOX, E500/E220 / VARIAN
7390 VARIAN E11021410 / SYSTEM CONTROLLER ASSY,SOURCE, VIISON / VARIAN
7391 VARIAN E11022430 / SCAN AMPLIFIER / VARIAN
7392 VARIAN E11024570 / CONTROLLER, VISTA, E500/E220 / VARIAN
7393 VARIAN E11031670 /CHAMBER SYSTEM CONTROLLER / VARIAN
7394 VARIAN E11031680 /FACILITIES SYSTEM CONTROLLER / VARIAN
7395 VARIAN E11040280 /CTI CRYO CONTROLLER ASSY/ VARIAN
7396 VARIAN E11054503 / GAS BOX POWER DISTRIBUTION / VARIAN
7397 VARIAN E11054630 / MUTLIPOLE POWER SUPPLY / VARIAN
7398 VARIAN E11054710 / WAFER HANDLER PNEUMATIC INTERFACE, VIISTA VISION / VARIAN
7399 VARIAN E11054770 / GAS FLOW CONTROLLER GFC 701 / VARIAN
7400 VARIAN E11054780 / FILAMENT POWER SUPPLY / VARIAN
7401 VARIAN E11054790 / CONTROLLER, VIISTA VISION / VARIAN
7402 VARIAN E11054791 / ARC POWER SUPPLY ASTEX SCH-80 (VIISTA VISION) / VARIAN
7403 VARIAN E11054800 / GAS CONTROL INTERFACE, E500/E220 / VARIAN
7404 VARIAN E11072750 / ARC FILAMENT CONTROLLER / VARIAN
7405 VARIAN E11072881 / MASS SLIT CONTROLLER / VARIAN
7406 VARIAN E11072980 / DOSE CONTROLLER / VARIAN
7407 VARIAN E11075890 / SOURCE MANIPULATOR CONTROLLER / VARIAN
7408 VARIAN E11075900 / VALVE INTERFACE, E500/E220 / VARIAN
7409 VARIAN E11075901 / HIGH ENERGY CONTROLLER, E500/E220, VIISTA VISION / VARIAN
7410 VARIAN E11076241 /CONTROL BOX MULTI BANK, VIISTA VISION / VARIAN
7411 VARIAN E11076242 / CONTROL BOX MULTI BANK, VIISTA VISION / VARIAN
7412 VARIAN E11076340 / POWER SUPPLY MODULE / VARIAN
7413 VARIAN E11077622 / PMAC INTERFACE CONTROLLER VIISTA / VARIAN
7414 VARIAN E11077623 / PMAC INTERFACE CONTROLLER VIISTA / VARIAN
7415 VARIAN E11078751 / WAFER HANDLER PNEUMATIC INTERFACE / VARIAN
7416 VARIAN E11082230 / CRYO PURGE CONTROLLER / VARIAN
7417 VARIAN E11082301 / PLATEN AMPLIFIER VIISTA / VARIAN
7418 VARIAN E11084080 / SERVICE MONITOR INTERFACE A2J7 A2J8 A2J9 / VARIAN
7419 VARIAN E11086970 / SIGNAL TOWER CONTROLLER / VARIAN
7420 VARIAN E11087051 / MAGNET CONTROLLER, E500/E220 / VARIAN
7421 VARIAN E11087930 / COMMON IO ASSEMBLY / VARIAN
7422 VARIAN E11094610 / I/V INTERFACE, E500/E220 / VARIAN
7423 VARIAN E11095112 / ANALOG-DIGITAL I/O INTERFACE / VARIAN
7424 VARIAN E11097240 / CONTROL CHASSIS / VARIAN
7425 VARIAN E11100760 / ICON CONTROL BOX / VARIAN
7426 VARIAN E11102760 / VARIAN LENS ASSY, HIGH ENERGY / VARIAN VARIAN E11102760 (208) 982-1862
7427 VARIAN E11104150 / CRYO PURGE CONTROLLER, VIISTA VISION / VARIAN
7428 VARIAN E11106220 / SOURCE ISOLATION CONTROLLER V80HP / VARIAN
7429 VARIAN E11115130 / WAFER LIFT CONTROLLER, E500/E220 / VARIAN
7430 VARIAN E11121250 / FIBER OPTIC NETWORK INTERFACE, E500/E220 / VARIAN
7431 VARIAN E11125640, E17125430, E17156590, E17241790 / ACCELERATOR COLUMN / VARIAN
7432 VARIAN E11128310 / DOSE CONTROLLER RIGHT / VARIAN
7433 VARIAN E11144020 / MANIPULATOR CONTROLLER / VARIAN
7434 VARIAN E11290090 / MANIPULATOR CONTROLLER 48V-1 48V-2 24V 15V -15V / VARIAN
7435 VARIAN E11292620 / VIEW PORT DOOR / VARIAN
7436 VARIAN E11351930 / FACILITY, WATER TURBO R/S / VARIAN
7437 VARIAN E15004060 / DIGITAL IO RELAY INTERFACE / VARIAN
7438 VARIAN E15004080 / INTERFACE LOGIC PCB / VARIAN
7439 VARIAN E15004180 / ROBUST LOOP MASTER PCB ASSY / VARIAN
7440 VARIAN E15006110 / 225 WPH INTERFACE PCB / VARIAN
7441 VARIAN E17267780 REV-A / WAVE GUIDE FOR VARIAN IMPLANTER / VARIAN
7442 VARIAN E19002610 / 004731162, 00473854, EMS POWER SUPPLY EMS-100-10-2-D-0980N / VARIAN
7443 VARIAN E19007280 /HIGH VOLTAGE POWER SUPPLY REV F PS/EX070P025A/GLASSMAN HIGH VOLTAGE
7444 VARIAN E19007300 / AMPLIFIER, DEFLECTOR / VARIAN
7445 VARIAN E19007811 / SERIES 400, ION IMPLANT HV CONTROLLER/VARIAN
7446 VARIAN E19009250 / FEED THRU ROTARY RIGHT HAND / VARIAN VARIAN E19009250
7447 VARIAN E19009990 11NS37-005 / MODEL 202 GVM CONTROLLER / VARIAN NEWTON SCIENTIFIC
7448 VARIAN E19285080 / POWER SUPPLY HV PULSER MAIN PLAD 20KV/30AMP / VARIAN
7449 VARIAN E19285111 / OL-3000 VARIAN HIGH VOLTAGE POWER SUPPLY/ HITEK POWER
7450 VARIAN E19299230 / HIGH VOLTAGE PULSE POWER SUPPLY DRIVER / VARIAN
7451 VARIAN E22000022 / GLASSMAN POWER SUPPLIES, 20 KV FOR VARIAN E500 / VARIAN
7452 VARIAN E22000033 / FA, SCANMASTER II PWR SPLY MDL SM 300 / VARIAN / BROOKHAVEN 25520160
7453 VARIAN E32000375IIS / VGA160IEP1 GATE VALVE V63 160 MM A/O / VARIAN
7454 VARIAN E40002123 / END EFFECTOR SPECIAL SINGLE PEEK / VARIAN
7455 VARIAN E7085-64400 / SCO1/16-40 SINGLE COOLER VOLT RTG. 3PH~200/20/V 400V 50/6 / VARIAN
7456 Varian Genus 61425 Power Supply 3151200-000E
7457 Varian High Vacuum Turbo Pumping Cart56
7458 Varian HVA High Vacuum Apparatus 6″ x 14.5″ Slit Valve 422-9614 Varian
7459 Varian Implanter CPU PCB 044-000311-1
7460 VARIAN IMPLANTER GAS B0X
7461 VARIAN Ion Implanter 1106005 / VARIAN ACCEL COLUMN
7462 VARIAN P15080709 / ACCELERATOR DRIVE POWER UNIT (VIISTA VISION) / VARIAN
7463 VARIAN PS066011-110 / LAMBDA ELECTRONICS 110V NOMINAL / VARIAN
7464 VARIAN PTS06001UNIV / TRISCROLL 600 DRY VACUUM PUMP,1 PHASE MOTOR 1201006416 / VARIAN
7465 Varian Triscroll 300 Rebuilt
7466 Varian Triscroll 600 dry pump REBUILT, 6 Month Warranty
7467 VARIAN TUBO VARIAN M – 147SP
7468 Varian turbo-v V70LP high compression turbo pump W/ new supply + dry
7469 Varian TV Turbo-V 301 6″ ConFlat Navigator Turbomolecular Pump PN: 9698919
7470 VARIAN TV-1001 NAVIGATOR MODEL # 9698931 TURBO PUMP TEGAL 80-055-621
7471 VARIAN TV-1001 SEM 2011/ 769893 SEM MACRO TORR TURBO PUMP / VARIAN
7472 VARIAN TV-1001 VARIAN NAVIGATOR MODEL # 9698931 TURBO PUMP W TEGAL FLD KIT 29-597-001
7473 varian veeco CAR 3 UHV MBE heated axial rotation stage molecular beam epitaxy
7474 Varian VHS-400 High Speed Diffusion Pump
7475 Varian-Eaton E11054660 ASSY, ES GAS DRY
7476 VAT 0210X-CA24-BJS1 / GATE SLIT VALVE / VAT
7477 VAT 0240X-CA24-AUF1/0043 Rectangular Wafer Transfer Vacuum Gate Valve
7478 VAT 0530X-CA24-ABM3/0002 Slit Valve, Gate, Rectangular Insert L-VAT, AMAT 452972
7479 VAT 07512-UA24-AJG1 / VAT SLIT VALVE 300MM / VAT
7480 VAT 10836-PE44-0005 Throttle Valve, 10-60411/163 Gate Valve, 419236
7481 VAT 10846-PE24-ATU2-0001 UHV Gate Valve
7482 VAT 12146-PA24-AOI2/0471 Vacuum Gate Valve, Shaft Feedthrough, 452795
7483 VAT 14050-PE24-AAC2/0003 GATE VALVE SERIES 140 HV GATE VALVE
7484 VAT 14052-PE44-0002/0091 A-263611 Gate Valve
7485 VAT 16548-PA21-AAB1 Pneumatic Pendulum Isolation Valve Series 16.5 New Surplus
7486 VAT 16548-PA21-AAB1/0003 Pendulum Control & Isolation Vacuum Valve
7487 VAT 24436-QA41-BEC3 / VACUUM ANGLE VALVE, NW80 / VAT
7488 VAT 61238-PEGG-BEB1/0001 BUTTERFLY VALVE CONTROL SYSTEM
7489 VAT 6406-PE48-0007 SST CONTROL GATE VALVE MOTOR
7490 VAT 64250-CE52-1101 Motorized Actuator HV High Vacuum Gate Valve
7491 VAT 64250-CE52-1101 Motorized Actuator HV High Vacuum Gate Valve Cut Screw Used
7492 VAT 65048-JH52-AGD1 / PENDULUM VALVE / VAT
7493 VAT Control Gate Valve DN 250 10″ Flange ISO F PN 267947
7494 VAT FURNACE P TRAP VAT VALVE 26434-KA231 26534-XA21 26434-KA21-BVI1
7495 VAT Gate VaIve, ISO 250 250mm 10″ 64248-PE52 excellent condition
7496 VAT Large Stainless Steel Gate Valve
7497 VAT PENDULUM VALVE 16250-PA21-ALL1-0002
7498 vat pendulum valve for Mattson tool. 65048-PH52-AHO1/0025
7499 VAT PM-7 ADAPTIVE PRESSURE CONTROLLER 650PM-16PD-AAX1/0020 w/ Cables
7500 VAT Rectangular insert MONOVAT direct valve
7501 VAT, 10846-XE28-AXQ1/0002, VACUUM GATE VALVE
7502 VCO  air solenoid valves 43 hand valves SS Hi-Purity VCO
7503 VEC-R-V80B0W2-400H / SYSTEM VALVE CONTOLLER / CKD CORPORATION
7504 VEECO – MONARCH LABS Inc PROBE STATION
7505 Veeco / Bruker Dektak 400 Stylus Profiler
7506 Veeco / Vacuum Instruments Corp Model: MS50 / SC7 Leak Detector <
7507 Veeco / Vacuum Instruments Corp Model: MS50 Leak Detector <
7508 Veeco / Wyko NT 1100 Optical Profiling System
7509 Veeco / Wyko NT 1100 Optical Profiling System
7510 Veeco 0336-007-67 150mm Low Profile Tooling Fixture
7511 VEECO 0386-186-001 PLASMA BOWL CERAMIC VEECO
7512 Veeco 205- 015A-0001 Advanced Lapping System *, 90 day warranty*
7513 Veeco Applied Material EPI Molecular Beam Epitaxy Effusion Cell
7514 Veeco Atomic Force Microscope AFM Dimension 5000-1
7515 Veeco Bruker Aluminum Wafer Chuck 450 mm New Old Stock (5316)
7516 Veeco Bruker Aluminum Wafer Chuck New Old Stock 450mm (5317)
7517 Veeco Bruker DI AFM Scanner Head Dimension 9000 Series DTIPX – Atomic Force
7518 Veeco Bruker DI Dimension Hybrid XYZ Scanning Probe Microscope Head / AFM
7519 Veeco D5000-1 Atomic Force Microscope AFM
7520 Veeco Dektak 300-SI Wafer Surface Profiler Profilometer
7521 Veeco Dektak V200-Si Stylus Profiler. Looking for a swift sale, motivated.
7522 Veeco DI Dimension V D3100V Atomic Force Microscope
7523 VEECO Di SIGNAL ACCESS MODULE,NANOSCOPE SCANNONG PROBE MICROSCOPE CONTROLLER
7524 Veeco Dimension 3000 (3000-1-NTSC-SCM-1) 397CAP Microscope
7525 Veeco Dimension Vx 330 Bridge 840-002-433 with Vexta Stepping Motor (4073)
7526 Veeco FPP5000 Four Point Probe
7527 Veeco FPP5000 Four Point Probe Resistivity Tester
7528 Veeco Gen II MBE
7529 VEECO GEN2 MBE SYSTEM Molecular Beam Epitaxy Installed and Running
7530 Veeco InSitu Control Unit + / National Instruments M Series X Series /
7531 Veeco InSitu Control Unit + / National Instruments M Series X Series / Windows 7
7532 Veeco Instruments Dektak 3030 Profiler
7533 VEECO INSTRUMENTS SYSTEM XR / X-RAY FLUORESCENCE SPECTROMETER / VEECO INSTRUMENTS
7534 VEECO ION MILL HIGH VOLTAGE FEED THROUGH
7535 Veeco Ion Tech Spector, Dual Ion-Beam Coating system – Fully loaded and working
7536 Veeco Julabo TCU1 High Precision Heat Exchanger4
7537 Veeco Model: AP-150 FPP Automatic Resistivity Probe <
7538 Veeco MS50 leak detector SC7 helium gas leak rate 2/.1 X 10-8 air 115 volt 25 a
7539 Veeco MS-50 SC7 Helium Leak Detector
7540 Veeco NEXUS RUCVD/Ru CVD C-1 Power Distribution Panel Unit
7541 Veeco PVD System Control Cabinet
7542 Veeco RF 350 or RF 1201 Ion Mill shielding 4 sets
7543 Veeco RF350 Complete Ion Source
7544 Veeco RF350 Enhanced Source Spare parts – Cylinder Bowl & Diffuser Plate
7545 Veeco Solus Ion Source Controller Model FC-FN Low Power, Part Number: 428301
7546 Veeco Solus Ion Source Controller Model FC-FN Low Power, Part Number: 428301
7547 veeco spector iontech HBDG ion assist ion beam sputtering system IBAD thin film
7548 VEECO SYSTEM XR
7549 VEECO VACUUM INSTRUMENTS CORP MODEL MS50 / SC7 LEAK DETECTOR
7550 Veeco Wyko NT3300 Optical Profiler Profilometer System
7551 Veeco/Wyko NT2000/Available NT3300/NT8000.. Metrology Systems Sales and Services
7552 VEGA PS63.CXPAKHAMXX /LEVEL TRANSMITTER, RADAR VEGAPULS 63/ VEGA
7553 VEGA PS63.uxnamhvnax/LEVEL TRANSMITTER, RADAR VEGAPULS 63/ VEGA
7554 VEM Cr TARGET PURITY 99.98% VEM-24-0050
7555 Ventex 8671 VEN-0019-00V Ceramic PIN Wafer Chuck 200mm TIC Coated
7556 Verigy 93000 E8012A Pin Scale 400 Channel Card P/N: E8012-68511
7557 Verity SD1024F-2-S PN 1007467, AMAT PN 0190-28658 w/ 0150-11539 & 1004351-300AT
7558 Verity SD1024F-2-S PN 1007467, AMAT PN 0190-28658 w/ Front Cables
7559 Verteq 1600 Spin Rinse Dryer (SRD)
7560 Verteq 1600-55A Spin Rinse Dryer (SRD)
7561 VERTEQ S600-42
7562 VERTEQ SC 1600-3 SPIN RINSE DRYER SRD VERTEQ 1600
7563 VERTEQ ST800-41TL
7564 Vertical inline sputtering chamber, with heat
7565 VESTA 300MM ELECTROSTATIC CHUCK 1201B-48512
7566 VESTA 300MM ELECTROSTATIC CHUCK 2X004T-00111
7567 VESTA 300MM ELECTROSTATIC CHUCK 2X30B-80811
7568 VESTA 300MM ELECTROSTATIC CHUCK 3400IT-07913
7569 VI Technology 4000L 3D SPI – Solder Paste Inspection (2009)
7570 Vi Technology 5K Series AOI Automated Optical Inspection
7571 VIC Turbo Vacuum Leak Detector – Vacuum Instrument Corp MD-390S Helium Portable
7572 VIDEOJET 1510 Ink Jet marker coding with rotary indexing table
7573 VIDEOJET 1510 Ink Jet marker coding with rotary indexing table- Used
7574 Videojet coding machine updated all the new parts to 1620 model
7575 View Engineering 2870240-1 System Controller 8100 3-D Scanning System Used
7576 Villa Precision GS-210 Glass Scriber with Automatic Single Head and Computer
7577 VILLA PRECISION MODEL BR-1800 FLAT PANEL GLASS BREAKER
7578 VILLA PRECISION Model FAST 2410V Glass Scriber
7579 VILLA PRECISION MODEL GS-210 FLAT PANEL GLASS SCRIBER
7580 VIPER stage Assy / STAGE ASSEMBLY WITH CHUCK 150 200 MM 740-212473-000 / KLA
7581 Viscom S3088 AOI Automated OpticaI Inspection System High resolution Capable
7582 Viscom S3088-III 8M-45RW module
7583 Viscom S3088-III AOI 06/2011 High resolution 01005 capable
7584 Viscom S3088-III bottom base assembly
7585 Vision 640 Vision Installation (266mhz) 49385801
7586 Vision Engineering Stereo Dynascope TS-2
7587 VISION ENGINEERING TS-3 Dynascope
7588 Vision Inspection System ’06 with Minarik Drive
7589 ViTechnology ViTech 2K Perform 2012 InLine AOI Inspection Machine
7590 ViTechnology ViTech 2K Perform 2012 InLine AOI Inspection Machine
7591 VITESSE / LASER FOR RUDOLPH 0178-639-52 / COHERENT LASERS
7592 VITRONICS 1336 NON-FOCUSED INFRARED THERMAL PROCESSING SYSTEM
7593 Vitronics 2010 Vitronics XPM2+ 820 Reflow Oven
7594 Vitronics 2011 Vitronics XPM3 820 Reflow Oven XPM3i SMT PCB Lead Free PC Board Solder
7595 Vitronics 6622CC Wave Solder Machine (C160428)
7596 Vitronics Corporation Multi-Pro 306 Reflow Belt Furnace
7597 VITRONICS MULTI-PRO 306 Reflow Furnace
7598 VITRONICS MULTI-PRO 306 REFLOW FURNACE
7599 Vitronics Soltec 6622CC Solder Wave w/Lambda & Chip Wave & Select X Spray Fluxer
7600 Vitronics Soltec Delta Max Solder Pot w/ Components
7601 Vitronics Soltec Delta Wave 6622CC Wave Solder Machine (Leaded)
7602 Vitronics Soltec Reflow Oven
7603 Vitronics Soltec XPM VP 520 Curing Oven – 3 Phase 400V
7604 Vitronics Soltec XPM2 Reflow Oven, lead free reflow oven, vitronics reflow 2007
7605 Vitronics Soltec XPM2-730 7 zone lead free reflow oven 208-240V
7606 Vitronics Soltec XPM3 820 Reflow Oven
7607 Vitronics Soltec XPM3-1030 Reflow Oven 2012, Edge/Mesh belt, 10 zone
7608 Vitronics Soltec XPM3i-820 8 zone Lead free reflow oven, 2011, 208V
7609 Vitronics Soltec XPM520 Reflow Oven (20″Wide Belt) KIC Thermal Profiling
7610 Vitronics XPM 820 Reflow Oven, XPM-820, Vitronics XPM Series Reflow, XPM820 used
7611 Vitronics XPM3 1030 N2 Reflow Oven
7612 Vitronics XPM3-820 2010 8 zone lead free reflow oven 480V
7613 VITROX V510 AOI Automatic Optical Inspection 2010 High resolution system 01005 S
7614 VJ Electronix 2006 SRT Summit 1100 Hot Air BGA Rework Station
7615 VJ Electronix 2011 SRT Summit 750 Hot Air BGA Rework Station
7616 VJ Electronix V-1000LP X-Ray System
7617 VL-50S / LITEMATIC FORCE MEASUREMENT 957460 / MITUTOYO
7618 VLSI standard wafer 0.496Um 200mm
7619 VM162/172 / PCB 31.152-1010.1 L/00 / PEP
7620 VM16A-203-0075-RE 801-5409-01 /PCB COGNEX IMAGE PROCESSOR WITH EXCHANGE/ COGNEX
7621 VMT8 / WAFER SORTER SYSTEM / RECIF INC
7622 VOIDLESS SOLDER REFLOW EUTECTIC SCIENTIFIC SEALING TECHNOLOGY MV 2200
7623 VP Vision Psytec VMX-3100M Wafer Surface Microscope Hayashi Luminar LA-180e
7624 VPT EVAPORATOR DEPOSITION CHAMBER HIGH VACUUM EBEAM COATING SYSTEM
7625 V-Tek TM-330 Tape + Reel Machine with Die Set Included!! 120V 60Hz
7626 V-TEX 91310-68084 ROLLCAM GATE VALVE HEATING 336X50TWIN
7627 VXI Technology 70-0054-353 VM2164 VM3640A Function Gen. & Universal Counter
7628 WAFAB International 72″ PVC-C Wet Bench
7629 Wafer Grinder 100mm by GMN Georg Muller Type MPS 2R-R300DS
7630 Wafer Handling Robot on Track Nikon WHX17019 Includes Cables & End Effector
7631 WAFER HOLDINGS 1075981.1000000001 TANK SC2 PROCESS TANK
7632 WAFER HOLDINGS 1080663.1000000001 TANK SC1 TANK ONLY – WITHOUT TRANSDUCER
7633 WAFER HOLDINGS 1080664.1000000001 TANK SC1 PROCESS TANK W/O TRANSDUCER
7634 Wafer Holdings TNK7A0112 Transducer 4 Wire Single Plate New
7635 Wafer Loader Transport (Part of SSi Orbit Track System)
7636 Wafer Semiconductor Processing Automated Heating Cooling Durex Hot Plate # 18293
7637 Wafer sorter, 2port wafer sorter, bluelabel2000, Wafer trasnfer Sorter
7638 Walker Scientific HS 96302 Laboratory Electromagnet Power Supply with Power Supp
7639 Waterjet 2011 Flow M2-3120b 6’6″ x 10′  8068278
7640 Waterjet 2011 Flow Mach 3 1313b, 4′ x 4′ Table Size, 50 HP, 60k PSI, CNC Waterjet, Fab
7641 Waterjet 2012 Flow Mach 3 2513b, Dynamic 4′ x 8′ Table Size, 50 HP, 60k PSI, CNC Waterjet
7642 Waterjet 2012 Flow Mach 3 4020b, 6.6′ x 13.1′ Table Size, 100 HP, 87k PSI, CNC Waterjet
7643 Waterjet 2012 Mitsubishi MWX3-612, 6′ x 12′ Table Size, 40 HP, 55k PSI, CNC Waterjet, Fab
7644 Waterjet 2015 Multicam 6-407-W-BR, 8′ x 16′ Table Size, 50 HP, 60k PSI, CNC Waterjet
7645 Waterjet Fabrication 2012 Ebbco Closed Loop System, Waterjet Fabrication
7646 Watkin Johnson-TEOS958 CRS Bulk Delivery Cabinet
7647 Watkins Johns Aviza WJ1000/WJ999 Nitrogen N2 Cabinet
7648 WATKINS JOHNSON 913050-001 MONOBLOCK INJECTOR MODULE 8″ TO 12″ WJ999 APCVD
7649 Watkins Johnson Aviza WJ1000 Alpha Wave Wafer Loader and Unloader System
7650 Watkins Johnson Aviza WJ1000 Alpha Wave Wafer Loader and Unloader System
7651 Watkins Johnson Aviza WJ1000/WJ999 Injector Rack Cart, Five Wide
7652 Watkins Johnson Aviza WJ1000/WJ999 Injector Rack Cart, Two Wide
7653 Watkins Johnson Aviza WJ-TEOS958 CRS TEOS Local Supply Cabinet
7654 Watkins-Johnson 6CR-55 Belt Furnace
7655 WATKINS-JOHNSON 6CR-55(H) Belt Furnace, 6″ Wide Belt, Hydrogen Capable
7656 WATKINS-JOHNSON 9QR-97 9″ Wide Belt Furnace 1000°C 8 Zones 20′ Long
7657 Wave Accuscribe Titan Laser Scriber
7658 Wave Exit Conveyor With Fans Width 18 – 24 inches
7659 Wave Laser Accuscribe 2150 For Sale
7660 Wave Research AccuScribe 2112 Wafer Scriber Stage & Laser Enclosure
7661 Wave Research Laser System: Ezlaze 532.
7662 Wave Research Laser System: Ezlaze 532. Micralink Micracor
7663 Wave Research Laser System: EZlaze Green – UV 532-355nm
7664 Wave Solder Machine, Technical Devices Nu Era CV16, Soldering, NEW Computer
7665 WDFVSTX2F /FILTER .1UM 1/2″ POU MILLIPORE/ MYKROLIS
7666 WEB TECHNOLOGY 8000 SERIES LEAK PRESSURIZATION SYSTEM
7667 WEB TECHNOLOGY CENTRIFUGE
7668 Wenesco M93L Dynamic Soldering Station 240V 2yrs old Excellent Condition
7669 Wentworth Laboratories MP-1000 Probe Station
7670 Wentworth Labs Analytical 900 Series
7671 Wentworth Labs MM2004 0-043-0001 Prober w/8″ Wafer Chuck
7672 Wentworth Labs PML 8000 Analytical Manual 8″ Probe Station Wafer Prober Mint
7673 Wentworth MP 0901 Manual Microanalytical Probing Station7
7674 Wentworth MP-1000A Manual Probe Station
7675 Wentworth MP2000 Semi-Automatic Prober 0-043-0001 (Used Tested Working)
7676 WENTWORTH PRO SERIES 900 PROBE-STATION
7677 WENTWORTH PROBE STATION
7678 Weresch Automat SBA-80 Automatic Cut & Bend Machine
7679 West Bond 3436E-9 Convertible Large Area Wire Bonder Seller Refurbished / Wrrty
7680 West Bond 5400 Ultrasonic Wedge Bonder
7681 West Bond 7372B Convertible Epoxy & Eutectic Die Bonder0
7682 West Bond 7372B Convertible Epoxy & Eutectic Die Bonder5
7683 West Bond 7372B Convertible Epoxy & Eutectic Die Bonder8
7684 West Coast Quartz 91-01627A Silicon Slab AMAT Applied Materials 0200-40013 New
7685 West Coast Quartz 91-01627A Silicon Slab AMAT Applied Materials 0200-40013 New
7686 West Coast Quartz 91-01627RW Silicon Slab AMAT 0200-40013 Refurbished
7687 West Coast Quartz 91-01627RW Silicon Slab AMAT 0200-40013 Refurbished
7688 WestBond / West Bond 3436E-90 Large Area Wire Bonder – Refurbished / Wrty
7689 West-Bond 35363730F High Frequency Convertible Automatic Wire Bonder
7690 West-Bond 4500 Programmable Semi-Automatic Ultrasonic Wedge Wire Bonder
7691 West-Bond 4500 Programmable Semi-Automatic Ultrasonic Wedge Wire Bonder
7692 West-Bond 4500-32-45 Wire Bonder w/ West-Bond 1600 Controller
7693 West-Bond 5700 Semi-Automatic Thermosonic Vertical Feed Wire Ball Bonder
7694 Westbond 5700B thermosonic ball bonder
7695 West-Bond 70PTE-75A Wire Bond Pull Tester
7696 West-Bond 70PTE-75A Wire Bond Pull Tester w/ Workholder (refurbished)
7697 Westbond 7200 CR Epoxy Die Bonder
7698 West-Bond 7200A Pick & Place Epoxy Die Bonder (refurbished)
7699 West-Bond 7316C Motorized Scrub Single Collet Eutectic Die Bonder
7700 West-Bond 7367A Eutectic Tweezer Bonder w/ Workholder & Controller
7701 West-Bond 7367B Eutectic Tweezer Bonder w/ Workholder & Controller
7702 Westbond 7372E convertible wire bonder
7703 West-Bond 7400A 45° Ultrasonic Wedge Ribbon Bonder Guaranteed 90-Day Warranty
7704 West-Bond 7400A 90° Feed Deep Access Ultrasonic Wedge Wire Bonder 90day Warranty
7705 West-Bond 7400A Thermosonic Vertical Feed Deep Access Wedge Wire Bonder
7706 West-Bond 7400A Ultrasonic 45° Feed Wedge Wire Bonder (refurbished)
7707 West-Bond 7400A Ultrasonic 45° Feed Wedge Wire Bonder (refurbished)
7708 West-Bond 7400A Ultrasonic 45° Feed Wedge Wire Bonder (refurbished)
7709 West-Bond 7400A Ultrasonic 90° Feed Deep Access Wedge Wire Bonder
7710 West-Bond 7400A Ultrasonic Manual Wedge Wire Bonder (refurbished)
7711 West-Bond 7400A Ultrasonic Manual Wedge Wire Bonder (refurbished)
7712 WESTBOND 7400A WEDGE BONDER < Guaranteed Bond >
7713 West-Bond 7400B Manual 45° Feed Wedge Wire Bonder (refurbished)
7714 WEST-BOND 7400IW BONDER W/ B&L STEREO ZOOM 4 MICROSCOPE
7715 West-Bond 7416A Thermocompression Wedge Wire Bonder (refurbished)
7716 West-Bond 7416A Thermocompression Wedge Wire Bonder (refurbished)
7717 West-Bond 7416A Thermocompression Wedge Wire Bonder (refurbished)
7718 West-Bond 7416A Thermocompression Wedge Wire Bonder (refurbished)
7719 West-Bond 747630E High Frequency Convertible Wedge Wire Bonder (45°/90°)
7720 West-Bond 747677B 7600B 90° Vertical Feed Deep Access Wedge Bonder
7721 West-Bond 747677B Convertible Wire Bonder w/ Gold Ball Bond Head (7700B)
7722 West-Bond 747677B Convertible Wire Bonder w/ Gold Ball Bond Head (7700B)
7723 West-Bond 7476EX Large Area Convertible 45°/90° Feed Wedge Wire Bonder
7724 West-Bond 7600B 90° Vertical Feed Deep Access Wedge Wire Bonder
7725 West-Bond 7700A Bonder / 7700A-45 – Wire Ball Bonder
7726 West-Bond 7700A Ultrasonic Ball & Wedge Wire Bonder (refurbished)
7727 West-Bond 7700A Ultrasonic Ball & Wedge Wire Bonder (refurbished)
7728 West-Bond 7700A Ultrasonic Ball & Wedge Wire Bonder (refurbished)
7729 West-Bond 7700B Gold Ball Wire Bonder w/ Heated Workholder & Controller
7730 West-Bond 7700C Thermosonic Ball-Wedge Wire Bonder (refurbished)
7731 West-Bond 7700D Manual Thermosonic Ball-Wedge Wire Bonder (refurbished)
7732 West-Bond PULL WIRE TEST MACHINE MODEL 70PTE-75
7733 West-Bond Westbond 7200AA Pick & Place Epoxy Die Bonder (refurbished)
7734 West-Bond Westbond 7300A Eutectic Ultrasonic Die Bonder (refurbished)
7735 West-Bond Westbond 7416A Thermocompression Wedge Wire Bonder Ref. #35913
7736 WestBond Wire Bonder #5700-45-53-58 & More!
7737 Western Magnum XRL 120 / XRL 120A Hot Roll Laminator / Excellent! 4 mo. wrty
7738 Western Magnum XRL 120 Hot Roll Laminator Photoresist
7739 Western Servo Design WS-809-0013 552-23882-00-RW Robot Controller *,
7740 Western Servo Design WS-908-0005 Robot Controller ()
7741 Western Servo Design WS-908-0008 Controller, Mattson Aspen Robot Controller
7742 Western Servo Design WS-908-0009 552-23882-00 Robot Controller, Mattson Aspen
7743 WESTKLEEN PCB WASH CLEANER CLEANING SYSTEM_AS-DESCRIBED-AS-AVAILABLE_FCFS~
7744 WESTWIND AIR BEARING D1583-01 NEW WOODEN CRATE POLISHER GRINDER SILICON WAFER
7745 Westworth 908
7746 WET BENCH TOOL CLEAN02
7747 WET15 TR2 / ROBOT ASSY, PCB WSP-009, CABLE CN T312 / DNS DAI NIPPON SCREEN
7748 WHITE KNIGHT SL100 SURGELESS PULSATION DAMPENER
7749 WHRV-5067-3-134A / RECIRCULATING HEATER/CHILLER FOR CORROSIVE LIQUIDS / WAFAB
7750 WINSYSTEMS 905290-001 / PCB MEMORY EXPANSION FSI232180-001 MUMC4-047 / WINSYSTEMS
7751 WP-5500 Lead-Free Reflow Oven for LED
7752 WS-70-FEGH / GUN, TFE ASSY / HITACHI
7753 WS-70-FEGH / GUN, TFE ASSY / JEOL
7754 WTM 750075 / 605E ROBOT CONTROL / WTM
7755 Wyko HD2000 / HD-2000 Non-Contact Optical Profiler Profilometer
7756 Wysong 1025, 1/4″ x 10′ Mechanical Shear, Fabrication
7757 Xactix Release Tool e1 Mod ,6″ Xenon Di-Flouride release tool.
7758 Xennia XenJet 4000 inkjet development dispenser
7759 XVME-530-70530-001
7760 XYCARB CERAMICS 10210015 Wide Body Bell-Jar New Surplus
7761 Xycarb Ceramics 10365580 ASM RP Chamber with Ribs
7762 Xycarb Ceramics 64573-01 Quartz Chamber with Ribs
7763 YAC Co Ltd H244 200 series semiconductor handler 200V 50/60Hz 247 3/2004
7764 YAG 2015 YAG TQL-LCY500-0505 Laser 7787668
7765 Yamaha Assembleon Feeder Jig KJ3-M34E0-01
7766 Yamaha YS12F Pick & Place (2013)
7767 Yamatake DMC55CVR40001000 Processor PCB Card 4S014-263 Nikon NSR-S620D Spare
7768 Yamatake DMC55CVR40001000 Processor PCB Card Nikon 4S014-252 NSR-S620D Spare
7769 Yamatake DMC55CVR40001000 Processor PCB Card Nikon 4S014-253 NSR-S620D Spare
7770 Yamatake DMC55CVR40001000 Processor PCB Card Nikon NSR-S620D Spare
7771 Yamatake DMC55CVR40001000 Processor PCB Card Nikon NSR-S620D Working Spare
7772 YAMATO POST RELEASE BAKE 410I
7773 Yang Analytical Probing System, Model YAF-1000PM-GLR, Build Year 2013
7774 YASKAWA  XU-CM7400 / YASKAWA CONTROLLER FOR TRANSFER ROBOT / YASKAWA
7775 YASKAWA 410000 ERCJ-CRJ3-B00-CN / YASKAWA ROBOT CONTROLLER YASKAWA ERCJ-CRJ3-B00-CN
7776 YASKAWA 4S587-667-2 / LINEAR MOTOR CONTROLLER / YASKAWA
7777 YASKAWA ELECTRIC 4S586-957-7 / LINEAR MOTOR CONTROLLER MODEL CLSR-4590-N2CC-1 / YASKAWA ELECTRIC
7778 YASKAWA ELECTRIC 4S587-295-2 / XU-DV0804V LINEAR MOTOR CONTROLLER / YASKAWA ELECTRIC
7779 Yaskawa Electric CLSR-A504-N2SB Motor Controller Nikon 4S061-666-1 NSR Working
7780 YASKAWA ELECTRIC JUSP-NS100 SERVOPACK – Lot of 50 Units
7781 YASKAWA ELECTRIC ROBOT XU-RCM845-COO
7782 YASKAWA ELECTRIC SGDH-01AE SERVOPACK – Lot of 50 Units
7783 YASKAWA ELECTRIC XU-ACL4141 / SGLFM-1Z945FAC MAGNETIC LINEAR MOTOR TRACK ASSY / YASKAWA ELECTRIC
7784 YASKAWA ELECTRIC XU-ACL4204 0190-1510 / ROBOT CONTROLLER VANTAGE 5 / YASKAWA ELECTRIC AMAT
7785 Yaskawa Electric XU-DV0802V Linear Motor Controller Nikon 4S587-295 NSR Working
7786 YASKAWA ELECTRIC XU-RSM5320A / YASKAWA CLEANROOM WET ROBOT FOR EBARA FREX / YASKAWA ELECTRIC
7787 Yaskawa Robot Type XU-RCM9205.01 (Good Condition & Working)
7788 Yaskawa Robot XU-RC350D-C03 Controller ERCR-NS01-B004 Wafer ERCR-ND10-C0 Axcelis
7789 Yaskawa XU-ACP130-A13 Pre Aligner NEW
7790 Yaskawa XU-ACP330-A12 R B Wafer Pre Aligner in great condition Silicon Wafter
7791 Yaskawa XU-ACP330-B10 Wafer Pre Aligner
7792 Yaskawa XU-DL1910 Motor Controller 4S587-288 Nikon NSR-S204B
7793 YASKAWA XU-MVS3120/ WAFER TRANSFER ROBOT W/ CONTROLLER XU-BDB06 AND ARMS/YASKAWA
7794 Yaskawa XU-RC350D-J03 Dual Arm Wafer Transfer Robot Dual Arm **NICE**
7795 Yaskawa XU-RC400M-D03 Dual Arm Wafer Transfer Robot
7796 Yaskawa XU-RCM 6501 Industrial Robotic Atmospheric Wafer Handling/Transfer Robot
7797 Yaskawa XU-RCM9205 01 Wafer Transfer Robot *refurbished, 90 day warranty*
7798 Yaskawa XU-RSM0230 Dual Arm Wafer Handling Robot Ebara EPO-222T
7799 Yasui Seiki 3-613 MICROGRAVURE Thin Film Gravure Coating Coater 250cm Wide
7800 Yes LP III Vapor Prime Oven
7801 YES YIELD ENGINEERING SYSTEM INC. MODEL YES READRITE
7802 Yestech  008 Yestech YTX-3000 High Resolution X-Ray System 130 KVA
7803 Yestech 11757 PCBA Thin Camera Hi-Speed
7804 Yestech F1 AOI Inspection – Lot of 2
7805 YesTech F1 AOI YTV-F1 In-line AOI, Fusion lighting, High Mag 0201 camera
7806 YesTech FX Automated Optical inspection (2014)
7807 Yestech YTV FX AOI Automated Optical Inspection
7808 Yestech YTV-2050 5 camera inline AOI system, yesvision 2.8.2 SW
7809 Yestech YTV-B3 Benchtop AOI Automated Optical Inspection (2009)
7810 Yestech YTV-F1 AOI – Automated Optical Inspection (2008)
7811 Yestech YTV-F1 F1 AOI Automatic Inspection machine 2006
7812 YESTECH YTV-FX 2011 5 Camera AOI Inspection system VX11071380
7813 YESTECH YTV-FX FX 2011 5 Camera AOI Inspection system VX11121451
7814 Yield Engineer Systems – Yes 450 PB 6-2 P Vacuum Oven
7815 Yield Engineering System YES-RCM3,
7816 YIELD ENGINEERING SYSTEMS OVEN YES-3TA 900-2672-21
7817 Yield Engineering Systems/Glen Technologies YES GLEN-R3A Plasma Cleaner
7818 Yield Engineering YES-6A Bake/ Vapor Prime Oven
7819 Yield Engineering YES-R1 Hybrid Plasma Cleaner/Etcher Cleaning System w/Pump+Gen
7820 Yieldup Omega 6200 Megasonic Wafer Cleaning System
7821 YJ Link ANRC-1000CE-L Reject conveyor for post AOI / SPI sorting / inspection
7822 YJ Link ANRC-80Y 2015 Reject board sorting conveyor after AOI / SPI
7823 Yokogawa/ Ando AQ6331 Optical Spectrum Analyzer
7824 Yokogawa/ Ando AQ6331 Optical Spectrum Analyzer
7825 YOUNG-TECH CO, LTD PGI00030ZH00 / TUBE-HEATER HEATER TUBE
7826 YR-CRJ3-A00 / ROBOT MOTOMAN CS023-003277-1 / YASKAWA ELECTRIC YR-CRJ3-A00
7827 YR-CRJ3-A01 / YASKAWA MOTOMAN ROBOT  208 982 1862
7828 Zeiss 347823-9003-100 Mains PSU Power Supply Unit with 14 day warranty
7829 Zeiss Axiosprint Automated Wafer Inspection Tool *, 90 day warranty*
7830 Zeiss Axiotron 2 300mm Video Wafer Inspection Station +Isolation Table Seoul 12″
7831 Zeiss LM100 large panel inspection station
7832 Zeiss SEM Wafer Probe Stage 6 motorized probe positioners camera 14 day warranty
7833 Zevatech 570 pick and place machine
7834 ZEVATECH ASM 810 suface mount pick&place machine Parts Unit
7835 ZEVATECH FS-730
7836 ZIATECH ZT 5510E /122833400 MFG,ASSY,BD,CPU,200MHZ,128M, PMC FLASH/ LAM
7837 ZIMRUBA MCF PLATING COATER SET UP FOR GOLD ZRPCX2
7838 ZMISC 269.328.02 / SCAN HEAD (GENERAL SCANNING)
7839 ZMISC CA80A7B8011X / VALVE MANUAL NW80 TRAP GATE / ZMISC
7840 ZMISC SS-IH2-000 / HEATER BLOCK ALD INCONEL HEAT (TT8-IS05-IH2-006) / ZMISC
7841 Zoom 8X – 80X Common Main Objective Stereo Microscope
7842 ZYGO 6191-0460-02 / LASER HEAD 7701 A/E 3MM / ZYGO
7843 Zygo 7705 Laser Head w/Exch PN 8070-0902-02 >425 uW 3.3 MHz Tested & Guaranteed
7844 Zygo 7712 Laser Head 6 mm P/N 8070-0159-02 1.7+ mW new/NOS but READ
7845 ZYGO 8070-0102-01 / 6MM LASER HEAD ZMI SERIES 400MW CLASS II / ZYGO
7846 ZYGO 8070-0902-01X / LASER, ZMI 7705 LASER HEAD W/EXCH 471-12148-000 / ZYGO
7847 ZYGO 8070-0902-03X / LASER HELIUM NEON 1.0 MILLIWATT CLASS II LASER / ZYGO
7848 Zygo Mark IV XP Interferometer System w. Computer – Parts/Repair – 6174-0100-01
7849 Zygo Mark IV XPS Interferometer System w. Computer – Parts/Repair – 0500-0200-01
7850 Zygo NewView 5000 Non-Contact Surface Profiler
7851 Zygo NewView 5000 NV5000 Non-Contact Surface Profiler (#3017)
7852 Zygo NewView 7300 Optical Surface Profiler
7853 Zygo SLWD 0.5X Objective for NewView Delta MPT Surface Profiler – New
7854 Zygo Veeco Mark MK-II-01 4″ 100mm Fizeau or Twyman Green Interfometer System

PLEASE USE “Ctrl” + “F” KEYBOARDS TO SEARCH WHAT YOU WANT AT THIS PAGE.

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

 

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers