Main Maker

Semiconductor Equipment Parts in stock

Semiconductor Equipment Parts

Categories: ,

Description

The Semiconductor Equipment /parts are  in  USA and are subject to prior sale without notice. These items are only for end users. The items are subject to prior sale without notice.

4572 LAMBDA 00473696, EMS POWER SUPPLY (LAMBDA) EMS
4573 LAMBDA 00481416 / ESS 200-50-2-D, EMI, ESS POWER SUPPLY / LAMBDA
4574 Lambda Physik Excimer Laser L1-fbg
4575 Lambda Technologies MicroCure VFM 2100 Microwave Oven MC 2100-700 Variable Freq.
4576 Laminator of coated film on 24″ Gen 2 glass with alignment mechanism
4577 LANTECHNICAL SERVICE CO. LTD WAFER LAMINATION MACHINE GLOVEBOX
4578 Lasemtech BCU-E252RSCU-COM Dual Channel Chiller Brine Chilling Unit E-Max
4579 Laser Beam Switch IPG
4580 Laser Enclosure with Indexer, Servo. Low Use! Looking for Swift Sale, Motivated.
4581 Laser Multiplexer Assy, SOM-A-22-006-0, 452546
4582 LASER PROFILER
4583 Lasertec 2LM21 Scanning Color Laser Microscope
4584 Lasertec Left Optical Stage Table Lasertec MD2500
4585 Lasertec Right Optical Stage Table MD2500 Photomask Reticle
4586 LAUDA LSI51903, TR400K/DN40, secondary circuit system
4587 Laurell WS-400B-6NPP/LITE Single Wafer Spin Processor Coater w/ Manual! Works!
4588 Laurell WS-500-8TFM Spin Processor Developing Station8
4589 Laurier CP-222 Chip Placement System
4590 Laurier Datacon Besi DS11000 LED C-C Pick and Place Machine
4591 LAURIER DS7000T Pick and Place For Die
4592 Laurier HA-250 Semi-Automatic Epoxy Die Bonder/Pick and Place (&)
4593 LAURIER INCORPORATED DS7000 Pick and Place For Die 208V 60 Hz 5 Amps
4594 LAURIER PICK AND PLACE MACHINE
4595 Laurier SA-202 Epoxy Die Bonder with Rotary Collet Die Pickup option7
4596 Laurier SA-202 Epoxy Die Bonder7
4597 LAURIER SA202 MANUAL PICK AND PLACE SYSTEM
4598 LCD TAB Bonder Mojave Block unitek Miyachi thin weldhead Phasemaster Unipulse
4599 LEAD FREE WAVESOLDER BRAND NEW! Wave Solder LeadFree
4600 LEAD FREE WAVESOLDER BRAND NEW! Wave Solder LeadFree
4601 Leco CM-15 Abrasive Cut-Off and Sectioning Saw9
4602 LECO Spectrum 2000 Grinder Polisher
4603 LeCroy DDA5005A Disk Drive Analysis Oscilloscope
4604 Lee Industries 500GALU Purified water distribution syst 500 gal ss tank UV CI-2S
4605 LEED system Physical electronics / perkin elmer / varian / veeco UHV vacuum mbe
4606 LEICA 301-364.060 / WETZLAR ERGOPLAN INDUSTRIAL PC IA C800A COMPUTER INS2000
4607 Leica Cambridge Instruments S 360FE SEM Scanning Electron Microscope Camscan
4608 Leica/Cambridge Leo 435 VP Scanning Electron Microscope SEM
4609 Leko L930U Pulse Heat Alignment and Bonding TAB/FPC onto TFT/LCD
4610 Leybold / Balzers ZH620 Corona Sputtering System – Fully Operational – Spares
4611 LEYBOLD 340MCT/TURBO PUMP 340, 89433 3620-01369/LEYBOLD VACUUM PRODUCTS INC
4612 Leybold 361C turbo — Brand New Pump with Used NT20 Package
4613 LEYBOLD 3620-01367, 340MCT LEYBOLD TURBO PUMP
4614 LEYBOLD 3620-01460 / MAG2000 TURBO PUMP, ANALOG ISO-F W/ EXCHANGE / LEYBOLD
4615 LEYBOLD 400035V0001 / MAG DRIVE DIGITAL CONTROLLER / LEYBOLD VACUUM PRODUCTS INC
4616 LEYBOLD 400110V0011 / TURBO PUMP MAG W 1300 / LEYBOLD VACUUM PRODUCTS INC
4617 Leybold Corona Sputtering System Elevator Board KHV-02
4618 LEYBOLD D25B CFM NEW
4619 Leybold D40BCS REBUILT
4620 Leybold D40BCS vacuum pump TrIVac mechanical Roots booster blower WS150 RUVAC
4621 Leybold D60AC stack with WSU250
4622 Leybold D65B Rebuilt
4623 Leybold D65BCS REBUILT FOR PFPE, FOMBLIN, KRYTOX PREPPED
4624 Leybold dryvac 251 dryvac+blower package
4625 Leybold Ecodry L dry vacuum pump
4626 leybold ecodry L dry vacuum pump – NEW uhv mks 48cfm
4627 LEYBOLD ECODRYL / 13950 VACUUM PUMP/ LEYBOLD
4628 Leybold Empty Vacuum Chamber
4629 LEYBOLD HAEREOUS Z660 DEPOSITION SPUTTERING
4630 Leybold Inficon Transpector Gas Analysis System New!!!
4631 Leybold L560 Empty Vacuum Chamber
4632 LEYBOLD LEYBOLD MAG1600 TURBO PUMP / LEYBOLD VACUUM PRODUCTS
4633 Leybold MAG 1000CT Turbo Pump
4634 Leybold Mag 2000 Turbo pumps, one MAG Turbo controller + 2 cables, Novellus
4635 Leybold Mag 2000CT Digital Turbo Pump, Rebuilt by Provac Sales, Inc.
4636 Leybold Mag 2000CTS Analog Turbo Pump, Rebuilt by Provac Sales, Inc.
4637 Leybold MAG Turbo Cables # 86029-001 & 86028-001 (10M each)
4638 Leybold MAG W1300CT Turbo Pump
4639 Leybold Oerlikon SC15D Oilfree Scoll Vacuum Pump ScrollVac SC 15D working 424481
4640 LEYBOLD RUVAC WAU 500 331 CFM BLOWER REBUILT
4641 LEYBOLD TURBOMOLECULE PUMP 40KF/DN CONNECTION TURBOVAC 50 / LEYBOLD VACUUM
4642 LEYBOLD TURBOSTREAM TST D 2500 G TURBORADIAL BLOWER VACUUM PUMP (D2500G)
4643 Leybold TurboVac 1100C Turbo Pump
4644 LEYBOLD TURBOVAC 151 / LEYBOLD VACUUM PRODUCTS INC
4645 LEYBOLD TURBOVAC 450C/ LEYBOLD VACUUM PRODUCTS INC
4646 Leybold TW 701 Turbo Pump, 800051V0025, 59V 48000 rpm
4647 Leybold TW 701 Turbo Pump, 800051V0025, 59V48000rpm
4648 Leybold UL200 Helium Leak Detector w/ Remote – last cal’d in 2009 – NM32
4649 LEYBOLD UL500 DRY LEAK CHECKER ANEST IWATA SCROLL PUMP ISP-500 MD-4 VACUUBRAND
4650 LEYBOLD VACUUM PRO NT1000/1500 VH / TURBO CONT, LEYBOLD NT1000/1500 VH, 110V
4651 LEYBOLD VACUUM PRODUCTS INC NT30M 85567 / NT30M CONTROLLER ASSY
4652 LEYBOLD VACUUM PRODUCTS INC TMP450 / TURBO PUMP Refurb no Cert
4653 LEYBOLD WSU 251 ROOTS BLOWER REBUILT
4654 Leybold ZV6000 In Line Sputtering System / Dual Sided
4655 LEYBOLD? 400-00103 / CONTROLLER-PROGRAMMABLE PLC-5/V30B TEXT 61-0255-40 / CFM
4656 Leybold-Heraeus Electron Beam Welder 60 Kv- PTR Technologies
4657 Liebert  2013 Liebert A/C Environmental Control Leibert BU067ADE1072C Computer Room Air
4658 Liebert SCC020C-4 Datawave 1 Magnetic Synthesizer
4659 LINDBERG / BLUE M MODEL CC59256PBC0MC ELEVATOR HEARTH 1500C DESKTOP FURNACE
4660 LINDBERG / BLUE-M CC59256PBC0MC Elevator Hearth Furnace – 1500C MAX TEMP
4661 LINDBERG Belt Furnace 842A24B3C2D2E2, 24″ Wide Belt, 600°C Max Temp, 230V
4662 Lindberg Blue M BF51842PC-1 1200 deg C Box Furnace
4663 Lindberg Blue M BF51842PC-1 1200 deg C Box Furnace1
4664 LINDBERG BLUE-M Model: BF51643C Box Furnace 1500CMAX Temperature
4665 Ling Dynamic Systems LDS V860 Vibration Tester Shaker 7500# force SN #217
4666 Lintec RAD-2500F/8 Fully Automatic Wafer Tape Frame Mounter1
4667 LINTECH RAD-2000F/8 / UV IRRADIATION SYSTEM 60HZ 1P 200VAC / LINTECH
4668 Litrex, Model 120 INKJET System, Printer. Ser: 12007-042. 200-250 Vac 5 Amps
4669 LKT Automation DPCS-NGCAM Die Placement Checking System
4670 LME STARMARK LASER MARKER
4671 LMS POWER ONE LMS 3 X 300 WATT LM5 2000 POWER SUPPLY RACK SETUP
4672 Load/lock sputter coater w. cathode, power supply, drives, ready to customize
4673 Logitech 1WBT2 4″ Wafer Substrate Bonding Unit/Bonder (1WBT1/1WSB2) II-VI III-V
4674 Logitech PM 5 Polisher
4675 Logitech PM5 polisher/Lapper
4676 Logitech Polishing And Lapping Jig With Dial Indicator
4677 Logitech PP5A PP5D PP5GT / LP50 Polishing Jig / 1PP52 1PP55GT Chemlox
4678 Longhill LH830 Wafer Mounter
4679 LongStars 2M 2000mm long 4 stage inspection conveyor nutek
4680 LOOMIS SCRIBER LCD 2P
4681 Lot Of 10 Gold sputter target Au 99.99% D=57mm T=0.1mm
4682 LPE2061 EPI Process Equipment
4683 LPKF PROTOMAT S42CIRCUIT BOARD PLOTTER
4684 LPO2200 ASYST SMIF ASCII PART # 9700-5296-01 REV 4
4685 LT Ultra Precision Beam Reducer Module Rev.6-65 Deg SOW-BLT-RM-001
4686 LTCC PTC – Pacific Trinetics Corporation Green Tape Manual Stacker / Tacker – LTCC
4687 LTO Front and Rear Thermco Furnace Flange Set, 225/235mm, Used
4688 LTX CREDENCE PROBER DUAL HFI 7V 300 AMP POWER SUPPLY 858-1158-01 876-0079-01 ATE
4689 LTX Fusion HF Test System
4690 LTX Trillium STE Test Head With a Reid Ashman Manipulator OMD50 OMD50SRE
4691 LUDL ELECTRONICS 73000100 / PSSYST INTERFACE MODULE CONTROL 100-240VAC 50-60HZ/ LUDL ELECTRONICS
4692 Lufran PTFE Process Bath Tank /NEC-J Controller
4693 Lufran PTFE Process Bath Tank /NEC-J Controller
4694 Lufran TIHMVA-40-V208-FC75-D50/MC In-Line Heater
4695 LUMONICS 4202036 / STABILIZER- LASER, MODEL # LPC-LUM/ LUMONICS
4696 Lumonics Wafermark II
4697 LUNA LUN-004-001 / TRUSTED HIGH PERFORMANCE ENCRYPTION CHRYSALIS-ITS / LUNA
4698 Lunaire CE0932W-4 Temperature and Humidity Stability Chamber3
4699 Lunaire CEO-910W-3 Shelf Life Accelerator Chamber
4700 LUNAIRE CEO-917W-2-C
4701 Lunaire CEO941W-4/CEO941-4/CEO 941-4 41 cu. ft. Humidity/Stability Test Chamber
4702 Lunaire CEO941W-4/CEO941-4/CEO 941-4 41 cu. ft. Humidity/Stability Test Chamber
4703 Lydall Affinity Chiller — FREE SHIPPING
4704 Lynx NTM530WSXL-1000-2 Inspection Conveyor
4705 Lynx NTM530WSXL-1000-2 Inspection Conveyor Year 2009
4706 M and W Systems RPCX28A-RNB-3.0HE Recirculating Cooling System
4707 M AND W SYSTEMS, RPC2/28W-RNB, FLOWRITE RECIRCULATING COOLING SYSTEM
4708 M AND W SYSTEMS, RPC2/28W-RNB, FLOWRITE RECIRCULATING COOLING SYSTEM
4709 M M MICROMANIPULATOR PROBE STATION SYSTEM
4710 M&W RPC2/28W-RNB /FLOWRITE RECIRCULATING COOLING SYSTEM / M&W
4711 Mactronix AWI-600 200mm Wafer Prealigner Handler Sorter
4712 MACTRONIX SWP-601 / WAFER BACKSIDE INSPECTION / MACTRONIX
4713 Magnescale BL55-005NEA5T01 Laserscale Amp with Read Head Nikon NSR-S620D Used
4714 MAGNET ASSY 0010-20819
4715 Malema MFC-8000-T2104-052-P-001 FLOW CONTROLLER Rev 004 F04-720110-22
4716 Malema Sensors Reflexion Slurry 3-Line Retrofit System New IFC-7300-001 AMAT
4717 Mania Technologe AOI Machine Set
4718 Manitou ATK Controller RF power Sys, SCR-3000 RF Generator
4719 Manix CF-11103 Basic Format HD Machine – No Attachments
4720 Manix CF-21340 Taped Radial Feeder Attachment with Die
4721 MANNCORP CR-6000 6 Zone SMT Reflow Oven Great Condition
4722 Manncorp SMT Auto Stencil Screen Printer Model TC 3040 / 4040 TP 360mm x 290mm
4723 MARANGONI DRYER 8 INCH 2X10 STAND ALONE / MARANGONI DRYER / STEAG MICROTECH
4724 Marathon Electric BVL 326TTDP4347ADL AC Electric Motor E11135480 Series E Used
4725 March Instruments PX1000E8 Plasma Asher/Etcher w/Pneumatic Vertical Door1
4726 MARCH PLASMA CLEANER AP-1000 VERY NICE
4727 March Plasma Cleaning, Plasma treatment system, March plasma system, March AP-1000
4728 March Plasma Cleaning, Plasma treatment system, March plasma system, March PX-1000
4729 march plasma P1000, used only 10 months by DOD, with stainless valves & chiller
4730 March Plasmod, Plasma cleaner, great condition.
4731 March PX1000 plasma asher with ENI ACG-10B 1000W Rf generator
4732 March PX-1000 Plasma System / PX1000 / Plasma Asher / Etcher / 6 Month Warranty
4733 March PX-250 Plasma Asher Etcher w/ Seren IPS R300 13.56MHz RF Generator
4734 March PX-500 Plasma Etcher
4735 MARCH XTRAK Plasma Treatment System
4736 Markem 552DE Industrial Offset Water-Washout Photopolymer Platemaker PARTS
4737 Markem 612 Laser Plate Maker
4738 Markem U-1477 Semiautomatic Tray Marker Marking Machine
4739 Marpet 1204W Wedge Bonder
4740 Marpet MEI 907 Wire Wedge Bonder w/ Bausch & Lomb Microscope
4741 Maruyama Risshi Co. EX-2004 Industrial Chiller EX-2000 Series AS IS
4742 Material Research Corporation MRC 603-III 3-Target Side Sputtering Chamber As Is
4743 Materials Research Corporation / Eclipse Sputtering A122094 Wafer Chuck RF Cylin
4744 Matheson SEMI Gas Systems Cabinet with 3 Tank Positions, 2 doors Fully Loaded
4745 Matrix 303 Etcher
4746 Matrix Integrated System 10 Model 1107 TTW RIE Reactive Ion Etch Plasma 8″ Wafer
4747 Matrix System One Etcher Motorola
4748 MATROX 133-D06-3 EXO-2480 / PCB ALPHA NUMERIC / MATROX
4749 Matsushita Seiki M-795 Pellicle Stand Alone Measurement System
4750 MATTSON 17000891 / ROTATION CONTROLLER ROT_32, HELIOS / MATTSON
4751 MATTSON 17000891 / ROTATION CONTROLLER ROT_32, HELIOS / MATTSON
4752 MATTSON 3001107 / LAMP FIELD TOP COMPLETE WHITE DE / MATTSON
4753 MATTSON 3002059 / RING BOTTOM COMPLETE 3000 STEAM / MATTSON
4754 MATTSON 3002229 / DIFFUSION, FURNACE, RING TOP, HELIOS / MATTSON
4755 Mattson 3006964 Computer 720-3610-01 BIOS V8.00.15
4756 MATTSON 48200269 / LOWER LAMP FIELD, FOR MATTSON RTP 3000 / MATTSON
4757 MATTSON 58700015/TUBE QUARTZ RIPPLE OR CHAMBER 2800,3000 STEAG AST RTP* W/CERTS*/MATTSON
4758 Mattson Aspen 2 Interface Wafer Transfer Robot *, 90 day warranty*
4759 Mattson Aspen 2 Monolith Robot Atmospheric * 90 day warranty*
4760 Mattson Aspen 3 Nexion Factory Interface (, 90 Day Warranty)
4761 Mattson Aspen 3 Power Distribution Box Main Body ()
4762 Mattson Aspen 3 Process Module Chamber Assembly ()
4763 Mattson Aspen 3 Rapid Thermal Process Power Supply Sub-Assembly RTP (Used)
4764 Mattson Aspen III CVD Chamber w/ Heater Chuck + Chamber Top
4765 Mattson Aspen III CVD Chamber w/ Heater Chuck + Chamber Top
4766 Mattson Aspen Rack Mount PC-520 65815 14286 14257 (Sold As-Is)
4767 Mattson Heater Block, p/n 303-22727-00 From Mattson Aspen III Semiconductor Mfg
4768 Mattson RFS RFS3018 233160-01 RF Match 553-19819-00 Mattson Aspen 3 (Working)
4769 Mattson RFS RFS3019 233349-01 RF Match 553-24005-00 Mattson Aspen 3 (working)
4770 Mattson Technology RTP LPA Chamber
4771 MBraun Eight Port Glove Box Workstation with Moisture and Oxygen Analyzer
4772 MBraun Labmaster 130 Glove Box Work Station
4773 MBRAUN MB VOH-600, MB VOV 2673
4774 MBraun MB200MOD Double Sided Glove Box4
4775 Mbraun MB Auto SPS Solvent Dispensing System
4776 MCT 3616E-6/3616E6 600 mil DIP IC 155°C Hot Temperature Test Handler
4777 MD-9201227 / TEL LHP HOT PLATE UNIT / TOKYO ELECTRON TEL
4778 MDC e-vap CVS6 6kw switching electron beam gun power supply filament transformer
4779 MDC High Vacuum Wafer Transfer Robot & 3 port Chamber
4780 MDC surface science UHV vacuum chamber based on 10″ conflat 1/4-20 newport table
4781 MDC vacuum 12″ conflat 4 way cross w/ (4) 2 3/4″ CFF flanges uhv mks huntington
4782 MDC Vacuum MT-16 SP Load-lock Chamber & 16” Transporter with End Clamp New
4783 MDX 10K AE Advanced Energy 2194-008-R DC Power Supply SLAVE Used Tested Working
4784 MDX 10K AE Advanced Energy 2194-008-X DC Power Supply SLAVE Used Tested Working
4785 MDX Advanced Energy 2194-004-B Magnetron Drive RF Power Supply
4786 MDX Pinnacle 20kW AE Advanced Energy 0190-26287-001 20kW Power Supply Tested
4787 MEA-000-200-250/FAN ASSY WESLAN MOTORIZED IMPELLER KIT/ LAM RESEARCH CORPORATION
4788 MEC81156-203L / INSULATOR RING, EXTD / MEC TECH
4789 MECHEL 703 EUTECTIC DIE BONDER
4790 Mech-El 703 Hot Gas Bonder
4791 Mech-El 703 Manual Eutectic Die Attach Bonder (refurbished)
4792 MECHEL 709 EUTECTIC DIE BONDER
4793 Mechel 709/703 Hot Gas Bonder UPGRADE
4794 MECHEL 827 BALL BONDER
4795 MECHEL 827 BALL BONDER < Guaranteed to bond your product > (REBUILT)
4796 Mech-El 827 Hybrid Gold Ball Bond Wire Bonder w/ Workholder
4797 MECHEL 829Z BALL BONDER (Bond guaranteed)
4798 Mech-El 907 45° Feed Ultrasonic Wedge Wire Bonder w/ Heated Workholder
4799 Mech-El 907 45° Feed Wedge Wire Bonder w/Heated Workholder (refurbished)
4800 MECH-EL 909 WEDGE BONDER WITH BAUSCH & LOMB & UTI LOGICON 5C-11
4801 Mech-El 990 Deep Access 90° Feed Wedge Wire Bonder w/ Heated Workholder
4802 MECS OFH3000-001 Wafer Prealigner Hitachi I-900SRT
4803 MECS UTC 350R ROBOT ARM WITH UTC 100A CONTROLLER 4 AXIS VAC. GRIP
4804 MECS UTV-2500H-SYSTEM Wafer Transport Robot System Controller CS-7000 Used
4805 MECS UTX-5000-SYSTEM Wafer Transport Robot System Controller CS-7000 Used
4806 MECS UTXN1311 Robot with 30 day warranty
4807 MECS/Cybeq/Asyst UTC800/UTC-800 Wafer Handling Robot Arm
4808 Mega Fluid Systems MEGApure10SS Chemical Delivery System
4809 MEI 1204B BALL BONDER << 1 Year Warranty >>
4810 MEI 827 Wire Bonder
4811 MEI Marpet Mech-El 709 Manual Eutectic Die Attach Bonder
4812 MEI/MECHEL 907 WEDGE BONDER (Guaranteed Bond)
4813 Meiden UA021/221H Industrial PC µPIBOC-III Hitachi 2 WAVE EPD Controller M-712E
4814 Meiden UA023/350A Lithius Career Station Controller TEL Tokyo Electron Used
4815 Meiden UA024/755H Industrial PC µPIBOC-I Hitachi Operation Controller M-712E
4816 MEIDEN UA035/131A / MEIDEN COMPUTER DUAL HD XP-PRO UR033/013A 164GB / MEIDEN
4817 MEIDEN UA206/011S-3000 / SCREEN P/N 2-36-7154 SLAVE TRANSFER CONTROLLER / MEIDEN
4818 MEIDENSHA Meiden UPIBOC- I Model UA026/811R Industrial Controller
4819 Meiki MHPC-V-300-300-1-25 PCB Hot Lamination Press 300 x 300 mm 245 kN
4820 Melco Technorex EQ-131AD-D W/ Original Box, DNS/ KDNS PART
4821 Mellen Box Furnace, Model MTB12-8X8X8-1Z
4822 Mellen Box Furnace, Model MTB12-8X8X8-1Z
4823 Mellen Split Tube Furnace, Model SC11-2X30-1Z -TUBE FURNACE
4824 Mellen Split Tube Furnace, Model SV11-3.25X24-1Z – TUBE FURNACE
4825 Mellen SV Split Tube Furnace, Model SV11-5X72-3Z LARGE 72″ ** 6 FT LONG 3 ZONE**
4826 MELLES GRIOT 532R-CNSR-A01 / LASER EMITTER CONTROL UNIT / MELLES GRIOT
4827 MERCURY COM PCI02B2GH 910-07061 / SGS PCB KLA 003099-000 901-40044R.1C XUV IMG / MERCURY COM
4828 METAPULSE 200 / TOOL RMP402 / RUDOLPH RESEARCH CORP
4829 Metcal Apr 5000 XL (latest model) rework station APR-5000-XL
4830 Metcal Apr 5000 XL latest model rework station APR-5000-XL ser.001534
4831 Metcal APR-5000-DZ Array Package Rework System
4832 METCAL Scorpion APR-1200-SRS BGA rework machine 12/2017
4833 METER Segmented Inspection Conveyor
4834 Metricom 2010M Dual Wavelength Prism Coupler Film Thickness Measuring System
4835 Metron A127669 POD Door Short Weldment Rev. C TEL Tokyo Electron New
4836 Metron EcoSys Marathon 8500 Gas Scrubber/Burn-Wet Abatement Treatment System
4837 Metronelec Menisco ST60 Solderability Tester
4838 MEYER BURGER PRECISION SLICING SAWS AG TS3 used w/hyd truing grinder/MAG CHK
4839 MGE T100H-5002-5 / TOPAZ 100 / MGE
4840 MGS Rotary Pick and Place Machine Model RPP-421
4841 Micrion FEI 9500 peabody Focused Ion Beam Stage
4842 Micro Automation 1100 Dicing Saw – Overall Excellent Condition.
4843 Micro Memory Inc. MM-6326 VME Mainframe Board KLA Tencor 712-404561-00
4844 Micro Point Pro MPP i5000D Dual Ball & Wedge Manual Wire & Ribbon Bonder
4845 Micro Sense ADE 6300 3″ – 12″ / 300mm Wafer Thickness / KLA-Tencor
4846 MICRO VISION 740-300 / MICROVISION ROBOT / MICRO VISION
4847 MicroAssembly MAT 6400 Automatic Thermal Die Attach System/Bonder
4848 MicroAssembly Technologies 6497 Semiautomatic Die Bonder 752
4849 Microfocus CS100 90kv X-ray machine used in examination of electronic assemblies
4850 MicroJoin B-4200-B SERIES
4851 MICROLINE / CLEANER ACCEL 220VAC 3P 70A / SPEEDLINE TECHNOLOGIES
4852 MicroManipulator 2230 Wafer Level Electromigration Prober 3 Station 6″
4853 Micromanipulator 300mm probe Station With Thermal Chuck, wafer prober
4854 Micromanipulator 450PM-A prober
4855 Micromanipulator 6″Prober Mitutoyo Microscope Probe Station with Laser Cutter
4856 Micromanipulator 6000 4″ Inch Prober Probe Station Refurbished 1 Year Warranty
4857 MicroManipulator 6000 4″ Manual Prober/Micro Manipulator 100 mm
4858 Micromanipulator 6000 High Resolution Manual Wafer Prober2
4859 Micromanipulator 6000 Probe Station With 2 Manipulators (1 Yr Parts Warranty)
4860 Micromanipulator 6100 Prober w/ 5″ Chuck, (4) Micropositioners, Dark Box
4861 Micromanipulator 6200
4862 MICROMANIPULATOR 6200 MANUAL PROBING STATION
4863 MicroManipulator 6200 Probe Station Ultrasonic TempChuk
4864 Micromanipulator 6200 prober,Refurb Free Ship,1 YEAR Warranty, University Discnt
4865 Micromanipulator 6200 prober,Refurb Free Ship,1 YEAR Warranty, University Discnt
4866 Micromanipulator 7000 LTE Prober Dark Box1
4867 Micromanipulator 8 inch 3000 Volt Prober Cascade Microtech Probes Refub Tek 371A
4868 Micromanipulator Co. Model 6000 Wafer Probe Station *clean and shiny!* PLS READ!
4869 Micromanipulator Manual Probe Station w/2-Probes, 6″ Hot Chuck, Dark Box
4870 Micromanipulator Model 8840 Manual Probe Station
4871 Micromanipulator Probe Station w/ Mitutoyo Microscope Head and Lenses
4872 MICRONTOME 99400-00 / CASE CANON SPECIFIC MULTI RETIC / MICRONTOME
4873 Microprocess Technologies Avenger Basic 8 Wafer Spin Rinse Dryer
4874 Microsence ADE 6033T Wafer Tester
4875 Microsence ADE 6033T Wafer Tester Thickness Measuring Gauge Great Condition
4876 Microsence ADE 6033T Wafer Tester Thickness Measuring Gauge Great Condition
4877 MIDAS TECHNOLGY DL-4 DE-LIDDER FOR HERMETIC MICROELECTRONIC PACKAGES DELIDDER
4878 MILARA BROOKS EQUIPE PRI ROBOT ATM-105-1-S-CE 6-0002-1353-SP WAFER HANDLER
4879 Milara PRE201 Brooks 6-0000-1406-SP Pre-Aligner
4880 Milara SemiTouch ST2929 semi automatic stencil screen printer See Video!
4881 Milara ST-2929 Semi-Automatic Screen Printer
4882 MILLI-Q, INTEGRAL WATER SYSTEM, WITH DISPENSER AND STORAGE TANK
4883 Mill-Max 1028-1-05-00-00-00-01-0 Standard Wire Wrap Terminal Pin
4884 Mini Automatic Benchtop Pick and Place Machine with Vision Works to 0201, LED
4885 Mint – Axcelis Fusion M150PC Photostabilizer – to 150mm / Refurb / 6 mo. wrty
4886 Mint Buehler Simplimet 2 Specimen Mounting Press / 1.5″ Mold /Heater / 6 mo wrty
4887 Mint Gigatest GTL 4040 Precision Large Area Probe Station – Full Config-Warranty
4888 Mint N&K Analyzer System – Model 1500 N&K Analyzer / Refurbished/ 4 Mo Wry
4889 Mint USI Ultron UH130 Die-Matrix Expander/ 5″ Wafer/UH 130 /Full 4 Mo. Warranty
4890 Mint! Nikon V20A Optical Comparator – Full warranty – 5x,20x,50x – 4 Month Wrty
4891 Mint! Ohashi CAJ-11 Tabletop Chip Aligner for LCD or FDC Refurbished / Warranty
4892 Mint! Palomar 2460-V Automatic Thermosonic Hybrid Gold Ball Bonder/4 mo warranty
4893 Mint! Semitool 2300S Spin Rinser Dryer / PSC-101 Controller w/ 4 Mo. Warranty
4894 Mint! Thermonics T-2610BV / ThermoStream ATS 505 / 605 / -20C to 200C – 6 mo wty
4895 Mint! Ultron USI UH201 Ultra Violet Curing System USI UH201 w/ 4 Month Warranty
4896 Mint!! Temescal CV-6SL E-Beam P/S with H.V & Gun Controls – 4 month warranty
4897 MIRAE MR5500 MEMORY TEST HANDLER WITH MANUAL
4898 MIRRA Slurry / Chemical Pump Management Module Applied Materials AMAT
4899 MIRROR 2BN9-5015-000 MIRROR G1
4900 MIRROR BN9-5015-000 MIRROR
4901 MIRROR BN9-5203-00 LENS L7 LENS MIRROR 1
4902 Mirtec MV-3L 2010 5MP 5 camera AOI Automated Inspection
4903 Mirtec MV-7U AOI System
4904 MIRTEC MV-7U AOI, MV 7 Series In-Line AOI Machine, Mirtec AOI
4905 Mirtec MV7-Xi Automated Optical Inspection System – AOI
4906 Mirtec MV-7Xi In-line AOI machine, 5 Camera, 2008
4907 MITS AutoLab PCB Prototyping System With Camera w/9 tools
4908 MITSUBISHI  5-39-20231 / ROBOT MITSUBISHI (RV-E14NHC-SA06) / DNS / MITSUBISHI RV-E14NHC-SA06
4909 MITSUBISHI 407-355 / PAPER SET FOR COLOR VIDEO COPY PROCESSOR; MODEL CK2000BL
4910 Mitsubishi CO2 Lazer Processing System – Lazer Cutting. Looking for swift sale.
4911 Mitsubishi MWX3 612 Used CNC WaterJet Fabrication
4912 MITSUBISHI N2/IPA BUBBLER, MITSUBISHI E300 TYPE 04300, ME1SEC, PEPPERL+FUCHS 2779, VERIFLOW
4913 MITSUBISHI RV-18SC-S07 / MELFA TRANSFER ROBOT TEL EXPEDIUS PLUS (CR3-535-S07) / MITSUBISHI
4914 Mitsubishi RV-E14NHC-SA06 Wafer Transfer Industrial Robot Tokyo Electron TEL
4915 MITSUBOSHI DIAMOND ME-1099 FLAT PANEL DISPLAY GLASS SCRIBER XY ROTARY STAGE
4916 MIYACHI UNITEK 15 KVA AUTOMATED 6 STATION PIN WELDER / SPOT WELDER 275K NEW
4917 MIYACHI UNITEK 15 KVA AUTOMATED 6 STATION PIN WELDER / SPOT WELDER 275K NEW
4918 MIYACHI UNITEK ISA-2000 CR INVERTER POWER SUPPLY SPOT WELDING OR FUSING
4919 Miyachi Unitek Micropull V Wire Bond Pull Tester (MP5/DL, 6-105-02)
4920 MJC Probe Incorporation Wafer Prober / Probing Station LEDA-8F-3G
4921 MKS 123714-G1 Flow Verifier, GBROR InSitu, 452783
4922 MKS 137746-G1 / MKS CONTROLLER-GBROR INSITU FLOW VERIFIER (54-123388A15) / MKS
4923 Mks 600 Series Pressure Controller Model 651C-16106
4924 MKS 750W Generator and AE 1013-L35Z Matching network with laptop
4925 MKS 750W RF Plasma Generator ELITE 750FP-01 Air Cooled
4926 MKS AS1003-14 Chamber Controller AMAT 0090-06456, 0090-02527 PCB, 452420
4927 MKS Astex Astron HF AX7640 Remote Plasma Source / Reactive Gas Generator
4928 MKS Astex AX2630LRC3-S Mwave Match for 2300 Versys Kiyo Microwave Strip System
4929 MKS ASTEX AX3060PSK-1 SMART MATCH
4930 MKS ASTeX AX8300A Ozone Generator AMAT Applied Materials AX8000 AX8300QTI
4931 MKS ASTeX AX8500 Ozone Delivery System AX8555 W/ 2 x AX8560 Generator & Montor
4932 MKS ASTeX AX8561-205H O3MEGA Omega Integrated Ozone System
4933 MKS Astex Ozone Delivery System AX8555-13051-16BV
4934 MKS ASTeX OZONE Generator, AX8407A
4935 MKS ASTeX R*evolution AX7690-20, Rev H, RF Plasma Source / Generator, Used Pull
4936 MKS Astron Generator, AX7651 (Novellus FI20656-1)
4937 MKS Astron RPS Remote Plasma Source, 452385
4938 MKS ASTRONhf+ AX7635-02, Remote Plasma Source
4939 MKS AX7645PS-01 RF Generator, ASTRONhf-s, 27-277368-00, ASTeX, 452377
4940 MKS AX7700-10
4941 MKS AX7700MTS-01
4942 MKS AX7700MTS-01 Paragon F* Intelligent Remote Plasma Source No Box*
4943 MKS B-5002 Spectrum ENI RF Generator P/N: B-5002-06
4944 MKS B-5002 Spectrum ENI RF Generator P/N: B-5002-11051
4945 MKS BARATRON CAPACITANCE MANOMETER
4946 MKS BMT 930 Ozone Monitor *new surplus, 90 day warranty*
4947 MKS ENI ACG-6B-07 / RF GENERATOR RF OUTPUT 800W 13.56 MHZ / ENI
4948 MKS ENI GEW Series RF Power Generator 3.5kW 25.764-28.476 MHz GEW3527-3U 08019
4949 MKS ENI GHW-50 RF GENERATOR- GHW50A-13DF3L0-006
4950 MKS ENI GHW-50 RF GENERATOR- GHW50A-13DF3L0-006
4951 MKS ENI GHW-50 RF GENERATOR- GHW50A-13DF3L0-006
4952 MKS ENI GHW-50 RF GENERATOR- GHW50A-13DF3L0-006
4953 MKS ENI GHW-50 RF GENERATOR- GHW50A-13DF3L0-006
4954 MKS ENI OEM-2000 13.56 MHz RF Power Supply Refurbished w/ warranty
4955 MKS ENI Spectrum 3013-5 RF Generator B-3013 RF Generator **
4956 MKS ENI Spectrum B 3013-05 RF Generator w/ CEX Power Supply 3kW 13.56 MHz Tested
4957 MKS FI20162-1 3.0 Kw MAG HEAD
4958 MKS GBR2B23CR100 GBROR In Situ Flow Verifier, AMAT 1040-00161, 452697
4959 MKS GBR2B23CR100 GBROR In Situ Flow Verifier, Kit, AMAT 1040-00161, 452696
4960 MKS Generator EDGE 400kHz R210R4A-15044 PN: 660-210103-100
4961 MKS HPQ high pressure RGA cables supply software uhv vacuum to 80 mtorr! SRS
4962 MKS HPQ high pressure RGA cables supply software uhv vacuum to 80 mtorr! SRS
4963 MKS HPQ2 High Pressure Residual Gas Analyzer w/ Process Eye Software SRS RGA
4964 MKS HPQ-IP RGA Residual Gas Analyzer Assembly w/ Granville Phillips Gauge
4965 MKS Instruments ASTeX FI80131 ASTRONe Industrial Remote Plasma Source RPS
4966 MKS LVF3560A-10B-05 / LAM PN 660-072826-200 /60 MHZ GENERATOR / PE 208V/MKS
4967 MKS LVG3560A-11BA-05 60MHz Generator LVG3560A 660-072826-625
4968 MKS MicrovisionIP RGA Residual Gas Analyzer
4969 MKS Model GEW-3540 REV 00J RF Power Generator, ES2L39-000005-23, 3500W 40.68MHZ
4970 MKS OPT-200A-06 Optima DC Plasma Power Supply System & Accessories 622762 Tested
4971 MKS Ozone generator Model AX 8560
4972 MKS PBMS2A Portable Baratron Measurement System PN: PBMS2A0111B82, 1 & 10 Torr
4973 MKS PBMS2B / PORTABLE BARATRON MEASUREMENT SYSTEM / MKS
4974 MKS PBTS1A01UB2 Portable Pressure Tester, Type 670BD21, w/ Baratron 417170
4975 MKS PC87927 / OZONE SYSTEM CONTROLLER CHASSIS ASTEX BACKPLANE ASSEMBLY / MKS
4976 MKS PICO LEAK DETECTOR MSVAH160000 MASS SPECTROMETER
4977 MKS RPG-50A / RPDG-50A-00 AC IN-3/PE-200-208V +/- 10% 30A 50/60HZ OUT 5KW / MKS
4978 MKS SA88988-01 / OZONE GENERATOR POWER DISTRIBUTION UNIT 120VAC/TOTAL 4.0A / MKS
4979 MKS turnkey mobile RGA cart with oil free pumping, computer software inficon / mks
4980 MKS UTI QUALITORR REMOTE STATION CONTROLLER RACK APPLIED MATERIALS
4981 MKS Vacuum Gauge Calibration and Verification System
4982 MKS-AX7650- ASTEX REACTIVE GAS GENERATOR
4983 ML3475A-ASM / SERVO MOTOR / PARKER
4984 Modular Process Technology RTP-600S Rapid Thermal Processor for 6″ Wafer
4985 Modutek Waste Collection Cabinet, 55 gallon drums, qty 3 capacity, new condition
4986 Modutek Waste Collection Cabinet, 55 gallon drums, qty 3 capacity, new condition
4987 MOELLER/EATONNZMN3-AE400, Circuit. Breaker.
4988 MoldMan 2017 MoldMan Model 1050 Low Pressure Molding Machine – Electronics Overmolding
4989 Molecular Beam Epitaxy System by Omicron MBE Epitaxial
4990 Molybdenum Puck Surfscan 6200 End Effector Surf KLA Tencor 0277852-000
4991 Mosaid MS4155 PC Memory Test System
4992 Motorola 01-W3394F04C Single Board Computer PCB Card Nikon NSR-S205C Spare
4993 MOTOROLA BGA MSA-250-A PLUS / BALL MOUNTER / MOTOROLA
4994 Motorola MVME162P-344E MVME PCB, FAB 84-W8528F01D, MVME162 P344E, 452576
4995 MP6-2Q-1E-4LL-4NN-00 / POWER SUPPLY ASSEMBLY,5,12,15,24V 18-016312 / ASTEC
4996 MPI Corp. LEDA-8F 3G Plus-V 110 LED Prober Station
4997 MPI Corp. LEDA-8F E3G Plus Led Prober (Made in 10/2012)
4998 MPI DAVUM TMC HG7900 / KENKO KTS-100RSV SMD HOT GAS WORK STATION
4999 MPM Accuflex Screen Printer SMT, PCB W/ 2D Inspection
5000 MPM Accuflex Screen Printer SMT, PCB W/ 2D Inspection
5001 MPM Corp. SP-1500 Automated Screen Printing Machine
5002 MPM Corp. SP200 Semi Automatic Automated Screen Printing Machine
5003 MPM Momentum Screen Printer
5004 MPM P/N 1005434-01 FORCER Y LEFT FOR AP SERIES
5005 MPM P/N 1005434-02 FORCER Y RIGHT FOR AP SERIES
5006 MPM P/N 1005434-03 FORCER X AXIS AP SERIES
5007 MPM P/N 1008424 Motor, Vision Y, Assy. For the UP1500/Accuflex
5008 MPM SP-1500 Screen Printing Automated Machine
5009 MPM SP-1500 Screen Printing Automated Machine 115V 2093
5010 MPM SP-1500 Screen Printing Automated Machine 115V 2287
5011 MPM SP-1500 Screen Printing Automated Machine 115V 3010
5012 MPM SP-1500 Screen Printing Automated Machine 115V 3038
5013 MPM SP-1500 Screen Printing Automated Machine 115V 3205
5014 MPM SP-1500 Screen Printing Automated Machine 115V 3349
5015 MPM Speedline 125 2008 Automatic screen printer momentum
5016 MPM Speedline 2011 Momentum Elite Screen Printer, Edgeloc #100186
5017 MPM Speedline Momentum Elite Screen Printer 12/2011, 2D, Quktool
5018 MPM SPM Semi Automatic Stencil Printer
5019 MPM UP2000 Printer Universal GSM Pick and Place Heller 1500 PCB SMT Line
5020 MPM UP2000 Stencil Printer Automatic Ultraprint SMT PCB Screen PC Board
5021 MPM UP2000 Stencil Printer PC Board SMT BGA Solder PCB Fully Automatic Screen
5022 MRC 822 Sputter System. Looking for a swift sale, please make offer, Motivated
5023 MRC 8667 A Sputtering System CTI Cryo-Torr 8 Cryopump Vacuum
5024 MRC 902 Sputtering System, 2 Targets
5025 MRC 943 Sputter System. Looking for a swift sale, please make offer, Motivated
5026 MRC A120340/RMA-10 MAGNET ASSY/MRC
5027 MRC ECLIPSE SOFT ETCH PROCESS KIT 4653879-0002
5028 MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. G Eclipse Star Used
5029 MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. G Eclipse Star Used
5030 MRC Materials Research A120024 Sputtering System Remote Stand Eclipse Star Used
5031 MRC Materials Research A120946 Chamber Assembly Eclipse Star
5032 MRC Materials Research Corp A120024 Sputtering System Remote Stand Rev. B Used
5033 MRC Materials Research Corp A120024 Sputtering System Remote Stand Rev. B Used
5034 MRC Materials Research Corp A120024 Sputtering System Remote Stand Rev. C Used
5035 MRC PVD Metal and PVD oxide Model 693
5036 MRI Disc storage Hard Drive Hitachi Hard Drive: HITACHI: DK312C-25
5037 MRL 150 mm single stack oxidation furnace
5038 MRL 150mm 200mm Lab Sandvik MRL Diffusion Anneal Furnace, Used, Tested and Working
5039 MRL 200 mm 2 stack furnace oxide, load station, furnace source cab, semy control
5040 MRL 300mm 3 Zone Single Tube Semiconductor Furnace
5041 MRL 4 Stack Horizontal Furnace, 200 mm, Soft Close, Rapid Cool, Sandvik Controls
5042 MRL INDUSTRIES – 0440786088 – ATM AZTEC HELIX – BLACK MAX
5043 MRL INDUSTRIES 4600819112 REV 2 CORE, BLACK MAX FURNACE HEATER ELEMENT EXT NG 34
5044 Mrl Industries Mini Stackable Furnace Four Tubes Model 908
5045 MRL Model 14TC45 5 Zone Reflow Furnace
5046 MRL Sandvik Cyclone 430 diffusion furnace, loadstation, source cabinet, tmx
5047 MRSI 505 Flip Chip Pick & Place Eutectic Die Attach Bonder Waffle Pack Tray
5048 MRSI 505 Flip Chip Pick & Place Work Cell Assembly Die Bonder System
5049 MRSI-170 G GANTRY AUTOMATIC LIQUID DISPENSING SYSTEM
5050 MSC 49″ x 9″ Table Variable Speed 3 HP Ph Knee Mill Machine R8 Spindle Taper
5051 MTEK Corp / Semitool / STI, SRD, Stand Alone, Single. Spin/Rinse/Dry
5052 MTEK Corp /Semitool SRD, Model 870, Dual Stack with upgraded Thornton Controller
5053 MTI INSTRUMENTS PROFORMA 300
5054 MTI INSTRUMENTS PROFORMA 300 G Wafer Thickness Gauge up to 300 mm Silicon Wafer
5055 MTI Muto Technology MT-49949 Sput #1 TiW Shield Kit MRC D123611-A New
5056 MTI Muto Technology MT-49950 Sput #2 TiW Shield Kit MRC D123611-A New
5057 MTI Muto Technology MT-49951 Sput #3 Cu Shield Kit MRC D123611-A Copper New
5058 MTS 01 Acid Manual Wet Bench
5059 MTS 840 VIBRATION TEST SYSTEM 44″x36″x3″ TABLE HYDRAULIC+15HP 480V MOTOR+458.20
5060 MTS Nano Indenter SA2 System + Control Unit | Computers | Vibration Table MORE
5061 MTS Solvent Bench
5062 Multicam 2012 Multicam Series 3000 6′ x 12′ WATERJET CUTTING, Fabrication Ref # 7800442
5063 MultiProbe MultiScan Atomic Force Probe Incomplete For Parts As-Is
5064 MultiTest MT 8704 i IC Test Handler, Working, SOIC 150 & 300 mil Change Kits
5065 Multitest Multitest Elektronische Systeme GmbH P/N 49-18-18-00
5066 MV Systems Dual Chamber PECVD for aSi/cSi/cGe Deposition
5067 MVS 01-18-01120 / MVS CONTROLLER PRISM CONTROL / ULTRATECH 01-18-01120 MVS
5068 MWD-55LD-01, 3D80-000076-V4 / AUTOMATCH,13.56 MHZ, 100-220V 50-60HZ / TEL
5069 MWH-5-01M3 / AUTOMATCH / ENI
5070 MX40F / MICROSCOPE / OLYMPUS
5071 Mydata / Electro-Design EP785 Board Inverter / 180 Degree
5072 MyData AGILIS LM1216 Magazine Mycronic L-014-1562
5073 MyData AGILIS LM8 Magazine Mycronic L-014-1561
5074 MYDATA AGILIS M8 Feeder Magazines L-014-1471 + 16 4.7 yellow
5075 MyData K-013-0031B X-Belt Motor + Flexible Joint + X-motor transducer
5076 MyData MY12E Pick & Place + Hydra (2006)
5077 Mydata MY19 SMT Placement System
5078 MYDATA MY500 Control HUB L-039-0031
5079 MyData MY500 Jet Printer (2013)
5080 MyData MY9E Pick & Place w/ Hydra (2007)
5081 MyData MyCronic 3 Bay TRAY WAGON MAGAZINE L-025-0074B
5082 MYDATA Mycronic MY12, T3, Midas, Dual Vision, Agilis capable, TPSyS 2.9 software
5083 MYDATA Mycronic MY12E 2008, TPSyS 2.9.11 software, Hydra, Linescan, T3 table
5084 MYDATA MYCRONIC MY19E pick and place, T3, TPSys 2.9.11, Linescan
5085 MyData Pick and Place Machine MY12E
5086 MyData TEX tray exchanger feeder L-024-0100B
5087 MyData TM8FC Magazine – Part # L-140-21C
5088 Mydata TP9-2 AUTOMATION VISION SYSTEM
5089 MyData Transfer Conveyors
5090 MyData TRAY WAGON MAGAZINE 2 MODULE TRAY
5091 Mydata/Mycronic Tex Tower Tray Exchanger
5092 Mydata/Mycronic Tex Tower Tray Exchanger Part # P-024-0100B
5093 Mydax 1VLH7WA Chiller *, 90 day warranty*
5094 Nanoimprint equipment with automated template delivery, 50 mm to 150 mm, thermal
5095 NANOMETRICS 7000-023212 0240-49130 / NANO OCD 9010B INT MET / NANOMETRICS0190-39713 AMAT
5096 NANOMETRICS 7000-029708 7200-022943 / 7200-026890 9010 LITHOS PRO / NANOMETRICS
5097 Nanometrics 7000-033895 IMPULSE Integrated Metrology Chuck Aare 565 094 329
5098 Nanometrics 7000-033895 IMPULSE Integrated Metrology OCD & Film Analysis System
5099 Nanometrics 7201-1267 Wafer Inspection/Measuring Station W/Newport Table
5100 Nanometrics 8300X Thin Film Metrology Tool
5101 NANOMETRICS 9100 NANOSPEC 9100 MINI Z-STAGE 9407-010743 N-2000-1000-02
5102 Nanometrics Nanospec 6100 Table Top Film Analysis System – Full Warranty
5103 Nanometrics NANOSPEC 9000I 300MM Wafer Integrated Film Analysis System
5104 National Electronics MH3.0W-SLA/2-A Microwave Magnetron Head
5105 National Instruments NI cDAQ-9184 CompactDAQ Chassis w/ NI 9211 NI 9213 NI 9234
5106 Neat 330UP Programmable Motion Controller w/ Stage
5107 NEAT XYZ 3-Axis Precision Stage Wafer inspection New England Affiliated Danaher
5108 NEAT XYZ 3-Axis Precision Stage Wafer inspection New England Affiliated Danaher
5109 NEC FC-9801B / MODEL-2 INDUSTRIAL COMPUTER 80A WITH EXCHANGE / NEC
5110 Neoden 4 PnP Machine w/ Vision, Manual Solder Printer and T5 Oven Included
5111 Neoden 4 SMT Pick and Place Machine with Vision (pre-paid tariffs)
5112 Neoden IN6 Reflow Oven – Deluxe (w/ stand, two filter sets)
5113 Neoden IN6 Reflow Oven, standard tabletop model – FREE SHIPPING
5114 Neoden T5L SMT Reflow Oven (pre-paid tariffs)
5115 Neslab  Chiller Neslab HX+300, Water cooled. Tested and certified with warranty.
5116 NESLAB 390299071615 / CHILLER HX 300 WC D3 CMP / NESLAB
5117 NESLAB 392205051706 /HX750 HX+750W CHILLER NESLAB / THERMOFISHER SCIEN CP-75 208VAC
5118 Neslab CFT-25 Chiller Coolflow Refrigerated Recirculator 15GAL Water Tank 422613
5119 NESLAB CFT-25 Refrigrtd Recirc Chill;Leroy Somer LS90;Galileo TP D045;Leybold…
5120 Neslab Chiller Neslab HX+300, Water cooled. Tested and certified with warranty.
5121 Neslab HX 750 Recirculating Chiller Thermo Fisher Scientific HX-750W TU-9 452369
5122 Neslab HX+150W, Water cooled chiller. Clean. Tested and certified with warranty.
5123 Neslab HX-150 Recirculating Chiller
5124 Neslab HX300 Recirculating Chiller, 390299071602, 199089038, 396375
5125 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452517
5126 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452518
5127 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452519
5128 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452520
5129 NESLAB STEELHEAD 1 Used
5130 NESLAB STEELHEAD-0
5131 Neslab ThermoFlex 5000 Recirculating Chiller, Thermo Fisher Scientific, 452522
5132 NESLAB, COOLFLOW REFRIGERATED RECIRCULATOR MODEL HX-300
5133 Neutronix Quintel 7000 High Resolution Mask Aligner
5134 NEUTRONIX QUINTEL 7000 HIGH RESOLUTION MASK ALIGNER (REFURBISHED)
5135 Newport 1000W ARC Lamp With Power Supply & Cables 92514-1000 & 69920
5136 NEWPORT ILS150PP WITH NEWPORT VP-5ZA
5137 Newport MM4006 Motion Controller, AC Autorange 100-240 Vac, 47/63Hz, Input: 600V
5138 Newport MM4006 Motion Controller, AC Autorange 100-240 Vac, 47/63Hz, Input: 600V
5139 Newport Research Corp (NRC) Optical / Vibration Isolation Table 96″ x 48″ 6″
5140 NEWPORT ROI “DATA STAR” VISION METROLOGY SYSTEM
5141 NEWPORT ROI “DATA STAR” VISION METROLOGY SYSTEM
5142 NEWPORT ROI “DATASTAR” VISION METROLOGY SYSTEM AND ROI AUTOCHECK
5143 Newport ROI Data Star optical CMM
5144 NEWPORT TWI 45-021480 / THERMA-WAVE 5241 X-Y-Z STAGE, 1302, 2601, OPTI-PROBE / NEWPORT
5145 Nextec Hawk DS100 3D Inspection Technologies
5146 Nextest Maverick 2 PT Maverick PT-HF Bitemap Tester **
5147 NF Corp/Ulvac Ulcoat Kit-24489 0.5-2 Hz 200 V 16 kVA Magnet Coil Power Supply
5148 NG-OK DUAL SMT Magazine Unloader
5149 Niagara E110S, 120 Ton OBI Press Fabrication Ref # 8039271
5150 Niagara E-250-S 275 Ton OBI Press Fabrication Ref # 8068116
5151 NIBCO 922275-003 CFS Rev.1, PVC-I NSF-PW D2467 8″, Valve, Assembly. 417047
5152 NICHIYO ENGINEERING CORP. 07030 UNIT OZONE KILLER, MODEL KCM-480G , 07030
5153 NICOLET GR-X160 / GEN RAD GR-160XL NICOLET 166-0208 00
5154 Nicolet Instrument ECO8S FT-IR Infrared Spectrometer Used
5155 NICOLET NXR-1400 X-RAY SYSTEM MACHINE WITH PRINTERS
5156 Nidek FT-11 Horizontal Loading Flatness Tester Checker Tested Not Working As-Is

PLEASE USE “Ctrl” + “F” KEYBOARDS TO SEARCH WHAT YOU WANT AT THIS PAGE.

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

 

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers