Main Maker

Semiconductor Equipment Parts in San Jose

Semiconductor Equipment Parts

Categories: ,

Description

The Semiconductor Equipment /parts are in San Jose,CA USA and subject to prior sale without notice. These items are only for end users. The items are subject to prior sale without notice.

1 3M Petromeum/Fluid Chemical Sorbent Pillows/Pads/Socks NIB Bulk Lot of qty 60
2 3M Petromeum/Fluid Chemical Sorbent Pillows/Pads/Socks NIB Bulk Lot of qty 69
3 A.P. BUCK APB-925000 Personal Air Sampler (Pho Ars SiH4 HCl HF TLD) Dosimeter
4 Accord Technology 300 wafer cleaner system
5 Advanced Energy RF Matching Network 3155031-014D & ESC Power Supply 0010-18247
6 Advanced Integration Technologies (AIT) 02-333805D01 Valve, Assy 1″ New in bag!
7 AE,Advanced Energy ATX-600 RF Generator controller,M/N ATX-600 3155021-000-B
8 Air Flow HEPA Filters, Model SS-300-HF (2 new in box) W/handles, Metal Frame
9 Air Products Gas Changeover Module E13CP445DR, Inlet 3000 psig, Outlet 200 psig
10 AIR PRODUCTS GASGUARD Controller GGC14A GGC14B Nitrogen Trifluoride
11 Akrion CAB1B1010 Cable Assy RBT-IN-L INTL Axis (27N025BM) New
12 Akrion CAB1B1011 Cable Assy New
13 Akrion SWT1B0159 Detector PFA Level 1.25″ Dia N.O. New
14 Alcatel ASM110TBCL Turbo Pumped Portable Helium Leak Detector W/Extra Filament
15 Aliner Industries Inc. Coax Cable 830-00087-000 CA1818L400001524A
16 AMAT 0020-34030 Base Pedestal ESC Simple Cathode, used good condition
17 AMAT CMP RETAINING RING TITAN II
18 AMAT Phasetronics Lamp Driver Assembly P1038A-X1 #5822
19 American Welding & Safe-T-Rack Gas Bottle/Cylinder Safety Brackets (no strap)
20 AmScope Microcope, 10MP Aptina Color CMOS Camera 1x binocular 4x,10x,40x,100x
21 AND Precision Digital Scale, Model FG-30KB 30kgx0.002kg 60lbx0.005lb 960ozx0.1oz
22 Applied Materials (AMAT) 0020-32909 ESC. 200mm
23 Applied Materials (AMAT) Electronic Box 0190-23662
24 Applied Materials (AMAT) Endura PVD Magnet Assy, P/N: 0020-23559 Clean
25 Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
26 Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
27 Applied Materials (AMAT) Orienter Chamber 0010-93070 Centura/Endura/P5000 200mm
28 Applied Materials (AMAT) P5000 PECVD & Etch Back, 150mm Powers Up Working
29 Applied Materials (AMAT) PN: 0150-09100 Liquid Source Junction Box Raychem
30 Applied Materials (AMAT) Synergy Microsystems V440 SBC PCB Card 0190-76043
31 Applied Materials / AMAT 150mm / 6″ Pedestal P/N: 0020-31709 with lip seals
32 Applied Materials AMAT Baccini Paper Roll 0010-48241 Twin Spool Assembly 50 Gram
33 Applied Materials DI Water Filter Replacement Cartridge (Neslab III, HX750, etc)
34 Applied Materials EV Air Module, Bay Pneumatic, BES-4761-PCB
35 Applied Materials P5000 Mainframe, Facility Panel, Assembly Upper 0010-09300
36 APTech 1/4 turn isolation valve, Female/Female VCR 1/4″ Fittings
37 APTech Regulator and Pressure Gauge (100 PSI, -30 in.Hg)
38 APTech Regulator, Isolation Valve, Pressure Gauge & Filter (-30 inHg 100 Psi)
39 APTech Regulator, Isolation Valve, Pressure Gauge & Filter (-30 inHg 160 Psi)
40 ASTECH PC-9232C PCB
41 BECO MFG WQD-1030809-POL-VT-001, QDR Quick Door Dump Actuator
42 BECO MFG WQD-1030809-POL-VT-001, QDR Quick Door Dump Actuator, Qty 2 used
43 Bia Climatic Environmental Chamber, Model CLO300 (55″ W, 105″ L, 84″ T)
44 Brooks Automation Calibration Wafer, 200mm
45 Busch Filter, part number 0531-000-002, new
46 Buss FWH-40B Fuse 40 amp, with copper wire connector, New
47 Canon BG904757 PCB Assembly
48 Checkers Guard-Dog Power Cord & Hose Protector/Cover – Safety Walkway, Red/Black
49 Cincinnati Sub-Zero ZH-8-1-H-AC Temperature & Humidity Cycling Chamber
50 Coherent Laser, 1050562 AVIA 355-X. AV-A7937 COHLASE29
51 COLE PARMER ERTCO HYDROMETER 08290-05 ~ NEW NOS
52 Collabratech, Norcimbus, Silpac, SEIMENS SIMATIC HMI Controller, Touch Panel
53 Condor DC Power Supply MB24-1.2-A New In Box
54 Cotronics Rescor 760, item no: 760-IMA Precision Castable 4000f Ceramic
55 CREST Ultrasonics MW500 GTI/132 Gen & 13HT SST Heated Tank Known Working
56 Dage Microtester MF22A Table Top Pull Tester
57 Delta Elektronika PSC-ETH EXT, Power Supply Controller, DPVS, with CDROM
58 Despatch Industries p/n: 17377 Lamp/Bulb 240 Volts 1100 Watts DS NIB Lot of 12
59 Dixon 0-160 PSI Dry Gauge (GC230) & Regulator, SST 1/4″ Compression Fittings
60 DNS WS-820L Clean Track, Dianippon Screen Porous Silicon Process w/BCDS included
61 Dover Motion Linear Bearing Stage with Granite Block and Controller DMM-2100L
62 DualDraw DownDraft Clean Air Station Fume/Particulate Extraction/Filtration Unit
63 Eaton Z-NHK 248434 Moeller Series, 4A 250v, mind. 5V 2WS breaker
64 EG&G Princeton Applied Research Model 362 Industrial Scanning Potentiostat w/cbl
65 Entegris 202-71-01 Valve-NIC 3GEN 2W 1/4 3/8 FT new in box
66 Entegris DHT-00-05-000 Head Dispense PFA new in box
67 Entegris DHT-00-ID-000 Head Dispense new in box
68 Entegris DHT-00-ZV-000 Head Dispense PFA new in box
69 Espec, Model PV-331, Vertical Temp Industrial Cycling Industrial Oven
70 Flexicon Model PF6-B, Precision Pump Unit
71 FluoroPure Entegris DIT-29-23-000 Insert-Drum-PFA
72 Fortoria, Model OCH-46-208VE Indoor/Outdoor Comfort Heater Unit, Direct Wire NIB
73 Fortrend Engineering F-4225 Automatic Wafer Transfer System, Known Working Unit
74 Fortrix Loader & Unloader 100 slot capacity cassettes, transfer conveyor 1500WPH
75 Fuji Electric Type SG 3 Pole 200VAC Magnetic Circuit Breaker SG33A
76 Furnace Quartz-ware Desiccator Box with Boats and Injectors Included, N2 Purged
77 Gasonics PEP AC power box, breaker box 3500 series
78 GASTECH GT Series, Model 201 Portable Gas Monitor with case & strap
79 GE FANUC IC693MDL741D Module, Used
80 GE MPR320/VBU/XHO/PA 320W ED37 HID Lamp Bulb MOGUL EX39 Clear Pulsearc M-Vapor
81 GEMS FS-380 BRASS FLOW SWITCH with NPT & Barb 0.15 GPM (P/N: 181130)
82 GEMS Sensors RotorFlow Fluid Flow Meter P/N 155425 New Old Stock RFS-2500 Series
83 GEMU 560 Diaphragm Valve DN65, 650 65D8A401715T1 EPDM New Open Box Metal
84 GEMU 617/677 SERIES DIAPHRAGM VALVE DN32 PN10 DA40PP PTFE New in box
85 Grainger Power Cord & Hose Protector. Model 32RX19 NIB
86 Grainger Power Cord & Hose Protector/Safety, Walkway Type. Yellow/Black
87 Granville Philips 332174-30 Vacuum Gauge Cable AMAT 0190-21559
88 Granville Phillips 274 Vacuum Ion Gauge New in Box
89 Granville-Phillips 275 801 Mini-Convectron Vacuum Gauge New Old Stock
90 GRANVILLE-PHILLIPS Micro-Ion Module 354002-YK-T
91 HACH Test Kit, Cyanide FREE, 0-02 mg/l, Model CYN-3, Cat. No. 2010-02 CN
92 Ham-Let Quarter Turn Isolation Valves, Qty 2 with 1/4″ Compression Tee Fitting
93 Harmsco Inc 801-20HT A314 Filter. Made is USA (North Palm Beach)
94 Hayward 2″ PVC TB Valve SOC/THD EPDM New in Box TB1200STE
95 Hewlett Packard (HP) Motherboard AS#350929-001, 929 REV 0H S26 P83860H9VR41WM
96 High temperature furnace / oven cassette or other metal handle loading/unloading
97 HPS Hammond Power Solutions Sentinel Isolation Transformer, 480 Volts, UL Listed
98 Indium Corp of America solder wire spool. IPN:RITB-123556-4540 Cu Size 1.5x.15mm
99 Industrial Acoustics Sound Test Chamber
100 IPEC, Model 372M Avanti CMP Automated Wafer Polishing System
101 JC Schumacher Temp Controller TCU-100 & Bubbler STC-115
102 JMAR Pacific Precision Mirage MUL TI-Axis Measurement System, Granite Table
103 JMAR Video CMM Inspection System, Cabinet Granite Table, Objectives, Controller
104 Keithley 7055-102-02A General Purpose Relay Card Data Acquisition & Control PCB
105 KEITHLEY INSTRUMENTS 7056 GENERAL PURPOSE RELAY CARD PCB 7056-102-02C 1044235
106 Kensington Laboratories Prealigner Assembly, Serial 97-128-03 with cables
107 Koganei A040-4E2-DNS Electronics Solenoid Valve (Valve, SOL A040-4E2)
108 Komatsu Electronics / DNS Model DR-631B-CE5 Regulator 100V ~9A 50/60HZ, Used
109 Laboratory Quartz / Glass Tank / Bath with Lid, New in box
110 Lam Research (LRC) CPU-6V* 600-10354 PCB Assembly
111 LAM Research (LRC) Part Number 710-17001-1 PCB Assembly
112 LAM Research (LRC) Part Number 810-017031 PCB Assy
113 Lam Research (LRC) Part Number 810-017033R003 PCB Assembly
114 Lam Research (LRC) SYS68K SASI-1 300000 CPU PCB Assembly
115 Lam Research Switch Assembly 853-017625-001 Rev D 4826-9716
116 Lam Research, 718-094523-261 ESC Assy, 150mm (6″). Major Flat, w/pin
117 L-Com Connectivity BA80 0103-96509 BNC new in bag
118 Leviton 8751-200 Porcelain HID Lamp Holder Base, Pink/Rose 1500W-600V New in bag
119 Levitronix LP Controller LPC-600.1 Article 100-90315/100-30005, w/Cable & CDROM
120 Levitronix LP Pump System DuraLev-600.20 Article 100-90569, w/Cable & CDROM
121 Levitronix LP Pump System DuraLev-600.20 Motor Article 100-10021 PumpHead w/man.
122 Levitronix LPM-600.1 Bearingless Pump Motor 100-10021 New Old Stock DuraLev
123 Levitronix LPM-600.7 Bearingless Pump Motor 100-10041 New Old Stock
124 Litrex, Model 120 INKJET System, Printer. Ser: 12007-042. 200-250 Vac 5 Amps
125 Mactronix manually operated wafer transfer unit, for 150mm wafers (6″)
126
127 MEAN WELL SP-500-24 SWITCHING POWER SUPPLY OUTPUT 24VDC 20AMPS
128 Meissner MF1.2-1C2T, Filter New Alpha, 1.2um, 10″, 222, Cap. FN27767
129 MENNEKES TYP 36 CEE Kupplung IP44, 32A 5pol. 3P+N+GND NIB
130 Mettler Uznach Polypro Lab Beakers, w/level markings, 100ml. New open box
131 MKS 627D01MCC9B Capacitance Manometer Baratron 1 mBar. New in Box W/Connector
132 MKS GBROR InSitu Flow Verifier, Model 123714-G1
133 Modutek Corporation Solvent Flask Bottle Washer Cleaning System, Automated 120v
134 Modutek Waste Collection Cabinet, 55 gallon drums, qty 3 capacity, new condition
135 MRC Materials Research Corporation Latch Bearing Rebuild Kit, Minor Plansee New
136 MRC Target (NiV 93/7 wt%) Purity 99.95% 4-pc Mu Inset, VEM-28-0263. New in box
137 MRL 4 Stack Horizontal Furnace, 200 mm, Soft Close, Rapid Cool, Sandvik Controls
138 MTEK Corp / Semitool / STI, SRD, Stand Alone, Single. Spin/Rinse/Dry
139 MTEK Corp /Semitool SRD, Model 870, Dual Stack with upgraded Thornton Controller
140 N.M. Knight Comparator Measurement Device (system) with foot pedal. 481-0797
141 New bronze ground pipe clamp, serrated teeth lot of 6
142 Newark SPC 1122B BNC Coaxial Connector, Straight Female Front Mount bulkhead NGT
143 Norcimbus NVIEW Digital Scale Display For Gas Distribution Panels w/Power plug
144 Norcimbus TCS Supply Cabinet New Never Used
145 NORGREN K81DA06KALKAC air valve, new in box (used one included as well)
146 Nortec Model 411, 030, 440-600/3 Humidifier Tank NIB
147 NOVX Corp. ESD 300 Series Work Station Equipment Ground Monitor 3-0104-075
148 OMRON G3PH-5150B Solid State Relay Input DC5-24V Load 150A 480Vac New in Box CE
149 PARKER CM341JJ-114952 , 228701-001 Mtr Rotary Axis W/Harmonic ST 030-0258-B
150 Parker Hannifin Corp Fluidex Div Solenoid PSI 25 to Vacuum 10-6 Torr 11 watts
151 PARKER POLYFLOW FILTER 22-10310-012-5, 1.2um NIB
152 PARKER POLYFLOW FILTER 22-10310-025-5, 2.5um NIB
153 Parker S Series Microstep Drive / Indexer SX8. P/N 87-011751-02D
154 PCT Systems Inc. Tiger Tank, Heated Bath, TT14.5D 208 vac 20 amps. NIB
155 Perkin Elmer PHI 670xi Scanning Auger Electron Microscope Nanoprobe System
156 Pomona Electronics 1581-0, New In Bags, 10/Pack, B-Jack, TIN PL Black
157 Pomona Electronics 1581-2, New In Bags, 10/Pack, B-Jack, TIN PL Red
158 Pomona Electronics 1894 Female BNC (F) / Banana Plug Adaper New in bag
159 Pomona Electronics 3846 Isolated Ground BNC Female to Female Adapter New in Bag
160 Pomona Electronics 5014 DIP CLIP, New
161 Pomona Fluke Electronics 1658-T-18 Type N(M), RG214/U Non-Booted Coax Cable
162 Praxair Gas Panel, Helium, Regulator 3500 PSI, Gauges 3000 PSI In 100 PSI Out
163 Praxair UP100 UltraPurge Gas Cabinet System Controller, Powers up / working
164 Praxair UP100 UltraPurge Gas Cabinet System Controller, Push Button
165 Process & Cryogenics gas panel, w/regulator, pressure gauges (3000/300&100 psi)
166 Protec Carrier Systems GMBH Electrostatic Chuck Wand for Wafers/Substrates 150mm
167 Protec Carrier Systems GMBH Electrostatic Chuck Wand for Wafers/Substrates 200mm
168 Qty 3,000 Diodes Per Roll. Diodes Incorporated SBRT2U15LP-7 lot 1543FA20353D1
169 Quartz Boat/Cassette, 100mm wafers, qty 10 capacity, for furnace or oven, used
170 Quartz Boat/Cassette, 100mm wafers, qty 25 capacity, for furnace or oven, used
171 Quartz Boat/Cassette, 75mm wafers, qty 10 capacity, for furnace or oven, used
172 Quartz Cassette, purchased originally for Solar, 12 slot, 165mm (6.5″). New
173 Quartz Cassette, purchased originally for Solar, 24 slot, 165mm (6.5″). New
174 Quartz Rolling Sled for Boats/Cassettes, used in furnaces, good condition
175 Quartz Tube End for Furnace, Diffusion. 6.5″ ID, 6-7/8″ OD. with Handle & Port
176 Raychem Heat Shrink Sleeving / Tubing ATUM-4/1-0-STK Bulk lot of 23 Pieces 4′
177 Refurbished Seiko Seiki STP H1000L Turbo Pump, Controller, & Cables, (2 contrls)
178 Remtek Heat Shrink Sleeving / Tubing, 1″ x 4′ Sections, bulk lot of 14 pieces
179 Rigaku Control Driver, 8849-0051 BPSG Conc Meter, PCB Assembly
180 RIGAKU D1468-25u Proportional Counter shield off 9937A1 BPSG conc. meter
181 RIGAKU Tray, sample, BPSG conc meter
182 Royce Pull & Shear Tester, 3 modules included, with operator table & manual
183 SBS Technologies Hitachi Industrial Computer Model 710-000052C S/R# 3608
184 SBS Technologies Hitachi Industrial Computer Model 710-S00242A S/R# 4669
185 SBT910 Lapping Polishing, Quorum Technologies Q150GB Sputtering System & Saw
186 Schmid Conveyor Oven Model 4k14-62C26-4A, 4 Zone Digital Controllers (reflow?)
187 Schneider LC1F800 & Altivar 21 Adjustable Speed Drive Controller New Never Used
188 SELA EM2 Sample Preparation System. S/N: 5EM0501, Volts 110, Amps 8 (excellent)
189 SemiGas Systems Gas Cabinet Parts (Panel), Regulator, Pressure Gauges, Valves x2
190 SemiGas Systems Purge Panel regulator pressure gauges valves (3000 / 100 Psi)
191 Semitool / STI / Sitek, Dual Stack SRD (Spin Rinse Dryer), with controllers
192 Semitool SRD SST Rotor, A190-60M-0215, Spin Rinser Dryer, Single Bolt 2800RPM
193 Semitool STI ST-470 SRD, Spin Rinser Dryer With Controller, Stand Alone
194 Sentech SE400 adv Laser Ellipsometer System, w/Cart, Computer, Monitor, SW
195 Sew-Eurodrive CMP40S/KY/RH1M/SM1 Servo Motor
196 Shop-Vac Model 9700610 Industrial Drum Top Vacuum Motor, 970C NIB
197 Silpac Auto Switch Over Gas Panel Tescom 100 regulator gauges sensors
198 Silpac Gas Panel, Tescom 100 regulator, 2 pressure gauges (3000 psi & 100 psi)
199 SilPac SST Gas Weldment Manifold SP-RPXWELDMENT XFER with manual valves VCR
200 SilPac SST Gas Weldment Manifold SP-RPXWLDMT-PRG-PX2 New in Bag
201 Singulus Bubble Separator Assembly, 100117892-000, Blasenabscheider 10A053001
202 Singulus Model Singular PECVD (Plasma Enhanced Chemical Vapor Deposition)
203 SMC Electronic Valve Pneumatic Manifold Assembly w/12 Valves (model VQC1200N)
204 SMC Electronic Valve Pneumatic Manifold Assembly w/16 Valves (model VQ1A01NY-5)
205 SMC Model XLA-40AHO High Vacuum Valve, Right Angle Pneumatic, KF40 Flanges
206 SMC Model XLD-40HO High Vacuum Valve, Right Angle Pneumatic, KF40 Flanges
207 SMC NAR-4000-N03-1 Air Pressure Regulator 7-120 PSI
208 SMT Max Precision Lead Free Reflow Oven Model AS-5060 came from working lab 2011
209 SPAN INSTRUMENTS, Model LR200 Digital Readout Display, Push-Button
210 Sprecher Schuh Motor Starter CA 6-105, 600 VAC 160 AMP & CA 6-P
211 StarSpec SSP3190-J36 LED Tester Controller with Zvision LED Test Chamber
212 Statnip Boekel Desiccator Cabinet Stainless Steel 21-3/4″ W, 20-1/4″ D, 24″ T N2
213 Summit Technologies Teflon Filter Cartridge ST0.2-1C2T-SO, 1.0um, 10″ SOE NIB
214 Summit Technologies Teflon Filter Cartridge ST1.0-1C2T-SO, 1.0um, 10″ SOE NIB
215 SuperLogics Industrial Computer, SL-PPC-154P4T Windows XP Pro Monitor & Keyboard
216 Suspa Gas Spring, C16-32361 New
217 SWAGELOK SS-6BHT-18 PTFE HOSE ASSEMBLY, 3/8TA, 18″ LONG, NEW IN BAG (CVD TOOL)
218 SWAGELOK SS-6BHT-36 PTFE HOSE ASSEMBLY, NEW IN BAG
219 SWAGELOK SS-6BHT-48 PTFE HOSE ASSEMBLY, 3/8″TA, 48″ LONG, NEW IN BAG (AKT CVD)
220 SWAGELOK SS-XT6TA6TA-50 3/8″XT HOSE W/TA ENDS, NEW IN BAG
221 TE Technology Inc. Controller. TC-36-25 RS232. 12-36 VDC, 25 Amps. Known Good
222 Teflon Wafer Cassette 75 mm, 3 inch. Used Good, Semiconductor, Silicon Wafers
223 Telemecanique PS1-E16 24V, Suppressor, Pneu Vlv Noise GRN VLV1B0012
224 Telemecanique PS1-E23, 24v 1W, Ul listed 170M. Box PS1E126702B VLV1B0010
225 Test Jig, Fixture, G001-P001-A Innovalight, BNC Sample Clamp
226 TIDAL ENGINEERING TE1155 OLYMPIC CONTROLLER
227 Tokyo Electron TEL, ESC Assembly, 200mm (8″) Notch Electro Static Chuck, Used
228 TPS (Thermal Product Solutions) Tenney T10RC-1.5 Humidity Cycling Test Chamber
229 Ulbrich Solar Technologies Flat Solder 60/40, Part #7746-9054, .1x2mm
230 Ulbrich Solar Technologies Flat Solder 62/36/2 Part #WCD110-7746-9041 .15×5.08mm
231
232 Unit UFC-1100 MFC (Mass Flow Controller). Refurbished by Coastal, SIH4 340 SCCMS
233
234 UNIT UFC-1100, SiH4 Refurbished in box, unopened 340 sccm (Coastal Instrument)
235 Vac Kitz-SCT Vacuum Valve, MFG 710303211 IVB25CY-NWKL-84-W-(RS). 599-00660-00
236 VACOM Silver Plated Copper Gasket CU140P High Vacuum 300421
237 VACOM Silver Plated Copper Gasket CU16SP High Vacuum 300407
238 VACOM Silver Plated Copper Gasket CU63SP High Vacuum 300426
239 VAT Gate VaIve, ISO 250 250mm 10″ 64248-PE52 excellent condition
240 VAT Valve 02412-BA24-BQB2/0004 A-922210 Pneumatic W/ Norgren EV V61R517AA213JB
241 Vektrex Model SS200-5A-8-DC-2U, SpikeSafe Precision Pulsed Current Source
242 Veriflo Corp Regulator & Valves, & Winters Pressure Gauge (1100 kPa, 160 PSI)
243 VWR Scientific (Univar) Model 1620 Table Top Lab Oven Industrial (Sheldon Cont)
244 Wafer Power Technology, Model WPT-DC-12-25-9. New
245 Water / Fluid Tank, with Hose Kit. Reservoir, Sink, Humidifier
246 Yokogawa SR1100A62 Compumotor Dynaserv Parker Hann Corp Chuck Drive Assy

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5642

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers