Main Maker

Wafer Manufacturing Equipment

Description

Wafer Manufacturing Equipment Parts, including Chemical Mechanical Planarization Equipment,Chemical Vapor Deposition Equipment,Ion Beam Equipment,Ion Implantation Equipment ,Laser Based Tools,Lithography Equipment,Physical Vapor Deposition Equipment,Plasma Processing Equipment,Robotics,Thermal Processing Equipment,Wet Processing Equipment,Wafer Fabrication Equipment

Location: U.S.A.

These are  subject to prior sale. These are only for end user. Appreciate your time.

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers: Adixen, Akrion, Applied Materials, Inc., ASML, ATM GmbH, Axcelis Technologies GmbH, Bold Technologies, Brooks, Buehler, Cameca, Dainippon Screen Mfg. Co., Ltd., DEVICEENG, Fisher Scientific, Hamatech, Interlab, Jordan Valley, KLA-Tencor, Kuroda Precision Industries Ltd., Lapmaster, Lasertech, Leatherwood Plastics, Leco, Logitech, M&W Products, Microautomation, Minato, Novellus Systems, Philips, Phoenix, POONGSAN, Reynoldstech, Rigaku, Rorze, Semitool, Sidai, Strasbaugh, Terra Universal Inc., Tokyo Electron Limited, Ultra t Equipment, Verteq

1 286HT-8-10EPTI3 8″ Quartz Boat for 5 Wafers
2 35-438-01
3 405-122-00
4 AB-M Inc. IR Wafer Aligner
5 Absopulse Electronic CTP 9K-750 3P480-3X3U4 3U7 19-S5344
6 Absopulse Electronic CTP5K-750/3P480-3U7-S5037
7 Absopulse Electronic HVI 2K-750/24-3U3-S5037
8 Accel MICROCEL II
9 Accent Optical Caliper
10 Acopian B2G170
11 Acopian D15-10
12 Acopian D15-10A
13 Acopian D15-35
14 Acopian DB15-35
15 Adixen APR4300
16 Advanced Energy 3152522-001B / RAS Split Inductor
17 Advanced Energy 3500
18 Advanced Energy Apex 1500/13
19 Advanced Energy Apex 3013
20 Advanced Energy Apex 3513
21 Advanced Energy ATX-600
22 Advanced Energy B0111-DPXX-105-XX
23 Advanced Energy Cesar 136
24 Advanced Energy Cesar 136
25 Advanced Energy Cesar 4020
26 Advanced Energy LF5
27 Advanced Energy PDX9002V
28 Advanced Energy PE 10K
29 Advanced Energy PEII-10K
30 Advanced Energy PEII-10K
31 Advanced Energy RF10S
32 Advanced Laser Diode EIG 1000A
33 Advanced Plasma Syst B Series-4
34 AET Technologies Rapid Annealing Furnace
35 AG Associates 210M
36 Agilent E3614A
37 AIO Microservice 8826
38 Airco Temescal BJD-1800
39 Airco Temescal SFIH2701
40 Airco Temescal VES 2550
41 AJ International Inc ATC Orion 4
42 Akrion MP-2000
43 Akrion UP-V2 HL.2000
44 Akrion UP-V2 SA.3200
45 Alcan Tech/Canon MAS-8000
46 Alcan Tech/Canon MAS-8000
47 Alpha Scientific Ele Magnet Power Supply 315 kW
48 AMAT  1140-90053 Applied Materials
49 AMAT AKT 0244-74553
50 AMAT AKT 0244-74553 REV.2
51 AMAT AKT 0244-74554 REV.3
52 AMAT AKT 0690-01681
53 AMAT AKT AKT 15 K
54 AMAT AKT AKT 25 K
55 AMAT AKT N/A
56 AMAT Centura
57 Anelva 32-515-638
58 Applied aterials P5000
59 Applied Materials 0190-00362
60 Applied Materials 0190-00363
61 Applied Materials 0190-02099 / 001
62 Applied Materials 0190-10028-R
63 Applied Materials 1140-01137
64 Applied Materials 1140-01140
65 Applied Materials 3750-01129
66 Applied Materials 8300
67 Applied Materials 8300
68 Applied Materials AKT 1600
69 APPLIED MATERIALS AMAT 0690-01681 CLAMP FLG SGL-CLAW NW160,200
70 Applied Materials Centura
71 Applied Materials Centura
72 Applied Materials Centura Enabler
73 Applied Materials Centura Etch
74 Applied Materials FI20064
75 Applied Materials FI20099
76 Applied Materials FI20104 (3750-01111)
77 Applied Materials FI20132 0040-36436
78 Applied Materials In Raider ECD
79 Applied Materials Olympia ALS
80 Applied Materials Precision 5000
81 Applied Materials VANTAG- ASTRADSA
82 Applied Materials VANTAG- ASTRADSA
83 Applied Materials Vantage Vulcan
84 ASM A412
85 ASM E3200
86 ASM E3200 RP
87 ASM Eagle XP
88 ASM P8300
89 ASM Polygon P3800
90 ASML XT1250B
91 ASML XT1700FI
92 ASML XT1700FI
93 ASML XT1900GI
94 Astex 2.5kW
95 Astex AX 7610
96 Astex AX2107
97 Astex AX2518
98 Astex AX3041
99 Astex AX3153
100 Astex AX3153-1
101 Astex AX5000, AX6000, AX6350 or similar
102 Astex AX6500 “Clamshell” MPCVD
103 Astex CPR340 or equivalent
104 Astex CS2
105 Astex CS340 or equivalent P
106 Astex ECR-MOCVD-PECVD
107 Astex FI20131 Fl20131 3750-01139
108 Astex HS
109 Astex HS-1000, HS1000
110 Astex HS-1500, HS1500
111 Astex LS340
112 Astex Model LS or
113 Astex S-1500i S1500i
114 Astex SXRHA
115 Asyst Technologies 300FL,S3,25WFR
116 Asyst Technologies ELEVATOR
117 Axcelis Technologies Optima HD
118 Axcelis Technologies Optima HDxT
119 Balzers RFS 302
120 Bold Technologies N/A
121 Branson/IPC 3000C
122 Branson/IPC 4000 Series
123 Branson/IPC 4055/2
124 Branson/IPC 4055/2
125 Branson/IPC 4055/2
126 Branson/IPC 4155/2
127 Branson/IPC 7102
128 Branson/IPC P2100
129 Branson/IPC P-2100
130 Branson/IPC P-2100
131 Branson/IPC P3075/2
132 Branson/IPC PM-11020
133 Branson/IPC S-2005
134 Branson/IPC S210011220
135 Branson/IPC S-3100
136 Branson/IPC Series 4000
137 Brewer Science CEE 4000
138 Brooks Automation 001-1570-87
139 Brooks Automation ACUTRAN 7
140 Brooks Automation Fix Load25
141 Brooks Automation MagnaTran 7B
142 Brooks Automation MagnaTran 7F
143 Brooks Automation VCE2
144 Brooks Automation VCE2
145 Brooks Automation VTR5
146 Brooks Automation VWA 2
147 Bruce (BTI) 8013469
148 Bruce (BTI) 8013470
149 Bruce (BTI) 8196115
150 Bruce (BTI) BDF-41
151 Bruker B-MN65/260
152 Burleigh SA plus-800-14
153 C&D Semiconductor 8126
154 Canary Technology
155 Canon C-7100GT
156 Canon FC7102
157 Carbone G-III
158 CBG Technologies Solvent Recycling Unit
159 CBI 2X2LOTO15
160 CHA Industries SEC-1000-RAP
161 CHA Industries SEC-600-RAP
162 CHA Industries SSC 1000
163 CHA SE-600RAP
164 Cober 6kW microwave tuner
165 Cober ST89-1019
166 Coherent Innova 100
167 Coherent Innova 90
168 Coherent Innova 90-A
169 Coherent Innova FReD 300
170 Comdel CPS-5000/13.56
171 Con Optics M311A
172 Condor  CP1354
173 Condor  CP1417
174 Condor  CP1573
175 Connecticut Microwav 366004
176 Copley Controls Corp 234
177 CPI VPW2870W6-S
178 CT 3533-130
179 CVC 611 Load Lock
180 Dainippon Screen FC3000
181 Dainippon Screen LA-3000F
182 Dainippon Screen LA-3000F
183 Dainippon Screen LA-3000F
184 Dainippon Screen SR3000
185 Dainippon Screen SSN-629-3
186 Dainippon Screen SU3000
187 Denton DV-SJ/26
188 DEVICEENG DE Korean Benches
189 Diversen  QP2N1DF
190 DMS Tornado 200
191 Dockweiler Chemicals 20000
192 Dockweiler Chemicals 8000
193 E&R Engineering WB-300FGS
194 E&R Engineering WD-300
195 E&R Engineering WD-300AUV
196 E&R Engineering WID-300
197 EA Elektro Automatik EA-PSMPS 812-05 R
198 Ebara FREX300S
199 Ebara F-REX300S
200 EFX 100T-1
201 Electronic Measuremt EMHP 10-1500-D
202 Electronic Measuremt EMS 10-250-2-D-0209F
203 Electronic Measuremt EMS 120-40
204 Electronic Measuremt EMS 13-150
205 Electronic Measuremt EMS 13-200-2-D-1520
206 Electronic Measuremt EMS 20-125
207 Electronic Measuremt EMS 250-20-2D-0209E
208 Electronic Measuremt EMS 300-16
209 Electronic Measuremt EMS 30-80
210 Electronic Measuremt EMS 5-50
211 Electronic Measuremt EMS 60-80
212 Electronic Measuremt EMS 7.5-130-1-D
213 Electronic Measuremt EMS 7.5-130-2-D
214 Electronic Measuremt EMS 7.5-600
215 Electronic Measuremt EMS 80-30-2-D-10T-1402
216 Electronic Measuremt ESS 300-35
217 Electronic Measuremt ESS 400-25
218 Electronic Measuremt ESS 80-185
219 Electronic Measuremt HCR 10-25
220 Electronic Measuremt TCR 10S240
221 Electronic Measuremt TCR 10S90
222 Electronic Measuremt TCR 20S30
223 Electronic Measuremt TCR 20S30
224 Electronic Measuremt TCR 20T250
225 Electronic Measuremt TCR 20T500-4-D-OV
226 Electronic Measuremt TCR 250T20
227 Electronic Measuremt TCR 300S9
228 Electronic Measuremt TCR 40S70
229 Electronic Measuremt TCR 50T50
230 Electronic Measuremt TCR 60S18
231 Electronic Measuremt TCR7.5T300
232 Elgar 1001SL-12 EM PS13
233 ENI EGR 3200AMT
234 ENI LPG12A-21051-50
235 ENI MW-5DM11
236 ENI OEM 12A
237 ENI OEM 12B
238 ENI PL3
239 ENI Power Systems OEM-6AM-1B-21251
240 Equipe Technologies ATM105-1-S-CE
241 Equivalent/Substitute
242 Evatec BAP 801
243 Evatec BAP 801
244 EVG EV640
245 EVG Gemini Automated Production Wafer Bonding System
246 EVG Smartview Bond Aligner
247 Faith Technology Rapitran II
248 FEI 200XP TMP
249 FSI Polaris 1000
250 GCA/Precision 036902G1
251 GCA/Precision Sci 00159120
252 GCA/Precision Sci 005318G1
253 GCA/Precision Sci 047241G2
254 Genmark Automation GENCOBOT 4
255 Gerling GL401A
256 Gerling p/n 910677 c/n 403081
257 GFC GH0F5-5
258 GSIL JK501
259 GSIL JK501
260 GSIL LWCO2-20
261 GTX Marketing Wet Bench
262 Hamatech 104180
263 Headway CB15
264 Headway P8X20-30
265 Headway PWM202-BD5-CB15
266 Heraeus 09751751
267 Hewlett Packard 6012B
268 Hewlett Packard 6130C
269 Hewlett Packard 6200B
270 Hewlett Packard 6233A
271 Hewlett Packard 6255A
272 Hewlett Packard 6266B
273 Hewlett Packard 6453A
274 Hewlett Packard 6551A
275 Hewlett Packard 6622A
276 Hewlett Packard 6624A (4) Output
277 Hewlett Packard 6633B
278 Hewlett Packard 6644A
279 HFCVD System for CVD Diamond and Related Materials
280 High Power Dummy Load, 2.45GHz (e.g.6kW, WR340)
281 Hirata AR-W180CL-4-T-330-M
282 Hitachi M-8190XT
283 H-Square AFEZ-6AC
284 H-Square FFTB-3MA
285 H-Square SQ-20614
286 Hughes 3222H
287 IDEC PS5R-B24
288 Imtec Acculine QRT/S-A1502
289 Imtec Acculine QZ-A1002-11
290 Imtec Acculine QZ-A1502
291 Inficon 782-900-030
292 Inficon Guardian IV
293 Inficon SENTINEL III
294 Interlab MRS1583
295 ITEC Powertron 1500S-CRH
296 ITEC Powertron 3000S-CR
297 ITS Single Mix Tank
298 Japan Radio Co. NAH-1030-2A/NFC-30-2A
299 Jobin Yvon DigiTwin
300 Kaiser Systems 1100595
301 Karl Suss MA 150M
302 Kaufman & Robinson I eH2000 HC/F
303 Kawasaki 3NS410B-A704
304 Kepco RMX12-C
305 Kepco TBC 24-60M
306 Keyence MD-F3000W
307 Kokusai 2950704
308 Kokusai DD-1206VN-DF
309 Kokusai DD-1223VN
310 Kokusai DJ-1206VN-DM
311 Kokusai DJ-1206VN-DM
312 Kokusai DJ-1206VN-DM
313 Kokusai DJ-1206VN-DM
314 Kokusai DJ-1206VN-DM
315 Kokusai DJ-1206VN-DM,
316 Kokusai Quixace
317 Kokusai Quixace Ultimate Vertical LPCVD Furnaces
318 LAM 2300 v2
319 LAM 2300 v2
320 LAM INOVA XT
321 LAM Research Co 490 AUTO ETCH
322 LAM Research Corp. Altus
323 LAM Research Corp. INOVA
324 LAM Research Corp. RST304
325 LAM Research Corp. Vector Express
326 LAM Research DV-38F
327 Lambda 036904G1
328 Lambda ESS 160-62-2-D
329 Lambda ESS 600-16-2-DLB-TC-(TP)-RSTL
330 Lambda ESS 80-185-7-D-0806
331 Lambda LRS-51
332 Laurell WS-200-8NPP RV
333 Leatherwood Plastics LPD333.FR4.FT
334 Leatherwood Plastics LPJ333.SS.ADFTX
335 Leybold 1013010
336 Leybold LAB 600 EB
337 LTX TS512
338 Lufran SB SERIES
339 Lufran SB5-403-A11
340 MA Lighting Technolo 12 X 3.7kW
341 Mactronix UKA-650
342 Mactronix UKA-825
343 MAGNETRON HEAD PK 90
344 MAGNETRON SPUTTERING CATHODE 6″ x 40″
345 MAGNETRON SPUTTERING CATHODE, 6″
346 March Instruments PM-600
347 March Instruments PX-2400
348 March Instruments PX-500
349 March Instruments SUPERPLASMOD
350 Materials Technology 02-01808
351 Mattson Technology Helios
352 MDC 600-4T
353 Mechatronic 300mm Sorter
354 Mechatronic 300mm Sorter
355 Melles Griot 05LGR171
356 Melles Griot 06 DAL 203
357 Metroline M4L
358 Mill Lane Eng 4123
359 Mill Lane Eng Custom Research
360 MKS Instruments ASTRON i
361 MKS Instruments FI20634
362 MKS Instruments, Inc Astex 1.0kW AX3060
363 MKS Instruments, Inc AX3060-1 for AX2115
364 MKS Instruments, Inc FI20161
365 MKS Instruments, Inc FI20161-1
366 MKS Instruments, Inc FI20164
367 MKS Instruments, Inc FI20608, Fl20608
368 MKS Instruments,3750-01145
369 MKS Instruments,Inc FI20166 (3750-01147)
370 MKS Instruments,Inc SM445.0 SM-445 SM445
371 Modutek QA14-DA1
372 Molectron Inc EPM2000
373 MRC 643P
374 MRC 902
375 MRC A121208
376 MRL Industries 400-902959
377 MRL Industries 400-918104
378 MRL Industries 400-920047
379 NADAtech n47
380 Nanometrics Caliper Q300
381 Nanometrics Q200I
382 Nanometrics Q200I
383 National Electronic MH3.OW-SL
384 National Electronics WR340TUNERA
385 National Electronics YJ 1600, YJ-1600
386 National Electronics YJ1191-A, YJ-1191A, YJ 1191A
387 NDE  SSR-05-400-12W-40
388 Neat New-Wave Research Polaris II
389 Nexx Systems Cirrus 300
390 Nikon NSR-2007D
391 Nikon NSR-207D
392 Nikon NSR-207D
393 Nikon S203B
394 Olympus AL100-L8
395 Optical Associates 311-041
396 Oxford Instruments Plasmalab System 100
397 P15OE-24
398 Phasetronics 1P1-2075-NE
399 Plasmafinish V15G
400 PlasmaQuest 357 ECR
401 PlasmaQuest 6″ Load-Lock
402 Plasma-Therm 73/74
403 Plasma-Therm 790
404 Plasma-Therm 790 11 RIE
405 Plasma-Therm 790 11″ RIE
406 Plasma-Therm 790 ICP
407 Plasma-Therm 790 PECVD 11″
408 Plasma-Therm 790 RIE PECVD 11″
409 Plasma-Therm BT 6″ RIE MF
410 Plasma-Therm SLR 770
411 Plasma-Therm Unaxis 790
412 Plasma-Therm VII 734
413 Plasma-Therm VII 734MF
414 Plasma-Therm VLR 700 VLRPM1-ICRB-PM
415 Plasma-Therm Wafer Batch 740/740
416 Plassys MEB 450S
417 Polytec PL-606
418 Power Ten Inc 4500C-6040
419 Power Ten Inc P62B-20150
420 Power Ten Inc P62B-5325AB
421 Power Ten Inc P62B-8250
422 Power Ten Inc P63C-15220AB
423 Power-One Inc HAA15-.8
424 Power-One Inc SPM5A2KLB
425 Power-One Inc SPM5F2F2KB
426 Powertec Inc 2K15D- 1.3B
427 PowerVolt Inc BVA-24AS1.2T
428 PS-12
429 Quintel Q-804
430 Quintel UL 7000 IR
431 RECIF IDLW8R
432 RECIF IDLW8R
433 RECIF SPP8
434 Reynoldstech Custom
435 Reynoldstech Resist Develop Station
436 RF Power Prod RF50S
437 RF VII RF20S – XIII
438 Sairem 6kW
439 SemiSoft Inc. MProbe VIS20 Insitu
440 Semitool 4600L-5-2-E-VT
441 Semitool ACMS XT II
442 Semitool ACMSOXT-AGSE
443 Semitool SP012F1 ASC
444 Semitool SP012F1 ASC
445 Semitool SP012F1 SSC
446 Semitool SP012F1 SSC SII
447 Semitool SP012F1 SSC SII
448 Semitool SP012F1 SSC SII
449 Semitool SP012F1 SSC SII
450 Semitool Spectrum
451 Semitool Spectrum300
452 Semitool SRD Rotors
453 Semitool SST
454 Semitool ST 270S
455 Semitool ST 440S
456 Semitool ST 460S
457 Semitool ST-240D
458 Semitool ST-240D
459 Semitool ST-260D
460 Semitool ST-280
461 Semitool ST-460 SRD
462 Semitool ST-840 SRD
463 Semitool ST-860 SRD
464 Semitool ST-860 SRD
465 Semi-Tool WST 306 MG
466 Semi-Tool WST 406 MG
467 Seren IPS-R300
468 Seren R601
469 Sharon Vacuum Sputtering System
470 Sharon Vacuum Unknown
471 Shibaura Allegro CDE-300
472 Shibaura CDE300
473 Silicon Valley Group 8136 SVG
474 Silicon Valley Group 99-80268-01
475 SinPro SPU41-4
476 SMC INR-244-216
477 SMC INR-244-217A
478 Sokudo DT-3000
479 Sokudo DT-3000
480 Sokudo DUO
481 Solitec 5110SJC
482 Solitec 820-CB
483 Solitec 820-PTDB
484 Sorensen DCR 300-33T8M9A
485 Sorensen DCR 55-90T
486 Sorensen DCR32155T1
487 Sorensen DCR60-18B
488 Sorensen DCS 20-50
489 Sorensen DHP 80-62
490 Sorensen HPD 60-5S
491 Sorensen LHP 40-25
492 Sorensen PRO30033T1M9C
493 Sorensen QB630
494 Sorensen SGA 1K0X5C
495 Sorensen SGA 600X8C
496 Sorensen SGA 75 266C-1DAI
497 Sorensen SGA 80 313C-1DAA
498 Sorensen SGA160X31D-0AAAAR
499 Sorensen SGI 1K0X15E-1CAA
500 Sorensen XG 12-140
501 Sorensen XG 60-14
502 Sorensen XTS 120-0.5-M9B
503 Specialty Coating G3-8
504 Specialty Coating P3201
505 Spectra Gases Logitech BC1
506 Spectra-Physics 165-03
507 SRD ROTORS
508 STAINLESS STEEL 32″ TALL X 1.5″ Dia. BELL JAR
509 Steag 300mm TiW Wet Etch Tool
510 Steag ElectroDep 2000
511 Steag-Mattson TiW Etch Tool
512 Surface Tech Sys 308 PC
513 Surface Tech Sys MXP Multiplex ICP ASE HR
514 Surface Tech Sys MXP Multiplex ICP HR
515 Suss MicroTec CIC1200/157308LS
516 Suss MicroTec FALCON – ACS 200
517 Suss MicroTec Falcon Polyimid Developer
518 Suss MicroTec GAMMA 60
519 Suss MicroTec RESPECT 600
520 Suss MicroTec Respect 600
521 Takatori ATM-1100E
522 Takatori ATRM-2100
523 Takatori ATRM-2100D
524 Tamarack Scientific Contact Mask Exposure
525 TDKLambda ESS 404-37.5-14-1262
526 TDKLambda GEN 12.5-120IS510
527 TDKLambda GEN 300-17IS510
528 TDKLambda GEN 600-17-IS510-3P208
529 TDKLambda GEN 600-2.6IS510
530 TDKLambda GEN 60-85 IS510
531 Technics Micro Stripper Series 200
532 Tel Lithius I+
533 Tel Lithius I+
534 Tel Lithius ProV
535 Tel Orion
536 Tel Tactras Vigas LK3
537 Tel TEL INDY PLUS
538 Tel Trias
539 Tel Trias
540 Tel Trias
541 Tel Trias
542 TEL, Lithius Pro, 300mm
543 Telemark 914-1110-000
544 Telemark TT-3
545 Telemark XY SWEEP
546 Temescal BJD 1800
547 Temescal BJD-1800
548 Temescal CV12SL
549 Temescal VES-2550
550 Tepla 4011
551 Terra Universal
552 Thermco 122688-001
553 Thermtech 15310SC
554 Tokyo Electron Limit ACT12
555 Tokyo Electron Limit ACT12
556 Tokyo Electron Limit ACT12
557 Tokyo Electron Limit Antares
558 Tokyo Electron Ltd Alpha 303i
559 Tokyo Electron Ltd Alpha 303i
560 Tokyo Electron Ltd Alpha 303i
561 Tokyo Electron Ltd Certas LEAGA
562 Tokyo Electron Ltd Indy IRAD
563 Tokyo Electron Ltd Lithius Pro
564 Tokyo Electron Ltd Telius SP 305 SCCM
565 Trek Inc PA1208
566 Trion Technology Oracle
567 Trion Technology Orion 111
568 Ultrat Equipment SCW111
569 Ultratech Stepper LSA100
570 Ultratech Stepper LSA100
571 Ulvac FI20170 (0760-01028)
572 Ulvac NE 7800 Ferroelectric Etcher
573 Unaxis CLC200
574 Universal Plastics Solvent Bench
575 VACUUM CHAMBER
576 Varian 04063001
577 Varian 04073001
578 Varian 160 XP6BD-014
579 Varian 3190
580 Varian 7859012000
581 Varian 7859012000
582 Varian Core System
583 Varian E500 EHPI
584 Verteq 1600-55-A
585 Verteq 1600-55M
586 Verteq IPA 2800
587 Verteq ST600-42L
588 Verteq ST600-42TL
589 Waveline 225-01
590 WR430 to WR340 Rectangular Waveguide Adaptor
591 Yaskawa Electric Cor XU-RC350D-C03
592 Yield Engineerng Sys CV100PZ,YES
593 Zircar FX2254

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS10164-1-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers