Main Maker

Equipment

Category:

Description

Please contact us for the availability of the used semiconductor equipment.The items are in Asia and are subject to prior sale without notice. The items are only for end users.

1 AXCELIS ES3 ASHER Plasma Asher
2 AMAT REFLEXION CMP
3 AMAT CENTURA Ultima_X CVD Ultima_X Rps Type / Nt Os
4 AMAT CENTURA Ultima_X CVD Ultima_X Rps Type / Nt Os
5 AMAT PRODUCER GT CVD CH_A_FOX / CH_B_eHARP
6 AMAT PRODUCER GT CVD Harp Usg
7 AMAT PRODUCER GT CVD Ht_Acl
8 AMAT PRODUCER SE CVD CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos
9 AMAT PRODUCER SE CVD Ht_Sin
10 AMAT PRODUCER SE CVD SILANE Server OS Type
11 AMAT SILVIA ETCHER Tsv Etcher
12 AMAT CENTRIS DPS Mesa ETCHER Twin 3chamber
13 AMAT CENTURA DPS II ETCHER NT OS
14 AMAT CENTURA DPS G5 ETCHER 1Process Chamber /                  1Mesa Chamber
15 AMAT CENTURA DPS G5 ETCHER Silvia Chamber 2ch /
1Axiom chamber
16 AMAT CENTURA DPS Metal ETCHER ASP chamber only / Server OS
17 AMAT CENTURA ENABLER ETCHER 3CH
18 AMAT CENTURA ENABLER ETCHER Oxide Etcher /server OS PC
19 AMAT CENTURA ENABLER ETCHER Oxide Etcher /server OS PC
20 AMAT CENTURA ENABLER ETCHER Oxide Etcher /server OS PC
21 AMAT CENTURA ENABLER ETCHER Oxide Etcher /server OS PC
22 AMAT CENTURA ENABLER ETCHER Oxide Etcher /server OS PC
23 AMAT CENTURA ENABLER_E2 ETCHER Oxide Etcher /server OS PC
24 AMAT CENTURA ENABLER_E2 ETCHER Oxide Etcher /server OS PC
25 AMAT CENTURA ENABLER_E5 ETCHER Oxide Etcher /server OS PC
26 AMAT DPS G5 ETCHER Poly Etch
27 AMAT DPS G5 ETCHER Poly Etch
28 AMAT DPS G5 ETCHER Poly Etch
29 AMAT DPS G5 MESA ETCHER Poly Etch
30 AMAT CENTURA MOCVD LED Nlighten Neon
31 AMAT ENDURA CL PVD HT-Al 2ch / SIP-Ti 1ch
32 AMAT ENDURA CL PVD
33 AMAT ENDURA CL (XP robot) PVD CH-1_Ti/CH-2_AL/CH-3_AL/
34 AMAT VANTAGE RADIANCE RTP Rtp
35 AMAT VANTAGE RADIANCE RTP Rtp
36 AMAT RAIDER ETC
37 AMAT RAIDER ETC
38 AMAT ENDURA CL (XP robot) PVD CH-1_Ti/CH-2_AL/CH-3_AL/     CH-E&F_Degas
39 AMAT CENTURA ENABLER ETCHER Oxide Etcher /server Os Pc
40 AMAT CENTURA ENABLER ETCHER Oxide Etcher /server Os Pc
41 ASML XT760F PHOTO KrF
42 AUGUST CV-9812 MET Wafer Carrier Inspection Tool
43 AXCELIS INTEGRA ASHER ES Plasma Dry Strip System
44 AXCELIS INTEGRA ASHER ES Plasma Dry Strip System
45 AXCELIS INTEGRA ASHER ES Plasma Dry Strip System
46 DAITRON CVP-320 BACKEND Wafer Edge Grinder
47 DNS SS-3000-A PHOTO Bevel Scrubber (4F)
48 DNS SS-3000-A PHOTO Bevel Scrubber (4F)
49 DNS SS-3000-A PHOTO Wafer Scrubber (4Front)
50 DNS SS-3000-A PHOTO Wafer Scrubber (4Front)
51 DNS SS-3000-A PHOTO Wafer Scrubber (4Front)
52 DNS SS-3000-A PHOTO Wafer Scrubber (4Front)
53 DNS SS-3000-A PHOTO Wafer Scrubber (4Front)
54 DNS SS-3000-AR PHOTO
55 DNS SS-3000-AR PHOTO Bevel Scrubber (4B)
56 DNS SS-3000-AR PHOTO Wafer Scrubber (4Back)
57 DNS SS-3000-AR PHOTO Wafer Scrubber (4Back)
58 DNS SS-3000-AR PHOTO Wafer Scrubber (4Back)
59 DNS SS-3000-AR PHOTO Wafer Scrubber (4Back)
60 DNS SS-3000-AR PHOTO Wafer Scrubber (4Back)
61 DNS SS-3000-AR PHOTO Wafer Scrubber (4Back)
62 DNS SS-3000-AR PHOTO Wafer Scrubber (4Back)
63 DNS SS-3000-AR PHOTO Wafer Scrubber (4Back)
64 DNS SS-3000-AR PHOTO Wafer Scrubber (4Back)
65 DNS FC3000 WET Pre Metal Cleaner
66 DNS FC3000 WET Pre Metal Cleaner
67 DNS SU-3000 WET Cleaner (MP Type)
(2_AM1/2_DHF) (2LoadPort)
68 DNS SU-3000 WET Cleaner (MP Type)(DHF)    (2LoadPort)
69 DNS SU-3000 WET Cleaner (MP Type)(DHF)    (2LoadPort)
70 DNS SU-3000 WET Cleaner (MP Type)(DHF)    (2LoadPort)
71 DNS SU-3000 WET Cleaner (MP Type)(DHF)    (2LoadPort)
72 DNS SU-3000 WET Cleaner (MP Type)(DHF)    (2LoadPort)
73 DNS SU-3000 WET Cleaner (MP Type)(DHF)    (2LoadPort)
74 DNS SU-3000 WET Cleaner (MP Type)(DHF)    (2LoadPort)
75 DNS SU-3000 WET Cleaner (MP Type)(DHF)    (2LoadPort)
76 DNS SU-3000 WET Cleaner (MP Type)(DHF)    (3LoadPort) (1R)
77 DNS SU-3000 WET Cleaner (MP Type)(DHF)    (3LoadPort) (2R)
78 DNS SU-3000 WET Cleaner (SR Type)(SST)                (3LoadPort)
79 DNS SU-3000 WET Cleaner (SR Type)(SST)                (3LoadPort)
80 DNS SU-3000 WET Cleaner (SR Type)(SST)                (3LoadPort)
81 ELECTROGLAS EG5300 PROBER Prober
82 ELECTROGLAS EG5300 PROBER Prober
83 ELECTROGLAS EG5300 PROBER Prober
84 FSM SYMPHONYMC MET
85 HIKE DD1223VN FURNACE Pyro
86 HIKE DJ1206VN FURNACE Sin
87 HIKE DJ1223VN FURNACE Ald
88 HITACHI I-6300 MET CD Sem
89 HITACHI S4700-II MET Scanning Electron Microscope
90 HITACHI S4700-II MET Scanning Electron Microscope
91 HITACHI IS2700SE MET Dark Field inspection
92 HITACHI LS-6800 MET Wafer Surface Inspection
93 HITACHI S4700 MET FE Sem
94 HITACHI S4700 MET FE Sem
95 HITACHI S4700 MET FE Sem
96 HMI EPOINTER MET
97 J.A Woollam VUV-VASE (Gen II) MET Spectroscopic Ellipsometers
98 Jordan valley semiconductors JVX 6200 MET X-ray metrology (X-Ray Reflectivity)
99 Jordan valley semiconductors JVX 6200I MET X-ray metrology (X-Ray Reflectivity)
100 KLA_TENCOR 2350 MET High-Resolution Imaging Inspection
101 KLA_TENCOR ARCHER 10 AIM MET Overlay
102 KLA_TENCOR ARCHER 10 XT MET Overlay
103 KLA_TENCOR ARCHER 10 XT MET Overlay
104 KLA_TENCOR ARCHER 10 XT MET Overlay
105 KLA_TENCOR ARCHER 100 AIM MET Overlay
106 KLA_TENCOR ARCHER AIM MET Overlay
107 KLA_TENCOR ARCHER AIM MET Overlay
108 KLA_TENCOR ARCHER XT+ MET Overlay
109 KLA_TENCOR ARCHER XT+ MET Overlay
110 KLA_TENCOR ARCHER XT+ MET Overlay
111 KLA_TENCOR ALERIS 8330 MET FilmThickness Mesuarement
112 KLA_TENCOR ALERIS CX MET FilmThickness Mesuarement
113 KLA_TENCOR ALERIS HT MET FilmThickness Mesuarement
114 KLA_TENCOR ALERIS HT MET FilmThickness Mesuarement
115 KLA_TENCOR F5X MET Thickness
116 KLA_TENCOR TENCOR SP3 MET Unpatterned Wafer Inspection
117 KLA_TENCOR PUMA 9130 MET Dark Field
118 KLA_TENCOR PUMA 9000S MET
119 KOKUSAI QUIXACE2 FURNACE Ald Tin
120 KOKUSAI ZESTON-lll DD-1223V FURNACE
121 KORNIC KORONARTP1200+ RTP Rtp
122 LAM EXELAN 2300 ETCHER Oxide Etch
123 LAM FLEX_GX_E6 ETCHER Oxide Etch
124 LAM FLEX_GX_E6 ETCHER Oxide Etch
125 MATTSON PARADIGME_SI ASHER Dry Strip
126 MATTSON SUPREMA_IM ASHER Dry Strip
127 MATTSON SUPREMA_IM ASHER Dry Strip
128 MATTSON SUPREMA_IM ASHER Dry Strip
129 MATTSON SUPREMA_IM ASHER Dry Strip
130 MATTSON PARADIGME_SI ETCHER Poly Etch
131 MATTSON HELIOS RTP Rtp
132 MATTSON HELIOS RTP Rtp
133 MATTSON HELIOS RTP Rtp
134 MATTSON HELIOS RTP Rtp
135 MATTSON HELIOS RTP Rtp
136 MATTSON HELIOS RTP Rtp
137 MATTSON HELIOS RTP Rtp
138 MATTSON HELIOS RTP Rtp
139 MATTSON HELIOS RTP Rtp
140 MATTSON SUPREMA_IM STRIP Dry Strip
141 MATTSON SUPREMA_IM STRIP Dry Strip
142 NANOMETRICS CALIPER_MOSAIC MET Overlay
143 NANOMETRICS CALIPER_MOSAIC MET Overlay
144 NANOMETRICS CALIPER_MOSAIC MET Overlay
145 NANOMETRICS CALIPER_ULTRA MET Mask & Wafer Inspection
146 NANOMETRICS CALIPER_ULTRA MET Mask & Wafer Inspection
147 NANOMETRICS CALIPER_ULTRA MET Mask & Wafer Inspection
148 NANOMETRICS CALIPER_ELAN PHOTO Overlay
149 NGR 2150 MET CD Sem
150 NIKON S620D PHOTO Excimer laser (Laser Exclude)
151 NOVELLUS GAMMA_EXPRESS ASHER PR Strip
152 NOVELLUS CONCEPT 3 GAMMA 2130 CVD
153 NOVELLUS CONCEPT 3 GAMMA 2130 CVD
154 NOVELLUS CONCEPT 3 SPEED CVD NEXT
155 NOVELLUS CONCEPT 3 SPEED CVD NEXT
156 NOVELLUS CONCEPT 3 SPEED CVD NEXT
157 NOVELLUS CONCEPT 3 SPEED CVD NEXT
158 NOVELLUS CONCEPT 3 SPEED CVD NEXT
159 NOVELLUS CONCEPT 3 SPEED CVD NEXT
160 NOVELLUS VECTOR CVD PECVD
161 NOVELLUS VECTOR EXPRESS CVD PECVD
162 NOVELLUS CONCEPT 3 INOVA PVD NEXT
163 PSK SUPRA3 ASHER Dry Strip
164 PSK SUPRA3 ASHER Dry Strip
165 PSK TERA21 ASHER PR Ashing
166 PSK TERA21 ASHER PR Ashing
167 PSK TERA21 ASHER PR Ashing
168 PSK TERA21 ASHER PR Ashing
169 RORZE RSC242 ETC Wafer Sorter / 4Foup type
170 RORZE RSC242 ETC Wafer Sorter / 4Foup type
171 RORZE RASS300F ETC Wafer Sorter / 4Foup type
172 RUDOLPH AXI-S MET Macro Inspection System
173 RUDOLPH AXL_S MET Macro Inspection System
174 RUDOLPH AXL_S MET Macro Inspection System
175 RUDOLPH AXL_S MET Macro Inspection System
176 RUDOLPH AXL_S MET Macro Inspection System
177 RUDOLPH MP1-300 MET Film Thickness Measurement
178 RUDOLPH MP1-300 MET Film Thickness Measurement
179 RUDOLPH MP1-300 MET Film Thickness Measurement
180 RUDOLPH MP1-300 MET Film Thickness Measurement
181 RUDOLPH MP1-300 MET Film Thickness Measurement
182 RUDOLPH MP1-300 MET Film Thickness Measurement
183 RUDOLPH MP2-300XCU MET Film Thickness Measurement
184 RUDOLPH MP3-300XCU MET Film Thickness Measurement
185 RUDOLPH MP3-300CMP MET Metal Thickness Measurement
186 RUDOLPH S3000A MET FBE(focused beam laser ellipsometry)
187 RUDOLPH S3000A MET FBE(focused beam laser ellipsometry)
188 RUDOLPH WS3840 MET 3D Bump Metrology
189 RUDOLPH WV320 MACRO MET
190 RUDOLPH WV320 MACRO MET
191 SECRON IP 300 PROBER Prober
192 SIGMAMELTEC SFG3000 ETC Photomask
193 TEL TELIUS SCCM Jin ETCHER Dry Etcher
194 TEL VIGUS_MASK ETCHER Etch
195 TEL VIGUS_MASK ETCHER Etch
196 TEL VIGUS_MASK ETCHER Etch
197 TEL VIGUS_MASK ETCHER Oxide
198 TEL VIGUS_RK2 ETCHER Oxide
199 TEL 30X FURNACE K Type / Poly
200 TEL ALPHA-303i FURNACE H type / Poly
201 TEL ALPHA-303i FURNACE H type / Poly
202 TEL ALPHA-303i FURNACE K type / Mto
203 TEL ALPHA-303i FURNACE K type / Mto
204 TEL ALPHA-303i FURNACE K type / Mto
205 TEL ALPHA-303i FURNACE K type / Mto
206 TEL ALPHA-303i FURNACE K type / Mto
207 TEL ALPHA-303i FURNACE K type / Mto
208 TEL ALPHA-303i FURNACE K type / Mto
209 TEL ALPHA-303i FURNACE K Type / Poly
210 TEL ALPHA-303i FURNACE K Type / Poly
211 TEL ALPHA-303i FURNACE K Type / Poly
212 TEL FORMULA FURNACE DCS SiN
213 TEL FORMULA FURNACE DCS SiN
214 TEL FORMULA FURNACE Nitrde
215 TEL FORMULA(ver.0) FURNACE Optimal Thermal Processing
216 TEL INDY FURNACE Poly
217 TEL INDY FURNACE ALD Sin
218 TEL INDY FURNACE ALD Sin
219 TEL INDY FURNACE ALD Sin
220 TEL INDY FURNACE
221 TEL INDY ALD HIGH K FURNACE High-K AlO/ZrO
222 TEL INDY ALD HIGH K FURNACE High-K AlO/ZrO
223 TEL INDY DOPED POLY FURNACE Ph3 Doped Poly
224 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
225 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
226 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
227 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
228 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
229 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
230 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
231 TEL Clean Track Mark 5 Vz Clean Track Mark 5 Vz
232 TEL FORMULA FURNACE Ald High-K Vertical Lpcvd
233 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
234 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
235 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
236 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
237 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
238 TEL LITHIUS PHOTO High Reliability and Productivity Coater Developer
239 Toray SP-500w MET Bump Height Measurement

The items are subject to prior sale without notice.

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5588

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers