Main Maker

Used Semiconductor Equipment

Category:

Description

Used Semiconductor Equipment

Valid: Subject to prior payment/sale without notice. This is only for end users. Appreciate your time!

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.

No. Maker Model
1 FIB200
2 DB235
3 FIB800
4   INFICON UL 1000
5  Amat verasem 3D
6  AMAT Producer(8 inch)
7  AMAT Applied Materials P5000 Oxide Etch 3 Chambers
8  Axcelis  NV-GSD/HE
9  KLA Tencor Quantox 64100
10  Nikon NSR 2205 i12D
11    FEI CLM-PLUS (CRT-082)
12 Advantest T5377S
13 Advantest M6741A
14 ADVANTEST T5585
15 ADVANTEST T5585
16 ADVANTEST T5585
17 ADVANTEST T5371
18 ADVANTEST T5371
19 Advantest T5377S
20 AMAT P5000 CVD,6″,4chamber(3CVD+1BACK ETCH
21 AMAT Mirra 3400
22 AMAT DPS II MESA Poly
23 AMAT Centura EPI
24 AMAT MXP +
25 AMAT DPS
26 AMAT DPS G5
27 AMAT G5-MESA
28 AMAT  Applied Materials 5200 Centura EPI
29 AMAT P-5000 SiO2 CVD, 4 chambers
30 AMESS ASL-8000
31 APPLIED MATERIALS INC SEM VISION GX
32 APPLIED MATERIALS INC ULTIMA X 300MM
33 APPLIED MATERIALS INC UVISION 5
34 APPLIED MATERIALS INC VANTAGE
35 ASM AD 8312
36 ASM Eagle Xtreme Wire Bonder
37 ASML AT 1100B Stepper
38 AUTEC ATC-70B-RS
39 Axcelis NV 8250HT
40 Axcelis GSD-HE
41 BROOKS MTX2000
42 Canon MAS-8000
43 Canon MPA 600 FA Mask Aligner, 6″
44 Cascade Summit 12000 AP
45 CDE(Creative Design Engineering) RESMAP_273
46 Cymer ELS7600
47 Cymer ELS 5300 Used for Canon FPA 3000 iW
48 Dage 4000
49 Datacon BESI 2200 EVO
50 Datacon BESI 2200 EVO
51 Disco DFG 8540
52 DISCO DFL-7340
53 Disco DFG 840
54 Disco 841
55 Disco DFD6340
56 DNS 820L Wet bench
57 DNS SS-3300
58 DNS Dainippon  SS-3000-AR with HDD
59 Ebara EPO 112 CMP 200 mm
60 Ebara EPO 112 CMP 200 mm
61 EG 4090
62 EO-TECHNICS PEM402
63 FEI Helios NanoLab 600 Focused Ion Beam
64 FEI COMPANY CLM-PLUS
(CRT-082)
65 Fusion 200PCU
66 GAERTNER L115C-8
67 Gasonic L3510
68 HITACHI N-6000
69 HITACHI LS9000
70 HITACHI CV4000
71 HITACHI HIGH-TECH AMERICA, INC IS5100
72 HITACHI HIGH-TECH AMERICA, INC LS-9300
73 Hitachi High-Tech America, Inc. M712E
74 Karl Suss MA 8 / BA 8 Mask Aligner
75 KLA MIS-200
76 KLA RS55TCA.
77 KLA 6420
78 KLA Tencor Candela CS920
79 KLA Tencor SP3
80 KLA Tencor SFS SP2
81 KLA Tencor SP1 Classic
82 KLA Tencor ADE 9500
83 KLA Tencor  8100XP CD-SEM
84 KLA-Tencor  Surfscan 6420
85 KLA-Tencor Surfscan 6220
86 KLA-Tencor Surfscan 6400
87 KOKUSAI QUIXACE
88 Lam Lam 2300, Exelan Oxide
89 LAM Gamma XPR Asher
90 LAM Vector Express
91 LAM STRATA-GX
92 LAM VECTOR FRAME
93 LAM VECTOR EXPRESS AHM
94 LAM C3 SPEED MAX (LITE)
95 LAM Flex45(12inch)
96 LAM 2300 Exelan Flex EX
97 LAM Research 2300 Versys KIYO Poly
98 Leica MIS-200 Review Inspection
99 Lintec RAD 3510F/12
100 Lintec RAD 3010/12
101 MOSAID M420501
102 MSP CORP. 2300XP1
103 NANOMETRICS SIPHER
104 Nanospec 9300
105 NEXTEST MAGNUM II EV ICP
106 NEXTEST MAGNUM II ICP 1280
107 NEXTEST MAGNUM ICP 1280
108 Nextest Teradyne Magnum 2
109 Nikon Eclipse L200
110 Nikon NSR SF 100 Stepper
111 Nikon NSR-1505 i7A
112 NITTO NEL 4.NITTO NEL HR9000
113 NOVA NOVASCAN 3090
P/N 390-10000-11
114 Novellus C2 standard
115 Novellus C1
116 Novellus Gamma 2100
117 Novellus 6″ Concept
118 Oxford Plasmalab 80+
119 Oxford Plasmalab 800+
120 Oxford Plasmalab ICP380
121 PLASMA THERM SLR-770 ICP
122 PSK DAS 2000
123 PSK SUPRA3
124 RODOLPH AXI-S
125 Rudolph Auto EL II
126 RUDOLPH AXI-S930B
127 RUDOLPH MP1-300XCU
128 Samco RIE 200iP
129 SAMCO RIE-10NR
130 SEIKO SIR3000
131 SEMILAB PMR_3000
132 Semitool A870S
133 SEN NV-GSD-III 90
134 TEL Alpha 8S
135 TEL Mark 8 2C2D 2 Wee
136 TEL ACT-12
137 TEL Telius SP-Vesta
138 TEL TE8500ATC
139 TEL A303I
140 TEL P-8XL
141 TEL P-8
142 TEL P-12XLm
143 TEL P-12XLm
144 TEL A303I
145 TEL A303I
146 TEL FORMULA
147 TEL FORMULA
148 TEL NS300
149 TEL ALPHA 303I
150 TEL LITHIUS
151 TEL LITHIUS
152 TEL LITHIUS
153 TEL LITHIUS
154 Tel  Tokyo Electron Clean Track Mark 8
155 TEL Tokyo Electron Clean Track Act 12
156 TERADYNE MAGNUM_2X_GVLC
157 TERADYNE MAGNUM_2X_GVLC
158 THERMO-FISHER ECO1000-S
159 Tokyo Electronics Precio
160 TSK UF3000
161 TSK UF200
162 ULTRATECH LSA100A
163 UNAXIS SLR-720
164 Varian 160XP
165 Zeiss EVO 50 VP with EDAX SEM
166 XLA165
167 T5503HS  with  M6243
168 MA200
169 P12XL
170 ETS88
171 ETS364
172 CS20

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5305-1-11-1-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers