Main Maker

Used Semiconductor Equipment

Category:

Description

Please contact us for the availability of the used semiconductor equipment.

[Pls use “CTRL+F  “key button to search the model/key word you are interested in]

The items are subject to prior sale without notice. These items are only for end users.

No Manufacturer Model Description wafer size
1 10MW Solar Cell Line Monocyrstalline 10MW Solar Cell Manufacturing line with PECVD 156 mm
2 40 MWp Solar Module Manufacturing Line 156 mm
3 8 inch Partial Wafer Fab Line 200 mm
4 8 inch Partial Wafer Fab reclaim line 150 mm and 200 mm
5 8ø WT2000PVN Brick LT€¬
6 Accent Caliper Elan Overlay Measurement
7 Accent Caliper Q300 Overlay Measurement System 300 mm
8 Accretech A-WD-208T DICING SAW 200 MM
9 Accretech A-WD-208T DICING SAW 200 MM
10 ACCRETECH UF200 Fully automated wafer prober 8″
11 ACCRETECH UF200 Fully automated wafer prober 8″
12 ACCRETECH UF200 Fully automated wafer prober 8″
13 ACCRETECH UF200A Fully automated wafer prober 8″
14 ACCRETECH UF200A Fully automated wafer prober 8″
15 ACCRETECH UF200A Fully automated wafer prober 8″
16 ACCRETECH UF200A Fully automated wafer prober 8″
17 ACCRETECH UF200A Fully automated wafer prober 8″
18 ACCRETECH UF200SA Fully automated wafer prober 8″
19 Accretech UF3000 Fully automated wafer prober 12″
20 Accretech UF3000 Fully automated wafer prober 12″
21 ACCRETECH UF3000 prober 300 mm
22 ACCRETECH UF3000 prober 300 mm
23 ACCRETECH UF3000 prober 300 mm
24 Accretech Win-Win 50 Optical Inspection 300 mm
25 Accretech Win-Win 50 – A5000, Hurricane Bright Field Inspection
26 Accretech Win-Win 50 – A5000, Hurricane Bright Field Inspection
27 Accretech Win-Win 50 A5000 Hurricane Inspection 200mm
28 Accretech / TSK APM 90A Prober 200 mm
29 Accretech / TSK APM 90A Prober 200 mm
30 Accretech / TSK APM 90A Prober 200 mm
31 Accretech / TSK APM-90A Prober 200 mm
32 Accretech / TSK PG200 Back Grinder Polisher 200 mm
33 Accretech / TSK PG200RM Back Grinder 200 mm
34 Accretech / TSK PG200RM Back Grinder 200 mm
35 Accretech / TSK PG200RM Back Grinder 12″
36 Accretech / TSK PG300RM Wafer Back Grinder 300 mm
37 Accretech / TSK PG300RM Wafer Back Grinder 300 mm
38 Accretech / TSK UF190A Prober
39 Accretech / TSK UF200FL OCR system for Prober 200 mm
40 Accretech / TSK UF200S Prober 200 mm
41 ACCRETECH / TSK UF300 Fully Automated Wafer Prober
42 ACCRETECH / TSK UF300 Fully Automated Wafer Prober
43 ACCRETECH / TSK UF3000 Fully Automated Wafer Prober
44 ACCRETECH / TSK UF3000 Fully Automated Wafer Prober
45 Accretech / TSK UF3000EX Prober -40 C to + 150 C 200 mm / 300 mm
46 ACCRETECH / TSK UF3000EXE Fully Automated Wafer Prober
47 ACCRETECH / TSK UF3000EXE Fully Automated Wafer Prober
48 ACCRETECH / TSK UF3000EXE Fully Automated Wafer Prober
49 ACCRETECH / TSK UF3000EXE Fully Automated Wafer Prober
50 ACCRETECH / TSK UF3000EXE Fully Automated Wafer Prober
51 ACCRETECH / TSK UF3000EXE Fully Automated Wafer Prober
52 ACCRETECH / TSK UF3000EXE Fully Automated Wafer Prober
53 ACCRETECH / TSK UF3000EXE Fully Automated Wafer Prober
54 ACCRETECH / TSK UF3000EXE Fully Automated Wafer Prober
55 ACCRETECH / TSK UF3000EXE Fully Automated Wafer Prober
56 ACCRETECH / TSK UF300A Fully Automated Wafer Prober
57 ACCRETECH / TSK UF300A Fully Automated Wafer Prober
58 Accretech TSK MHF300L Test head manipulators 200  mm
59 Accretech/TSK UF3000 Wafer Prober 300mm
60 Accretech/TSK UF3000 Wafer Prober 300mm
61 ACT Stack to Cassette Solar Wafer Handler / Cassette Loader 200MM
62 ADE Episcan 1000 Epi Metrology Spectromter, ONLINE 2110 Spectrometer Head, IRVINE OPTICAL NanoLoader II
63 ADE NANOMAPPER Phase Shift NANOMAPPER 300 mm
64 ADE WaferSight Wafer Flatness Measurement 300 MM
65 Adixen A101L Mechanical Vacuum Pump Pump
66 Adixen ADP122L Mechanical Vacuum Pump Pump
67 Adixen ADP122LM Mechanical Vacuum Pump Pump
68 Adixen ADS1202H Mechanical Vacuum Pump Pump
69 Adixen ADS1202P Mechanical Vacuum Pump Pump
70 Adixen ADS602H Mechanical Vacuum Pump Pump
71 Advantest BGK-011702 ADVANTEST T5335P PC Board
72 Advantest BGK-017719 ADVANTEST T5335P PC Board
73 Advantest BGR-016793 ADVANTEST T5335P PC Board
74 Advantest BGR-016794 ADVANTEST T5335P PC Board
75 Advantest BGR-016796 ADVANTEST T5335P PC Board
76 Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207)
77 Advantest BGR-017417 ADVANTEST T5335P PC Board
78 Advantest BGR-017418 ADVANTEST T5335P PC Board
79 Advantest BGR-017577 ADVANTEST T5335P PC Board BGR-017575
80 Advantest BGR-017578 ADVANTEST T5335P PC Board
81 Advantest BGR-017579 ADVANTEST T5335P PC Board
82 Advantest BGR-018125 ADVANTEST T5335P PC Board
83 Advantest BGR-018822 ADVANTEST T5335P PC Board
84 Advantest BGR-018823 ADVANTEST T5335P PC Board
85 Advantest BGR-018824 ADVANTEST T5335P PC Board
86 Advantest BGR-018931 ADVANTEST T5335P PC Board
87 Advantest BGR-019266 ADVANTEST T5335P PC Board
88 Advantest BGR-019267 ADVANTEST T5335P PC Board
89 Advantest BGR-019486 ADVANTEST T5335P PC Board
90 Advantest BGR-020509 ADVANTEST T5335P PC Board
91 Advantest BGR-020765 ADVANTEST T5335P PC Board
92 Advantest BGR-020771 ADVANTEST T5335P PC Board
93 Advantest BGR-020772 ADVANTEST T5335P PC Board
94 Advantest BGR-020773 ADVANTEST T5335P PC Board
95 Advantest BGR-020774 ADVANTEST T5335P PC Board
96 Advantest BGR-020814 ADVANTEST T5335P PC Board
97 Advantest BGR-020815 ADVANTEST T5335P PC Board
98 Advantest BGR-020816X02 ADVANTEST T5335P PC Board
99 Advantest BGR-020851 ADVANTEST T5335P PC Board
100 Advantest BGR-020853 ADVANTEST T5335P PC Board
101 Advantest BGR-020900 ADVANTEST T5335P PC Board
102 Advantest BGR-021096 ADVANTEST T5335P PC Board
103 Advantest Bir-021807 ADVANTEST T5335P PC Board
104 Advantest M4541AD Test Handler 150 mm
105 Advantest M6300 Automated Test hANDLER test
106 ADVANTEST M6541A/AD Memory Handler
107 ADVANTEST M6541A/AD Memory Handler
108 Advantest M6541AD Automated Test hANDLER test
109 Advantest M6541AD Handler
110 Advantest M6541AD Handler
111 ADVANTEST M6541AD Test Handler 200 mm
112 ADVANTEST M6541AD Test Handler 200 mm
113 ADVANTEST M6541AD Test Handler 200 mm
114 ADVANTEST M6541AD Test Handler 200 mm
115 ADVANTEST M6542A/AD Memory Handler
116 ADVANTEST M6542A/AD Memory Handler
117 Advantest M6542AD AUTO HANDLER
118 Advantest M6542AD Handler
119 Advantest M6542AD Handler
120 Advantest M6542AD TEST HANDLER
121 Advantest M6741A Automated Test HANDLER test
122 ADVANTEST M6741A/AD Memory Handler
123 ADVANTEST M6741A/AD Memory Handler
124 ADVANTEST M6741A/AD Memory Handler
125 ADVANTEST M6741A/AD Memory Handler
126 ADVANTEST M6741A/AD Memory Handler
127 Advantest M6741AD Pick & Place Memory Handler
128 ADVANTEST M6751A/AD Memory Handler
129 ADVANTEST M6751A/AD Memory Handler
130 ADVANTEST M6751A/AD Memory Handler
131 ADVANTEST M6751A/AD Memory Handler
132 ADVANTEST M6751AD Test Handler 200 MM
133 ADVANTEST M6751AD Test Handler 200 MM
134 ADVANTEST M6751AD Test Handler 200 MM
135 Advantest M6771 Automated Test hANDLER test
136 ADVANTEST T3347A Automated test System 8″
137 Advantest T5335 Automated Test System test
138 Advantest T5335P Pre Laser Tester TEST
139 Advantest T5335P (Spares) Spare Boards from test system TEST
140 Advantest T5365P (some boards are missing) Automated Test Equipment TEST
141 Advantest T5371 Automated Test System test
142 ADVANTEST T5371 Memory TESTER
143 Advantest T5371 Test system Test
144 Advantest T5371 Tester TEST
145 Advantest T5375 mother boards Mother boards TEST
146 ADVANTEST T5377 Memory TESTER
147 Advantest T5377 mother boards Mother boards TEST
148 ADVANTEST T5382A1 Test System TEST
149 Advantest T5383 Automated Test System test
150 Advantest T5383 TEST SYSTEM TEST
151 Advantest T5501 Memory Tester
152 Advantest T5571P Automated Test System TEST
153 ADVANTEST T5571P Memory TESTER
154 Advantest T5581 Automated Test Equipment TEST
155 Advantest T5581 mother boards Mother boards TEST
156 Advantest T5581H Automated Test System test
157 ADVANTEST T5581H Memory TESTER
158 ADVANTEST T5581H Memory TESTER
159 Advantest T5585 Analysis TEST
160 Advantest T5585 Automated Test System test
161 Advantest T5585 Memory Tester
162 ADVANTEST T5585 Memory TESTER
163 ADVANTEST T5585 Test System 200 mm
164 Advantest T5585 with M6300 Automated Test Equipment TEST
165 Advantest T5585 with M6541AD. Automated Test Equipment TEST
166 Advantest T5586 Memory Tester
167 ADVANTEST T5588 Automated Test System TEST
168 ADVANTEST T5588 (Parts) Memory TESTER (For spares use)
169 Advantest T5592 with M6541AD. Automated Test Equipment TEST
170 Advantest T5593 Automated Test System test
171 Advantest T5593 mother boards Mother boards TEST
172 Advantest T5593 with M6542AD Automated Test Equipment TEST
173 Advantest T5771 Automated Test System TEST
174 ADVANTEST TR6846 Digital Multimeter 200 mm
175 Advantest WUN-H90554AIR ADVANTEST air control unit
176 Advantest WUN-MONITORBOX ADVANTEST poiwer supply monitoring box, T5335P
177 AEG 2A 400-100 H Power Driver Controller 2A Spares
178 AG  Associates Heatpulse 8800 RTA 200 mm
179 AG ASSOCIATES 2106 Rapid Thermal Processing System, for 100mm-150mm Wafers
180 AG ASSOCIATES 210M Rapid Thermal Implant Monitor
181 AG ASSOCIATES 2146 Rapid Thermal Processing System, Parts Tool Only
182 AG ASSOCIATES 410 Benchtop Rapid thermal Processing System, for up to 4″ Wafers
183 AG ASSOCIATES 610 Benchtop RTP System, for up to 6″ Wafers
184 AG Associates HeatPulse 8800 RTP 200 MM
185 Agilent 16702A LOGIC ANALISYS SYSYEM TEST
186 Agilent 1671G Logic Analyzer test
187 Agilent 1671G Logic Analyzer test
188 Agilent 41501B Parameter analyzer 200 mm
189 Agilent 4156C Parametric Tester 300mm
190 Agilent HP4073A Parametric Tester
191 AGILENT HP4073A Parametric Tester
192 Agilent / HP 83000 F330 test system test
193 Agilent / HP 83000 F330T test system test
194 Agilent / HP / Verigy 83000-F330t Automated Test System test
195 Agilent / Verigy 4071A
196 Agilent / Verigy 4072A Analysis
197 Agilent / Verigy 4072A Analysis 300 mm
198 Agilent / Verigy 4072B Analysis 300 mm
199 Agilent / Verigy 4073A
200 Agilent / Verigy 4073B 300 mm
201 Agilent / Verigy 4073B Test
202 Agilent / Verigy 4073B + P12XL Parametric Test System with prober 300 mm
203 Agilent / Verigy 93000 Automated Test System TEST
204 Agilent / Verigy 93000 Automated Test System TEST
205 Agilent / Verigy 93000 Automated Test System TEST
206 Agilent / Verigy 93000 Automated Test System TEST
207 Agilent / Verigy 93000 HSM LTH Test System (LARGE TEST HEAD) TEST
208 AGILENT / VERIGY PS1600 CTH SOC TESTER
209 AGILENT / VERIGY PS1600 STH SOC TESTER
210 AGILENT / VERIGY PS1600 STH SOC TESTER
211 AGILENT / VERIGY PS400 SOC TESTER
212 AGILENT / VERIGY PS400 SOC TESTER
213 AGILENT / VERIGY PS400 SOC TESTER
214 AGILENT / VERIGY V4000 Memory TESTER
215 AGILENT / VERIGY V4000 Memory TESTER
216 Agilent / Verigy V93000 C200E Test
217 Agilent / Verigy V93000 P600 Test System TEST
218 Agilent Tech 8510 Automatic Test Equipment TEST
219 Agilent Tech V3300 Memory Test Systems TEST
220 Agilent Verigy V93000 P600 Test system
221 Air Products Precision Tool Manometer 1.5 Bar spares
222 AIR SYSTEM INTL SVB-E8EXP Electric Blower, Explosion Proof FACILITIES
223 Aixtron 2600 G3 MOCVD 100 mm / 115 mm
224 Aixtron Crius MOCVD
225 Akrion 300mm Solvent Sink Solvent Wet Bench 300 mm
226 Akrion GAMA Batch Wafer Processing 200mm
227 Akrion Gama Solvent Wet 8″
228 AKRION HL2000 WET PROCESS 200
229 Akrion MP-2000 Manual cleaning hood , used for copper 200 mm
230 Akrion MP-2000 200mm
231 Akrion UP V2 MP.2000 Etch solvent hood 200 mm
232 AKRION V2-HL.2000 Hybrid-Linear Automatic Acid Wet Station with Robotic Transfer (New), for Dual 150mm Cassettes
233 AKRION V2-SA.3200 Wet Process Station Parts Including Tanks only
234 Akrion V3 Cleaner 200mm
235 AKT 1600 PECVD Gen 2 PECVD deposition system Gen 2
236 AKT NAR 1800/8 G6 ITO Vertical In-line Sputtering Machine for LCD / TFT panel G6 730 mm x 920 mm (G6)
237 AKT New Aristo G4.5 NAR 1200L Vertical In-line Sputtering Machine for LCD / TFT panel G4.5 730mm x 920 mm
238 AKT TCT40 G6 TCT40 G6 PVD  line ( G4.5 cleaner + G4.5 robot + G6 PVD) G6
239 Alcan Tech Canon MAS 8000 Plasma Photoresist Stripper 200  mm
240 Alcatel 2015SD Mechanical Vacuum Pump Pump
241 Alcatel 2015SD Vacuum Pump
242 ALCATEL 5150CP Turbo Molecular Vacuum Pump with Alcatel CFF450 Controller, 2ea Available
243 Alcatel 925-40 Leak Detector
244 Alcatel A 610 PVD 150mm
245 Alcatel A100L Mechanical Vacuum Pump Pump
246 Alcatel A100L Vacuum Pump
247 Alcatel ACT 1300M Turbo pump controller PUMP
248 Alcatel ADP122 Mechanical Vacuum Pump Pump
249 Alcatel ADP122 Vacuum Pump
250 Alcatel ADP81 Mechanical Vacuum Pump Pump
251 Alcatel ADP81 Vacuum Pump
252 ALCATEL ADS 501 DryPUMP
253 ALCATEL ADS 602P DryPUMP
254 Alcatel ADS 602P Vacuum Pump
255 ALCATEL ADS 801 DryPUMP
256 Alcatel ADS1202 Mechanical Vacuum Pump Pump
257 Alcatel ADS1202 Vacuum Pump
258 Alcatel ADS1202H Mechanical Vacuum Pump Pump
259 Alcatel ADS501 Mechanical Vacuum Pump Pump
260 Alcatel ADS501 Vacuum Pump
261 Alcatel ADS602 Mechanical Vacuum Pump Pump
262 Alcatel ADS602 Vacuum Pump
263 Alcatel AMS 4200 Deep Reactive Ion Etch (DRIE)
264 Alcatel ASM 180tD Detector 200mm
265 Alcatel ASM 180TD Helium Leak Detector
266 Alcatel ASM 180TD Helium leak detector Facilities
267 Alcatel flange Alcatel pump flange 4″ ID 5 1/4″ OD, SST
268 Alcatel Adixen ADP122P Dry Vacuum pump
269 Alcatel Adixen ADS602H Dry Vacuum pump
270 ALESSI REL 4500  Manual Wafer Prober 150 mm
271 Alessi REL 5000 Semi-Automatic Prober Prober
272 Allen Bradley 1762-IF4 PLC 4-ch input module
273 Allen Bradley 800F-PN3GX11 Contact module 24V, AMI S3320-15-1, pk of 3
274 Allen Bradley Micro-Logix 1200 PLC Module
275 Allen Bradley PanelView Plus 400 Operator Panel, Serial
276 ALPHASEM Swissline 9006 Automatic Epoxy Die Bonder, Parts Tool Only
277 AMAT 0010-20422 Endura 5500 PVD 8″ Shield treatment and cover assembly
278 AMAT 0041-61611 Retaining ring, Titan II, 8″ *NEW*
279 AMAT 0190-24834-002 MFC Celerity ufc-8165 1L H2
280 AMAT 0200-36631 Cover Plate 8 inch
281 AMAT 0242-13822 P5000 TOP LID
282 AMAT 14046-PE44-1016 VAT Valve 8″
283 AMAT 1ch PRODUCER
284 AMAT 2C/H MXP POLY
285 AMAT 2CH PRODUCER
286 AMAT 350000050 GENERATOR RF MEGASONICS (0920-01087W)
287 AMAT 4C/H ENDURA Sputtering System
288 AMAT 5CH Endura system
289 AMAT 9500XR Wheel 150mm
290 AMAT AME 8330
291 AMAT Centura 5200 eMxP+ 3  chamber 150 mm
292 AMAT Centura 5200 eMxP+ 3ch
293 AMAT Centura 5200 eMxP+ 3ch
294 AMAT Centura WxZ 3ch
295 AMAT Centura WxZ 3ch
296 AMAT CENTURA-I PHASE II
297 AMAT complus Inspection 200mm
298 AMAT CRATING Crating Charge
299 AMAT DPS II Chambers
300 AMAT P-5000 DxL 4CH
301 AMAT P-5000 MxP+ Oxide 3C/H, OPTIMA
302 AMAT p5000 200 mm BPSG, Vintage 1990 200mm
303 AMAT P5000 LEVELITE UNIVERSAL CONTROLLER
304 AMAT P5000 P5000 MxP, 2CH 200mm
305 AMAT P5000 Poly/Oxide  Etch 200mm, Vintage 1996 200mm
306 AMAT P5000
307 AMAT P5000 Etch 3ch
308 AMAT TxZ 3C/H TECTRA
309 AMAT Ultima + HDP CVD CHAMBER
310 AMAT Ultima PLUS HDP CVD tool
311 AMAT XR80 Direct Drive Motor
312 AMAT XR80 LARGE PARTS LOT OF 80 PARTS FOR XR80 IMPLANTER
313 AMAT Centura MxP+ Oxide
314 AMAT Centura MxP+ Oxide
315 AMAT Centura MxP+ Oxide
316 AMAT DPS+ Poly Chamber for Centura II
317 AMAT Mirra on track
318 Amerimade Tech 10 FT FAS-ENIG WET PROCESS STATION Electroless Nickel Immersion Gold (ENIG) Processin
319 Amerimade Tech 12 FT RAS-PVCC CU ECD SYSTEM Copper (Cu) ElectroChemical Deposition (ECD) Plati
320 Amerimade Tech 2FT IPA VAPOR DRYING STATION 2FT IPA VAPOR DRYING STATION, 300mm Isopropyl Alc
321 AMI 885 Screen printer control box 706271
322 AMI 885 Screen printer Squeegee box lot
323 AMI B390.05 belt
324 AMI Presco ACL-TS Solar wafer picker tool
325 AMI Presco CP-885 PRODUCTION SCREEN PRINTER, 8″x8″
326 AMI Presco MSP Integrated Solar Cell Print Line 156 mm
327 AMI Presco MSP-9155 SCREEN PRINTER SOLAR
328 AMI Presco MTF-SI Solar cell (AG) flip tool
329 AMI Presco UCL-TS Solar wafer picking and placing tool
330 AMI Presco USP206-2E Solar wafer conveyor, 156mm for use with screen printers, etc. 156mm
331 Amicra AIS Wafer Inker Up to 5 inch
332 ANDO AF9723 Eprom Gang programmer w/ RAM expansion Electronics Test and Measurement
333 Anelva C7100 PVD 8 inch
334 Anelva CORONA RTP 1200A PVD 8 inch
335 Anelva I1201CX PVD cluster tool 300 mm
336 ANELVA ILC-1080 SPUTTERING SYSTEM 200 mm
337 Anelva / Canon I1201 CX PVD deposition system / Seed Metal Sputter Process 300 mm
338 ANGELANTONI T600 TU5 BAKE OVEN FACILITIES
339 Angelantoni T600 TUS Clean Room Oven FACILITIES
340 AP CIS-FTV4 Autotester
341 AP CIS-FTV4 Autotester
342 AP CIS-FTV4 Autotester
343 AP CIS-FTV4 Autotester
344 AP CIS-FTV4 Autotester
345 AP CIS-FTV4 Autotester
346 AP CIS-FTV4 Autotester
347 AP & S TwinStep-B H3P04 Semi-Automatic H3PO4 2 stage Megasonic QDR 200 mm
348 AppiledBoisystem ABI-3700 ANALYZER AUTOMATIC SEQUENCER
349 Applied Komatsu tech 1600 200mm
350 Applied Materials 0020-0323 REV H Heat Exchanger FACILITIES
351 Applied Materials 0290-09018 Rev F Heat Exchanger FACILITIES
352 Applied Materials 5200 Centura Axiom Strip Chamber Chamber 300 mm
353 APPLIED MATERIALS 5200 Centura EPI 5200 Centura EPI
354 Applied Materials 5200 Centura HP PVD PVD 4 chamber cluster tool 100 mm
355 Applied Materials 5200 Centura I Phase II Oxide etcher 200 mm
356 Applied Materials 5200 Centura Rev 4 4 Chamber HART Deep Trench etcher 300 mm
357 Applied Materials 9500XR High Current Implanter 200 mm
358 APPLIED MATERIALS AMC 7800 EPI Reactor EPI Reactor 150mm
359 Applied Materials AMC 7811 atm. Epitaxial deposition 125 mm
360 Applied Materials AMC 7811 atm. Epitaxial deposition 125 mm
361 Applied Materials AMC 7811 atm. Epitaxial deposition 125 mm
362 Applied Materials Carina to G5 Upgrade DRY ETCH CLUSTER TOOL, 2 CHAMBER Hi K MG Etch 300 mm
363 Applied Materials Centura 5200 Metal Etcher 200 mm
364 Applied Materials Centura 5200 DPS+ Metal etcher 200 mm
365 Applied Materials CENTURA 5200 DPS+METAL Dry Etch 200 mm
366 Applied Materials CENTURA 5200 DPS+METAL Dry Etch 200 mm
367 Applied Materials Centura 5200 EMAX CT PLUS 3CH OXIDE ETCH 3  CHAMBER 300 mm
368 Applied Materials Centura 5200 EMAX CT+ 3CH OXIDE ETCH  3 CHAMBER 300 mm
369 Applied Materials Centura 5200 Epi  chamber epitaxial deposition (refurbished) 200 mm
370 Applied Materials Centura 5200 GigaFill SACVD 200 mm
371 Applied Materials Centura 5200 IPS  (Spares) DI-ELECTRIC ETCH Process Chamber 200 mm
372 Applied Materials Centura 5200 IPS  (Spares) qty 3 IPS Process Chambers 200 mm
373 Applied Materials CENTURA 5200 MXP+/OXIDE Oxide Etcher 150 mm
374 Applied Materials CENTURA 5200 RTP MOD-I RTP 200 mm
375 Applied Materials Centura 5200 WxZ 3 chamber WxZ deposition system 200 mm
376 Applied Materials Centura ACP Polygen LPCVD 300mm
377 Applied Materials Centura ACP Radiance RP Rapid Thermal Processor 300 mm
378 Applied Materials Centura ACP RP EPI Epitaxial Silicon (EPI) Deposition 300mm
379 Applied Materials Centura AdvantEdge G5 Mesa – Chamber Only Polysilicon Etch 300mm
380 Applied Materials Centura AdvantEdge Mesa Poly 300 MM
381 Applied Materials Centura AP Axiom HT Chamber Metal Etch 300mm
382 Applied Materials Centura AP DPS II Metal Metal Etch 300mm
383 Applied Materials Centura AP Multi-Process Etch AP 300
384 Applied Materials Centura Carina Chamber, Position C Etch Chamber 300 MM
385 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 300 mm
386 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 300 mm
387 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 300 mm
388 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 300 mm
389 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 300 mm
390 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 300 mm
391 APPLIED MATERIALS Centura DPS POLY ETCHER 200 mm
392 Applied Materials Centura DPS G5 Poly 300 MM
393 Applied Materials Centura DPS G5 Poly 300 MM
394 Applied Materials Centura DPS Metal W Metal Etch 3 Chambers 300 mm
395 Applied Materials Centura DPS Poly Etch DPS II Poly Etch System, 300mm 300 mm
396 Applied Materials Centura DPS2 Metal 300 MM
397 Applied Materials Centura DPS2 Metal 300 MM
398 Applied Materials Centura DPS2 Metal 300 MM
399 Applied Materials Centura DPS2 Metal 300 MM
400 Applied Materials Centura DPS2 Metal 300 MM
401 Applied Materials Centura DPS2 Metal 300 MM
402 Applied Materials Centura DPS2 Poly 300 MM
403 Applied Materials Centura DPS2 Poly 300 MM
404 APPLIED MATERIALS Centura DXZ PLASMA CVD 150 mm
405 Applied Materials Centura e-MAX CT+ Dry Etcher 3 chamber 300 mm
406 Applied Materials Centura eMax CT Oxide 300 MM
407 Applied Materials Centura eMax CT+ Oxide 300 MM
408 Applied Materials Centura Enabler Oxide 300 MM
409 Applied Materials Centura Enabler Oxide 300 MM
410 Applied Materials Centura Enabler Oxide 300 MM
411 Applied Materials Centura Enabler Oxide 300 MM
412 Applied Materials Centura Enabler E2 Oxide 300 MM
413 Applied Materials Centura ENABLER_E2 Dry Oxide Etcher 300 mm
414 Applied Materials Centura ENABLER_E2 Dry Oxide Etcher 300 mm
415 Applied Materials Centura EPI Epitaxial Deposition 300 MM
416 Applied Materials Centura EPI Epitaxial Deposition 300 MM
417 Applied Materials Centura Etch R&D Tool configured with 4 chambers. 300 mm
418 Applied Materials Centura Gigafill CVD CVD system
419 APPLIED MATERIALS Centura HDP HDP-CVD 200 mm
420 Applied Materials Centura MXP Metal 150 MM
421 APPLIED MATERIALS Centura MXP OXIDE ETCHER 200 mm
422 Applied Materials Centura MXP Poly 150 MM
423 Applied Materials Centura RTP MOD-I RTP 200 mm
424 Applied Materials Centura SiNgen Chamber LPCVD 200mm
425 Applied Materials Centura TAO Ta2O5 CVD 200 MM
426 Applied Materials Centura Ultima X CVD 300 mm
427 Applied Materials Centura Ultima X HDP CVD, 2 chamber 300 mm
428 Applied Materials Centura Ultima X HDP CVD, 2 chamber 300 mm
429 Applied Materials CENTURA W-CVD_3CH 3 chamber tungsten CVD system 200 mm
430 Applied Materials CENTURA WSI CHAMBER Wsi Chamber 300 mm
431 Applied Materials CENTURA WSI CHAMBER Wsi Chamber 300 mm
432 Applied Materials CENTURA WSI CHAMBER Wsi Chamber 300 mm
433 Applied Materials CENTURA WSI CHAMBER Wsi Chamber 300 mm
434 APPLIED MATERIALS Centura Wsix CVD 200mm
435 APPLIED MATERIALS Centura Wsix 200mm
436 Applied Materials CENTURA_DPS G3_3CH Dry etcher 300 mm
437 Applied Materials CENTURA_eMAX CT_2CH Dry etcher 300 mm
438 APPLIED MATERIALS CENTURA, DPS poly 200mm
439 Applied Materials Chamber TxZ chamber 300 mm
440 Applied Materials Compass 300 Patterned Wafer Inspection 200 MM
441 Applied Materials Compass Pro 300 SEM 300 mm
442 Applied Materials Compass Pro 300 SEM 300 mm
443 Applied Materials Compass Pro 300 SEM 300 mm
444 Applied Materials Complus Optical Inspection 300 MM
445 Applied Materials ComPLUS 4T Darkfield Inspection 300mm
446 Applied Materials ComPLUS 4T Darkfield Inspection 300mm
447 Applied Materials ComPlus MP Wafer Inspection 200 MM
448 Applied Materials CX 200 Semvision DR SEM 200 mm
449 Applied Materials DPS AE Poly G3 Etch POLY 300 MM
450 Applied Materials DPS AE Poly G3 Etch POLY 300 MM
451 Applied Materials DPS II chamber chamber only 300mm
452 Applied Materials DPSII AE Poly G3 ETCH POLY 300 mm
453 Applied Materials DT HART Dry Etch 300 MM
454 Applied Materials Elite MS MC E-BEAM INSPECTION SYSTEM 300 mm
455 Applied Materials eMax chamber chamber only 300mm
456 Applied Materials eMax CT chamber Chamber 300 mm
457 Applied Materials eMax CT plus chamber Chamber 300 mm
458 Applied Materials eMax CT plus chamber Chamber 300 mm
459 Applied Materials EMAX CT+ Dry etcher 12″
460 Applied Materials EMAX CT+ Dry etcher 12″
461 Applied Materials EMAX CT+ Dry etcher 12″
462 Applied Materials eMxP+ process chamber for oxide etching 200 mm
463 Applied Materials Enabler chamber Chamber 300 mm
464 Applied Materials ENABLER E2 Dry etcher 12″
465 Applied Materials ENABLER E2 Dry etcher 12″
466 Applied Materials ENABLER E2 Dry etcher 12″
467 Applied Materials Endura Endura 6″ process kit, Used, in AMAT box 84-9502-280 150mm
468 Applied Materials ENDURA PVD cluster tool 12″
469 Applied Materials ENDURA PVD cluster tool 12″
470 Applied Materials ENDURA PVD cluster tool 12″
471 Applied Materials ENDURA 2 PVD cluster tool 12″
472 Applied Materials Endura 2 (Chamber) MOCVD chamber 12 inch
473 Applied Materials Endura 2 (Chamber) MOCVD chamber 12  inch
474 Applied Materials Endura 2 (Chamber) PVD chamber – GBL / Degas 12
475 Applied Materials Endura 300 PVD (Physical Vapor Deposition) 300 mm
476 APPLIED MATERIALS Endura 5500 SPUTTERING SYSTEM 150 mm
477 APPLIED MATERIALS Endura 5500 SPUTTERING SYSTEM 125 mm
478 APPLIED MATERIALS Endura 5500 SPUTTERING SYSTEM 150 mm
479 APPLIED MATERIALS Endura 5500 SPUTTERING SYSTEM 150 mm
480 Applied Materials Endura CL PVD 300 MM
481 Applied Materials ENDURA RE-FLOW CHAMBER PVD chamber 300 mm
482 Applied Materials ENDURA RE-FLOW CHAMBER PVD chamber 300 mm
483 Applied Materials ENDURA TXZ CHAMBER Chamber Only 12″
484 Applied Materials ENDURA TxZ CHAMBER TXZ Chamber 300 mm
485 Applied Materials ENDURA2(Process Chamber) PVD 300 mm
486 Applied Materials ENDURA2(Process Chamber) PVD 300 mm
487 Applied Materials Nano SEM Metrology Equipment, CD SEM 200 mm
488 Applied Materials NanoSEM CD SEM 200
489 Applied Materials NanoSEM 3D CD SEM 200 mm
490 Applied Materials Nanosem 3D Critical Dimension Scanning Electron Microscope 300 MM
491 Applied Materials NANOSEM 3d Critical Dimension Scanning Electron Microscopes 300 mm
492 Applied Materials Opal 7830i Enhanced CD-SEM 200 mm
493 Applied Materials Orbot WF720 Wafer inspection system 125 mm
494 Applied Materials P_5000 (2CVD / 1 ETCH) CLUSTER TOOL 8″
495 APPLIED MATERIALS P-5000
496 APPLIED MATERIALS P-5000 CVD SACVD 200mm
497 APPLIED MATERIALS P-5000 CVD SACVD, Ozone TEOS 200mm
498 APPLIED MATERIALS P-5000 CVD SACVD, Ozone TEOS 200mm
499 APPLIED MATERIALS P-5000 DxL DxL 200mm
500 APPLIED MATERIALS P-5000 DxL DxL 200mm
501 APPLIED MATERIALS P-5000 DxL DxL, TEOS 200mm
502 APPLIED MATERIALS P-5000 DxL DxL, TEOS 150mm
503 APPLIED MATERIALS P-5000 METAL METAL 150mm
504 APPLIED MATERIALS P-5000 MXP POLY 200mm
505 APPLIED MATERIALS P-5000 OXIDE OXIDE, OPTIMA TYPE 200mm
506 APPLIED MATERIALS P-5000 OXIDE OXIDE, OPTIMA TYPE 200mm
507 APPLIED MATERIALS P-5000 OXIDE OXIDE, OPTIMA TYPE 200mm
508 Applied Materials P3I(ACP/3CH) 300 mm
509 Applied Materials P5000 2Mark II  & 1ASP Metal Etcher 200 mm
510 Applied Materials P5000 CVD 200 mm
511 Applied Materials P5000 CVD 3 CHAMBER 200 MM
512 Applied Materials P5000 CVD 4 CHAMBER COPPER PROCESS
513 Applied Materials P5000 CVD System, 2 Chamber TEOS Oxide CVD 200 MM
514 Applied Materials P5000 Multi-Process CVD 200 mm
515 Applied Materials P5000 200 mm
516 Applied Materials P5000 Mark-2 PECVD CVD 200 MM
517 Applied Materials P5000 Multi-Process CVD Multi-Process CVD 200
518 Applied Materials P5000 MxP METAL(2 METAL & 1 ASP) Metal etcher 150 mm
519 Applied Materials P5000 MxP METAL(2 METAL & 1 ASP) Metal etcher 150 mm
520 Applied Materials P5000 MxP_METAL (Mainbody only) Metal etcher 150 mm
521 Applied Materials P5000 SACVD CVD 200 mm
522 Applied Materials P5000_MXP+_POLY Poly etcher 200 mm
523 Applied Materials Producer CVD
524 Applied Materials Producer CVD – 3 chamber standard type 200 mm
525 Applied Materials Producer GT CVD 300 mm
526 Applied Materials Producer GT PECVD (Chemical Vapor Deposition) 300mm
527 Applied Materials PRODUCER GT(Chamber) CLEAN 300 mm
528 Applied Materials PRODUCER SE CVD Cluster tool 12″
529 Applied Materials PRODUCER SE CVD Cluster tool 12″
530 Applied Materials PRODUCER SE CVD Cluster tool 12″
531 Applied Materials Producer SE HARP-USG 300 MM
532 Applied Materials Producer SE HT ACL 300 MM
533 Applied Materials Producer SE SACVD TEOS BPSG 300 mm
534 Applied Materials Producer SE ULK 300 MM
535 Applied Materials Producer SE SACVD HARP SACVD (Chemical Vapor Deposition) 300mm
536 Applied Materials PRODUCER_SE_2CH CVD 300 mm
537 Applied Materials PRODUCER_SE_2CH CVD 300 mm
538 Applied Materials PRODUCER_SE_2CH CVD 300 mm
539 Applied Materials Quantum X Plus High Current Implanter 300 mm
540 Applied Materials Quantum X Plus High Current Implanter 300 mm
541 Applied Materials Quantum X Plus High Current Implanter 300 mm
542 Applied Materials Quantum X Plus High Current Implanter 300mm
543 APPLIED MATERIALS QUANTUM X+ SINGLE WAFER HIGH CURRENT IMPLANTER 300 mm
544 Applied Materials Quantum XP High Current Ion Implanter 300 mm
545 Applied Materials Raider ECD Copper (Cu) ElectroChemical Deposition (ECD) Plati
546 Applied Materials Raider ECD 310 Cu, Sn, Ag electroplating system
547 Applied Materials REFLEXION CMP System 300 mm
548 Applied Materials Reflexion – Dielectric Dielectric CMP 300mm
549 Applied Materials SEMVISION Defect Review SEM with EDX 200 mm
550 Applied Materials SEMVision ADC Server Defect Review SEM
551 Applied Materials Semvision CX Critical Dimension Scanning Electron Microscope 200 mm
552 Applied Materials SEMVision CX Defect Review SEM 200 MM
553 Applied Materials SEMVISION CX INSPECTION SEM 200 mm
554 APPLIED MATERIALS SEMVISION CX REVIEW STATION, SEM DEFECT 300 mm
555 Applied Materials SemVision CX Scanning Electron Microscope 200 mm
556 Applied Materials SEMVision G2 Defect Review SEM 300 MM
557 Applied Materials SEMVISION G2 Defect Review SEM 12″
558 Applied Materials SEMVision G3 Defect Review SEM 300 MM
559 Applied Materials SEMVISION G3 Defect Review SEM 12″
560 Applied Materials SEMVision G3 Scanning Electron Microscope
561 Applied Materials Semvision G3 Scanning Electron Microscope- Defect Review 300 mm
562 Applied Materials SEMVision G3 Scanning Electron Microscope- Defect Review 300 mm
563 Applied Materials Semvision G3 FIB Defect Review System with FIB 300 mm
564 Applied Materials Ultima X HDPCVD multi chamber system 300 mm
565 Applied Materials Ultima X HDPCVD multi chamber system 300 mm
566 Applied Materials Uvision 200 Brightfield Inspection 300mm
567 Applied Materials Uvision 200 Brightfield Inspection 300 mm
568 Applied Materials Uvision 200 Optical Inspection 300 MM
569 Applied Materials Uvision 3 Brightfield Inspection 300mm
570 Applied Materials Uvision 3 Brightfield Inspection 300 mm
571 Applied Materials Uvision 4 Brightfield Inspection 300 mm
572 Applied Materials Vantage RadOx Platform RTP Equipment 300mm
573 Applied Materials VeraSEM 3D CD SEM 300
574 Applied Materials VeraSEM 3D Critical Dimension Measurement CD-SEM 200 mm
575 Applied Materials VERASEM 3D SEM 200 mm
576 Applied Materials VeritySEM 3 Critical Dimension Measurement SEM 300 mm
577 Applied Materials VIISTA PLAD High dose implanter 300 mm
578 Applied Materials VIISTA PLAD High dose implanter 300 mm
579 Applied Materials XR 80 High Current Implanter 200 mm
580 Applied Materials xR200S High Current Implanter 200 mm
581 Applied Materials Endura II Refurbished PVD system 300 mm
582 Applied Materials Endura II Al CVD Chamber CVD Chamber 300 mm
583 Applied Materials Endura II Al CVD Chamber CVD Chamber 300 mm
584 Applied Materials Endura II RF Ti Chamber PVD RF Chamber for an Endura 2 300 mm
585 Applied Materials UVision 4 Wafer Inspection System 300 mm
586 APPLIED PRECISION ProbeWoRx Probeworx 300 Wafer Probe Card Test and Analysis System
587 Aprova Ceramic wirebond capilares
588 APT 3245 AL etch
589 Arnold Gruppe 72/360 Cropping saws
590 ARO ANAQK-ABXAB-160 Plate Cylinder
591 Artograph 255-940 Lightpad A940 lightbox
592 ASE MFC A Chemical,Acid ¬©?¥
593 ASE MFC A Chemical,Acid ¬©?¥
594 ASE MFC A Chemical,Acid ¬©?¥
595 ASE MFC A Chemical,Acid ¬©?¥
596 ASE MPC A Chemical,Acid ¬©?¥
597 ASM 829A Die Bonder
598 ASM A412 Vertical LPCVD Furnace 300 mm
599 ASM A412 Vertical LPCVD Furnace – doped phos and SiN process 300 mm
600 ASM A412 Vertical LPCVD Furnace (PH3 Doped Poly Process) 300 mm
601 ASM A412 Vertical LPCVD Furnace for TEOS and undoped Nitride 300 mm
602 ASM A412 Vertical LPCVD Furnace, Dual SiN process 300 mm
603 ASM A412 Vertical LPCVD Furnace, SiN DUAL TUBE 300 MM
604 ASM A412 Dual Reactor Vertical LPCVD Furnaces  As doped 300 mm
605 ASM A412 Dual Reactor Vertical LPCVD Furnaces  As doped 300 mm
606 ASM A600 LPCVD FURNACE  BRAND NEW 200mm
607 ASM AB559A-06 Wedge Bonder
608 ASM AD 830 Bonder
609 ASM AD830 Working, overhal
610 ASM AD8312 Die Bonder 150
611 ASM AD898 Die Bonder
612 ASM ASM Ideal Mold MOLDING SYSTEM assembly
613 ASM Dragon 2300 PECVD Equipment for Barrier 300 MM
614 ASM DS 830 Double Head Dispenser, left: Magazin, right: Leadframe
615 ASM E3200 Epitaxial Deposition [partial tool/parts] 200mm
616 ASM E3200 Epsilon 3200, Epitaxial Reactor 300 mm
617 ASM Eagle 10 DARC 200 mm
618 ASM Eagle 10 PETEOS 200 mm
619 ASM Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 300mm
620 ASM Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 300mm
621 ASM Eagle10 PLASMA CVD 200 mm
622 ASM Eagle10 TRIDENT PLASMA CVD 150 mm
623 ASM Eagle12 Curing 300 MM
624 ASM EMERALD ALD Cluster tool 12″
625 ASM Epsilon 3200 Epi Deposition System 300 mm
626 ASM Epsilon 3200 Epitaxial Deposition 300 mm
627 ASM Epsilon 3200 Epitaxial Deposition (Reduced Pressure) 300 mm
628 ASM i-Hawk Extreme Wire Bonders qty 10 Assembly
629 ASM IBE 139H Rotary Station then Buffered in Magazine and from Magazine to Wirebonder
630 ASM Ideal Compression Mold  for LED production LED
631 ASM iHawk Working, overhal
632 ASM iHawkXtreme bonder
633 ASM LED LINE COMPLETE LED ASSY LINE Assembly
634 ASM MC 609H left:Leadframe in Magazine, transport in one of the ten Ovens, Cooling Station, right side: Leadframe out of Magazine
635 ASM MC 609H left:Leadframe in Magazine, transport in one of the ten Ovens, Cooling Station, right side: Leadframe out of Magazine
636 ASM MP-TAB left: Leadframe than Trimm, right: IC, possible on exit with Comveyor belt system to next step
637 ASM NRTH Pick & Place SOC Handler
638 ASM SIPLACE D4 chip shooter / pick and place wafer size 150 mm
639 ASM SLS 230 LED SORTER
640 ASM SLS 230T left: IC cup and testing good/bad and than sorting in small tube
641 ASM SLT400 left: IC cup, Taping on Reel
642 ASM TLB 203EX Testing
643 ASM Extraction and Inspection of the Leadframe
644 ASM Extraction and Inspection of the Leadframe
645 ASML AT850C KrF ( 248 nm) DUV exposure tool 12″
646 ASML PAS 5500 -300C DUV scanner – 248 nm 150 mm
647 ASML PAS 5500 /400D i-line scanner 200 mm
648 ASML PAS 5500 /750E DUV Scanner 248 nm 200 mm
649 ASML PAS 5500-100D i-line stepper 200 mm
650 ASML PAS 5500/100D I-Line stepper 150 MM
651 ASML PAS 5500/300 248 nm scanner 200 MM
652 ASML PAS2500/40 wafer stepper 125 mm
653 ASML PAS2500/40 wafer stepper 125 mm
654 ASML PAS2500/40 wafer stepper 125 mm
655 ASML PAS2500/40 wafer stepper 125 mm
656 ASML PAS2500/40 wafer stepper 125 mm
657 ASML PAS5500 /100D I-line stepper
658 ASML PAS5500/1100B DUV Lithography Exposure 200 mm
659 ASML Reticle BA-COMBI-4X Spares
660 ASML Reticle BA-COMBI-4X Spares
661 ASML Reticle BA-RASTER Spares
662 ASML Reticle BA-SET-UP-IMAGIN Spares
663 ASML Reticle BA-SET-UP-IMAGIN Spares
664 ASML Reticle BA-XY-709 Spares
665 ASML Reticle COMBI-4X Spares
666 ASML Reticle COMBI-5-21-22 Spares
667 ASML Reticle COMBI-5-21-22 Spares
668 ASML Reticle COMBI-5-21-22 Spares
669 ASML Reticle FOCAL-4X 0.25 Spares
670 ASML Reticle FOCAL-4X 0.25 Spares
671 ASML Reticle HV-IMAGIN Spares
672 ASML Reticle HV-IMAGIN-300 Spares
673 ASML Reticle HV-IMAGIN-300 Spares
674 ASML Reticle HV-IMAGIN-300 Spares
675 ASML Reticle HV-IMAGIN-SC-0.18-LQ Spares
676 ASML Reticle LATEX-BIG-MEK-BASE Spares
677 ASML Reticle LATEX-BIG-MEK-BASE Spares
678 ASML Reticle OVERLAY 4X Spares
679 ASML Reticle OVERLAY 4X Spares
680 ASML Reticle OVERLAY 4X Spares
681 ASML Reticle OVERLAY 4X Spares
682 ASML Reticle RASTER 130 Spares
683 ASML Reticle RASTER 130 Spares
684 ASML Reticle RASTER 130 Spares
685 ASML Reticle RASTER-4X Spares
686 ASML Reticle RASTER-500 Spares
687 ASML Reticle RASTER-500 Spares
688 ASML Reticle SETUP MATCHING SC Spares
689 ASML Reticle SETUP MATCHING SC Spares
690 ASML Twinscan AT-850D 248 nm DUV lithography exposure system 300 mm
691 ASML TWINSCAN XT1250D 193nm (ArF) Scanner
692 ASML XT 1250D DUV lithography ArF scanner, 193 nm 300 mm
693 ASML XT-1400E 193 nm DUV lithography exposure system 300 mm
694 ASML XT-1400F 193 nm DUV lithography exposure system 300 mm
695 ASML XT-1400F 193 nm DUV lithography exposure system 300 mm
696 ASML XT1250 DUV lithograpy ArF scanner 200 mm / 300 mm
697 ASML XT1700 ArF (193 nm) DUV exposure tool
698 ASML XT1700FI ArF (193 nm )immersion  DUV exposure tool 12″
699 ASML YieldStar S-200 Overlay Measurement System 300 mm
700 ASML YieldStar S-200 Overlay Measurement System 300mm
701 ASSEMBLY AUTOMATION SA-1MM Vibratory Bowl Feeder
702 ASSOCIATED ENVIRONMENTAL SD-302 Temperature Chamber, Uses R404A Refrigerant
703 AST/Mattson AST 2800 RTP 8″
704 Astec VS1-D8-02 (-436-CE) Power Supply 1500 watts
705 Astec VS1-L3-02 (-335-CE) Power Supply 1500 watts
706 Astec VS1-L5-02 (-452-ce) Power Supply
707 Astec VS3-C2-C2-02 Power Supply 2000 watts (-450-CE)
708 Astec VS3-C2-C2-C2 Power Supply 2000 watts (-450-CE)
709 Astec VS3-C8-A8-02 (-451-CE) Power Supply 2000 watts
710 Astec VS3-D4-B4-22 (-447-ce) Power Supply 2000 watts
711 Astec VS3-D8-D8-02 Power Supply 2000 watts
712 Astec VS3-L1-A2-A2-00 (-613-CE) Power Supply 2000 watts
713 Astex Semozon AX8500 Ozone Generator System Facilities
714 ASTRODESIGN SE6101 Manual prober 200 mm
715 ASYMTEK Millenium 620 Glue Dispense Tool
716 Asymtek S-800 PCB Inspection 200mm
717 Asymtek X1020 Coating
718 Asymtek X1020 Dispenser SMT
719 Asymtek X1020 Dispenser SMT
720 Asymtek X1020 Dispenser SMT
721 Asyst 1150-V1315S SMIF Load port 150mm
722 Asyst LPT 2200 Load ports, 200 mm 200 mm
723 Asyst LPT 2200 Load ports, 200 mm 200 mm
724 ASYST SMIF->Open Adaptor Plates (PN:9700-3375-01) SMIF 200mm
725 ASYST SMIF->Open Adaptor Plates (PN:9700-3375-01) SMIF 200mm
726 Asyst Spartan 300mm Loadports EFEM
727 ät9 CROPPER OD SAW
728 Atcor Ultra 1210 Box Washer 200 MM
729 AtcorCRD 1210-26T Ultra 1210 Box Washer 200 MM
730 Athena 16C-B-S-0-23-00 Temperature / Process controller
731 ATS DEX-20A/DEX-20AS CHILLER CHILLER
732 ATTO PE-TEOS SCRUBBER
733 AUGUST AXI-S Macro Inspection 200 mm
734 AUGUST NSX-90 METROLOGY 200
735 August / Rudolph Technologies NSX 90 macro defect inspection system 200 mm
736 AUTOMATIC WAFER PROBER EQUIPMENT
737 AVIZA Celsior ALD 2 chamber cluster tool 300 MM
738 AXCELIS 110000800 Universal 300mm Disk Cart MAT # 4501422
739 AXCELIS 200ACU Asher 8″
740 AXCELIS 200ACU Asher 8″
741 AXCELIS 200ACU Asher 8″
742 Axcelis 300302720 CKD VALVE Spares
743 Axcelis 3100353 PUMP TURBO TMP 1000C 250 ISO-K/KF40 Spares
744 Axcelis 5000132 STDF 3/8LX3/8X6-32 RND CER HHS Spares
745 Axcelis Compact 2 H2 reflow furnace 300 mm
746 Axcelis Gemini Stripper/Asher 300 mm
747 Axcelis Gemini G03 Dual Cahmber Asher 200 mm
748 Axcelis Integra Dry Stripper 300 mm
749 Axcelis Integra 340 Strip 300 mm
750 Axcelis Kit 160 KeV GSD 200E Kit for upgrade 80-160KV spares 200 mm
751 Axcelis NV 8250 Implanter Main Body Interface Module, 150MM 150mm
752 Axcelis NV-GSD-HE High Energy Implanter 200 mm
753 Axcelis Optima HdxT Medium Current Implanter 300 mm
754 AXCELIS PARADIGM XE High Energy Implanter 12″
755 AXCELIS PARADIGM XE High Energy Implanter 12″
756 Axcelis RadiantStrip  320ES Asher 300 mm
757 Axcelis Radiantstrip 320 Stripper/Asher 300 mm
758 Axcelis Radiantstrip 320 Stripper/Asher 300 mm
759 Axcelis Radiantstrip 320 Stripper/Asher 300 mm
760 Axcelis Radiantstrip 320 Stripper/Asher 300 mm
761 Axcelis Rapid Cure320FC Radiator UV anneal System 300 mm
762 Axcelis RapidStrip 320 Stripper/Asher 300mm
763 AXCELIS SUMMIT XT RTP 200 mm
764 AXCELIS SUMMIT XT RTP 300mm
765 Axcelis / Fusion M200PCU UV Bake 200 mm
766 Axcelis / Fusion M200PCU UV Bake 200 mm
767 Axcelis / Fusion M200PCU UV Bake 200 mm
768 Baccini 35MW Solar Cell Line Solar Cell Print line for Mono or Poly Crystalline Solar Cells 156 mm
769 Baccini Cell electrical tester Electrical Cell tester 156 mm
770 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 156 mm
771 Baccini Dryer 1 Dryer 1 156 mm
772 Baccini Dryer 2 Dryer 1 156 mm
773 Baccini Screen Printer 1 screen printer 156 mm
774 Baccini Screen Printer 2 screen printer 156 mm
775 Baccini Screen Printer 3 screen printer 156 mm
776 Baccini Screen printers Printers and Dryers 156 mm
777 Baccini Sorter – Furnace Output Cell Sorter – Furnace output 156 mm
778 Baccini Sorter 1 Cell Sorter 1 156 mm
779 Baccini Sorter 2 Cell Sorter 2 156 mm
780 Baccini SUN SIMULATOR / CELL TESTER Cell Tester 156mm
781 Baccini SUN SIMULATOR / CELL TESTER Cell Tester 156 mm
782 Balzers BA25 Metal Evaporator (PVD) 4 inch
783 Balzers BAK 600 Evaporator with Crucible E Gun
784 Balzers / Unaxis BA 810 Sputtering System (Refurbished) 810 MM X 1250 MM
785 BAUSCH & LOMB StereoZoom 4 Microscope on Boom Stand, 0.7-3X with 10X W.F. Stereo Eyepieces
786 BAUSCH & LOMB StereoZoom 4 Microscope on Small Benchtop Stand, 0.7-3X with 15X W.F. Eyepieces
787 BAUSCH & LOMB StereoZoom 6 Plus Microscope, 0.67-4.0X with WF 10X/21 Eyepieces
788 BAUSCH & LOMB StereoZoom 7 Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens
789 BAY VOLTEX HT 6800 Recirculating Chiller
790 BAYVOLTEX HRE-HT-30650-D1-RP Chiller 200mm
791 BAYVOLTEX CHILLER
792 BAYVOLTEX CHILLER
793 BBS TGP300C Twin Grinder 330mm
794 Beckhoff KL9050 PLC Module
795 Beijing Sevenstar Huachang Electronics Co. Ltd. L42500-4/ZM PECVD furnace 156 mm
796 Bellows 10.01.06.00878 Bellows suction cup 9mm, pack of 12
797 Besi / Datacon 2200 APM Die Bonder Assembly
798 Besi / Datacon 2200 EVO Die Bonder Assembly
799 BETCHER RA36FRPP AUTOMATED CHEMICAL PROCESS HOOD 200mm
800 Bibby Scientific SS10 Overhead Stirrer
801 Binder KBF115 Constant Climate Chamber
802 Binder VD 53 Vacuum oven
803 Binder Precision WTB BINDER Reliability Test Equipment
804 BIO-RAD QS300 FT-IR Spectrometer for up to 200mm Wafers 200 mm
805 BIORAD Q5 Overlay Metrology Tool
806 BIORAD Q6 Overlay Metrology Tool
807 BIORAD Q7 Overlay Metrology Tool
808 BioRad Q7 Q8 Overlay Metrology Tool 75mm-200mm
809 BIORAD Q8 Overlay Metroloty / CD Measurement Tool for up to 200mm Wafers
810 BIORAD QS-408M Manual FTIR Spectrometer for Epi, SiN, BPSG Measurement, up to 200mm Wafers
811 BLACKSTONE/NEY AQ-@-1460-SPL Ultrasonic Cleaning System with Mini-Environment, Automatic Loading, Cacading Tank
812 Blue M DCC-1406CY cleanrroom oven OVEN
813 Blue M DDC-206CY cleanrroom oven OVEN
814 Blue M Electric Company S05IEU55 Cure Oven
815 Blue M Electric Company S05IEU55 Cure Oven
816 BOONTON 72B Capacitance Meter
817 BRANSON/IPC 4055/2 Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available
818 BRANSON/IPC L3100/3 Low Particulate Plasma Barrel Asher
819 BRANSON/IPC P-2100 Plasma Barrel Stripper
820 BRANSON/IPC S-3100 Plasma Barrel Stripper, 12″ X 20″ Quartz Chamber, Siemens PLC Controller, ENI RF Generator, 3ea Available
821 BRANSON/IPC Series 4000 Plasma Barrel Stripper
822 Brewer Science 300x Stepper 200mm
823 Brewer Science CEE 200 FX coater 200mm
824 Brewer Science CEE 2000 FX Stepper 200mm
825 Brookfield DV2THCBCO Metrology 200mm
826 Brooks DARTS 6-FOUP Buffer FOUP/FOSB Transfer 300 mm
827 Brooks Fixload 6 & 25 loadports 300 mm
828 Brooks Automation PRI-7800 Reticle Stocker/Handler 200
829 BRUKER D8 DISCOVER METROLOGY 200
830 Bruker D8Fabline X-Ray Metrology
831 BRUKER NT3300 Non-contact profilometer 12″
832 BTU In-line furnace In-line furnace
833 BTU PYRAMAX98 REFLOW OVEN ASSEMBLY
834 BTU TCAS 181-8-81E36 reflow oven 300 mm
835 Buehler Ecomet-3000 Polishing & Grinding Sample Preparation Equipment 300 mm
836 Buehler Ecomet-3000 Polishing & Grinding Sample Preparation Equipment 300 mm
837 Buehler Ecomet-3000 Polishing & Grinding Sample Preparation Equipment 300 mm
838 Busch Cobra DS 3010B Vacuum Pump PUMP
839 C.S.K TI-TIN SCRUBBER
840 C.S.K TI-TIN SCRUBBER
841 CAJON SS-4-VC0 FITTINGS
842 CAJON SS-8-VC0-4 FITTINGS
843 Cambridge S260 Scanning Electron Microscope SEM Laboratory Equipment
844 Cameca IMS 6F / 7F (Spares) Eucentric Rotating sample stage spares
845 Cameca LEXFAB300 Diffusion Measurement 300 mm
846 CAMECA SC Ultra Total Magnetic Sector SIMS
847 Camtek Condor 103PD Post saw 2D inspection 300 mm
848 Canon 365-04 Reticle For Stepper
849 Canon 365-06 Retcile For Stepper
850 Canon 365i (365-01-1) Reticle For Stepper
851 Canon 6″ DR Mask MPA500FAb
852 Canon 6″ Focus Mask MPA500FAb
853 Canon 7″ Focus Mask FOCUS MASK FOR THE CANON MPA600FA 7 INCH
854 Canon Anelva C-7100GT Stepper 200mm
855 Canon APT-4800 BPSG 200 MM
856 CANON BG4-6745 RC CPU from Canon fpa 3000  series stepper computer SPARES
857 CANON BG4-7001 BH8-1837-01
858 Canon BG4-8663 SCSI EXT board Module spares
859 Canon C7300EX PVD / Sputtering System for Metal Gate Process 300 mm
860 CANON ES6A KrF ( 248 nm) DUV exposure tool 12″
861 Canon FPA 2500 i2 i line stepper – parts tool 200 mm
862 Canon FPA 2500 i2 i line stepper – parts tool 200 mm
863 CANON FPA 2500 I3 STEPPER 200
864 CANON FPA 3000 I4 I-line stepper 8″
865 Canon FPA 5000 ES2+ 248 nm lithography exposure system 200 mm (300 mm also possible with conversion kit)
866 Canon FPA 5000 ES3 248 nm duv step and scan lithography exposure system 200 mm
867 CANON FPA 5000 ES3 DUV lithography exposure system 300 mm
868 Canon FPA 5000 ES3 DUV Lithography exposure tool 200 mm
869 Canon FPA 5000 ES3 DUV Lithography exposure tool 200 mm
870 Canon FPA-1550 Mark4 (Parts System) G-line Stepper 150mm
871 Canon FPA-2000 i1 I-line Stepper 150mm
872 Canon FPA-2500 i2 I-line Stepper 200mm
873 Canon FPA-2500 i2 I-line Stepper 200mm
874 Canon FPA-2500 i2 I-line Stepper 200mm
875 Canon FPA-2500 i3 I-line Stepper 150 mm
876 Canon FPA-2500i3
877 Canon FPA-3000 EX5 DUV Scanner 200 mm
878 Canon FPA-3000EX4
879 Canon FPA-5500iZ i-Line Stepper 300 MM
880 Canon FPA-6000 ES6 248nm (KrF) Scanner 300mm
881 Canon FPA-6000 ES6a 248nm (KrF) Scanner
882 Canon FPA1550 Mark 4 g-line stepper 150 mm
883 Canon FPA2500i2 i line stepper 200 mm
884 Canon FPA5000 ES3 DUV SCANNER 248 NM (SMIF) 200 mm
885 Canon FPA5000 ES3 DUV Stepper, Step and Scan System 200 mm
886 CANON LENS MK4
887 CANON MAS-8000 Microwave Ashing System
888 Canon MPA 600 Super ALIGNER 150 MM
889 Canon MPA-500FAb Aligner 125mm
890 Canon MPA-500FAb Aligner 125mm
891 Canon MPA-500FAb Aligner 125mm
892 Canon MPA-500FAb Aligner 125mm
893 Canon MPA-500FAb Aligner 125mm
894 Canon MPA-500FAb Aligner 125mm
895 Canon MPA-500FAb Complete systems
896 Canon MPA-600 Super 150mm
897 Canon MPA-600 Super 150mm
898 Canon MPA-600FA 100mm, 125mm, 150mm
899 Canon MPA-600Super
900 CANON MPA600 Super
901 Canon PLA 501 FA Aligners, 2 inch, 3 inch , 4 inch
902 Canon Reticel 320-04 For Stepper
903 Canon Reticle 325-02 For Stepper
904 Canon Anelva C5100GT Gate Last Metal PVD Deposition System
905 Carbolite CR/130 Curing Oven
906 Carbolite CR/130 Curing Oven
907 Carl Zeiss MeRit MG65 e-beam mask repair
908 Cascade PA300PS Wafer Prober 300mm
909 Cascade Suss PA300 Wafer Prober 300mm
910 CDE Resmap 463 OC Resistivity Measurement up to 300 mm
911 Celerity UFC-1660 MFC C2F6 5SLPM
912 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 156 mm
913 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Fast Firing Funace with Dryer 156mm
914 Centrotherm Quartz Door Centrotherm/semco(?) Quartz Door, new 156mm
915 CETC M42200-21um Edge Isolation solar cell MFG
916 CHA SEC-1000 E-Beam Evaporator with CV-8 Power Supply
917 Changzhou Jiangnan Power Photovoltaic Equipment Co., Ltd TDR 85 Ingot Grower for Monocrystalline Silicon 8 inch and 6 inch
918 Changzhou Jiangnan Power Photovoltaic Equipment Co., Ltd TDR 95 Ingot Grower for Monocrystalline Silicon 8 inch and 9 inch
919 CHEMWEST K232 QUARTZ CLEANER 200 mm
920 Ci Science Torus 300K Plasma Processing Equipment and Tools 300 mm
921 CIGs Partial Solar Manufacturing Line Solar
922 Collabratech Custom Built Trichlorosilane (TCS) distribution system Facilities
923 COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION Laboratory
924 Complete G3.5 OLED Manufacturing line G3.5
925 Complete LED Assembly Line Assembly
926 Component System EST-A4000 Level Tester
927 CONTAMINATION CONTROL Desiccator boxes, 10ea Available
928 Convac Falcon Coater Developer
929 Cosmir Univer Pin insertion Machine INS.P.M
930 CPA 9900 sputter system 200mm
931 CR TECHNOLOGY UF160-0 Xray System
932 CRANE ELECTRONICS Tool Star Recorder
933 CRANE ELECTRONICS Tool Star Recorder
934 Credence 071-1022-01 Duo & Logic 100 Test System Service Manual
935 Credence 202-2000-00 Credence Duo SX controller
936 Credence 670-9426-05 DUO SX Controller I/O
937 Credence 671-0693-04 Duo SX DMA2 Controller
938 Credence 671-0951-04 DUO SX Analog I/O Board
939 Credence 671-1376-02 Analog Power Monitor PCB 584-02
940 Credence 671-1461-04 Intersegment communications board
941 Credence 671-2119-00 Analog Support Air flow Bus Grant
942 Credence 671-4043-01 DUO SX Test Controller
943 Credence 671-4098-02 DUO SX Master Clock Dist.
944 Credence 671-4098-952707 DUO SX Master Clock Dist.
945 Credence 671-4127-00 Scan Memory
946 Credence 671-4155-05 Analog Support Module VHF
947 Credence 671-4177-04 Support Module Interface
948 Credence 671-4270-02 Capture Processor board 1A
949 Credence 671-4270-03 Capture Processor
950 Credence 671-4276-50 PIN card
951 Credence 671-4283-01 Duo SX ROM Sequencer TPI/PD
952 Credence 671-4331-01 DUO SX ROM Sequencer
953 Credence 671-4351-00 Intersegment Communications Connector
954 Credence 671-4359-00 DPAC Parallel Pattern Memory
955 Credence 671-4359-01 DPAC Parallel Pattern Memory
956 Credence 671-4394-01 Test head connector board
957 Credence 671-4394-04 Test head connector board
958 Credence 671-4635-01 Testhead connector board
959 Credence 672-4359-00 DPAC Parallel Pattern Memory
960 Credence 672-6051-01 Support Module Interface
961 Credence 672-6051-03 Support Module Interface
962 Credence ASL 1000 Tester TEST
963 CREDENCE ASL3000 Tester
964 CREDENCE Diamond10 Tester
965 Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM test
966 Credence Duo SX PC Credence Duo SX Main PC
967 Credence Kalos Hex Automated test system 8″
968 Credence Kalos Hex Automated test system 8″
969 Credence Kalos Hex Memory TESTER
970 Credence Kalos Hex Memory TESTER
971 Credence Kalos Hex Memory TESTER
972 Credence Kalos Hex Memory TESTER
973 Credence Kalos Hex Memory TESTER
974 Credence Kalos XW Memory TESTER
975 Credence Kalos XW Memory TESTER
976 Credence Kalos XW Memory TESTER
977 Credence Kalos XW Memory TESTER
978 Credence Kalos XW Memory TESTER
979 Credence Kalos XW Memory TESTER
980 Credence Kalos XW Memory TESTER
981 Credence Kalos XW Memory TESTER
982 Credence Kalos XW Memory TESTER
983 CREDENCE KALOS2-HEX Automated test System 12″
984 Credence Personal Kalos I Test system TEST
985 Credence Quartet Automated test system 8″
986 Credence Quartet Automated test systems – for mixed signal testing test
987 Credence QUARTET ONE Automated test Equipment 200 MM
988 Credence / Epro 142 AX Memory Test system test
989 Cressington 208HR Standalone Sputterer Facilities
990 CRYO 8112873G001 PUMP
991 CSUN RIE Plasma System 200mm
992 CTI 10 Cryo Pump w/ Compressor pump
993 CTI CRYOGENICS 8300 Helium Compressor w/8001 Controller
994 CTI CRYOGENICS Cryo-Torr 8F Cryogenic Vacuum Pump, Rebuilt with Warranty
995 CTI CRYOGENICS CryoTorr 10F Cryogenic Vacuum Pump pump
996 CTI CRYOGENICS MC 8096 Compressor
997 CTI CRYOGENICS SCW Helium Compressor
998 CTI CRYOGENICS Cryogenic Vacuum Pump, Rebuilt by Oxford Instruments
999 Cybeq 2850 Prealigner
1000 Cybeq 6100 Robot Rontroller
1001 Cyberoptics QX500 inline AOI inspection machine
1002 CyberOptics SE 300 Solder Paste Inspection
1003 Cymer ELS 5500 248 nm excimer laser facilities
1004 Cymer ELS 5610 248 nm laser Facilities
1005 Cymer ELS 6300 248 nanometer excimer laser facilities
1006 Cymer ELS 6400 248 nm lasers Facilities
1007 Cymer ELS 6610 Excimer Laser Facilities
1008 Cymer EX-5700 Excimer Laser
1009 Cymer EX-5700 Excimer Laser
1010 Dage 4000 Bond Tester
1011 Dage 4000 Bond Tester, 2 working, no ctg
1012 Dage 4000 Series Bond Pull Tester 300mm
1013 DAGE BT 24 Ball/Die Shear Tester ASSEMBLY
1014 DAGE BT-23 Microtester with LC200 Die Shear Load Cell
1015 DAGE BT-2400 Bond Pull tester 200 mm
1016 DAGE BT22-PC Assembly Test Tool with 50gm Wire Pull Load and Rotating Hook
1017 DAGE XD7500VR XRay machine
1018 DAGE BT23-PC Die Shear Tester with LC200 Die Shear Load Cell
1019 DAGE PC-2400 Assembly Test Tool
1020 DAGE PRECISION TOOL 4000HS METROLOGY 200
1021 Dainippon Screen SS3000 Bevel Brush Mod Kit PARTS 300 mm
1022 Daitron EMTEC CVP-80 Scientific and Laboratory Equipment
1023 DATA IO UNISITE 68 EPROM PROGRAMMER WITH USPIN 84 test
1024 Datacon 2200 APM Bonder
1025 Datacon 2200APM Single head Multi chip Die Bonder
1026 Datacon 2200APM Single head Multi chip Die Bonder
1027 Datacon PPS 2210 Multi-Chip Die Bonder Assembly
1028 Datacon PPS2223 Die Bonder
1029 DEK 265 In-Line Screen Printer SMT
1030 DEK Europa Screen Printer
1031 DEK Horizon 03i Screen Printer
1032 Delatech 858 CDO Gas Scrubber
1033 Delatech 858 CDO Gas Scrubber
1034 Delta ?? Impulse Driver Board, 5000V, 3A, for PECVD
1035 Delta Design Turbo Flex Test Handler, tri temp TEST
1036 Delta Design Turbo Flex Test Handler, tri temp TEST
1037 DELTRONIC DH14-RR Profile Projector with 20X Objective Lens
1038 Despatch CDF7210 Firing furnace / Reflow oven 156 mm square
1039 DFD 641 Dicing Saw
1040 Digital Analysis PH10 Adjustment system PH Adjustment system
1041 Digital Instruments NANO-SCOPE 3A AFM
1042 Disco 3350 Dicing 200mm
1043 Disco DAC551 Dicing Saw 150mm
1044 DISCO DAD 321 DICING SAW 150 mm
1045 Disco DAD 3350 Dicing Saw Suitable for Crystaline Glass
1046 Disco DAD 340 Dicing Saw
1047 Disco DAD2H6 Dicing Saw
1048 Disco DAD2H6T Dicing Saw
1049 Disco DAD341 Dicing Saw
1050 Disco DAD6450 Dicing and handler 200mm
1051 Disco DCS 141 Wafer spinner
1052 Disco DFD 6361 Dicing Saw (6″ and 8″  and 12 inch Capable)
1053 Disco DFD 640 Dicing Saw
1054 Disco DFD 640 Dicing Saw
1055 DISCO DFD-6361 Dicing saw 12″
1056 DISCO DFD-651 DICING SAW
1057 Disco DFD6360 Dicing Saw
1058 Disco DFD6360 Dicing Saw 200 mm, 300 mm
1059 Disco DFD6360 Dicing Saw 200 mm , 300 mm
1060 Disco DFD6360 Dicing Saw 200 mm , 300 mm
1061 Disco DFD6360 Dicing Saw 200 mm , 300 mm
1062 Disco DFD640 Dicing Saw ASSEMBLY
1063 Disco DFD640 Dicing Saw ASSEMBLY
1064 DISCO DFD640 Dicing saw 200 mm
1065 Disco DFD640 Dicing Saw
1066 Disco DFD670 Dicing Saw 300 MM
1067 Disco DFE 8040 Plasma Etch for Post CMP stress release 200 mm
1068 Disco DFE 8040 Plasma Etch for Post CMP stress release 200 mm
1069 Disco DFE 8040 Plasma Etch for Post CMP stress release 200 mm
1070 Disco DFG 821F / 8 Back Grinder 200 MM
1071 Disco DFG 8540 Back grinder 200 mm
1072 Disco DFG 8561 Backside Grinder
1073 Disco DFG8560 Back Grinder 200 mm , 300 mm
1074 Disco DFG8560 Back Grinding 200 mm, 300 mm
1075 Disco DFG8560 Wafer Back Grinder 200 mm and 300 mm
1076 Disco DFG8560 Wafer Backside Grinder
1077 Disco DFL 7160 Power-up
1078 Disco DFL 7340 Laser Saw for LED applications (Sapphire) 200 mm
1079 Disco DFL 7340 Saw 8, power-up
1080 Disco DFL7160 LASER SAW
1081 Disco DFL7160
1082 Disco DFP8140 Wafer Polisher
1083 DISCO DGP8761HC BACK GRINDER
1084 Disco DTU 151 CHILLER for CMP Facilities
1085 Disco DTU 1531 CHILLER for CMP Facilities
1086 DNS 200W DNS INTERFACE 200
1087 DNS 80A SCRUBBER TRACK 200mm
1088 DNS 80A
1089 DNS 80A
1090 DNS 80B COAT/DEVELOP 150
1091 DNS AS 2000 POST CMP CLEANER / SCRUBBER 200 MM
1092 DNS AS2000 POST CMP CLEANING SYSTEM 200 mm
1093 DNS AS2000 POST CMP CLEANING SYSTEM 200 mm
1094 DNS AS2000 POST CMP CLEANING SYSTEM 200 mm
1095 DNS EEW 622-8 PHOTORESIST EDGE EXPOSURE SYSTEM 200mm
1096 DNS EEW-622-B PHOTORESIST WAFER EDGE EXPOSURE SYSTEM. 200mm
1097 DNS FC-3000 Strip / Clean 300 mm
1098 DNS FC-3000 Wet Bench 300mm
1099 DNS FC-3000 Wet Chemical Processor 300 mm
1100 DNS FC-3000 Wet Station 300 MM
1101 DNS FC-3100 Wet Bench 300mm
1102 DNS FC-3100 Wet Chemical Processor 300 mm
1103 DNS FC-3100 Wet Chemical Processor 300 mm
1104 DNS FC3100 CLEAN 300 MM
1105 DNS FC3100 CLEAN 300 MM
1106 DNS FC3100 CLEAN 300 MM
1107 DNS FC3100 Wet 300mm
1108 DNS FC3100 WET BENCH 300 mm
1109 DNS FL-820L Chemical Mechanical Polishing Equipment, Standalone CMP Cleaner 200mm
1110 DNS FS-820-L Acid Wet Etching Bench 200 mm
1111 DNS FS-820-L WET HOOD 200mm
1112 DNS FS-820-L WET HOOD 200mm
1113 DNS FS-820-L WET HOOD 200mm
1114 DNS FS-820-L WET HOOD 200mm
1115 DNS FS-820-L WET HOOD 200mm
1116 DNS FS-820-L WET HOOD 200mm
1117 DNS LA-820 Laser Anneal 200 MM
1118 DNS LA-820 RTA 200 mm
1119 DNS LA-830 RTA 200 mm
1120 DNS LA-830 RTA 200 mm
1121 DNS MP-2000 Wafer Cleaning Equipment, Single Wafer Cleaner 200mm
1122 DNS MP-3000 Wet Cleaning Equipment 300 MM
1123 DNS SC-80R CHEMICAL CABINET 200
1124 DNS SC-80R COAT 200
1125 DNS SC-80R COAT 200
1126 DNS SC-RW8 COAT 200
1127 DNS SC-W60A-AV COATER TRACK WITH 2 c 100 mm
1128 DNS SCW-60A-AV COATER WITH 2 COATER CUPS 100 mm
1129 DNS SD-80BW-AVPF Linear Wafer Tracks (Resist Developer) 200mm
1130 DNS SD-80R DEVELOPER 200
1131 DNS SD-80R DEVELOPER 200
1132 DNS SDW-60-AVP PHOTORESIST DUAL DEVELOPER 150 MM
1133 DNS SDW-60A-AVP DEVELOPER WITH 2 DEVELOPER CUPS 100 mm
1134 DNS SDW60 AVP PHOTORESIST DUAL DEVELOPER 150 MM
1135 DNS SK-2000 Cluster Tool Tracks (Resist Coater/Developer) 200
1136 DNS SK-80B COAT/DEVELOP 200
1137 DNS SK-80B Photoresist coater / developer track 200 mm
1138 DNS SK-80BW COATER 200 mm
1139 DNS SKW-80A-BVP Photoresist Coater Developer 200  mm
1140 DNS SP-W813-A SPIN PROCESSOR 200 mm
1141 DNS SP-W813-U SPIN PROCESSOR 200 mm
1142 DNS SP-W813-U SPIN PROCESSOR 200 mm
1143 DNS SS-3000-A 4 Front Scrubber 300 MM
1144 DNS SS-3000-A 4 Front Scrubber 300 MM
1145 DNS SS-3000-A 4 Front Scrubber 300 MM
1146 DNS SS-3000-A 4 Front Scrubber 300 MM
1147 DNS SS-3000-A 4 Front Scrubber 300 MM
1148 DNS SS-3000-A 4 Front Scrubber 300 MM
1149 DNS SS-3000-AR Double Side Scrubber 300 MM
1150 DNS SS-3000-AR Double Side Scrubber 300 MM
1151 DNS SS-3000-AR Double Side Scrubber 300 MM
1152 DNS SS-3000-AR Wafer Scrubber 300mm
1153 DNS SS-W60A-AV
1154 DNS SS-W60A-AV
1155 DNS SS-W80A-AR Scrubber 200 MM
1156 DNS SS-W80A-AR SCRUBBER 200mm
1157 DNS SS-W80A-AR SCRUBBER
1158 DNS SS-W80A-AR WAFER SCRUBBER 200 mm
1159 DNS SS-W80A-AVR WAFER SCRUBBER 200 mm
1160 DNS SS-W80A-AVR WAFER SCRUBBER 200 mm
1161 DNS SU-3000 Single Wafer Processing 300 mm
1162 DNS SU-3000 Single Wafer Processing 300mm
1163 DNS SU-3100 CLEAN wet bench 300 MM
1164 DNS SU-3100 Wet processing system 300 mm
1165 DNS SU3000 Wet Process Equipment 300 mm
1166 DNS SU3100  Acquaspin Single Wafer wet processing system 300 mm
1167 DNS WS-820C WET HOOD 200mm
1168 DNS WS-820L Wet – Process 200mm
1169 DNS WS820L Acid wet bench 200 mm
1170 DNS WS820L Wet Cleaning system 200 mm
1171 DNS WS820L
1172 Dongwha BAKE OVEN
1173 DRYTEK 384T Plasma Metal Etcher
1174 DYNA Well Technology Corporation DWT-6-003 Busbar tool Solar
1175 Dynatech DT-SWM1040 Wafer Mounter 8
1176 DYNATEX DX111 Wafer Scriber 4 INCH
1177 E-SUN Systems SEMI AUTO POD CLEANER SMIF POD CLEANER 200 mm
1178 Ebara 40×20 Dry pump
1179 Ebara 40×20 Mechanical Vacuum Pump Pump
1180 Ebara 50×20 Dry pump
1181 Ebara 50×20 Mechanical Vacuum Pump Pump
1182 Ebara 80×25 Dry pump
1183 Ebara 80×25 Mechanical Vacuum Pump Pump
1184 Ebara A10S Dry pump
1185 Ebara A10S Mechanical Vacuum Pump Pump
1186 Ebara A150W Dry pump
1187 Ebara A150W Mechanical Vacuum Pump Pump
1188 Ebara A25S Dry pump
1189 Ebara A25S Mechanical Vacuum Pump Pump
1190 Ebara A30W Dry pump
1191 Ebara A30W Mechanical Vacuum Pump Pump
1192 Ebara A30W Mechanical Vacuum Pump Pump
1193 Ebara A30W Vacuum Pump Pump
1194 Ebara A30W Vacuum Pump Pump
1195 Ebara A70W Dry pump
1196 EBARA A70W DryPUMP
1197 Ebara A70W Mechanical Vacuum Pump Pump
1198 EBARA AA20N DryPUMP
1199 EBARA AA20N DryPUMP
1200 EBARA AA20N DryPUMP
1201 EBARA AA40W DryPUMP
1202 Ebara AA70W Mechanical Vacuum Pump Pump
1203 Ebara AA70W Vacuum Pump
1204 Ebara AA70WN Mechanical Vacuum Pump Pump
1205 Ebara Ebanet 1250 AD Communications module for dry pumps facilities
1206 Ebara Electroplate UFP-200/300A Wet Process Equipment 300 mm
1207 Ebara Electroplate UFP-300A Wet Process Equipment 300 mm
1208 EBARA EPO-222A Tungsten CMP 200 MM
1209 EBARA EPO-222A Tungsten CMP 200 MM
1210 EBARA EPO-222A Tungsten CMP 200 MM
1211 EBARA EPO-222A Tungsten CMP 200 MM
1212 EBARA EPO-222A Tungsten CMP 200 MM
1213 EBARA EPO-222A Tungsten CMP 200 MM
1214 EBARA EPO-223 Tungsten CMP 200 MM
1215 EBARA EPO-223 Tungsten CMP 200 MM
1216 EBARA EPO-223 Tungsten CMP 200 MM
1217 EBARA F-REX300 CMP 300 mm
1218 EBARA F-REX300S Tungsten CMP 300 MM
1219 EBARA F-REX300S Tungsten CMP 300 MM
1220 Ebara FREX300 CMP (STI) Polisher 300mm
1221 Ebara FREX300 CMP W Polisher 300mm
1222 EBARA FREX300S Tungsten CMP 300 mm
1223 EBARA FREX300S Tungsten CMP 300 mm
1224 EBARA UFP-300A AUTO PLATER 300 mm
1225 Ebara Corporation AA200W PUMP PUMP
1226 EBWARDS IH600 DryPUMP
1227 EBWARDS IH600 DryPUMP
1228 EBWARDS IH600 DryPUMP
1229 EBWARDS IH600 DryPUMP
1230 EBWARDS IH600
1231 EBWARDS IH600
1232 EBWARDS IH600
1233 EBWARDS IH600
1234 EBWARDS IH80
1235 ECI QL-10 STAND METROLOGY 200
1236 ECI Technology QL-10EZ Test 200mm
1237 ECI Technology Qualilab QL-100EX Plating Bath Analysis 200mm
1238 ECI Technology Qualilab QL-5EX Plating Bath Analysis 200mm
1239 Eclat Forever Machinery Custom Washing Machine for CIGs Solar
1240 EDA Industries PCBA 05431 DRIVER module for BIB oven Reliability
1241 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU Reliability
1242 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers Reliability
1243 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU Reliability
1244 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability
1245 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability
1246 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven Reliability
1247 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability
1248 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability
1249 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers Reliability
1250 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability
1251 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability
1252 EDA Industries PCBA 05758 UBTS Diagnostic Board for BIB oven Reliability
1253 EDA Industries PCBA 07009 PTDM TO ART 200 EXTENDER CARD Reliability
1254 EDA Industries ERNI 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). Reliability
1255 Edwards 4080 Plus Chiller Facilities
1256 Edwards DP40 Vacuum Pump
1257 EDWARDS DP40/EH250 Vacuum Dry Pump/Blower Package
1258 Edwards E1M275 with EH 500 booster mechanical vacuum Pump pump
1259 Edwards E2M40 FSPX Rotary Vacuum Pump with oil filter Pump
1260 Edwards EH2400 Blower
1261 Edwards EPX180L Mechanical Vacuum Pump Pump
1262 Edwards EPX180LE Mechanical Vacuum Pump Pump
1263 Edwards EPX180N Dry Pump Pump
1264 Edwards EPX180NE Mechanical Vacuum Pump Pump
1265 Edwards EPX500LE Mechanical Vacuum Pump Pump
1266 Edwards EPX500NE Mechanical Vacuum Pump Pump
1267 Edwards EPXTWIN180L Mechanical Vacuum Pump Pump
1268 Edwards GV 600 Mechanical Vacuum Pump PUMP
1269 Edwards GV 600 Mechanical Vacuum Pump PUMP
1270 Edwards GV400 Mechanical Vacuum Pump Pump
1271 EDWARDS GVI 100P Gate valve SPARES
1272 Edwards iF1400 Mechanical Vacuum Pump Pump
1273 Edwards iF1800 Mechanical Vacuum Pump Pump
1274 Edwards iF1800 Vacuum Pump
1275 Edwards iGX 100M Vacuum Pump
1276 Edwards IGX1000N Mechanical Vacuum Pump Pump
1277 Edwards IGX100L Mechanical Vacuum Pump Pump
1278 Edwards iH1000 Mechanical Vacuum Pump Pump
1279 Edwards IH1000 Mechanical Vacuum Pump Pump
1280 Edwards iH1000 Vacuum Pump
1281 Edwards IH1000HTX Mechanical Vacuum Pump Pump
1282 Edwards iH1800 Mechanical Vacuum Pump Pump
1283 Edwards IH1800 Mechanical Vacuum Pump Pump
1284 Edwards iH1800 Vacuum Pump
1285 Edwards IH600 Mechanical Vacuum Pump Pump
1286 Edwards IH600 Vacuum Pump
1287 Edwards IH600 MK5 Dry pump refurbished
1288 Edwards iH600NL refurbished Vacuum Pump
1289 Edwards IH80 Mechanical Vacuum Pump Pump
1290 Edwards iH80 refurbished Vacuum Pump
1291 Edwards IH80 Vacuum Pump
1292 Edwards IL 70 Dry pump
1293 EDWARDS IL 70 DryPUMP
1294 Edwards IL600 Mechanical Vacuum Pump Pump
1295 Edwards IL600 Vacuum Pump
1296 EDWARDS IL70 DryPUMP
1297 EDWARDS IL70 DryPUMP
1298 Edwards IL70 Mechanical Vacuum Pump Pump
1299 Edwards IL70 Vacuum Pump
1300 EDWARDS IM Interface Module, 3ea Available
1301 Edwards IPX500A Pump pump
1302 Edwards iQDP 80 Dry vacuum pump PUMP
1303 Edwards iQDP 80 Dry vacuum pump PUMP
1304 EDWARDS IQDP 80 DryPUMP
1305 EDWARDS IQDP 80 DryPUMP
1306 EDWARDS IQDP 80 DryPUMP
1307 EDWARDS IQDP 80 DryPUMP
1308 EDWARDS IQDP 80 DryPUMP
1309 Edwards iQDP 80 + QMB 500F Dry vacuum pump COMBO PUMP
1310 Edwards iQDP 80 + QMB 500F Dry vacuum pump combo PUMP
1311 Edwards iQDP 80 + QMB 500F Dry vacuum pump combo PUMP
1312 Edwards iQDP 80 + QMB250F Dry vacuum pump combo PUMP
1313 EDWARDS IQDP 80+QMB 500 DryPUMP
1314 EDWARDS IQDP 80+QMB 500 DryPUMP
1315 EDWARDS IQDP 80+QMB 500 DryPUMP
1316 Edwards IQDP40 Mechanical Vacuum Pump Pump
1317 Edwards iQDP80 Dry Vacuum Pump pump
1318 Edwards IQDP80 Mechanical Vacuum Pump Pump
1319 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump
1320 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump
1321 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump
1322 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump
1323 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump
1324 Edwards IQDP80/1200 Mechanical Vacuum Pump Pump
1325 Edwards IQDP80/250 Mechanical Vacuum Pump Pump
1326 Edwards iQDP80/500 Mechanical Vacuum Pump Pump
1327 Edwards Pumps Refurbished pumps
1328 EDWARDS QDP 40 DryPUMP
1329 EDWARDS QDP 40 DryPUMP
1330 EDWARDS QDP 40 DryPUMP
1331 EDWARDS QDP 40 DryPUMP
1332 EDWARDS QDP 40 DryPUMP
1333 EDWARDS QDP 40 DryPUMP
1334 EDWARDS QDP 40 + QMB 250
1335 EDWARDS QDP 40 + QMB 250
1336 EDWARDS QDP 40 + QMB 250
1337 EDWARDS QDP 40 + QMB 250
1338 EDWARDS QDP 40 + QMB 250
1339 EDWARDS QDP 40 + QMB 250
1340 EDWARDS QDP 40 + QMB 250
1341 Edwards QDP40 Mechanical Vacuum Pump Pump
1342 Edwards QDP40/250 Mechanical Vacuum Pump Pump
1343 Edwards QDP80 Dry Vacuum Pump pump
1344 Edwards QDP80 Mechanical Vacuum Pump Pump
1345 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo pump
1346 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo pump
1347 EDWARDS QDP80 + QMB500 DryPUMP
1348 Edwards QDP80/1200 Mechanical Vacuum Pump Pump
1349 Edwards QDP80/500 Mechanical Vacuum Pump Pump
1350 Edwards QMB1200 Mechanical Vacuum Pump Pump
1351 Edwards QMB250 Mechanical Vacuum Pump Pump
1352 Edwards QMB500 Mechanical Vacuum Pump Pump
1353 Edwards TCU 40/80 CHILLER FACILITIES
1354 Edwards TCU 4080 CHILLER FACILITIES
1355 Edwards Tempest Abatement – Exhaust Management System 300mm
1356 EDWARDS Flash Module, 3ea Available
1357 Edwards EPX180L PUMP PUMP
1358 Edwards iGX100L PUMP PUMP
1359 Edwards iGX6/100M PUMP PUMP
1360 Edwards iH1000 PUMP PUMP
1361 Edwards iH160 PUMP PUMP
1362 Edwards iH1800HTX PUMP PUMP
1363 Edwards iH35SE PUMP PUMP
1364 Edwards iH600 PUMP PUMP
1365 Edwards iH60K PUMP ý
1366 Edwards iH60K PUMP PUMP
1367 Edwards iH80 PUMP PUMP
1368 Edwards iQDP40 PUMP PUMP
1369 Electro Scientific Industories (ESI) ICP 5530 ESI Laser
1370 Electroglas 2001 Automatic Prober 150 mm
1371 Electroglas 2001X Prober 200 mm
1372 Electroglas 2001X Prober 200 mm
1373 Electroglas 2001X Prober 200 mm
1374 Electroglas 2001X Prober 200 mm
1375 Electroglas 2001X Prober 200 mm
1376 Electroglas 2001X Prober 200 mm
1377 Electroglas 2001X Prober 200 mm
1378 Electroglas 2080X Prober
1379 Electroglas 2080X Prober
1380 Electroglas 2080X Prober
1381 Electroglas 2080X Prober
1382 Electroglas 2080X Prober
1383 Electroglas 2080X Prober
1384 Electroglas 2080X Prober
1385 Electroglas 2080X Prober
1386 ELECTROGLAS 4085x Wafer Prober
1387 ELECTROGLAS 4085x Wafer Prober
1388 ELECTROGLAS 4085x Wafer Prober
1389 Electroglas 4090u Automated Prober
1390 Electroglas 4090u Automated Prober
1391 Electroglas 4090u Automated Prober
1392 Electroglas 4090u Automatic Prober 200 mm
1393 ELECTROGLAS 4090u Wafer Prober
1394 ELECTROGLAS 4090u Wafer Prober
1395 ELECTROGLAS 4090u Wafer Prober
1396 ELECTROGLAS 4090u Wafer Prober
1397 ELECTROGLAS 4090u Wafer Prober
1398 ELECTROGLAS 4090u Wafer Prober
1399 ELECTROGLAS 4090u Wafer Prober
1400 ELECTROGLAS 4090u Wafer Prober
1401 ELECTROGLAS 4090u Wafer Prober
1402 ELECTROGLAS 4090u Wafer Prober
1403 ELECTROGLAS 4090u Wafer Prober
1404 Electroglas EG2001 prober 150 mm
1405 Electroglas EG2001 prober 150 mm
1406 Electroglas EG2001 prober 150 mm
1407 Electroglas EG2001X Prober
1408 ELECTROGLAS EG5/300E Prober 300mm
1409 Electroglas Horizon 4085X Prober with an inker 200 mm
1410 Electroglas SVTR Prober 200 mm
1411 ELES ART200 Burn-in Tester
1412 ELES ART200 Burn-in Tester
1413 Elind 3232 power supply 0-3,2A current adj – 0 – 32 V voltage adj Electronics Test and Measurement
1414 elind 328 power supply 0-0,8A current adj – 0 – 32 V voltage adj Lab Equipment
1415 Elind KL 1200W Laboratory Power supply Electronics Test and Measurement
1416 Elma HS350 Wafer cleanng system
1417 ENI OEM 12B3-02 RF Generator
1418 Enidine MB21539 Shock Absorber
1419 Entegris Auronex PGPS4HT Dual Bed H2 Purifier
1420 Entegris F300 – non-Cu FOUP 300mm
1421 Entegris FOUP 300mm FOUP 300 mm
1422 Entegris FOUP 300mm FOUP
1423 Entegris FOUP 300mm FOUP
1424 Entegris FOUP 300mm FOUP
1425 Entegris FOUP 300mm FOUP Entegris Green  SPFGGN-ANSRK-NNN 300 mm
1426 Entegris RSPX-EUV-036 EUV Reticle stocker Facilities
1427 Entegris Scanner Pre-filter System Filter for scanner optical components Facilities
1428 EO TECH BM-4364 Laser Marking
1429 EO Tech CSM 3000 Wafer laser mark machine 300 mm
1430 EO Technic SY 9700 Laser Marker
1431 EO Technics WTM200 Laser Scribe 200mm
1432 ERS AC3 200 MM THERMAL CHUCK SYSTEM FOR A MANUAL PROBER 200 MM
1433 ESEC 2004APLF Die Bonder soft solder
1434 ESEC 2005APLF Refurbished to OEM Specs for TO-220 6″
1435 ESEC 2008 Die Bonder
1436 ESEC 2008HS3Plus BONDER 200 mm
1437 ESEC 2008XP Refurbished
1438 ESEC 3006 F/X Wirebonder , fullyautom.
1439 ESEC 3088 WIRE BONDER Assembly
1440 ESEC 3088 IP Wirebonder
1441 ESEC Cat 2000 Die Attacher, 3ea Available
1442 ESI 2050 LP laser trimmer
1443 ESI 2050 LP laser trimmer
1444 ESI 44 Laser trimmer
1445 ESI 44 LASER TRIMMER SPARE PARTS
1446 ESI 5330 Laser Drill
1447 ESI 5390
1448 ESI 9350 LASER FUSER
1449 ESI 9820 Laser Repair System 300 mm
1450 ESI 9820 Laser Repair System 300 mm
1451 ESI 9820 Laser Repair System 300 mm
1452 ESI 9820 Laser Repair System 300 mm
1453 ESI 9830 Laser Fuser 300 mm
1454 ESI 9830 LASER REPAIR
1455 ESI 9835 LASER FUSER 300 mm
1456 ESI ESI 9350 LASER REPAIR SYSTEM 200 mm
1457 ESI ESI 9350 LASER REPAIR SYSTEM 200 mm
1458 ESI ICP5530 Laser Drill
1459 ESI M450 Laser Fuser 12″
1460 ESI M450 Laser Fuser 12″
1461 ESI M450 Laser Fuser 12″
1462 ESI M450 Laser Fuser 12″
1463 ESI M450 Laser Fuser 12″
1464 ESI M550 Laser Fuser 12″
1465 ESI M9275 Laser Fuser 8″
1466 ESI M9275 Laser Fuser 8″
1467 ESI M9275 Laser Fuser 8″
1468 ESI M9275 Laser Fuser 8″
1469 ESI M9275 Laser Fuser 8″
1470 ESI M9275 Laser Fuser 8″
1471 ESI M9275 Laser Fuser 8″
1472 ESI M9275 LASER MEMORY FUSER
1473 ESI M9275 LASER MEMORY FUSER
1474 ESI M9275 LASER REPAIR SYSTEM
1475 ESI M9830 LASER REPAIR 300 mm
1476 ETEC /Applied Materials MEBES 4000 electron beam lithography mask writer / direct write  wafer lithography reticle / 150 mm
1477 Eurostat Three Door Drying Cabinet
1478 Eurostat Three Door Drying Cabinet
1479 Evatec BAK760 PVD / Sputter 100 mm
1480 EVATEC / OERLIKON STARLINE 300 PVD , Backside Metal Deposition 300 mm
1481 Evest EML-61D LED mounter
1482 EVG 101 SPRAY COATER 200 mm
1483 EVG 40 Alignment mesurement system
1484 EVG 620 Bond Aligner
1485 EVG 620 Bond/Mask Aligner 200 mm
1486 EVG IQA Replication IQ-ALIGNER
1487 EVG IQA Replication IQ-ALIGNER
1488 Ewald Instruments 778A  EWALD INSTRUMENTS 778A BONDER
1489 Exatron 902-2 Laser Marker Engraver Etcher Pick and Place Test Handler 19LV-R10 TEST
1490 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer Facilities
1491 FAITH RAP/TRAN-II MASS-TRANSFER
1492 FAITH RAP/TRAN-II MASS-TRANSFER
1493 FAITH RAP/TRAN-II MASS-TRANSFER
1494 FAITH TECHNOLOGY RapiTran Wafer Transfer Station
1495 FAITH TECHNOLOGY RapiTran 2 Wafer Transfer Station 150 mm
1496 FBA solution FS-2000 E-BEAM INSPECTION
1497 FEI 1265 DUAL BEAM FIB 300 mm
1498 FEI 820 Dual beam Fib
1499 FEI DA300 FIB -Focused Ion Beam Defect Analysis 300 mm
1500 FEI DB 235 Dual Beam FIB SEM
1501 FEI DB 835 FIB SEM
1502 FEI DualBeam Expidia 1265 Focused Ion Beam System 300mm
1503 FEI EXPIDA 1255S MANUAL LOAD, NO STEM, NGSEM COL 300 mm
1504 FEI F30 Technai FIB SEM
1505 FEI MATRIX Inspection 12″
1506 FEI Nova nano 230 FIB SEM
1507 FEI Quanta 200 3D FIB SEM
1508 FEI Quanta 400 FIB SEM
1509 FEI STRATA 400S Dual beam FIB
1510 FEI Strata 400S Dual Beam FIB SEM
1511 FEI T30 Microscope 200mm
1512 FEI Tecnai 20 S-TWIN TEM Microscope Lab
1513 FEI XL835 Dual beam FIB workstation
1514 FEI Tecnai G2 F30 S-TEM Unspecified
1515 FEI Company 820 Dual Bem Fib SEM 200 mm
1516 FEI Company DB820 Focused Ion Beam
1517 Fico AMS-I 360 Molding System 60 Ton
1518 FICO MMS-12M Molding System Assembly
1519 First Ten Angstroms FTA3000 Life Sciences, Particle Analysis 300mm
1520 FISHER SCIENTIFIC Safety-Flow 4′ Laboratory Fume Hood and Countertop with Integrated Flammable Storage Cabinet
1521 FISHER SCIENTIFIC Safety-Flow 6′ Laboratory Fume Hood with Countertop & Table
1522 Fluke 8505A Digital Multimeter
1523 FOI Rydeen 7000 STELLA NONA4133
1524 FORTREND F6000QS 6 INCH WAFER TRANSFER 6 INCH
1525 Fries Research & Technology Microprof Profilometer
1526 Fries Research & Technology (FRT) MicroProf MPR 200 TTV MHU 4 Film Thickness Measurement System
1527 FRONTIER FSM900TC-VAC FILM STRESS AND WAFER BOW MEASUREMENT 200 mm
1528 FSE FSE-CS-300 Evaporator Deposition Equipment 200mm
1529 FSI 500539-004 Parts & Structures Manual
1530 FSI 904602-200 Manual
1531 FSI 907051-100 Excalibur ISR Operation and Maintenance Manual
1532 FSI 912629 Manual
1533 FSI Booster Pump Manual
1534 FSI Booster Pump Manual
1535 FSI Chemfill systems Manual manual
1536 FSI Chemfill systems Model 1000 CDM/ PPS Manual Rev D manual
1537 FSI Chemfill systems – Manual Model 1000-4 PLC CDM Supplementary Training Package Manual
1538 FSI Excalibur Manual
1539 FSI Excalibur Manual 905364-200
1540 FSI Excalibur Vapour Phase Processing system Supplementary training manual
1541 FSI Excalibur 200mm Supplier Manual
1542 FSI EXCALIBUR ISR ANHYDROUS HF VAPOR CLEANERS 200 mm
1543 FSI Excalibur ISR Manual
1544 FSI Excalibur ISR Manual Prints & Product  Structures
1545 FSI Excalibur ISR & EOS Manual
1546 FSI HELIOS 52 Prints  & Products structures Manual
1547 FSI ISR Maual In Situ Rinse Prints & Parts Structures Manual
1548 FSI Mercury Manual
1549 FSI Mercury MP Spray Processing system Operation & Maintenance Manual spares
1550 FSI Mercury MP Manual
1551 FSI Mercury OC Acid processing system 200 mm
1552 FSI ORION Wet Process Equipment 300 MM
1553 FSI PLC Expansion PLC expansion Project Manual
1554 FSI Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track spares
1555 FSI Proteus 1 Manual
1556 FSI Proteus 11 Manual
1557 FSI Saturn Manual General
1558 FSI SATURN & TITAN Manuals
1559 FSI Saturn MP Manual 500539-001
1560 FSI Saturn MP Manual 500539-004
1561 FSI Saturn OC Manuals
1562 FSI Scorpio Wet Chemical Processor
1563 FSI Scorpio Wet Developer
1564 FSI Titan wet processing
1565 FSI * Mercury MP * Acid Spray Process 200 mm
1566 FSI International Antares CX200 Single Wafer CryoKinetic Cleaning System 200
1567 FSI International Antares CX200 Single Wafer CryoKinetic Cleaning System 200
1568 FSM 128 Interferometer 200mm
1569 FSM 128 Interferometer 200 mm
1570 Fuji CP842E Pick & Place
1571 Fuji XPF-L pick and place
1572 FUJITU DENSO 4247-E924 1ch power supply module spares
1573 Fusion 200 PCU UV Bake 8″
1574 Fusion 200 PCU UV Bake 8″
1575 Fusion M150-PC UV Bake 150 mm
1576 Fusion M150-PC UV Bake 150 mm
1577 Fusion M150-PC UV Bake 150 mm
1578 Fusion M150-PC UV Bake 150 mm
1579 FUSION M200 PCU Photoresist Stabilization Tool, for up to 200mm Wafers
1580 GASONICS 3510
1581 GASONICS 4800DL ASHER 200
1582 Gasonics AE 2001 Single wafer etch system
1583 Gasonics DTC2280 ISA IDE control board floppy HDD
1584 GASONICS IRIDIA ASHER 200
1585 GASONICS IRIDIA ASHER 200
1586 GASONICS IRIDIA ASHER 200
1587 Gasonics PEP Iridia Stripper/Asher 200
1588 Gasonics PEP Iridia Stripper/Asher 200mm
1589 Gasonics PEP Iridia Stripper/Asher 200
1590 Gasonics PEP Iridia Stripper/Asher 200
1591 Gasonics PEP Iridia Stripper/Asher 200
1592 GASONICS PEP3510 Asher 200mm
1593 Gasonics PEP3510 Asher 200 mm
1594 Gasonics Strata Oxide Etch System 200
1595 Gatan 691 PIPS tm Precision ion polishing system for TEM Specimens
1596 GCA TROPEL 9000 Surface Flatness Analyzer
1597 GDO 1861-ST Abatement – CDO Scrubber
1598 GDO 1862-WA Abatement – CDO Scrubber
1599 GDP General Production Devices DS 9000 Dispenser SMT
1600 GEMETEC Elymat III wafer contamination measurement 300 MM
1601 GEMETEC WSPS53 Spectrometers 300 MM
1602 GEMINI GEMINI 3 E  EPI Reactor Batch 150 mm
1603 Genus Stratagem 300
1604 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood 200 mm
1605 GL Automation IDSCOPE Wafer bar code reader 200 mm
1606 GL Automation IDSCOPE Wafer bar code reader 200 mm
1607 GL Automation IDSCOPE Wafer bar code reader 200 mm
1608 GL Automation IDSCOPE Wafer bar code reader 200 mm
1609 GL Automation IDSCOPE Wafer bar code reader 200 mm
1610 GMW Associates 3470 Electromagnetic System
1611 Gossen Konstanter IEC625 Power supply Gossen Konstanter UOP Electronics Test and Measurement
1612 GSI M430 Laser Repair System
1613 GSI M435 Laser Repair System
1614 GSI LUMONICS 435 LASER REPAIR
1615 GSI Lumonics WafeRepair M550 Laser Repair System 300mm
1616 GSI LUMONICS WH4100 METROLOGY 200
1617 GT Solar DSS 270 Solar Silicon Casting furnace
1618 H-SQUARE 200mm 200mm Notch Aligner 200 MM
1619 H-SQUARE AFO-AC Manual Wafer Transfer for 300mm FOSBs 300 MM
1620 Hacker VICO520 Pick and Place
1621 Hacker VICO520 Pick and Place
1622 Hacker VICO520 Pick and Place
1623 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 200 mm and packages
1624 Hamamatsu Phemos 1000 Photo emission microscope
1625 Hamilton Safeaire Chemical Fume Hood 200 mm
1626 HAN-MI Trim D2PPAK Trim for D2PAK
1627 HANMI INGOT INSPECTION2000 Brick 8t€¬
1628 HANMI Wafer inspection 3000 Geometry vision!
1629 HANMI Wafer inspection 3000 Geometry vision!
1630 HANMI Wafer inspection 3000 Geometry vision!
1631 Harmotec WPO-67-B Wafer Production Equipment 200 mm
1632 HCT CROPPER Mutli,Single ¸©
1633 HCT SQUARER G5 650kg Ingot
1634 HCT SQUARER mono ingot squaring.
1635 Heller 1809LX Reflow Oven
1636 Hermes Microvision (HMI) eScan-315XP E-beam Inspection 300mm
1637 Hermes Microvision (HMI) eScan-315XP E-beam Inspection 300mm
1638 Hermes Microvision (HMI) eScan-315XP E-beam Inspection 300mm
1639 Hesse & Knipps Bondjet 710M Wedge Bonder
1640 Hesse & Knipps Bondjet 710M Wedge/Wedge Wire Bonder
1641 HEWLETT PACKARD 16500B Logic Analyzer
1642 HEWLETT PACKARD 54601A Oscilloscope, 4 Channel 100 MHz
1643 HEWLETT PACKARD 8656B Signal Generator
1644 Hitachi 3600N SEM
1645 HITACHI 3ROT004194 CKD 1/2 SOLENOID VALVE
1646 Hitachi 6280H Power Supply unit
1647 Hitachi Aldinna ALD (Atomic Layer Deposition) 300 mm
1648 Hitachi AS5000 Scanning Electron Microscope 200 MM
1649 Hitachi BIST Memory Test System test
1650 HITACHI C-708 ASYMTEK GLUE DISPENSER
1651 HITACHI CM-700H BONDER ASSEMBLY
1652 HITACHI CM-700H BONDER ASSEMBLY
1653 HITACHI CM-700H BONDER ASSEMBLY
1654 HITACHI CM-700H BONDER ASSEMBLY
1655 HITACHI CM-700H BONDER ASSEMBLY
1656 HITACHI CM-700H BONDER ASSEMBLY
1657 HITACHI CM-700H BONDER ASSEMBLY
1658 HITACHI CM-700H BONDER ASSEMBLY
1659 HITACHI CM-700H BONDER ASSEMBLY
1660 Hitachi CM-700H BONDER ASSEMBLY
1661 Hitachi CM-700H DIE Bonder Assembly
1662 Hitachi CM700 Die Bonder Assembly
1663 Hitachi CM700 Die Bonder Assembly
1664 Hitachi CM700H Die Bonder Assembly
1665 HITACHI CM700X DIE BONDER
1666 HITACHI CM700X DIE BONDER
1667 HITACHI CM700X DIE BONDER
1668 HITACHI CM700X DIE BONDER
1669 Hitachi DB700 Die Bonder Assembly
1670 Hitachi DB700SM Die Bonder Assembly
1671 HITACHI DES-9300 METRO 300 MM
1672 Hitachi EA8000 X-ray Particle Contaminant Analyzer
1673 HITACHI FB-2000A FIB Sem 200 mm
1674 Hitachi FB-2100 FIB
1675 HITACHI FB2000A FIB SEM Laboratory
1676 HITACHI FB2100 FIB 200 mm
1677 Hitachi HD-2300 STEM
1678 HITACHI I-5230 E-BEAM INSPECTION SYSTEM
1679 Hitachi I6300 Defect Inspection 300 MM
1680 HITACHI I6300 e-beam WAFER INSPECTION 12 Inch
1681 Hitachi IS2700 Dark Field inspection 300 MM
1682 HITACHI IS2700
1683 Hitachi IS2700SE Wafer Inspection System 300 mm
1684 HITACHI M501AWE Metal Etch
1685 HITACHI M501AWE
1686 HITACHI M501AWE
1687 Hitachi M712E ECR Plasma Etcher 200mm
1688 HITACHI M712XT ETCHER 300 mm
1689 Hitachi Mi-scope CP11U Scanning Acoustic Tomograph Laboratory
1690 HITACHI N-6000 NANO PROBER
1691 Hitachi PXH-1 Compact Printer
1692 HITACHI RS-3000 REVIEW SEM 300 mm
1693 HITACHI RS3000 Defect Review SEM 200 mm/300 mm
1694 Hitachi RS3000 Review SEM 200 MM, 300 MM
1695 Hitachi RS3000T Review SEM 300 MM
1696 Hitachi RS4000 In-line Defect Review SEM 200 mm / 300 mm
1697 Hitachi RS4000 Review SEM 300 MM
1698 Hitachi RS4000 SEM 200mm
1699 Hitachi RS4000E Scanning Electron Microscope 300 mm
1700 Hitachi RS4000E Scanning Electron Microscopes 300 mm
1701 HITACHI S-2700
1702 HITACHI S-2700
1703 HITACHI S-3000N REVIEW SEM
1704 Hitachi S-4500 FE Inspection SEM Type 1 chamber
1705 Hitachi S-4500 FE SEM 200 mm
1706 Hitachi S-4500 SEM 200mm
1707 HITACHI S-4700 (w/EDAX) FE SEM with EDX
1708 Hitachi S-4700-II FE SEM 150/200mm
1709 HITACHI S-4800 REVIEW SEM
1710 Hitachi S-4800-II FE SEM 150/200mm
1711 HITACHI S-5000 FE SEM 200 mm
1712 HITACHI S-5000 FE SEM 200 mm
1713 HITACHI S-5000 FE SEM 200 mm
1714 HITACHI S-5000 FE SEM 200 mm
1715 HITACHI S-5000 Inspection sem Laboratory
1716 Hitachi S-5000 SEM 200mm
1717 HITACHI S-5000
1718 HITACHI S-5000H Metrology Equipment, CD SEM 200mm
1719 Hitachi S-5200 FE SEM Lab
1720 HITACHI S-5200 REVIEW SEM
1721 Hitachi S-5500 High Resolution FE SEM
1722 Hitachi S-5500 SEM 200mm
1723 Hitachi S-7800 Critical Dimension Scanning Electron Microscope 200 mm
1724 HITACHI S-9220 (SMIF) CD-SEM 200 mm
1725 HITACHI S-9220 (SMIF) CD-SEM 200 mm
1726 HITACHI S-9300 CD SEM 300 mm
1727 HITACHI S-9300 CD-SEM 300 MM
1728 HITACHI S-9300 SEM – CD (CRITICAL DIMENSION) 200-300
1729 HITACHI S-9300 200 mm
1730 Hitachi S-9300T CD SEM 300 MM
1731 Hitachi S-9360 CD SEM 300 MM
1732 HITACHI S-9360 CD SEM 8″/12″
1733 HITACHI S-9360 CD SEM 8″/12″
1734 HITACHI S-9360 CD-SEM 300 mm
1735 Hitachi S-9380 CD-SEM
1736 Hitachi S-9380 II SEM – Critical Dimension (CD) Measurement 300mm
1737 Hitachi S-9380 II SEM – Critical Dimension (CD) Measurement 300mm
1738 Hitachi S-9380 II SEM – Critical Dimension (CD) Measurement 300mm
1739 Hitachi S-9380II CD SEM 300 MM
1740 Hitachi S2700 Scanning Electron Microscope Laboratory
1741 Hitachi s3000H SEM
1742 HITACHI S4160 FE SEM 8″
1743 HITACHI S4160 FE SEM 8″
1744 HITACHI S4160
1745 Hitachi S4300 SEM with Oxford INCA EDX Solar
1746 Hitachi S4500 FE SEM 200 mm
1747 Hitachi S4500
1748 Hitachi S4700 II
1749 Hitachi S4700 Type 2 FE-SEM Up to 6 inch
1750 Hitachi S4800 FE-SEM
1751 Hitachi s5000 FE SEM 200 MM
1752 HITACHI S5000 Inspection sem 200 mm
1753 Hitachi S5000H Field Emission SEM Laboratory
1754 Hitachi S5200 FE SEM with EDX Inspection
1755 Hitachi S5200 FE-SEM
1756 HITACHI S6180
1757 HITACHI S6280
1758 HITACHI S6280
1759 HITACHI S7000 CD SEM 150 mm
1760 HITACHI S7000
1761 HITACHI S7800HSA
1762 HITACHI S8800
1763 HITACHI S8800
1764 Hitachi S8820 CD SEM 200 mm
1765 Hitachi S8820 CD SEM 200 mm
1766 Hitachi S8840 (Parts) upper column for an S-8840 CD SEM 200 mm
1767 HITACHI S9200 CD SEM 8″
1768 Hitachi S9220 CD SEM 200 mm
1769 Hitachi S9220 CD SEM 200 mm
1770 Hitachi S9220 CD SEM metrology (Working) 200 mm
1771 Hitachi S9300 CD-Sem 200 mm
1772 Hitachi S9360 CD SEM 300 MM
1773 HITACHI S9360 CD-SEM 300 MM
1774 Hitachi S9380 CD SEM metrology ( Working ) Spares
1775 Hitachi S9380 II CD SEM metrology (As-Is) Spares
1776 HITACHI S9380a CD SEM 300 mm
1777 HITACHI S9380a CD SEM 300 mm
1778 HITACHI S9380a CD SEM 300 mm
1779 HITACHI S9380ii CD SEM 12″
1780 HITACHI S9380ii CD SEM 12″
1781 HITACHI S9380ii CD SEM 12″
1782 HITACHI S9380ii CD SEM 12″
1783 HITACHI S9380ii CD SEM 12″
1784 HITACHI S9380ii CD SEM 12″
1785 HITACHI S9380ii CD SEM 12″
1786 HITACHI S9380ii CD SEM 12″
1787 Hitachi SEM S-2300 Scanning electron microscope
1788 HITACHI UA5200 Asher
1789 HITACHI UA5200 Asher
1790 Hitachi Z-5700 AA spectrophotometer Laboratory and Scientific
1791 HITACHI BOND HOT PLATE
1792 Horiba emax energy Micro-analysis system for SEM
1793 Horiba PD3000 Reticle inspection system 200 MM
1794 Horiba PR-PD2 Reticle/Mask Particle Detection System 150 MM
1795 Horiba PRPD2 Reticle/Mask Particle Detection System 150 mm
1796 HP 4193A Vector Impedance Meter
1797 HP 4261A LCR METER TEST
1798 Hugle UPC-12100 300 MM FOUP CLEANER 300 mm
1799 Hypersonic Chip Eraser 1630 eprom eraser 300 mm
1800 Hypervision PTF1 IR Emission Microscope
1801 Hypervision PTF1
1802 i-PULSE K2H Inline SPI/AOI
1803 I-Pulse M3 pick and place
1804 IMS XTS 60E
1805 IMS XTS 60E / 256 Automated Test System TEST
1806 Imtec Acculine 2000 Vacuum Oven Oven
1807 INNOLAS ILS 700 P Laser Drill
1808 Innolas ILS 700P Laser Drill with Loader and unloader
1809 Innolas ILS 700P Laser Edge Isolation 156mm
1810 Innovac Custom AZO Sputter Solar
1811 Innovac Custom ZnO Sputter Solar
1812 Integrated Air Systems LFM8 Eight Foot Laminar Flow Hood Workbench
1813 Integrated Plasma Inc. (IPI) ECS-3000 Abatement – CDO Scrubber
1814 INVALID[Instron] 5564 Tensile Tester
1815 INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[EWS]]]]]]]]]]
1816 INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[INVALID[SERGIO]]]]]]]]]]]]]]]
1817 INVALID[NuFlare Technology] HT2000B EPITAXIAL DEPOSITION 150 mm
1818 INVALID[Sankei Giken ] TCW-12000 CV Process Module Chiller Facilities
1819 INVALID[THERMO NESLAB] KMC-100 Recirculating Water Chiller
1820 IPEC 676 CMP PLANARIZER 200mm
1821 IPEC AvantGaard 776 CMP system 200 mm
1822 IPEC AvantGaard 776 CMP system for spares use 200 mm
1823 IRVINE OPTICAL UltraSpec III Wafer Inspection microscope 3 to 6 inch
1824 IRVINE OPTICAL Ultrastation 3 Macro Inspection Station
1825 ISIS SemDex 301-34 Measurement of substrate layer thickness and total thickness variation 300 mm
1826 Ismeca TMBU-CA Tube to Tape and Reel
1827 IWASHITA Shotmatic 3 Epoxy Dispenser, 2ea Available
1828 Jasco FP 8500 Fluorescence Spectrometer Laboratory
1829 Jenoptik Votan Solas 100 Laser Structuring for CIGs Solar
1830 Jenoptik Votan Solas 200 Mechanical  Structuring for CIGs Solar
1831 Jenoptik Votan Solas 200 Mechanical  Structuring for CIGs Solar
1832 Jenoptik Votan Solas 400 Laser Edge Deletion for CIGs Solar
1833 Jenway
1834 JEOL 2100F TEM 200 mm
1835 JEOL 7505 METROLOGY 200
1836 JEOL 7555 SEM 200mm
1837 JEOL CD-SEM, JSM-6340F JEOL
1838 JEOL JBX 5500FS E-Beam lithography system 2 inch, 3 inch and 4 inch
1839 JEOL JEM-2500SE TEM Microscope Laboratory and Scientific
1840 JEOL JEM-2500SE TEM Microscope Laboratory and Scientific
1841 JEOL JSM 6400 Scanning Electron Microscope
1842 JEOL JSM 7401F High Resolution FE SEM 200 mm
1843 JEOL JSM-6340F FE SEM Laboratory
1844 JEOL JSM-840A FE SEM
1845 JEOL JSM6320 Field Emission SEM N/A
1846 JEOL JWS-7505 Defect review SEM w/ EDX, untested 200 mm
1847 Jeol JWS-7505ZH CD Scanning Electron Microscope 100-200 mm
1848 JEOL JWS-7515 DEFECT REVIEW SEM 200 mm
1849 JEOL JWS-7515 Metrology Equipment, CD SEM 150mm
1850 Jeol JWS7555 Scanning Electron Microscopes 200 mm
1851 JEOL JWS7555S Defect review sem 200 mm
1852 JEOL JWS7555S Scanning Electron Microscopes 200 mm
1853 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace 156 mm and 125 mm
1854 Jonas and Redmann SDB A AUTOMATED Loader for baccini Print Line 156 mm
1855 Jordan Valley Bede Metrix X-Ray difractometer (Missing Parts) 200 mm
1856 Jordan Valley JVX 6200 X-ray Fluorescence Spectrometer 300mm
1857 Jordan Valley JVX 6200 X-ray Fluorescence Spectrometer 300mm
1858 JST Manufacturing Automated Wet Bench (Acid) Wet Bench 300mm
1859 JST Manufacturing Automated Wet Bench (Solvent) Wet Bench 300mm
1860 JST Manufacturing JSTQF01320-A ECD (Electro Chemical Deposition) 300mm
1861 JST Manufacturing JSTQF01320-A ECD (Electro Chemical Deposition) 300mm
1862 Juant Technology JUT-HHX-3036 SE Coater for CIGs production Solar
1863 Juant Technology JUT-SHX-3032 CIG Sputter Solar
1864 Juant Technology JUT-SHX-3033 MO Sputter Solar
1865 Juant Technology JUT-SHX-3033 MO Sputter Solar
1866 Juki FX1R pick and place
1867 Juki KE760 pick and place
1868 K Tech Engineering BK04A Blister tape applicator for microelectronic components Assembly
1869 K&S 1471 Wire Bonder
1870 K&S 1488 Turbo+ Automatic Gold Wire Bonder
1871 K&S 4123 Manual Wedge Bonder
1872 K&S 4124 Manual Thermosonic Ball Bonder
1873 K&S 4524AD Manual Thermosonic Ball Bonder
1874 K&S 4526 Manual Wedge Bonder, with Vertical Wire Feed
1875 K&S 6495 Semi-Automatic Epoxy Die Bonder with PRS
1876 K&S 6496 Semi-Automatic Die Attacher
1877 K&S 6497 Semi-Automatic Flip Chip Die Bonder with PRS
1878 K&S 8020 Ball Bonder
1879 K&S 9388 Laser Pro Automatic Ball Attach System
1880 K&S Maxum Ultra Wire Bonder
1881 Kaijo RT-1030T-C Photoresist Strip Wet Bench 200 MM
1882 Kaijo RT-678T WET BENCH 300mm
1883 Kaijo RT-679T WET BENCH 300mm
1884 Kaijo SFT-305 Batch Wafer Processing 300 mm
1885 Kaijo SFT-344e Batch Wafer Processing 300 mm
1886 Karl Suss ACS 200 Photoresist coater / developer track 150 mm
1887 Karl Suss ACS200 Spray coater
1888 Karl SUSS CBC200 Wafer Bonder 200 mm
1889 Karl Suss MA 200 Mask Aligner 200 mm
1890 Karl Suss MA 25 EL Mask Aligner Top side and Bottom Side Alignment
1891 KARL SUSS MA-45 Mask Aligner, Front Side Alignment with Splitfield Microscope, for up to 4″ Wafers
1892 KARL SUSS MA-6 Mask Aligner, Front Side Alignment with Splitfield Microscope, for up to 6″ Wafers
1893 Karl SUSS MA150 Aligner 150 MM
1894 Karl SUSS MA150 Aligner 150 MM
1895 Karl SUSS MA200 Aligner 200 MM
1896 Karl SUSS MA200 Mask Aligner 200 mm
1897 Karl Suss MA25 Mask Aligner 4 inch
1898 Karl Suss MA56 MASK ALIGNER
1899 Karl Suss MA6 Mask Aligner 150 mm
1900 Karl Suss MBJ3 Mask Aligner 3 inch
1901 Karl Suss MJB-21 Double Sided Mask Aligner
1902 KARL SUSS MJB-21 Mask Aligner, Double Side Alignment with Splitfield Microscope, for up to 3″ Wafers
1903 Karl Suss MJB3 Mask Aligner (350W)
1904 KARL SUSS PM-8 Analytical Wafer Prober
1905 Karl Suss PM5 / 6 Wafer Prober up to 150 mm
1906 KARL SUSS RA120M Wafer Scriber
1907 KARLSUSS RC 16 PIN COATER
1908 Kawasaki 30D63E-A002 Robot controller
1909 KE DD-803V PYRO 150 mm
1910 KE DD-803V PYRO 150 mm
1911 KE DJ813V CVD
1912 KE DJ813V Vertical low-pressure CVD
1913 KEITHLEY 487 PICO AMMETER Electronics Test and Measurement
1914 Keithley 590 CV MEASUREMENT
1915 Keithley 617 Programmable Electrometer Electronics Test and Measurement
1916 Keithley S425 Parametric tester TEST
1917 Keithley S425 Test 200mm
1918 Keithley S450 Parametric Tester Test
1919 Keithley S450 Parametric Tester Test
1920 Keithley S450 Parametric Tester Test
1921 Keithley S450 Parametric Tester Test
1922 Keithley S450 Parametric Tester Test
1923 Keithley S450 Parametric Tester Test
1924 Keithley S450 Test 200mm
1925 KELLER TECHNOLOGY BondTest 30 Wire Bond Pull Tester – Parts Tool Only
1926 Kensington Labs 25-3700-1125-02 Dual Paddle Robot Equinox
1927 Kensington Labs 25-3700-1125-02 Dual Paddle Robot Equinox
1928 Kensington Labs 25-3700-1425-06 25-3700-1425-06 AMAT CMP
1929 Kensington Labs 25-3700-1425-08 25-3700-1425-08 AMAT STD
1930 Kensington Labs 25-3700-1725-05 Dual Paddle Robot Equinox
1931 Kensington Labs Dual Multi-link Dual Multi-link Robot Gasonics
1932 Kensington Labs Dual Multi-link Dual Multi-link Robot Gasonics
1933 Kensington Labs Dual Multi-link Dual Multi-link Robot Gasonics
1934 Kensington Labs WFH3 Wafer Handler IR/PA
1935 Kensington Labs WFH3C Wafer Handler IR/PA
1936 Kensington Labs WFH3C Wafer Handler IR/PA
1937 Kensington Labs WFH4D Wafer Handler ESI
1938 Kensington Labs WFH4D TRI-CCD Robot with 4000D controller
1939 Kensington Labs WFH4D TRI-CCD Wafer Handler w/ PA ADE
1940 KEYENCE ML-Z9500/9510 LASER MARKER
1941 Keyence VF-7510 Profilometer
1942 Keyence VH-7000 175X Digital HD Microscope
1943 Keysight (Agilent) 4156  Parametric Analyzer test
1944 KIHEVNG KLM-2000 ROUTER M/C
1945 Kinetic Systems 9101-21-21 Isolation Table 200mm
1946 KLA 050-654234-00 Lamp Micro Line Filament w/ clips
1947 KLA 1007 Chuck, prober, 6″ gold chuck assembly 200 mm
1948 KLA 2132 bright-field WAFER INSPECTION 200mm
1949 KLA 21xx computer KLA 21xx computer
1950 KLA 259 Set of 4 Objectives
1951 KLA 259 (spares) Reticle inspection system Microscope Head & Msk Holders SPARES
1952 KLA 2810
1953 KLA 486-33E Model 5V AST Computer for KLA 259
1954 KLA 655-6616141-00 Wafer stage, kla 21xx 200 mm
1955 KLA 710-101836-02 REV D AUTOFOCUS II POWER AMP Spares
1956 KLA 710-102570-02 AUTOFOCUS PRE-AMPLIFIER Spares
1957 KLA 710-655651-20 Cornerturn 3 PC board REV C0 Spares
1958 KLA 710-658036-20 Alignment Processor board REV C3 Spares
1959 KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0 Spares
1960 KLA 710-658046-20 PRocessor Board REV E0 Spares
1961 KLA 710-658086-20 PC Board, REV E0 Spares
1962 KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1 Spares
1963 KLA 710-658177-20 Interpolator phase 3 Board REV F1 Spares
1964 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 Spares
1965 KLA 710-659412-00 Mass Memory PCB REV C0 Spares
1966 KLA 710-661726-00 Random defect filter kla 21XX Spares
1967 KLA 720-05721000 Column Control Chassis for KLA 8100
1968 KLA 740-401-320 P-N 073-401-320  AIRLOCK
1969 KLA 7700 Misc Bracket
1970 KLA 7700m 174203 Rev D PCB Flat finder pwd Driver
1971 KLA 7700m 181830 Rev D, National Instruments AT-GPIB/TNT
1972 KLA 7700m 201989 Concave Mirror
1973 KLA 7700m 253537 Rev A PCB Microscope Dist
1974 KLA 7700m Convex Glass Plate
1975 KLA 7700m Detector Assy
1976 KLA 7700m EMO Switch
1977 KLA 7700m Keyboard Assy
1978 KLA 7700m Leadscrew and Servo Motor CMC MT2115-014DF
1979 KLA 7700m Mirror Assy with Fiber Optic
1980 KLA 7700m Mouse & PCB 240C
1981 KLA 7700m Photomultiplier
1982 KLA 7700m Pittmann Motor 94337528 Microscope driver
1983 KLA 7700m Quartz chuck
1984 KLA 7700m robot Dist. PCB
1985 KLA 7700m two cables 7 brackets
1986 KLA 7700m (spares) p/n 252948 Rev B Motor Lamp Drive SFS 76 SPARES
1987 KLA 8100 Block, Pivot ,Keybd  P/N 740-03389-000
1988 KLA 8100 Bracket P/N 740-05415-000 Rev A
1989 KLA 8100 Bracket P/N 740-07893-000
1990 KLA 8100 Bracket retainer keybd P/N 740-03390-000
1991 KLA 8100 Festo PU-3 Duo air Pipe
1992 KLA 8100 Flex Pipe
1993 KLA 8100 Ground Strap P/N 810-04308-004
1994 KLA 8100 Ground Strap P/N 810-04308-005
1995 KLA 8100 Interface cable set P/N 810-09072-002 REV A
1996 KLA 8100 KLA 8100 SEM Part 740-03565-000
1997 KLA 8100 MCA Module P/N 720-02847-000
1998 KLA 8100 P/N 740-05635-000 REV A
1999 KLA 8100 P/N 740-05728-000
2000 KLA 8100 P/N 740-07892-000 Rev A
2001 KLA 8100 PCB  830-10172-000 Rev 3
2002 KLA 8100 PICOAMP 11  P/N 720-02964-000
2003 KLA 8100 Plastic Disc
2004 KLA 8100 Plate Wafer P/N 731-08507-004
2005 KLA 8100 T Piece P/N 471-07945-000
2006 KLA AIT 1 Network Card
2007 KLA Alphastep 200 Profiler Refurbished
2008 KLA AMRAY 4200 Inspection 200mm
2009 KLA ATM-207-2-S-CE Robot
2010 KLA Ceramic table 8″ Ceramic Table & Misc Parts
2011 KLA RS35e resistivity measurement 6
2012 KLA VLSI 845 DUPONT VERIMASK Spares
2013 KLA Misc Lens PCB
2014 KLA  Tencor 710-661729-00 PC Board, KLA 21XX Spares
2015 KLA  Tencor FLX 2320S Wafer Stress Measurement 200 mm
2016 KLA – Tencor Alphastep IQ Surface measurement 4 inch
2017 KLA Tencor 2135 brightfield wafer defect inspection 200 mm
2018 KLA Tencor 213780 REV C PCB PFE 4K MASK ASSY SFS 7500 SPARES
2019 KLA Tencor 2138-IS
2020 KLA Tencor 244143 REV B PCB ADC PFE I/F 576 ASSY SPARES
2021 KLA Tencor 5100?
2022 KLA TENCOR 5300 Metrology Equipment, Overlay Measurement System 200mm
2023 KLA Tencor AIT
2024 KLA Tencor ALPHA-STEP 200
2025 KLA Tencor Archer 10XT Overlay measurement 200 mm
2026 KLA Tencor FLX-2908
2027 KLA Tencor INS3300 Inspection 200mm
2028 KLA Tencor Wafersight Inspection 200mm
2029 KLA-TENCOR 2122 WAFER DEFECT INSPECTION 200 mm
2030 KLA-Tencor 2131 Wafer Defect Inspection 200mm
2031 KLA-TENCOR 2132 bright-field WAFER INSPECTION 200 mm
2032 KLA-Tencor 2132 Optical Inspection 200 mm
2033 KLA-Tencor 2132 Optical Inspection 200 mm
2034 Kla-Tencor 2135 Birghtfield wafer defect inspection 150 mm or 200 mm
2035 KLA-TENCOR 2135 Brightfield Wafer Inspection 200 mm
2036 KLA-TENCOR 2135 Brightfield Wafer Inspection 200 mm
2037 Kla-Tencor 2135 XP Birghtfield wafer defect inspection 150 mm or 200 mm
2038 Kla-Tencor 2139 Birghtfield wafer defect inspection 150 mm or 200 mm
2039 KLA-TENCOR 2370 (BLAZER) BRIGHTFIELD WAFER INSPECTION 300 mm
2040 KLA-TENCOR 2552 DATA ANALYSIS STATION Model – 2552 200mm
2041 KLA-TENCOR 2552 DATA ANALYSIS STATION Model – 2552 200mm
2042 KLA-Tencor 259 (Spare parts) PCBs for reticle inspection system
2043 KLA-TENCOR 259 (spare parts) Reticle Inspection – SPARE PARTS up to 7 inch
2044 KLA-Tencor 2810 Brightfield Inspection 300mm
2045 KLA-TENCOR 2810 Brightfield Wafer Inspection 12″
2046 KLA-Tencor 2915 inspection and metrology 300 mm
2047 KLA-TENCOR 3800C
2048 KLA-Tencor 5100 XP registration tool / overlay measurement 200 mm
2049 KLA-Tencor 5200 Overlay Measurement
2050 Kla-Tencor 5200 Overlay measurement (For spares use) 200 mm
2051 KLA-Tencor 5300 Overlay Measurement System 200
2052 KLA-Tencor 5300 Overlay Measurement System 200
2053 KLA-Tencor 6220 Surfscan wafer particle detection system 200 mm
2054 KLA-Tencor 6220 wafer particle inspection 200 mm
2055 KLA-Tencor 6220 wafer particle inspection
2056 KLA-Tencor 6420 Surface Particle Detection System 200 mm
2057 KLA-Tencor 6420 wafer particle inspection
2058 KLA-Tencor 6420 Surfscan WAFER INSPECTION SYSTEM
2059 KLA-Tencor 710-013838-00 Rev 1 PCB Universal Video Mux spares
2060 KLA-Tencor 7700 Surfscan 125 mm
2061 KLA-Tencor 7700m (spares) Fresnel Lens / Mirror SPARES
2062 KLA-Tencor 7700m (Spares) p/n 172502-F  PCB Universal Handler SPARES
2063 KLA-Tencor 7700m (Spares) p/n 186392A  PCB Controller Handler spares
2064 KLA-Tencor 7700m (Spares) p/n 210595 Rev B Optical Filter PCB spares
2065 KLA-Tencor 7700m (spares) p/n 213780 Rev C  PCB  MASK SFS spares
2066 KLA-TENCOR AIT
2067 KLA-TENCOR AIT
2068 KLA-TENCOR AIT  FUSION Dark Field WAFER PARTICLE DETECTION 200 mm
2069 KLA-Tencor AIT 1 wafer particle detection 150 mm
2070 Kla-Tencor AIT 2 Surfscan wafer particle detection system 200 mm
2071 KLA-Tencor AIT Fusion XUV Dark Field inspection 300 MM
2072 KLA-Tencor AIT Surfscan Particle Counters 200 mm
2073 KLA-Tencor AIT UV Dark Field inspection 200 MM
2074 KLA-Tencor AIT UV Dark Field inspection 200 MM
2075 KLA-TENCOR AIT UV Dark Field WAFER PARTICLE DETECTION 200 mm
2076 KLA-TENCOR AIT UV Dark field wafer particle inspection system 200 mm
2077 KLA-Tencor AIT UV PATTERNED WAFER INSPECTION 200 mm
2078 KLA-Tencor AIT UV++ DARKFIELD PATTERN INSPECTION 300 mm
2079 KLA-Tencor AIT XP Parts/Options 200mm
2080 KLA-TENCOR AIT XP  FUSION Dark Field WAFER PARTICLE DETECTION 200 mm
2081 KLA-Tencor AIT XP+ Darkfield Inspection 8″
2082 KLA-Tencor AIT XUV Surface particle measurement 300 MM
2083 KLA-TENCOR AIT-UV WAFER PARTICLE INSPECTION 200 mm
2084 KLA-TENCOR AIT-XP WAFER PARTICLE INSPECTION 200 mm
2085 KLA-Tencor AIT-XP PLUS PATTERNED WAFER INSPECTION, DARK FIELD 300 mm
2086 KLA-Tencor Aleris CX Film Thickness Measurement System 300 mm
2087 KLA-Tencor Aleris DX Spectroscopic Ellipsometer
2088 KLA-Tencor Aleris HT Film Thickness Measurement System 300 mm
2089 KLA-Tencor Aleris HX8500 Thickness Measurement 300 MM
2090 KLA-TENCOR ALPHA STEP 300 Profilometer
2091 KLA-Tencor Alpha Step IQ Metrology, 6 8
2092 Kla-Tencor Alpha Step IQ Profileometer Manual
2093 KLA-Tencor AMRAY 4200 Review SEM 8″
2094 KLA-Tencor Archer 10 AIM+ Overlay 300 MM
2095 KLA-TENCOR ARCHER 10XT OPTICAL OVERLAY MEASUREMENT 300 mm
2096 KLA-Tencor Archer 10XT overlay measurement 200 mm
2097 KLA-TENCOR ARCHER 10XT+ Overlay measurement 12″
2098 KLA-Tencor Archer 300 Overlay Measurement System 300 mm
2099 KLA-Tencor Archer AIM MPX Overlay 300 MM
2100 KLA-Tencor Archer AIM+ Overlay 300 MM
2101 KLA-Tencor Archer XT+ Overlay Measurement System 300mm
2102 KLA-Tencor AWIS-3110 Wafer Inspection System 200 MM
2103 KLA-Tencor CRS-3100 Optical Review System 200mm
2104 KLA-Tencor CRS1010 Wafer Inspection Microscopes 200 mm
2105 KLA-Tencor EDR5210 Defect Review SEM 300 MM
2106 KLA-Tencor eS20XP E-beam Inspection 200mm
2107 KLA-TENCOR ES30 E-BEAM DEFECT INSPECTION 200 MM
2108 KLA-Tencor ES31 Scanning Electron Microscope 300 MM
2109 KLA-Tencor ES32 Scanning Electron Microscope 300 MM
2110 KLA-TENCOR ES37XP E-beam inspection 8″
2111 KLA-Tencor es810 Scanning Electron Microscopes 300 MM
2112 KLA-Tencor es810 Scanning Electron Microscopes 300 MM
2113 KLA-Tencor EV 300 Scanning Electron Microscope with Oxford EDX
2114 KLA-TENCOR F5X Overlay measurement 12″
2115 KLA-TENCOR FILM THICK, LTS-M/SP
2116 KLA-Tencor FLX 2908 METRO 8″
2117 KLA-Tencor FLX 2908 Thin Film Measurement System 200 mm
2118 Kla-Tencor FLX 2908 Wafer Stress Measurement
2119 KLA-Tencor FT-750 Film Thickness Inspection System
2120 KLA-Tencor HRP Profilometer
2121 KLA-Tencor HRP Profilometer
2122 KLA-Tencor HRP-340 Profiler 300 MM
2123 KLA-Tencor INM100+INS10 Metrology 150 MM
2124 KLA-Tencor INS3300 MICROSCOPE INSPECTION STATION 300 MM
2125 KLA-Tencor INS3300 Wafer Inspection Microscopes 300 MM
2126 KLA-Tencor INS3300G1 Macro inspection 300 MM
2127 KLA-Tencor KLA2552 Data Review Station 200 MM
2128 KLA-Tencor KLA2800 Bright Field Inspection 300 MM
2129 KLA-Tencor KLA5200XP Overlay Measurement 200mm
2130 KLA-Tencor LDS3300M Macro inspection 200 MM
2131 KLA-TENCOR M-GAUGE 300 RESISTANCE MEASUREMENT
2132 KLA-Tencor OP-5240 Thickness measurement 200 MM
2133 KLA-TENCOR Optiprobe 2600DUV Metrology 8″
2134 Kla-Tencor P11 WAFER SURFACE PROFILOMETER 200 mm OR SMALLER
2135 Kla-Tencor P15 WAFER SURFACE PROFILOMETER 200 mm OR SMALLER
2136 KLA-Tencor P2 Surface Profiler 200 mm
2137 KLA-TENCOR P20H PROFILOMETER 200 mm
2138 KLA-Tencor Puma 9000S Dark Field inspection 300 MM
2139 KLA-Tencor Puma 9130 Dark Field inspection 300 MM
2140 KLA-Tencor RS 55 Resisitivity Measurement 150 mm and 200 mm
2141 KLA-Tencor RS 55 (TC) Resisitivity Measurement 150 MM AND 200 MM
2142 KLA-TENCOR RS55 Resistivity measurement 8″
2143 KLA-Tencor SCD Spectroscopic Critical Dimension Metrology
2144 KLA-Tencor SCD Spectroscopic Critical Dimension Metrology
2145 KLA-Tencor SCD-XT SCATTEROMETRY METROLOGY TOOL, NC 300 mm
2146 KLA-TENCOR SFS-AIT PATTERNED WAFER INSPECTION
2147 KLA-Tencor SL3UV-URSA RETICLE INSPECTION 300 mm
2148 KLA-Tencor SL515 Mask Defect Inspection
2149 KLA-Tencor SL586H-T3 RETICLE INSPECTION Reticle
2150 KLA-TENCOR SP2 Darkfield Wafer Inspection 300 mm
2151 KLA-Tencor SP2 wafer surface inspection system 300 mm
2152 KLA-Tencor SP2 XP Wafer surface particle detection 300 mm
2153 KLA-Tencor SP2-XP Particle Counter 300 MM
2154 KLA-Tencor SP3 wafer inspection system
2155 KLA-Tencor SpectraFx 1000 Film Thickness Measurement System 300mm
2156 KLA-TENCOR ULTRA METROLOGY 200
2157 KLA-Tencor UV 1050 FTIR  surface film measurement 200 mm
2158 KLA-Tencor Viper Macro Defect Inspection
2159 KLA-Tencor Viper Macro Defect Inspection
2160 KLA-Tencor 2360 BRIGHT FIELD WAFER INSPECTION 200 mm
2161 KLA-Tencor Archer XT+ OVERLAY MEASUREMENT 300 mm
2162 KLA-Tencor Candela CS10 WAFER INSPECTION 150/200mm
2163 KLA-Tencor eDR-5210 Defect review Sem 300 mm
2164 KLA-Tencor Puma 9550 WAFER INSPECTION 300 mm
2165 KLA-Tencor Archer AIM+ Wafer Overlay Measurement 300 mm
2166 KLA-Tencor AIT XP Parts/Options 200 mm
2167 KLA-Tencor AIT XP Parts/Options 200 mm
2168 KLA-TENCOR(SPARES) KLA 2XX P/N 710-101836-02 SPARES
2169 KLA-TENCOR(SPARES) KLA 2XX P/N 710-102570-02 SPARES
2170 KLA-TENCOR(SPARES) VERIMASK VLSI 845 VERIMASK TEST RETICLE SPARES
2171 KLA/Tencor AIT UV Metrologi 200mm
2172 KLA/Tencor AIT UV Metrologi 200mm
2173 KLA/TENCOR FLX2320 FILM STRESS MEASURING 150 mm
2174 KLA/TENCOR OP3260 FILM THICKNESS MEASUREMENT 200 mm
2175 KLA/TENCOR OP3260 FILM THICKNESS MEASUREMENT 200 mm
2176 KLA/TENCOR TP500 ION DOSE MONITOR 150 mm
2177 KNS 8028PPS Wedge Bonder
2178 KNS 8068 Triton RDA Automatic Wedge Bonder
2179 KOGANEI LTD KA.CMA MINI-CYLINDER
2180 KOGANEI LTD KA.CMA MINI-CYLINDER
2181 Kohyoung KY8030-3L AOI
2182 Kohyoung KY8030II-L Inline 3D Solder Paste Inspection (L Size) SMT
2183 Kokusai DD-1206V-DF Vertical Diffusion Furnace 300 mm
2184 Kokusai DD-1206VN-DF Vertical Diffusion Furnace 300 mm
2185 Kokusai DD-1206VN-DF Vertical Diffusion Furnace 300 mm
2186 Kokusai DD-1223VN Vertical Furnace 300 MM
2187 KOKUSAI DD-823V-8BL PYRO, SMIF 200 MM
2188 KOKUSAI DD-823V-8PL H2 Anneal, SMIF 200 MM
2189 KOKUSAI DD-833V(PYRO) FURNACE_DIFFUSION 150 mm
2190 KOKUSAI DD-833V(PYRO) FURNACE_DIFFUSION 150 mm
2191 KOKUSAI DD-833V(PYRO) FURNACE_DIFFUSION 150 mm
2192 Kokusai DD-853V J1 Vertical Diffusion Furnace H2 Anneal 200 mm
2193 Kokusai DD-853V J1 Vertical Diffusion Furnace H2 Anneal 200 mm
2194 Kokusai DD-853V J2 Vertical Diffusion Furnace D2 Anneal 200 mm
2195 Kokusai DD-853V J2 Vertical Diffusion Furnace D2 Anneal 200 mm
2196 Kokusai DD-853V-8BL Wet Oxide Furnace 200
2197 Kokusai DD1223VN CX3 Vertical furnace 12″
2198 Kokusai DJ-1206VN-DM Vertical Diffusion Furnace 300 mm
2199 Kokusai DJ-1236VN-DF Vertical Furnace 300 MM
2200 Kokusai DJ-1236VN-DF Vertical Furnace 300 MM
2201 Kokusai DJ-1236VN-DF Vertical Furnace, Process for TiN 300 MM
2202 Kokusai DJ-833V Vertical Diffusion Furnace H2 Anneal 200 mm
2203 Kokusai DJ-833V Vertical Diffusion Furnace SIO N 200 mm
2204 Kokusai DJ-853V Vertical Furnace 200 mm
2205 Kokusai DJ-853V J2 Vertical Diffusion Furnace HTO 200 mm
2206 Kokusai DJ-853V J2 Vertical Diffusion Furnace HTO 200 mm
2207 Kokusai DJ853
2208 Kokusai Quixace ALD High-k Vertical LPCVD Furnace 300mm
2209 Kokusai Quixace Anneal Vertical Diffusion Furnace 300mm
2210 Kokusai Quixace II Vertical Diffusion Furnace 300mm
2211 Kokusai QUIXACE II Vertical Furnace ALD / SiO2 300 mm
2212 Kokusai Quixace II ALD High-k Vertical LPCVD Furnace 300mm
2213 Kokusai Quixace II ALD High-k Vertical LPCVD Furnace 300 mm
2214 Kokusai Quixace II ALD High-k Vertical LPCVD Furnace 300 mm
2215 Kokusai Quixace II ALD High-k Vertical LPCVD Furnace 300 mm
2216 Kokusai Quixace II ALD High-k Vertical LPCVD Furnace 300 mm
2217 Kokusai Quixace II ALD High-k Vertical LPCVD Furnace 300 mm
2218 Kokusai Quixace II ALD High-k Vertical LPCVD Furnace 300 mm
2219 Kokusai Quixace II ALD High-k Vertical LPCVD Furnace 300 mm
2220 Kokusai Quixace II ALD High-k Vertical LPCVD Furnace 300 mm
2221 Kokusai Quixace II Nitride Vertical LPCVD Furnace 300 mm
2222 Kokusai Quixace II Nitride Vertical LPCVD Furnace 300 mm
2223 Kokusai Quixace II Nitride Vertical LPCVD Furnace 300 mm
2224 Kokusai Quixace II Nitride Vertical LPCVD Furnace 300 mm
2225 Kokusai Quixace II Nitride Vertical LPCVD Furnace 300 mm
2226 Kokusai Quixace II Nitride Vertical LPCVD Furnace 300 mm
2227 Kokusai Quixace II Nitride Vertical LPCVD Furnace 300 mm
2228 Kokusai Quixace Nitride Vertical LPCVD Furnace 300mm
2229 Kokusai Quixace Nitride Vertical LPCVD Furnace 300mm
2230 Kokusai Quixace Nitride Vertical LPCVD Furnace 300mm
2231 Kokusai Quixace Ultimate Furnace 200mm
2232 Kokusai Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 300mm
2233 Kokusai Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 300mm
2234 Kokusai Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 300mm
2235 Kokusai Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 300 mm
2236 Kokusai Quixace Ultimate ALD TiN Vertical LPCVD Furnace 300 mm
2237 Kokusai Quixace Ultimate ALD TiN Vertical LPCVD Furnace 300 mm
2238 Kokusai Quixace Ultimate dj-1236vn-df Vertical Furnace 300 MM
2239 KOKUSAI VR-120/08S RESISTIVITY TEST SYSTEM 300 mm

The items are subject to prior sale without notice. This items are only for end users.

ss380SDI

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers