Main Maker

Used Semiconductor Equipment Parts

Categories: ,

Description

Used Semiconductor Equipment Parts

These are  subject to prior sale. These are only for end user. Appreciate your time.

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.

3 A-B Lasers Inc. (Rofin) Waferlase Compact Laser Scribe
4 A-B Lasers Inc. (Rofin) Waferlase Laser Scribe
5 Accretech/TSK UF3000 Production Wafer Prober
6 Accretech/TSK UF3000 Production Wafer Prober
7 Accretech/TSK UF3000 Production Wafer Prober
8 Accretech/TSK UF3000 Production Wafer Prober
9 Accretech/TSK UF3000 Production Wafer Prober
10 Accretech/TSK UF3000 Production Wafer Prober
11 Accretech/TSK UF3000 Production Wafer Prober
12 Accretech/TSK UF3000 Production Wafer Prober
13 Accretech/TSK UF3000 Production Wafer Prober
14 Accretech/TSK UF3000 Production Wafer Prober
15 Accretech/TSK UF3000 Production Wafer Prober
16 Accretech/TSK UF3000 Production Wafer Prober
17 Accretech/TSK UF3000 Production Wafer Prober
18 Accretech/TSK UF3000 Production Wafer Prober
19 Accretech/TSK UF3000 Production Wafer Prober
20 Accretech/TSK UF3000 Production Wafer Prober
21 Accretech/TSK UF3000 Production Wafer Prober
22 Accretech/TSK UF3000 Production Wafer Prober
23 Accretech/TSK UF3000 Production Wafer Prober
24 Accretech/TSK UF3000 Production Wafer Prober
25 Accretech/TSK UF3000 Production Wafer Prober
26 Accretech/TSK UF3000 Production Wafer Prober
27 Accretech/TSK UF3000 Production Wafer Prober
28 Accretech/TSK UF3000 Production Wafer Prober
29 Accretech/TSK UF300A Production Wafer Prober
30 Accretech/TSK UF3000EX Production Wafer Prober
31 Accretech/TSK UF3000 Production Wafer Prober
32 Accretech/TSK UF3000 Production Wafer Prober
33 Accretech/TSK UF3000 Production Wafer Prober
34 Accretech/TSK UF3000 Production Wafer Prober
35 Accretech/TSK UF3000 Production Wafer Prober
36 Accretech/TSK UF3000 Production Wafer Prober
37 ADE Corporation AFS-3220 Wafer Characterization
38 ADE Corporation Microsense 6033 Wafer Characterization
39 Advanced Energy ICP 20P Power Supply
40 Advanced Technology Inc. Cypress GEN2 Lead Inspection Equipment
41 Advanced Technology Inc. Cypress Lead Inspection Equipment
42 Advanced Thermal Sciences (ATS) DEX-20A Chiller/Heat Exchanger
43 Advantest T5585 Memory Tester
44 Advantest T5585 Memory Tester
45 Advantest T5585 Memory Tester
46 Advantest T5585 Memory Tester
47 Advantest R3768 Network Analyzer
48 Air Control, Inc. Microvoid FH-45D-S Fume Hood Workstation
49 Alcatel ADP 81 Dry Pump
50 Alcatel ADS 1802H Dry Pump
51 Alcatel ADS1802P Dry Pump
52 Alcatel A1803H Dry Pump
53 Alum-a-Lift A200-60 General Purpose Lift
54 Amray, Inc. 2030 SEM – Defect Review (DR)
55 Amray, Inc. 2030 SEM – Defect Review (DR)
56 Amray, Inc. 2030 SEM – Defect Review (DR)
57 Amray, Inc. 3800c SEM – Defect Review (DR)
58 Anelva Corp. FC-3100 PVD (Physical Vapor Deposition)
59 Anelva Corp. Cosmos I-1201 PVD Liner/Barrier PVD (Physical Vapor Deposition)
60 Applied Materials (AMAT) Centura ACP DPN Plus Gate Stack Decoupled Plasma Nitride
61 Applied Materials (AMAT) Centura AP Enabler Dielectric Etch
62 Applied Materials (AMAT) Producer SE SACVD SACVD (Chemical Vapor Deposition)
63 Applied Materials (AMAT) Raider ECD (Electro Chemical Deposition)
64 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
65 Applied Materials (AMAT) Producer SE APF PECVD (Chemical Vapor Deposition)
66 Applied Materials (AMAT) Centura AP Enabler Dielectric Etch
67 Applied Materials (AMAT) Producer GT BD/BLOk Low k Dielectric PECVD (Chemical Vapor Deposition)
68 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)
69 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch
70 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch
71 Applied Materials (AMAT) Centura AP AdvantEdge G5 Minos Poly Polysilicon Etch
72 Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition)
73 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
74 Applied Materials (AMAT) Reflexion LK Copper Copper CMP
75 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition)
76 Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition)
77 Applied Materials (AMAT) Centura AP iSprint Metal CVD (Chemical Vapor Deposition)
78 Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition)
79 Applied Materials (AMAT) Producer Etch XT Dielectric Dielectric Etch
80 Applied Materials (AMAT) Producer Etch XT Dielectric Dielectric Etch
81 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition)
82 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
83 Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch
84 Applied Materials (AMAT) Producer SE PECVD TEOS PECVD (Chemical Vapor Deposition)
85 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
86 Applied Materials (AMAT) Endura II Liner/Barrier PVD (Physical Vapor Deposition)
87 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
88 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
89 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch
90 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch
91 Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition)
92 Applied Materials (AMAT) Reflexion – Dielectric Dielectric CMP
93 Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition)
94 Applied Materials (AMAT) Endura II Chamber: Ventura Cu PVD (Physical Vapor Deposition)
95 Applied Materials (AMAT) Endura II Chamber: Ventura Cu PVD (Physical Vapor Deposition)
96 Applied Materials (AMAT) Reflexion LK – Poly/STI Poly/STI CMP
97 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition)
98 Applied Materials (AMAT) Producer Etch eXT Poly Polysilicon Etch
99 Applied Materials (AMAT) Producer SE APF PECVD (Chemical Vapor Deposition)
100 Applied Materials (AMAT) Centura AdvantEdge Mesa2 Polysilicon Etch
101 Applied Materials (AMAT) Centris AdvantEdge G5 Mesa Poly Polysilicon Etch
102 Applied Materials (AMAT) Producer SE APF PECVD (Chemical Vapor Deposition)
103 Applied Materials (AMAT) Producer SE APF PECVD (Chemical Vapor Deposition)
104 Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch
105 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition)
106 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition)
107 Applied Materials (AMAT) Endura II Aluminum Interconnect PVD (Physical Vapor Deposition)
108 Applied Materials (AMAT) Endura II Aluminum Interconnect PVD (Physical Vapor Deposition)
109 Applied Materials (AMAT) Endura II Aluminum Interconnect PVD (Physical Vapor Deposition)
110 Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition)
111 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition)
112 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition)
113 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
114 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
115 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
116 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
117 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing
118 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing
119 Applied Materials (AMAT) Oasis Clean Batch Wafer Processing
120 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
121 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
122 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly – Chamber Only Polysilicon Etch
123 Applied Materials (AMAT) Endura II Aluminum Interconnect PVD (Physical Vapor Deposition)
124 Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition)
125 Applied Materials (AMAT) Reflexion – Dielectric Dielectric CMP
126 Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition)
127 Applied Materials (AMAT) Reflexion – Dielectric Dielectric CMP
128 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)
129 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch
130 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition)
131 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition)
132 Applied Materials (AMAT) Reflexion – Dielectric Dielectric CMP
133 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition)
134 Applied Materials (AMAT) Endura SL Front-End Metallization PVD (Physical Vapor Deposition)
135 Applied Materials (AMAT) Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch
136 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
137 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
138 Applied Materials (AMAT) Producer GT PECVD (Chemical Vapor Deposition)
139 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
140 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly – Chamber Only Polysilicon Etch
141 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
142 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
143 Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch
144 Applied Materials (AMAT) Producer SE APF PECVD (Chemical Vapor Deposition)
145 Applied Materials (AMAT) Endura II Liner/Barrier PVD (Physical Vapor Deposition)
146 Applied Materials (AMAT) Reflexion LK Multi-Process CMP
147 Applied Materials (AMAT) Reflexion – Dielectric Dielectric CMP
148 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)
149 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)
150 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)
151 Applied Materials (AMAT) Reflexion LK Multi-Process CMP
152 Applied Materials (AMAT) Reflexion LK Copper Copper CMP
153 Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition)
154 Applied Materials (AMAT) Centris SYM3 Poly Polysilicon Etch
155 Applied Materials (AMAT) Vantage Radiance RTP Platform RTP Equipment
156 Applied Materials (AMAT) Vantage RadiancePlus Platform RTP Equipment
157 Applied Materials (AMAT) VeritySEM 4i SEM – Critical Dimension (CD) Measurement
158 Applied Materials (AMAT) VeritySEM 4i SEM – Critical Dimension (CD) Measurement
159 Applied Materials (AMAT) VeritySEM 4i SEM – Critical Dimension (CD) Measurement
160 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
161 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
162 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
163 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
164 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
165 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
166 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
167 Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch
168 Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch
169 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
170 Applied Materials (AMAT) Centura AdvantEdge Mesa2 Polysilicon Etch
171 Applied Materials (AMAT) Endura II Aluminum Interconnect PVD (Physical Vapor Deposition)
172 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition)
173 Applied Materials (AMAT) Producer SE APF PECVD (Chemical Vapor Deposition)
174 Applied Materials (AMAT) Producer SE APF PECVD (Chemical Vapor Deposition)
175 Applied Materials (AMAT) Raider ECD (Electro Chemical Deposition)
176 Applied Materials (AMAT) Raider ECD (Electro Chemical Deposition)
177 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
178 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
179 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
180 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
181 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
182 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
183 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
184 Applied Materials (AMAT) SEMVision G6 SEM – Defect Review (DR)
185 Applied Materials (AMAT) SEMVision G6 SEM – Defect Review (DR)
186 Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch
187 Applied Materials (AMAT) Centura AP Enabler Dielectric Etch
188 Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition)
189 Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)
190 Applied Materials (AMAT) Reflexion – Dielectric Dielectric CMP
191 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition)
192 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
193 Applied Materials (AMAT) Endura II Aluminum Interconnect PVD (Physical Vapor Deposition)
194 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition)
195 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition)
196 Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition)
197 Applied Materials (AMAT) Producer GT PECVD TEOS PECVD (Chemical Vapor Deposition)
198 Applied Materials (AMAT) Reflexion LK Oxide Dielectric CMP
199 Applied Materials (AMAT) Reflexion LK Copper Copper CMP
200 Applied Materials (AMAT) Centura AP – Mainframe Only (Poly Etch) Polysilicon Etch
201 Applied Materials (AMAT) Centura AP AdvantEdge G5 Metal Metal Etch
202 Applied Materials (AMAT) Raider ECD (Electro Chemical Deposition)
203 Applied Materials (AMAT) Centura AP DPS AdvantEdge G2 Metal Metal Etch
204 ASM International Eagle XP PECVD (Chemical Vapor Deposition)
205 ASM International Eagle XP PECVD (Chemical Vapor Deposition)
206 ASM International Eagle XP PECVD (Chemical Vapor Deposition)
207 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
208 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
209 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
210 ASM International Eagle XP EmerALD ALD (Atomic Layer Deposition)
211 ASM International Eagle XP PECVD (Chemical Vapor Deposition)
212 ASM International Eagle XP PECVD (Chemical Vapor Deposition)
213 ASM International A600 LPCVD-OXIDE Vertical Furnace
214 ASM International Eagle XP4 ALD (Atomic Layer Deposition)
215 ASM International Eagle XP8 ALD (Atomic Layer Deposition)
216 ASM International Eagle XP8 ALD (Atomic Layer Deposition)
217 ASML TWINSCAN XT:1250D 193nm (ArF) Scanner
218 ASML YieldStar S-200B Overlay Measurement System
219 ASML YieldStar S-100B Overlay Measurement System
220 Aviza Technology, Inc. RVP-300 Vertical Furnace
221 Axcelis Technologies Inc. Radiantstrip 320 Stripper/Asher
222 Axcelis Technologies Inc. Radiantstrip 320 Stripper/Asher
223 Axcelis Technologies Inc. Radiantstrip 320 Stripper/Asher
224 Axcelis Technologies Inc. Radiantstrip 320 Stripper/Asher
225 Axcelis Technologies Inc. Fusion 200PCU UV Cure System
226 BESI Fico AMS-i 204 Molding Equipment
227 BOC Edwards Spectra-N Nitrogen Generator
228 Bold Technologies, Inc. Bold Wet Bench Batch Wafer Processing
229 Boschman BXXP\21 Molding Equipment
230 CAMECA EX-300 Implant Dosing Measurement
231 Canon Surpass 320 Stripper/Asher
232 Canon FPA-5500 iZa i-Line Wide-Field Stepper
233 Canon FPA-5500 iZa i-Line Wide-Field Stepper
234 Canon FPA-5500 iZa i-Line Wide-Field Stepper
235 Canon FPA-5500 iZa i-Line Wide-Field Stepper
236 Carl Zeiss Group Axiotron 300 Microscope
237 Cascade S300 Engineering Wafer Prober
238 Chemwest K232 Quartz Tube Cleaner
239 Chemwest K232 Quartz Tube Cleaner
240 Chroma ATE Inc. 3380P VLSI Tester
241 Cohu, Inc. Ismeca NX16 Test Handler
242 Cohu, Inc. Ismeca NX16 Test Handler
243 Cohu, Inc. Ismeca NX16 Test Handler
244 Cohu, Inc. Ismeca NX16 Test Handler
245 Control Laser Corporation. FALIT Package Auto Decap System
246 Cooljag Thermal Solutions SP3-D/SQ CPU Cooling Fan
247 Dainippon Screen Mfg. Co. (DNS) SS-3000-AR Wafer Scrubber
248 Dainippon Screen Mfg. Co. (DNS) SU-3000 Single Wafer Processing
249 Dainippon Screen Mfg. Co. (DNS) SU-3000 Single Wafer Processing
250 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing
251 Dainippon Screen Mfg. Co. (DNS) SU-3000 Single Wafer Processing
252 Dainippon Screen Mfg. Co. (DNS) FC-3100 Batch Wafer Processing
253 Dainippon Screen Mfg. Co. (DNS) SU-3000 Single Wafer Processing
254 Dainippon Screen Mfg. Co. (DNS) SU-3000 Single Wafer Processing
255 Dainippon Screen Mfg. Co. (DNS) MP-3000 Single Wafer Processing
256 Dainippon Screen Mfg. Co. (DNS) MP-3000 Single Wafer Processing
257 Dainippon Screen Mfg. Co. (DNS) SU-3000 Single Wafer Processing
258 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
259 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
260 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
261 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
262 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
263 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
264 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
265 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
266 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
267 Dainippon Screen Mfg. Co. (DNS) SS-3200 Wafer Scrubber
268 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing
269 Dainippon Screen Mfg. Co. (DNS) SU-3100 Single Wafer Processing
270 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
271 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
272 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
273 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
274 Dainippon Screen Mfg. Co. (DNS) SC-W80A-AVG Spin On Glass (SOG)
275 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing
276 Dainippon Screen Mfg. Co. (DNS) WS-820C Batch Wafer Processing
277 Dainippon Screen Mfg. Co. (DNS) EEW-622-B Edge Exposure Tool
278 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing
279 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing
280 Dainippon Screen Mfg. Co. (DNS) WS-820C Batch Wafer Processing
281 Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing
282 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing
283 Dainippon Screen Mfg. Co. (DNS) FC-3000 Batch Wafer Processing
284 Dainippon Screen Mfg. Co. (DNS) FC-3100 Batch Wafer Processing
285 Dainippon Screen Mfg. Co. (DNS) FC-3100 Batch Wafer Processing
286 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
287 Dainippon Screen Mfg. Co. (DNS) SU-3000 Single Wafer Processing
288 Dainippon Screen Mfg. Co. (DNS) SU-3200 Single Wafer Processing
289 Dainippon Screen Mfg. Co. (DNS) SU-3200 Single Wafer Processing
290 Dainippon Screen Mfg. Co. (DNS) SU-3200 Single Wafer Processing
291 Dainippon Screen Mfg. Co. (DNS) SU-3200 Single Wafer Processing
292 Dainippon Screen Mfg. Co. (DNS) SU-3200 Single Wafer Processing
293 Dainippon Screen Mfg. Co. (DNS) SU-3200 Single Wafer Processing
294 Dainippon Screen Mfg. Co. (DNS) SU-3200 Single Wafer Processing
295 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
296 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
297 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
298 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
299 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
300 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
301 Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber
302 DAS ESCAPE DUO Chemical/Gas Treatment System
303 DAS ESCAPE DUO Chemical/Gas Treatment System
304 Daymarc Corporation 3287 Gravity Feed SOC Handler
305 Daymarc Corporation 3287 Gravity Feed SOC Handler
306 Daymarc Corporation 3287 Gravity Feed SOC Handler
307 Daymarc Corporation 3287 Gravity Feed SOC Handler
308 Daymarc Corporation 3287 Gravity Feed SOC Handler
309 Daymarc Corporation 3287 Gravity Feed SOC Handler
310 Daymarc Corporation 3287 Gravity Feed SOC Handler
311 Delatech Inc. 858 – V2 Abatement – CDO Scrubber
312 Despatch Industries LND 2-11 Cure Oven
313 E.A. Fischione 1010 Plasma Cleaner
314 Ebara FREX300 Tungsten Tungsten CMP
315 Ebara FREX300S Tungsten Tungsten CMP
316 Ebara FREX300S Tungsten Tungsten CMP
317 Ebara FREX300S Tungsten Tungsten CMP
318 Ebara FREX300 Tungsten Tungsten CMP
319 Ebara FREX300S Tungsten Tungsten CMP
320 Ebara FREX300S Tungsten Tungsten CMP
321 Ebara FREX300S Tungsten Tungsten CMP
322 Ebara FREX300S Tungsten Tungsten CMP
323 Ebara FREX300S Tungsten Tungsten CMP
324 Ebara FREX300S Tungsten Tungsten CMP
325 Ebara FREX300S Poly/STI Poly/STI CMP
326 Ebara FREX300S Poly/STI Poly/STI CMP
327 Ebara FREX300S Dielectric Dielectric CMP
328 Edwards Atlas Etch Abatement – Scrubber
329 Edwards iL70 Dry Pump
330 Edwards iH80 Dry Pump
331 Edwards iH1800SC Dry Pump
332 Edwards iH1000 Dry Pump
333 Edwards iH600 Dry Pump
334 Electro Scientific Industries 9850TPIR+ Laser Repair System
335 Electro Scientific Industries 9850TPIR+ Laser Repair System
336 Electro Scientific Industries 9850TPIR+ Laser Repair System
337 Electro Scientific Industries 9850TPIR+ Laser Repair System
338 Electro Scientific Industries 9850TPIR+ Laser Repair System
339 Electron Vision Corporation ElectronCure 30X UV Cure System
340 Entegris NU-J6101 Batch Parts Clean (ultrasonic)
341 Entegris KA198-80M Wafer Cassette
342 Entegris NU-J6101 Batch Parts Clean (ultrasonic)
343 ESCO Ltd. EMD-WA1000S Temperature Desorption Analyzer
344 Espec EGNU28-12CWL Environmental Chamber
345 Espec ESX-3CW Environmental Chamber
346 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection
347 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection
348 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection
349 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection
350 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection
351 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection
352 Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection
353 Estek Automation SDN BHD WIS-600B Broadband Patterned Wafer Defect Inspection
354 Estek Automation SDN BHD WIS-600B Broadband Patterned Wafer Defect Inspection
355 Estek Automation SDN BHD WIS-850 Broadband Patterned Wafer Defect Inspection
356 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection
357 Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection
358 Estek Automation SDN BHD WIS-150 Broadband Patterned Wafer Defect Inspection
359 EVGA Corporation Supernova 1300 G2 PC Power Supplies
360 EVGA Corporation 850 GQ PC Power Supplies
361 FEI Company Helios NanoLab 450S Focused Ion Beam (FIB)
362 FSI International Zeta 300 G3 Batch Wafer Processing
363 FSI International Excalibur Batch Wafer Processing
364 FSI International Excalibur Batch Wafer Processing
365 FSM 900TC-VAC Stress Measurement
366 FTS Systems (SP Scientific) RC311GLAM Chiller/Heat Exchanger
367 Gatan Model 691 PIPS Specimen Preparation
368 GCA Tropel Autosort Mark II 150 Flatness Measurement
369 General Electric (GE) Phoenix aminer X-ray Inspection
370 Genesis 2020B Vapor Prime Oven
371 GSI Lumonics WaferMark 200HS Laser Scribe
372 Hitachi RCF3550AZP1 Chiller/Heat Exchanger
373 Hitachi (Semiconductor) U-7050A Metal Etch
374 Hitachi (Semiconductor) U-7050A Metal Etch
375 Hitachi (Semiconductor) HL-800D E-beam Lithography System
376 Hitachi (Semiconductor) CG4000 SEM
377 Hitachi (Semiconductor) CG4000 SEM
378 Hitachi Kokusai Electric Inc. (HiKE / KSEC) Aldinna ALD (Atomic Layer Deposition)
379 HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System
380 HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System
381 HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System
382 HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System
383 HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Optical Review System
384 IPEC AvantGaard 676 Multi-Process CMP
385 Ismeca TMBZ SP Taping
386 Ismeca TMBZ SP Taping
387 Jabil Chad Automation WaferMate200 Wafer and Substrate Handling
388 Jabil Chad Automation WaferMate200 Wafer and Substrate Handling
389 JEOL JWS-7555 SEM – Defect Review (DR)
390 JEOL JFS-9855S Focused Ion Beam System
391 JEOL JWS-7855S Mask Inspection & Repair System
392 JEOL JEM-2010F TEM
393 JEOL JSM-6400F FE SEM
394 Jordan Valley Semiconductors LTD JVX 7200 X-ray Fluorescence Spectrometer
395 Jordan Valley Semiconductors LTD JVX 6200 X-ray Fluorescence Spectrometer
396 JULABO GmbH PRESTO W85 Chiller/Heat Exchanger
397 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester
398 Keysight / Agilent / Hewlett-Packard (HP) 41000 Parametric Tester
399 Keysight / Agilent / Hewlett-Packard (HP) 4284A LCR & Resistance Meter
400 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester
401 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester
402 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester
403 KLA-Tencor Corp / ICOS ICOS T830 Lead Inspection Equipment
404 KLA-Tencor Corp. Puma 9120 Darkfield Inspection
405 KLA-Tencor Corp. eS20XP E-beam Inspection
406 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System
407 KLA-Tencor Corp. eS37 E-beam Inspection
408 KLA-Tencor Corp. AIT UV Darkfield Inspection
409 KLA-Tencor Corp. AIT UV Darkfield Inspection
410 KLA-Tencor Corp. WaferSight Wafer Characterization
411 KLA-Tencor Corp. eS805 E-beam Inspection
412 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR)
413 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR)
414 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR)
415 KLA-Tencor Corp. eDR-5210 SEM – Defect Review (DR)
416 KLA-Tencor Corp. Ultrapointe 1000 Optical Review System
417 KLA-Tencor Corp. 2111 Brightfield Inspection
418 KLA-Tencor Corp. 2111 Brightfield Inspection
419 KLA-Tencor Corp. 2111 Brightfield Inspection
420 KLA-Tencor Corp. 2111 Brightfield Inspection
421 KLA-Tencor Corp. CRS-1200 Optical Review System
422 KLA-Tencor Corp. Surfscan SP2 Particle Measurement
423 KLA-Tencor Corp. Archer 500 Overlay Measurement System
424 KLA-Tencor Corp. Archer 500 Overlay Measurement System
425 KLA-Tencor Corp. Archer 500 Overlay Measurement System
426 KLA-Tencor Corp. Surfscan SP2 Particle Measurement
427 KLA-Tencor Corp. KLA-TENCOR DUAL OPEN HANDLER Parts/Options
428 KLA-Tencor Corp. Surfscan SP2 Particle Measurement
429 Kokusai Quixace II Nitride Vertical Furnace
430 Kokusai Quixace II ALD Oxide Vertical Furnace
431 Kokusai Quixace II Anneal Vertical Furnace
432 Kokusai Quixace Nitride Vertical Furnace
433 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
434 Kokusai Quixace II Nitride Vertical Furnace
435 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
436 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
437 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
438 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
439 Kokusai Zestone-III: DD-1223V Vertical Furnace
440 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
441 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
442 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
443 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
444 Kokusai Zestone III Alloy Vertical Furnace
445 Kokusai Quixace II Nitride Vertical Furnace
446 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
447 Kokusai Quixace II ALD Nitride Vertical Furnace
448 Kokusai Quixace II ALD Nitride Vertical Furnace
449 Kokusai Quixace II ALD Nitride Vertical Furnace
450 Kokusai Quixace II CURE Vertical Furnace
451 Kokusai Quixace Nitride Vertical Furnace
452 Kokusai Quixace II Poly Vertical Furnace
453 Kokusai Quixace II Poly Vertical Furnace
454 Kokusai Quixace II Poly Vertical Furnace
455 Kokusai Quixace II Poly Vertical Furnace
456 Kokusai Quixace II Poly Vertical Furnace
457 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
458 Kokusai Quixace II CURE Vertical Furnace
459 Kokusai Quixace II Poly Vertical Furnace
460 Kokusai Quixace II Poly Vertical Furnace
461 Kokusai Quixace II Nitride Vertical Furnace
462 Kokusai Quixace II Nitride Vertical Furnace
463 Kokusai Quixace II Poly Vertical Furnace
464 Kokusai Quixace II Poly Vertical Furnace
465 Kokusai Quixace II Poly Vertical Furnace
466 Kokusai Quixace II Poly Vertical Furnace
467 Kokusai Quixace II Poly Vertical Furnace
468 Kokusai Quixace II Poly Vertical Furnace
469 Kokusai Quixace II Poly Vertical Furnace
470 Kokusai Quixace Ultimate ALD SiN Vertical Furnace
471 Kokusai Quixace II Poly Vertical Furnace
472 Kokusai Zestone III Alloy Vertical Furnace
473 Kokusai Zestone-III(C) DJ-1223V Vertical Furnace
474 Kokusai Zestone-III(C) DJ-1223V Vertical Furnace
475 Kokusai Zestone-III(C) DJ-1223V Vertical Furnace
476 Kokusai Zestone-III(C) DJ-1223V Vertical Furnace
477 Kokusai Quixace II Doped Poly Vertical Furnace
478 Kokusai Quixace II Poly Vertical Furnace
479 Kokusai Quixace Ultimate ALD SiO2 Vertical Furnace
480 Kokusai Quixace II Doped Poly Vertical Furnace
481 Kokusai Quixace II Doped Poly Vertical Furnace
482 Kokusai Quixace II Doped Poly Vertical Furnace
483 Kokusai Quixace II Nitride Vertical Furnace
484 Kokusai Quixace Nitride Vertical Furnace
485 Kokusai Zestone-III(C) DJ-1223V Vertical Furnace
486 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
487 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
488 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
489 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
490 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
491 Kokusai Electric Co., Ltd. Lambda Stripper/Asher
492 LAM Research 2300 KIYO EX Polysilicon Etch
493 LAM Research 2300 KIYO EX Polysilicon Etch
494 LAM Research 2300 KIYO EX Polysilicon Etch
495 LAM Research 2300 Exelan Flex Dielectric Etch
496 LAM Research 2300 KIYO EX Polysilicon Etch
497 LAM Research 2300 Versys KIYO 45 Polysilicon Etch
498 LAM Research 2300 Exelan Flex EX Dielectric Etch
499 LAM Research 2300 Exelan Flex 45 Dielectric Etch
500 LAM Research 2300 KIYO EX Polysilicon Etch
501 LAM Research 2300 KIYO EX Polysilicon Etch
502 LAM Research 2300 Exelan Flex EX Dielectric Etch
503 LAM Research 2300 Exelan Flex EX+ – Chamber Only Dielectric Etch
504 LAM Research 2300 Exelan Flex EX+ Dielectric Etch
505 LAM Research 2300e4 Exelan Flex DX Dielectric Etch
506 LAM Research 2300 Exelan Dielectric Etch
507 LAM Research 2300 Exelan Flex 45 Dielectric Etch
508 Lam Research EOS Single Wafer Processing
509 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma
510 LAM Research 2300 Exelan Flex EX+ Dielectric Etch
511 LAM Research 2300 Exelan Flex EX+ Dielectric Etch
512 LAM Research 2300 Exelan Flex FX Dielectric Etch
513 LAM Research 2300 Exelan Flex EX Dielectric Etch
514 LAM Research 2300e5 Exelan Flex EX Dielectric Etch
515 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma
516 LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma
517 LAM Research 2300e5 KIYO EX Polysilicon Etch
518 LAM Research 2300e5 KIYO EX Polysilicon Etch
519 LAM Research 2300e6 KIYO EX Polysilicon Etch
520 LAM Research 2300 KIYO FXE Polysilicon Etch
521 LAM Research 2300 KIYO FX Polysilicon Etch
522 LAM Research 2300 KIYO FXE Polysilicon Etch
523 Lam Research EOS – Parts Single Wafer Processing
524 LAM Research Alliance (A6) Exelan HP – Spare Parts Dielectric Etch
525 LAM Research 2300 Exelan Flex Dielectric Etch
526 LAM Research 2300 Exelan Flex Dielectric Etch
527 LAM Research 2300 Exelan Flex Dielectric Etch
528 LAM Research 2300 Exelan Flex Dielectric Etch
529 LAM Research 2300 Exelan Flex Dielectric Etch
530 LAM Research 2300 Exelan Flex Dielectric Etch
531 LAM Research 2300 Exelan Flex 45 Parts/Chamber Modules
532 LAM Research 2300 Exelan Flex 45 Parts/Chamber Modules
533 LAM Research 2300 Exelan Flex FX Dielectric Etch
534 LAM Research 2300 Exelan Flex FX Dielectric Etch
535 LAM Research 2300 Exelan Flex FX Dielectric Etch
536 LAM Research 2300 KIYO EX Polysilicon Etch
537 LAM Research 2300 Versys Metal Metal Etch
538 LAM Research 2300 Versys Metal Metal Etch
539 LAM Research 2300 Versys Metal Metal Etch
540 LAM Research 2300 Versys Metal Metal Etch
541 LAM Research 2300 KIYO EX Polysilicon Etch
542 LAM Research 2300 KIYO EX Polysilicon Etch
543 LAM Research 2300 KIYO EX Polysilicon Etch
544 LAM Research 2300 KIYO EX Polysilicon Etch
545 LAM Research 2300 KIYO EX Polysilicon Etch
546 LAM Research 2300 Versys KIYO 45 Polysilicon Etch
547 Lam Research EOS Single Wafer Processing
548 Lam Research EOS Single Wafer Processing
549 LAM Research 2300 KIYO Multi-Process Etch
550 LAM Research 2300 KIYO Multi-Process Etch
551 LAM Research 2300 KIYO EX Polysilicon Etch
552 Leica Inc. INS10 Microscope
553 Mattson Technology, Inc. Helios Standalone RTP Equipment
554 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
555 Mattson Technology, Inc. Aspen III ICP Stripper/Asher
556 Mattson Technology, Inc. Aspen III ICP Stripper/Asher
557 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
558 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
559 Micromanipulator P300J Engineering Wafer Prober
560 MicroTure Machine Tool Corp. 4 Sigma Wafer Polisher Wafer Polisher
561 miscellaneous furniture Office Tables and Chairs Office
562 Mitsubishi DWC-90 Wire EDM (Electrical Discharge Machine)
563 MKS Instruments AX8575-10 Ozone Generator
564 MSI N210-MD1G/D3 Graphics Cards
565 MSI GT 710-1GD3H LP Graphics Cards
566 MTI Instruments, Inc. PROFORMA 300 Flatness Measurement
567 Muratec Murata Machinery, Ltd. SRC330 Wafer Stocker
568 Muratec Murata Machinery, Ltd. SRC330 Wafer Stocker
569 Nicolet ECO 3000 FT-IR
570 Nikon OPTIPHOT 200C Microscope
571 Nikon OPTIPHOT 200C Microscope
572 Nikon ECLIPSE L300 Microscope
573 Nikon ECLIPSE L300 Microscope
574 Nikon ECLIPSE L300 Microscope
575 Nikon ECLIPSE L300 Microscope
576 Nikon ECLIPSE L300 Microscope
577 Nikon MICROSCOPE Microscope
578 Nikon UM-2 Microscope
579 Nikon OPTISTATION V Optical Review System
580 Nikon OPTISTATION V Optical Review System
581 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
582 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
583 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
584 Nisso engineering co. ltd / NISON 1800S-55C Batch Wafer Processing
585 Nordson Asymtek S2-930 Adhesive Dispenser
586 Nordson Asymtek S2-930 Adhesive Dispenser
587 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)
588 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)
589 Nova Measuring Instruments, Inc. NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)
590 Nova Measuring Instruments, Inc. (Brook) V2600 Integrated CMP Endpoint / Film Measurement
591 Novellus Systems Inc. VECTOR Express – AHM PECVD (Chemical Vapor Deposition)
592 Novellus Systems Inc. VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition)
593 Novellus Systems Inc. VECTOR Express – PECVD TEOS PECVD (Chemical Vapor Deposition)
594 Novellus Systems Inc. Concept Three Speed MAX HDP CVD (Chemical Vapor Deposition)
595 Novellus Systems Inc. Concept Three Altus WCVD (Chemical Vapor Deposition)
596 Novellus Systems Inc. GAMMA Express Stripper/Asher
597 Novellus Systems Inc. GAMMA Express Stripper/Asher
598 Novellus Systems Inc. GAMMA Express Stripper/Asher
599 Novellus Systems Inc. GAMMA Express Stripper/Asher
600 Novellus Systems Inc. GAMMA Express Stripper/Asher
601 Novellus Systems Inc. GAMMA Express Stripper/Asher
602 Novellus Systems Inc. Concept Three Altus Max WCVD (Chemical Vapor Deposition)
603 Novellus Systems Inc. SABRE ECD (Electro Chemical Deposition)
604 Novellus Systems Inc. VECTOR Express – PECVD TEOS PECVD (Chemical Vapor Deposition)
605 Novellus Systems Inc. Concept Three Speed HDP CVD (Chemical Vapor Deposition)
606 Novellus Systems Inc. VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition)
607 Novellus Systems Inc. Concept Three Altus WCVD (Chemical Vapor Deposition)
608 Novellus Systems Inc. Concept Three Altus Max WCVD (Chemical Vapor Deposition)
609 Novellus Systems Inc. Concept Three Altus WCVD (Chemical Vapor Deposition)
610 Novellus Systems Inc. Concept Three Speed MAX HDP CVD (Chemical Vapor Deposition)
611 Novellus Systems Inc. Concept Three Altus WCVD (Chemical Vapor Deposition)
612 Novellus Systems Inc. Concept Three Altus WCVD (Chemical Vapor Deposition)
613 Novellus Systems Inc. Concept Three Altus WCVD (Chemical Vapor Deposition)
614 Novellus Systems Inc. Concept Three Speed MAX HDP CVD (Chemical Vapor Deposition)
615 Novellus Systems Inc. VECTOR Extreme PECVD (Chemical Vapor Deposition)
616 Novellus Systems Inc. VECTOR Extreme PECVD (Chemical Vapor Deposition)
617 Oxford Instruments X-Strata980 X-ray Fluorescence Spectrometer
618 P.S.K. Tech Inc. Supra IV Stripper/Asher
619 P.S.K. Tech Inc. Supra IV Stripper/Asher
620 P.S.K. Tech Inc. Supra IV Stripper/Asher
621 P.S.K. Tech Inc. Supra IV Stripper/Asher
622 P.S.K. Tech Inc. Supra IV Stripper/Asher
623 P.S.K. Tech Inc. Supra IV Stripper/Asher
624 P.S.K. Tech Inc. Supra IV Stripper/Asher
625 P.S.K. Tech Inc. FUTAS Stripper/Asher
626 P.S.K. Tech Inc. Supra IV Stripper/Asher
627 P.S.K. Tech Inc. Supra IV Stripper/Asher
628 P.S.K. Tech Inc. Supra IV Stripper/Asher
629 P.S.K. Tech Inc. Supra IV Stripper/Asher
630 P.S.K. Tech Inc. Supra IV Stripper/Asher
631 PCI Ozone and Controls Systems Inc. G-7 Ozone Generator
632 Pfeiffer Vacuum GmbH Various Dry Pump
633 Philips / Technos TREX 632 X-ray Fluorescence Spectrometer
634 Plasma-Therm I.P. Inc. BatchTop VII PECVD PECVD (Chemical Vapor Deposition)
635 Poly-Flow Engineering S-422 Quartz Tube Cleaner
636 PS System FPS 6000 Trim From Combo
637 PVA TePla America, Inc. M4L Plasma Cleaner
638 R. Howard Strasbaugh Fine Polisher Wafer Polisher
639 Raytex Corporation RXW-1227 EdgeScan Edge Defect
640 ReVera RVX1000 Film Thickness Measurement System
641 ReVera RVX1000 Film Thickness Measurement System
642 Risshi / Maruyama YR-8020SC Chiller/Heat Exchanger
643 Rogers Machinery DUAL COMPRESSOR Air Compressors
644 Rudolph Technologies, Inc. Axi 935 Macro-Defect
645 Rudolph Technologies, Inc. ultra-II Ellipsometer
646 Rudolph Technologies, Inc. NSX 105 Macro-Defect
647 Rudolph Technologies, Inc. NSX 105 Macro-Defect
648 Rudolph Technologies, Inc. NSX 105 Macro-Defect
649 Rudolph Technologies, Inc. NSX 105 Macro-Defect
650 Rudolph Technologies, Inc. NSX 105 Macro-Defect
651 Rudolph Technologies, Inc. NSX 105 Macro-Defect
652 Rudolph Technologies, Inc. Matrix S-300 Ellipsometer
653 Rudolph Technologies, Inc. NSX 105 – Spare Parts Macro-Defect
654 S.E.S. CO., LTD. BW3000X Batch Wafer Processing
655 S.E.S. CO., LTD. BW3000X Batch Wafer Processing
656 SCREEN PRINTING ENTERPRISES, INC. LPEH1-30/10 Bake Oven
657 Semiconductor Equipment Corp.(SEC) Model 860 Eagle Flip Chip Bonder
658 Semitool Inc. Raider ECD310 ECD (Electro Chemical Deposition)
659 Semitool Inc. 270-ST Spin Rinse / Dryer (SRD)
660 SEZ Group SP304 Single Wafer Processing
661 SEZ Group SP4300 Single Wafer Processing
662 SEZ Group SP203 Single Wafer Processing
663 SEZ Group SP203 Single Wafer Processing
664 SEZ Group DV-34 Single Wafer Processing
665 SEZ Group DV-34 Single Wafer Processing
666 Shibaura Engineering Works Ltd. CDE-300 Metal Etch
667 SilverStone Technology Co SST-ST45SF PC Power Supplies
668 Sokudo Co., Ltd. RF-300A Multi Block (Resist Coater/Developer)
669 Standard Research Systems SR560 — Low-noise voltage preamplifier Parts/Peripherals
670 Steag Industrie AG Marangoni IPA Dryer Batch Wafer Processing
671 Strasbaugh 6DS-SP Multi-Process CMP
672 Strasbaugh 6DS-SP Multi-Process CMP
673 Strasbaugh 6DS-SP Multi-Process CMP
674 Strasbaugh 6DS-SP Multi-Process CMP
675 Strasbaugh 6DS-SP Multi-Process CMP
676 Strasbaugh 6DS-SP Multi-Process CMP
677 Strasbaugh 6DS-SP Multi-Process CMP
678 Strasbaugh 6DS-SP Multi-Process CMP
679 Strasbaugh 6DS-SP Multi-Process CMP
680 Strasbaugh 6DS-SP Multi-Process CMP
681 Strasbaugh 6DS-SP Multi-Process CMP
682 Strasbaugh 6DS-SP Multi-Process CMP
683 Strasbaugh 6DS-SP Multi-Process CMP
684 Struers Hexamatic Semi-Automatic Specimen Polisher
685 Sumitomo Precision Products Co., Ltd. SGRC Series Ozone Generator
686 Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter
687 Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter
688 Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter
689 TDK AFM-1501 Flip Chip Bonder
690 TDK AFM-1501 Flip Chip Bonder
691 TDK AFM-1501 Flip Chip Bonder
692 TDK AFM-1501 Flip Chip Bonder
693 TDK AFM-1503 Flip Chip Bonder
694 TDK AFM-1503 Flip Chip Bonder
695 TDK AFM-1503 Flip Chip Bonder
696 TDK AFM-1503 Flip Chip Bonder
697 Technos TVD-900 ICP-MS Spectrometry
698 Tektronix 177 Curve Tracer
699 Teradyne, Inc. J993 Memory Tester
700 Texas INstruments LIS 4000ER Lead Inspection Equipment
701 Thermaltake Technology Toughpower 750W Gold PC Power Supplies
702 Therma-Wave Inc. Therma-Probe 300 Implant Dosing Measurement
703 Thermo (Fisher) Scientific MK.4TE ESD Simulator / Gun
704 Thermo (Fisher) Scientific Orion2 ESD Simulator / Gun
705 Thermo Electron Corporation Napco 8100-TD Test Chamber
706 Thermo Fisher Scientific (Electron) Theta 300 MKII Wafer Characterization
707 Thermo Scientific UFP5030A Freezers
708 Thermo Scientific PlasmaQuad XS Spectrometry
709 Tokyo Electron Limited. Fargo CP Chiller for Cold Temp Prober
710 Tokyo Electron Limited. Fargo CP Chiller for Cold Temp Prober
711 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
712 Tokyo Electron Ltd. (TEL) Telius 305 SCCM Dielectric Etch
713 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
714 Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)
715 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
716 Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)
717 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
718 Tokyo Electron Ltd. (TEL) Telius 305 SCCM Dielectric Etch
719 Tokyo Electron Ltd. (TEL) Telius 305 SCCM Dielectric Etch
720 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
721 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD HighK Vertical Furnace
722 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD HighK Vertical Furnace
723 Tokyo Electron Ltd. (TEL) Tactras Vigus Dielectric Etch
724 Tokyo Electron Ltd. (TEL) Tactras Vigus Dielectric Etch
725 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
726 Tokyo Electron Ltd. (TEL) Telius 305 SCCM Dielectric Etch
727 Tokyo Electron Ltd. (TEL) Trias W MOCVD
728 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD HighK Vertical Furnace
729 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD HighK Vertical Furnace
730 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
731 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
732 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
733 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
734 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
735 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD HighK Vertical Furnace
736 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD HighK Vertical Furnace
737 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
738 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
739 Tokyo Electron Ltd. (TEL) Cellesta+ Single Wafer Processing
740 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing
741 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
742 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
743 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
744 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
745 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
746 Tokyo Electron Ltd. (TEL) TELINDY Anneal Vertical Furnace
747 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
748 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
749 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
750 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
751 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
752 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
753 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
754 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
755 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
756 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
757 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
758 Tokyo Electron Ltd. (TEL) TELINDY Nitride Vertical Furnace
759 Tokyo Electron Ltd. (TEL) TELINDY Nitride Vertical Furnace
760 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD HighK Vertical Furnace
761 Tokyo Electron Ltd. (TEL) TELINDY Anneal Vertical Furnace
762 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing
763 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
764 Tokyo Electron Ltd. (TEL) Tactras RLSA Poly Polysilicon Etch
765 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
766 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
767 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
768 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
769 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
770 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
771 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD HighK Vertical Furnace
772 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
773 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
774 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
775 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
776 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
777 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch
778 Tokyo Electron Ltd. (TEL) TELINDY Plus IRAD Oxide Vertical Furnace
779 Tokyo Electron Ltd. (TEL) UW300Z Batch Wafer Processing
780 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD Vertical Furnace
781 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD Vertical Furnace
782 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
783 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
784 Tokyo Electron Ltd. (TEL) Tactras Vigus RK3 – Chamber Only Dielectric Etch
785 Tokyo Electron Ltd. (TEL) Trias W – Chamber Only Metal CVD (Chemical Vapor Deposition)
786 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
787 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch
788 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
789 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
790 Tokyo Electron Ltd. (TEL) Trias W MOCVD
791 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
792 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
793 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer)
794 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer)
795 Tokyo Electron Ltd. (TEL) TELINDY Nitride Vertical Furnace
796 Tokyo Electron Ltd. (TEL) Tactras Vesta NV3 Polysilicon Etch
797 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
798 Tokyo Electron Ltd. (TEL) Cellesta+ Single Wafer Processing
799 Tokyo Electron Ltd. (TEL) Cellesta+ Single Wafer Processing
800 Tokyo Electron Ltd. (TEL) TELINDY ALD High-K Vertical Furnace
801 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
802 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
803 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
804 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
805 Tokyo Electron Ltd. (TEL) Tactras Vesta Polysilicon Etch
806 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing
807 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
808 Tokyo Electron Ltd. (TEL) ALPHA-303i process TBD Vertical Furnace
809 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
810 Tokyo Electron Ltd. (TEL) ALPHA-303i process TBD Vertical Furnace
811 Tokyo Electron Ltd. (TEL) Tactras Vigus – Chamber Only Dielectric Etch
812 Tokyo Electron Ltd. (TEL) Unity Me 85 SCCM Dielectric Etch
813 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer)
814 Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Dielectric Etch
815 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
816 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
817 Tokyo Electron Ltd. (TEL) Tactras Vesta Polysilicon Etch
818 Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition)
819 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing
820 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
821 Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition)
822 Tokyo Electron Ltd. (TEL) TELINDY-B Vertical Furnace
823 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Chamber Parts/Peripherals
824 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Chamber Parts/Peripherals
825 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Chamber Parts/Peripherals
826 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Single Block (Coat/Develop)
827 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Single Block (Coat/Develop)
828 Tokyo Electron Ltd. (TEL) TELFORMULA Anneal Vertical Furnace
829 Tokyo Electron Ltd. (TEL) TELFORMULA Anneal Vertical Furnace
830 Tokyo Electron Ltd. (TEL) TELFORMULA LPRO Vertical Furnace
831 Tokyo Electron Ltd. (TEL) TELFORMULA LPRO Vertical Furnace
832 Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Single Block (Coat/Develop)
833 Tokyo Electron Ltd. (TEL) P-12XLn+ Production Wafer Prober
834 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
835 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
836 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
837 Tokyo Electron Ltd. (TEL) P-12XLn+ Production Wafer Prober
838 Tokyo Electron Ltd. (TEL) P-12XLn+ Production Wafer Prober
839 Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition)
840 Tokyo Electron Ltd. (TEL) Tactras Vesta Polysilicon Etch
841 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
842 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
843 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
844 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
845 Tokyo Electron Ltd. (TEL) Trias W MOCVD
846 Tokyo Electron Ltd. (TEL) Tactras Vesta Polysilicon Etch
847 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
848 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
849 Tokyo Electron Ltd. (TEL) Triase+ SFD-W MOCVD
850 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
851 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
852 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
853 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
854 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing
855 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing
856 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
857 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
858 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
859 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
860 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
861 Tokyo Electron Ltd. (TEL) ALPHA-303i Oxide Vertical Furnace
862 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
863 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
864 Tokyo Electron Ltd. (TEL) TELINDY Plus process TBD Vertical Furnace
865 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
866 Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal Vertical Furnace
867 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
868 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
869 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
870 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
871 Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace
872 Tokyo Electron Ltd. (TEL) Cellesta+ Single Wafer Processing
873 Tokyo Electron Ltd. (TEL) Cellesta+ Single Wafer Processing
874 Tokyo Electron Ltd. (TEL) Certas WING Single Wafer Processing
875 Tokyo Electron Ltd. (TEL) Certas WING Single Wafer Processing
876 Tokyo Electron Ltd. (TEL) Certas WING Single Wafer Processing
877 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
878 Tokyo Electron Ltd. (TEL) TELINDY OXIDE Vertical Furnace
879 Tokyo Electron Ltd. (TEL) TELINDY OXIDE Vertical Furnace
880 Tokyo Electron Ltd. (TEL) TELINDY Nitride Vertical Furnace
881 Tokyo Electron Ltd. (TEL) TELINDY OXIDE Vertical Furnace
882 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD Vertical Furnace
883 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD Vertical Furnace
884 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD Vertical Furnace
885 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD Vertical Furnace
886 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD Vertical Furnace
887 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD Vertical Furnace
888 Tokyo Electron Ltd. (TEL) TELINDY Plus Oxide Vertical Furnace
889 Tokyo Electron Ltd. (TEL) TELINDY Plus ALD Vertical Furnace
890 Tokyo Electron Ltd. (TEL) TELINDY Plus Oxide Vertical Furnace
891 Tokyo Electron Ltd. (TEL) TELINDY Plus Oxide Vertical Furnace
892 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
893 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
894 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
895 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
896 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
897 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
898 Tokyo Electron Ltd. (TEL) NT333 ALD (Atomic Layer Deposition)
899 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
900 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
901 Tokyo Electron Ltd. (TEL) Tactras Vesta Polysilicon Etch
902 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
903 Tokyo Electron Ltd. (TEL) Telius 305 DRM Dielectric Etch
904 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
905 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
906 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
907 Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition)
908 Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition)
909 Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition)
910 Tokyo Electron Ltd. (TEL) Tactras Vigus Dielectric Etch
911 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
912 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
913 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
914 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Chamber Parts/Peripherals
915 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Chamber Parts/Peripherals
916 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing
917 Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing
918 Tokyo Electron Ltd. (TEL) TELINDY Anneal Vertical Furnace
919 Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing
920 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
921 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
922 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
923 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
924 Tokyo Electron Ltd. (TEL) TELFORMULA Anneal Vertical Furnace
925 Tokyo Electron Ltd. (TEL) TELFORMULA Anneal Vertical Furnace
926 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
927 Tokyo Electron Ltd. (TEL) NS 300 Wafer Scrubber
928 Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride Vertical Furnace
929 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
930 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
931 Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K Vertical Furnace
932 Tokyo Electron Ltd. (TEL) TELFORMULA Nitride Vertical Furnace
933 Tokyo Electron Ltd. (TEL) TELINDY Plus Anneal Vertical Furnace
934 Tokyo Electron Ltd. (TEL) TELINDY Plus Anneal Vertical Furnace
935 Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Dielectric Etch
936 Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
937 Tokyo Electron Ltd. (TEL) TELINDY Anneal Vertical Furnace
938 Tokyo Electron Ltd. (TEL) TELINDY Plus Anneal Vertical Furnace
939 TOWA (OMRON) Laser Front Inc. SL473G Laser Scribe
940 TOWA (OMRON) Laser Front Inc. SL473G Laser Scribe
941 Toyota Industries Corporation T100L Dry Pump
942 Ultratech CGS-300 Stress Measurement
943 Ulvac Corporation Entron-EX W300 PVD (Physical Vapor Deposition)
944 Ulvac Corporation Entron-EX: Front-End Metallization PVD (Physical Vapor Deposition)
945 Unisem UN-2002A-PG Abatement – Scrubber
946 Ushio UMA-2003 UV Cure System
947 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
948 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
949 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
950 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
951 Varian Semiconductor Equipment Associates (VSEA) VIISta 810 Mid Current Implanter
952 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
953 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
954 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
955 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
956 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
957 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
958 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
959 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
960 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
961 Varian Semiconductor Equipment Associates (VSEA) VIISta HC High Current Implanter
962 Varian Semiconductor Equipment Associates (VSEA) VIISta HCS High Current Implanter
963 Varian Semiconductor Equipment Associates (VSEA) VIISta HCS High Current Implanter
964 Varian Semiconductor Equipment Associates (VSEA) VIISta P2LAD Low/Ultra Low Energy Implanter
965 Varian Semiconductor Equipment Associates (VSEA) VIISta 810XP Mid Current Implanter
966 Various Various Dry Pump
967 VARIOUS 1.25″ SILICON WAFER Wafers
968 VARIOUS 1.5″ SILICON WAFER Wafers
969 VARIOUS 1.875″ SILICON WAFER Wafers
970 VARIOUS 2″ SILICON WAFER Wafers
971 VARIOUS 2.25″ SILICON WAFER Wafers
972 VARIOUS 2.5″ SILICON WAFER Wafers
973 VARIOUS 2.875″ SILICON WAFER Wafers
974 VARIOUS 3″ SILICON WAFER Wafers
975 VARIOUS 3.25″ SILICON WAFER Wafers
976 VARIOUS 200MM SILICON WAFER Wafers
977 VARIOUS SILICON INGOT SILICON INGOT
978 VARIOUS 100MM SILICON WAFER Wafers
979 VARIOUS 125MM SILICON WAFER Wafers
980 VARIOUS 150MM SILICON WAFER Wafers
981 Various Various Computer Components
982 Various Various Parts/Peripherals
983 Veeco Instruments Inc. Dimension 7000 Atomic Force Microscope (AFM)
984 Veeco Instruments Inc. Dimension X3D Atomic Force Microscope (AFM)
985 VERSA CONN CORP. WB-302 Triple+ Semi Automated High Force Wafer Bonder
986 Versum Materials / Merck Variuos Chemical Mix & Distribution
987 X-Tek Orbita 160Xi X-Ray Inspection System
988 Zeiss UMSP 80 Spectrophotometer
989 Zen Voce Corporation BM388 Solder Ball Mount
990 Zygo Corp. NewView 7300 Profilometer

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5319-0-14-1-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers