Main Maker

Used Semiconductor Equipment Parts

Categories: ,

Description

Used Semiconductor Equipment Parts

These are  subject to prior sale. These are only for end user. Appreciate your time.

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.

Manufacturer Model Asset Type Asset Location Country Wafer Size
A-B Lasers Inc. (Rofin) Waferlase Compact Laser Scribe United States 200mm
A-B Lasers Inc. (Rofin) Waferlase Laser Scribe United States 200mm
ADE Corporation Microsense 6033 Wafer Characterization United States
Advanced Energy ICP 20P Power Supply United States N/A
Advanced Technology Inc. Cypress GEN2 Lead Inspection Equipment Taiwan N/A
Advanced Technology Inc. Cypress Lead Inspection Equipment Taiwan N/A
Advanced Thermal Sciences (ATS) DEX-20A Chiller/Heat Exchanger Taiwan N/A
Advantest R3768 Network Analyzer Costa Rica N/A
Air Control, Inc. Microvoid FH-45D-S Fume Hood Workstation United States N/A
Alcatel ADP 81 Dry Pump United States N/A
Alcatel ADS 1802H Dry Pump Taiwan N/A
Alcatel ADS1802P Dry Pump Taiwan N/A
Alcatel A1803H Dry Pump United States N/A
Alum-a-Lift A200-60 General Purpose Lift United States N/A
Amray, Inc. 2030 SEM – Defect Review (DR) United States N/A
Amray, Inc. 2030 SEM – Defect Review (DR) United States 200mm
Amray, Inc. 2030 SEM – Defect Review (DR) United States N/A
Amray, Inc. 3800c SEM – Defect Review (DR) United States 200mm
Anelva Corp. Cosmos I-1201 PVD – Tungsten PVD Chamber Only PVD (Physical Vapor Deposition) Japan
Applied Materials (AMAT) Centura AP AdvantEdge G5 Poly Polysilicon Etch Japan
Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition) Japan
Applied Materials (AMAT) Endura II Front-End Metallization PVD (Physical Vapor Deposition) Japan
Applied Materials (AMAT) Endura II Aluminum Interconnect PVD (Physical Vapor Deposition) Japan
Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition) Japan
Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition) Japan
Applied Materials (AMAT) Reflexion – Dielectric Dielectric CMP Japan
Applied Materials (AMAT) Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition) Japan
Applied Materials (AMAT) Reflexion – Dielectric Dielectric CMP Japan
Applied Materials (AMAT) Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition) Japan
Applied Materials (AMAT) Producer GT APF PECVD (Chemical Vapor Deposition) Japan
Applied Materials (AMAT) Reflexion – Dielectric Dielectric CMP Japan
Applied Materials (AMAT) Endura II Liner/Barrier PVD (Physical Vapor Deposition) Japan
ASM International Eagle XP4 ALD (Atomic Layer Deposition) Japan
ASM International A600 LPCVD-OXIDE Vertical Furnace United States 200mm
BESI Datacon 8800 Chameo Flip Chip Bonder Taiwan N/A
BOC Edwards Spectra-N Nitrogen Generator United States N/A
Bold Technologies, Inc. Bold Wet Bench Batch Wafer Processing United States 200mm
Boschman BXXP\21 Molding Equipment United States 200mm
Chemwest K232 Quartz Tube Cleaner United States
Chemwest K232 Quartz Tube Cleaner United States N/A
Chroma ATE Inc. 3380P VLSI Tester Taiwan 200mm
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NY20 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NX16 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NX16 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NX16 Test Handler Costa Rica N/A
Cohu, Inc. Ismeca NX16 Test Handler Costa Rica N/A
Control Laser Corporation. FALIT Package Auto Decap System United States
Cooljag Thermal Solutions SP3-D/SQ CPU Cooling Fan Taiwan N/A
Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber Japan
Dainippon Screen Mfg. Co. (DNS) VM-3110 Film Thickness Measurement System Japan
Dainippon Screen Mfg. Co. (DNS) SS-3000 Wafer Scrubber Japan
Dainippon Screen Mfg. Co. (DNS) SC-W80A-AVG Spin On Glass (SOG) Taiwan 200mm
Dainippon Screen Mfg. Co. (DNS) SC-W80A-AVG Spin On Glass (SOG) Taiwan 200mm
Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing United States 200mm
Dainippon Screen Mfg. Co. (DNS) WS-820C Batch Wafer Processing United States 200mm
Dainippon Screen Mfg. Co. (DNS) EEW-622-B Edge Exposure Tool United States 200mm
Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing United States 200mm
Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing United States 200mm
Dainippon Screen Mfg. Co. (DNS) WS-820C Batch Wafer Processing United States 200mm
Dainippon Screen Mfg. Co. (DNS) FS-820L Batch Wafer Processing United States 200mm
DAS ESCAPE DUO Chemical/Gas Treatment System Taiwan N/A
DAS ESCAPE DUO Chemical/Gas Treatment System Taiwan N/A
Daymarc Corporation 3287 Gravity Feed SOC Handler United States N/A
Daymarc Corporation 3287 Gravity Feed SOC Handler United States N/A
Daymarc Corporation 3287 Gravity Feed SOC Handler United States N/A
Daymarc Corporation 3287 Gravity Feed SOC Handler United States N/A
Daymarc Corporation 3287 Gravity Feed SOC Handler United States
Daymarc Corporation 3287 Gravity Feed SOC Handler United States
Daymarc Corporation 3287 Gravity Feed SOC Handler United States
Delatech Inc. 858 – V2 Abatement – CDO Scrubber United States N/A
Despatch Industries LND 2-11 Cure Oven Taiwan N/A
E.A. Fischione 1010 Plasma Cleaner Taiwan
Ebara FREX300S Tungsten Tungsten CMP Japan
Ebara FREX300S Tungsten Tungsten CMP Japan
Edwards Atlas Etch Abatement – Scrubber Taiwan N/A
Edwards iL70 Dry Pump United States N/A
Edwards iH80 Dry Pump United States N/A
Edwards iH1800SC Dry Pump United States N/A
Edwards iH1000 Dry Pump United States N/A
Edwards iH600 Dry Pump United States N/A
Electro Scientific Industries 9850TPIR+ Laser Repair System Japan
Electro Scientific Industries 9850TPIR+ Laser Repair System Japan
Electro Scientific Industries 9850TPIR+ Laser Repair System Japan
Electro Scientific Industries 9850TPIR+ Laser Repair System Japan
Electron Vision Corporation ElectronCure 30X UV Cure System United States 200mm
Entegris NU-J6101 Batch Parts Clean (ultrasonic) Taiwan
Entegris KA198-80M Wafer Cassette United States 200mm
ESCO Ltd. EMD-WA1000S Temperature Desorption Analyzer Taiwan 200mm
Espec EGNU28-12CWL Environmental Chamber United States N/A
Espec ESX-3CW Environmental Chamber United States N/A
Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-800D Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-600B Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-600B Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-850 Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-900 Broadband Patterned Wafer Defect Inspection United States 200mm
Estek Automation SDN BHD WIS-150 Broadband Patterned Wafer Defect Inspection United States 150mm
EVGA Corporation Supernova 1300 G2 PC Power Supplies Taiwan N/A
EVGA Corporation 850 GQ PC Power Supplies Taiwan N/A
FEI Company Tecnai G2 F30 TEM Japan N/A
FSI International Excalibur Batch Wafer Processing United States 200mm
FSI International Excalibur Batch Wafer Processing United States 200mm
FTS Systems (SP Scientific) RC311GLAM Chiller/Heat Exchanger United States N/A
Gatan Model 691 PIPS Specimen Preparation Taiwan N/A
GCA Tropel Autosort Mark II 150 Flatness Measurement United States 150mm
General Electric (GE) Phoenix aminer X-ray Inspection United States
Genesis 2020B Vapor Prime Oven United States N/A
GSI Lumonics WaferMark 200HS Laser Scribe United States 200mm
Hitachi RCF3550AZP1 Chiller/Heat Exchanger Japan N/A
Hitachi (Semiconductor) HL-800D E-beam Lithography System United States
IPEC AvantGaard 676 Multi-Process CMP United States 200mm
Ismeca TMBZ SP Taping United States
Ismeca TMBZ SP Taping United States
Jabil Chad Automation WaferMate200 Wafer and Substrate Handling Costa Rica 200mm
Jabil Chad Automation WaferMate200 Wafer and Substrate Handling Costa Rica
JEOL JWS-7555 SEM – Defect Review (DR) Taiwan 200mm
JEOL JFS-9855S Focused Ion Beam System Taiwan 200mm
JEOL JWS-7855S Mask Inspection & Repair System United States N/A
JEOL JEM-2010F TEM United States
JEOL JSM-6400F FE SEM United States
JULABO GmbH PRESTO W85 Chiller/Heat Exchanger United States N/A
Kaijo Corporation SFT-300 Batch Wafer Processing Japan
Kaijo Corporation SFT-300 Batch Wafer Processing Japan
Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester Taiwan N/A
Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester Taiwan N/A
Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester Taiwan N/A
KLA-Tencor Corp / ICOS ICOS T830 Lead Inspection Equipment Taiwan N/A
KLA-Tencor Corp. eS20XP E-beam Inspection Taiwan 200mm
KLA-Tencor Corp. AIT I Darkfield Inspection Taiwan 200mm
KLA-Tencor Corp. AIT I Darkfield Inspection Taiwan 200mm
KLA-Tencor Corp. Ultrapointe 1000 Optical Review System United States 200mm
KLA-Tencor Corp. 2111 Brightfield Inspection United States 200mm
KLA-Tencor Corp. 2111 Brightfield Inspection United States 200mm
KLA-Tencor Corp. 2111 Brightfield Inspection United States 200mm
KLA-Tencor Corp. 2111 Brightfield Inspection United States 200mm
KLA-Tencor Corp. CRS-1200 Optical Review System United States 200mm
KLA-Tencor Corp. KLA-TENCOR DUAL OPEN HANDLER Parts/Options United States 200mm
LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma Japan
LAM Research 2300 Exelan Flex EX+ Dielectric Etch Japan
LAM Research 2300 Exelan Flex EX+ Dielectric Etch Japan
LAM Research 2300e5 Exelan Flex EX Dielectric Etch Japan
LAM Research Alliance (A6) Exelan HP – Spare Parts Dielectric Etch United States 200mm
Leica Inc. INS10 Microscope Taiwan 200mm
Micromanipulator P300J Engineering Wafer Prober Taiwan 200mm
MicroTure Machine Tool Corp. 4 Sigma Wafer Polisher Wafer Polisher United States 200mm
miscellaneous furniture Office Tables and Chairs Office United States N/A
Mitsubishi DWC-90 Wire EDM (Electrical Discharge Machine) United States N/A
MSI N210-MD1G/D3 Graphics Cards Taiwan N/A
MSI GT 710-1GD3H LP Graphics Cards Taiwan N/A
MTI Instruments, Inc. PROFORMA 300 Flatness Measurement United States 200mm
Nikon OPTIPHOT 200C Microscope Taiwan 200mm
Nikon OPTIPHOT 200C Microscope Taiwan 200mm
Nikon OPTISTATION 3A Optical Review System Taiwan 200mm
Nikon ECLIPSE L300 Microscope Japan
Nikon ECLIPSE L300 Microscope Japan
Nikon ECLIPSE L300 Microscope Japan
Nikon ECLIPSE L300 Microscope Japan
Nikon ECLIPSE L300 Microscope Japan
Nikon MICROSCOPE Microscope United States
Nikon UM-2 Microscope United States
Nikon OPTISTATION V Optical Review System Taiwan 200mm
Nikon OPTISTATION V Optical Review System Taiwan 200mm
Nisso engineering co. ltd / NISON 1800S-55C Batch Wafer Processing United States N/A
Nordson Asymtek S2-930 Adhesive Dispenser Taiwan N/A
Nordson Asymtek S2-930 Adhesive Dispenser Taiwan N/A
Oxford Instruments X-Strata980 X-ray Fluorescence Spectrometer Taiwan N/A
PCI Ozone and Controls Systems Inc. G-7 Ozone Generator United States N/A
Pfeiffer Vacuum GmbH Various Dry Pump United States N/A
Plasma-Therm I.P. Inc. BatchTop VII PECVD PECVD (Chemical Vapor Deposition) United States 150mm
Poly-Flow Engineering S-422 Quartz Tube Cleaner United States 200mm
PS System FPS 6000 Trim From Combo United States
PVA TePla America, Inc. M4L Plasma Cleaner United States N/A
R. Howard Strasbaugh Fine Polisher Wafer Polisher United States 200mm
Risshi / Maruyama YR-8020SC Chiller/Heat Exchanger Taiwan N/A
Rogers Machinery DUAL COMPRESSOR Air Compressors United States N/A
Rudolph Technologies, Inc. NSX 105 – Spare Parts Macro-Defect United States 200mm
SCREEN PRINTING ENTERPRISES, INC. LPEH1-30/10 Bake Oven United States
Semiconductor Equipment Corp.(SEC) Model 860 Eagle Flip Chip Bonder United States
Semitool Inc. 270-ST Spin Rinse / Dryer (SRD) United States 150mm
Semitool Inc. Storm II Carrier Cleaner Taiwan 200mm
SEZ Group SP203 Single Wafer Processing Taiwan 200mm
SEZ Group SP203 Single Wafer Processing Taiwan 200mm
SilverStone Technology Co SST-ST45SF PC Power Supplies Taiwan N/A
Standard Research Systems SR560 — Low-noise voltage preamplifier Parts/Peripherals Taiwan N/A
Steag Industrie AG Marangoni IPA Dryer Batch Wafer Processing United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Strasbaugh 6DS-SP Multi-Process CMP United States 200mm
Struers Hexamatic Semi-Automatic Specimen Polisher United States
Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter Taiwan N/A
Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter Taiwan N/A
Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter Taiwan N/A
TDK AFM-1501 Flip Chip Bonder Costa Rica N/A
TDK AFM-1501 Flip Chip Bonder Costa Rica N/A
TDK AFM-1501 Flip Chip Bonder Costa Rica N/A
TDK AFM-1501 Flip Chip Bonder Costa Rica N/A
TDK AFM-1503 Flip Chip Bonder Costa Rica N/A
TDK AFM-1503 Flip Chip Bonder Costa Rica N/A
TDK AFM-1503 Flip Chip Bonder Costa Rica N/A
TDK AFM-1503 Flip Chip Bonder Costa Rica N/A
Technos TVD-900 ICP-MS Spectrometry Japan
Tektronix 177 Curve Tracer United States N/A
Teradyne, Inc. J993 Memory Tester United States N/A
Texas INstruments LIS 4000ER Lead Inspection Equipment United States
Thermaltake Technology Toughpower 750W Gold PC Power Supplies Taiwan N/A
Therma-Wave Inc. Therma-Probe 300 Implant Dosing Measurement United States 200mm
Thermo (Fisher) Scientific MK.4TE ESD Simulator / Gun United States N/A
Thermo (Fisher) Scientific Orion2 ESD Simulator / Gun United States N/A
Thermo Electron Corporation Napco 8100-TD Test Chamber United States N/A
Thermo Scientific UFP5030A Freezers United States
Thermo Scientific PlasmaQuad XS Spectrometry United States
Tokyo Electron Ltd. (TEL) Expedius+ Batch Wafer Processing Taiwan
Tokyo Electron Ltd. (TEL) Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) Japan
Tokyo Electron Ltd. (TEL) Expedius Batch Wafer Processing Japan
Tokyo Electron Ltd. (TEL) Unity Me 85 SCCM Dielectric Etch Taiwan 200mm
Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS Vertical Furnace Japan
Tokyo Electron Ltd. (TEL) Triase+ SFD-W MOCVD Japan
Toyoko Kagaku Toyoko Kagaku Fume Hood Fume Hood Workstation Japan N/A
Toyota Industries Corporation T100L Dry Pump United States N/A
Unisem UN-2002A-PG Abatement – Scrubber Taiwan N/A
Varian Semiconductor Equipment Associates (VSEA) E220 Mid Current Implanter United States 100mm
Various Various Dry Pump United States N/A
VARIOUS 1.25″ SILICON WAFER Wafers United States
VARIOUS 1.5″ SILICON WAFER Wafers United States
VARIOUS 1.875″ SILICON WAFER Wafers United States
VARIOUS 2″ SILICON WAFER Wafers United States
VARIOUS 2.25″ SILICON WAFER Wafers United States
VARIOUS 2.5″ SILICON WAFER Wafers United States
VARIOUS 2.875″ SILICON WAFER Wafers United States
VARIOUS 3″ SILICON WAFER Wafers United States
VARIOUS 3.25″ SILICON WAFER Wafers United States
VARIOUS 200MM SILICON WAFER Wafers United States 200mm
VARIOUS SILICON INGOT SILICON INGOT United States
VARIOUS 100MM SILICON WAFER Wafers United States 100mm
VARIOUS 125MM SILICON WAFER Wafers United States 125mm
VARIOUS 150MM SILICON WAFER Wafers United States 150mm
Various Various Computer Components Taiwan N/A
Various Various Parts/Peripherals Taiwan N/A
Veeco Instruments Inc. Dimension 7000 Atomic Force Microscope (AFM) Taiwan 200mm
VERSA CONN CORP. WB-302 Triple+ Semi Automated High Force Wafer Bonder Taiwan 150mm
Versum Materials / Merck Variuos Chemical Mix & Distribution Taiwan N/A
Zeiss UMSP 80 Spectrophotometer United States
Zen Voce Corporation BM388 Solder Ball Mount Taiwan N/A
Zygo Corp. NewView 7300 Profilometer United States 150mm

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5319-13-1-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers