Main Maker

Used Semiconductor Equipment Parts

Categories: ,

Description

Used Semiconductor Equipment Parts

Valid Term: These are  subject to prior sale. These are only for end user. Appreciate your time.

Location: USA

Condition: Pls contact us to discuss.

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.

1 Abbess Instruments 24″ Vacuum Chamber with Heat Sealing System
2 AB-M Mask Aligner and UV Exposure System
3 Advanced Energy Pinnacle DC Magnetron Power Supply
4 Advanced Energy RFX600A 13.56Mhz 600 Watt RF Generator 3155082-211
5 Advanced Thermal Sciences MVX-75 Water to Water Heat Exchanger
6 Affinity FAE-121L-EE10CAD4 Air Cooled Recirculating Chiller
7 Agilent 86142B Optical Spectrum Analyzer No Options installed
8 Agilent E4980A 710 030 Precision LCR Meter 20 Hz to 300Khz
9 Agilent MSO9064A OPT 800 Oscilloscope 600Mhz 4 Analog channels, 16 digital chan.
10 Alessi MH-4 Vacuum Base Precision Probe Manipulator
11 Allen Bradley Compact Logix L32E PLC with 1769-PA2, 1769-IQ32, 1769-OW8I, 1769-O
12 ALLIED HIGH TECH TECH CUT Variable Speed Sectioning Saw
13 Allied High Tech Techprep Semiautomatic Sample Polisher
14 Anatech SP100 Table Top Plasma System
15 Applied Test Systems 3350-CA 1700 deg C High Temperature Box Furnace
16 Arbin Instruments BT-2000 Battery Tester
17 Aremco Accu-Cut 5200 Ultra Hard Material Dicing Saw
18 Astex A-2500 (Ulvac) Microwave Generator
19 Astex F120047 Mag Head 10KV 2.45GHZ
20 Atlas XENOTEST BETA + Xenon Arc Weathering Testing System
21 Atlas XENOTEST BETA + Xenon Arc Weathering Testing System
22 Avio PHU-10 Pulse Heating Power Supply
23 Avio TCW-115A-C TEC Lead Attach Welding System
24 Balston 75-78 Nitrogen Generation System
25 Balzers HLT-160 Helium Leak Detector
26 Balzers PKR 250 Compact Full Range Vacuum Gauge
27 Balzers TPG 300 Total Pressure Gauge Controller
28 Balzers UDV 040 All Metal Gas Dosing Valve
29 Balzers UDV 140 All-metal Gas Regulating Valve
30 Beckman Coulter Allegra 64R Refrigerated High Speed Benchtop Centrifuge
31 Beckman Coulter Z2 Cell and Particle Counter with PC Option
32 Benchmark System 2000 Seam Sealer Package Welder
33 Bidtech SP100 Photoresist Spinner Replacement Motor
34 Blue M 8850D-1 Box Furnace
35 Blue M CC-04-I-P-C Mechanical Convection Clean Room Oven
36 Blue M DCC-256-E-MP750 Stainless Steel Clean Room Oven
37 Blue M DCC-256-E-MP750 Stainless Steel Clean Room Oven
38 Blue M MO1490A-1 Deluxe Tabletop Mechanical Convection Oven
39 BLUE M OV-472A-2 Mechanical Convection Horizontal Air Flow Oven
40 BLUE M OV-472A-2 Mechanical Convection Horizontal Air Flow Oven
41 Bohlin Instruments Gemini 200 Rheological Characterization System
42 Boiler Chemicals
43 Branson SW-200 Ultrasonic Spin Welder
44 Buehler Ecomet Dual Wheel Polishing/Grinding Table
45 Buehler Oscillamet Metallurgical Wet Type Abrasive Sample Cut-Off Saw
46 BYK Gardner HAZE-GLOSS 4601 Gloss Meter
47 BYK Gardner Micro-Gloss 20° Gloss Meter for High Gloss
48 BYK Gardner Spectro-Guide 45/0 Gloss Color and Gloss Spectrophotometer
49 Carbolite PF120 (200) 300 deg C Mechanical Convection Laboratory Oven
50 Carver 3888 15 Ton Hydraulic Platen Press
51 Carver 4 Post 30 Ton Auto Series Hydraulic Laboratory Press
52 Cascade Microtech FPD-100 Vacuum Based Dual Probe Holder
53 Cascade Microtech Probe Card Holder
54 Cascade Microtech RF-1 Precision Manual Microwave Prober
55 Cascade Microtech S300 semi-automatic and manual RF/Microwave 12″ Prober
56 Cascade Microtech S300 semi-automatic and manual RF/Microwave 12″ Prober
57 Cascade Microtech S300 semi-automatic and manual RF/Microwave 12″ Prober
58 Cascade Microtech S300 semi-automatic and manual RF/Microwave 12″ Prober
59 Cascade Microtech S-300 Semi-automatic RF/Microwave 12″ Prober
60 Cascade Microtech S-300 Semi-automatic RF/Microwave Prober
61 Cascade Microtech S300 Semi-automatic RF/Microwave Probing System
62 Cascade Microtech Summit 12000 200mm Prober
63 Cascade Microtech Summit 12000 200mm Prober
64 Cascade Microtech Summit 12000 200mm Prober
65 Cascade Tek SVO-9-ZZMFG Large Capacity Vacuum Oven
66 CEE BREWER SCIENCE 100GX LARGE SUBSTRATE PHOTORESIST SPINNER
67 Cee Brewer Science 200X Photoresist Spin Coater
68 CHA Bell Jar
69 CHA SE-600 High Vacuum E-Beam Evaporation System
70 CHA SE-600-RAP Single Pocket E-Beam Evaporator
71 CM Furnace 1606C Rapid Temp 1600°C Lab Furnace
72 Colandis 13076/RTW04 Cleanroom Trolley with Battery Power
73 Colandis 13076/RTW04 Cleanroom Trolley with Battery Power
74 Cole Parmer 52000-55 Laboratory Mechanical Convection Oven
75 Comet Series 150 Resin Blender
76 CTI 9600 Cryo Compressor. NEVER USED
77 CTI Cryo-Torr 7 Cryopump
78 Delta Design Ultra Low Temperature Test Chamber
79 Denton Vacuum Desk II Carbon Coating Accessory
80 Denton Vacuum DV-502A High Vacuum Thermal Evaporator
81 Deposition Source Effusion Cell with Power Supply
82 Disco DAD-2H/6TM Precision Dicing Saw
83 Dry Box Single Door Desiccator Storage Cabinet
84 Ebara 929570 Large Vacuum Forline Filter – New, Never Used
85 Ebara A10S Dry Vacuum Pump
86 EBARA EMT2400MBWC MAG LEV Turbo Vacuum Pump w/ Controller
87 Edwards 1850 14.5-inch O.D. ISO Flange Throttle Valve
88 Edwards DP40 Oil Free Vacuum Pump
89 Edwards E306 Compact Thermal Deposition Evaporator
90 Edwards E306 Compact Thermal Deposition Evaporator
91 Edwards E306A Compact Thermal Evaporation Coating System
92 Edwards IPV25EKA KF25 Electromagnetic Valve
93 Edwards PV16PKA-B Right Angle Valve – Never Used
94 Effusion Deposition Source with Power Supply
95 Effusion Deposition Source with Power Supply
96 Effusion Deposition Source with Power Supply
97 Exhaust Throttle Valve, Integrated Controller, 3.875 in. ID, NW100 ISO-MF
98 Filmetrics F10-RT Thin Film Analyzer NEW IN THE BOX
99 Fisons Instruments Escalab Esca/XPS parts chamber
100 FRITSCH PULVERISETTE 25 Power Cutting Mill
101 Fritsch Pulverisette 6 Planetary Mono Mill
102 FTS Systems Turbo-Jet temperature forcing system
103 Fuji Electric ZRH1DFY2-6BAYY NDIR Infrared Gas Analyzer
104 Gatan 601 Precision Ultrasonic cutting system for sample preparation
105 General Photonics PCD-104 Polarization Scrambler
106 General Photonics PCD-104 Polarization Scrambler
107 HAAKE VISCOTESTER 7 PLUS VISCOMETER
108 Haskris WW6 Water to Water Heat Exchanger
109 Headway Research PWM32-R790 Photoresist Spinner
110 Heat Exchange and Transfer SL500-12-WC-223 Fluid Heat Transfer System
111 Heatflex HPF16 Heated Filtered Process Bath
112 Honle UVASPOT 400T High Powered Ultra-Violet UV Curing System
113 Honle UVASPOT 400T High Powered Ultra-Violet UV Curing System
114 HP 8169A Polarization Controller
115 HP Agilent Keysight E4418B EPM Series Single Channel Power Meter
116 HPS KF 40 Right Angle Vacuum Valve
117 HPS Right Angle Vacuum Valve
118 HPS Valve
119 Huber Unistat Tango High Precision Recirculating Chiller
120 HVA 11212-1009RB-00 High Vacuum Gate Valve
121 HVA 14214-0150X Right Angle Vacuum Valve
122 HVA 8-inch Conflat Flange Gate Valve
123 Hypervision Chip Unzip Backside Preparation System
124 IMTEC QRT/S-A2001/12 Quartz Heated Cascade Process Bath
125 Inficon BPG402-SD Bayard Alpert Pirani gauge
126 Inficon Cygnus Thin Film Crystal Deposition Controller
127 Inficon Protec P3000 Helium Sniffer Leak Detector
128 Innotec Group SVB-22A-NW50 Gate Valve
129 Innovative Technology S-One-M Glove Box with Freezer
130 Insulating Flange
131 Integrated Solutions OPTITRAC 6″ Photoresist Developer Track
132 JDS Uniphase SWS15101 OPT P6,M Tunable Laser Source
133 JDS Uniphase SWS15102 C Band Source Optics Controller
134 JDS Uniphase SWS16102 L Band Source Optics 4 outputs
135 JF Tools 12″ X 8″ 400°C Hot Plate
136 Julabo TCU1 High Precision Heat Exchanger – Never Used
137 K&S 4523 Manual Wedge Wire Bonder
138 K&S 4523AD Programmable Digital Wedge Bonder
139 K&S 4524 Manual Gold Ball Bonder
140 Karl Suss MJB3 Mask Aligner
141 Karl Suss MJB3 Standard Mask Aligner
142 Karl Suss MJB3-IR Mask Aligner with IR Transmission Alignment
143 KARL SUSS Prober Dark Box Enclosure
144 KARL SUSS Prober Dark Box Enclosure
145 Karl Suss Prober Dark Box Enclosure
146 Keithley 6517A Electrometer High Resistance Meter
147 Kensington Laboratories 11.25 484 1V Robot with Controller and Aligner
148 KENSINGTON LABORATORIES 8500 12X12X1V 12″ BY 12″ X-Y TRANSLATION STAGE
149 Keysight N7783B Thermal Cycling Unit.
150 Kinetic Systems 1201-011-11 Vibration Isolation Table
151 Kinetic Systems 1201-21-11 Vibration Isolation Table
152 Kinetic Systems 1201-22-11 Vibration Isolation Table
153 Kinetic Systems 1202-22-0001 Vibration Isolation Table
154 Kinetic Systems 390188-01-0711 Tabletop Vibration Isolation Platform
155 Kinetics Systems 1201-01-13 Vibration Isolation Table
156 Klinger Vertical Movement Linear Positioning Stage
157 Klinger Z Stage Motorized Z Translation Stage
158 Kurt J Lesker LXLT-42010 Low Temperature Evaporation Source
159 Kurt J Lesker MAPS LTE Low Temperature Thermal Evaporations Sources
160 Lab-Line Vacuum Oven
161 Labsphere LMS-200 Light Measurement Sphere
162 LabThink Instruments I-Think 4100 Thickness Tester
163 Laco Titan Test M Series Helium Leak Detector
164 Laco Titan Test M Series Helium Leak Detector
165 LAPMASTER Model 15 Benchtop Precision Open Face Polisher
166 LaserStar’s 1900 Series Industrial Laser Welding System 506-196-080-4
167 LaserStar’s 1900 Series Industrial Laser Welding System 506-196-080-4
168 LaserStar’s 1900 Series Industrial Laser Welding System 506-196-080-4
169 Lauda Integral XT 750 Process Thermostat -50°C to +220°C
170 Ledco Signmaster 44 Hot Roll Laminator
171 Ledco Signmaster 44 Hot Roll Laminator
172 Leko L930U Pulse Heat Alignment and Bonding TAB/FPC onto TFT/LCD
173 Lenton UAF 14/10 High Temperature Box Furnace
174 Leybold 297 23 B2 Right Angle Vacuum Valve
175 Leybold IPC-2 Pressure Converter Valve
176 Lighthouse Universal Manifold and Controller
177 Lindberg 58485-P-B Programmable 1500 Deg Controller
178 Line Tool Lens Holder – New, Never Used
179 Logitech DH-300 Driven Head Precision Polishing System
180 LPKF PRECISION CIRCUIT BOARD PLOTTER
181 March Instruments PX1000E8 Plasma Asher/Etcher w/Pneumatic Vertical Door
182 Mbraun Laminar Flow Glove Box
183 Meiritsu Visolator AY-1209K4 Optical Vibration Isolation Table
184 Met One A2408-1-115-1 Portable Airborne Particle Counter
185 Micromanipulator 450 Vacuum Base Probe Manipulator
186 Micromanipulator 7000 LTE Prober Dark Box
187 Microvision MVT 5080 Robot Parts from Microvision Inspection System
188 MIDAS DL-4 Hybrid Package De-lidder
189 Millipore MDVX160B05 Throttle Valve
190 Mitachi Unitek Uniflow 2 180SA Reflow Soldering and Placement System
191 MITUTOYO M PLAN NUV 50 LONG WORKING DISTANCE OBJECTIVE LENS
192 MKS 253A-3-2-2 Exhaust Throttle Valve
193 Mogrl Technology FOG 102-700 Automatic Fineness of Grind Fog Measuring Machine
194 MPI Corp. LEDA-8F 3G Plus-V 110 LED Prober Station
195 Neslab EX-250HT High Temperature Bath Recirculator with Flow Through Chiller
196 Neslab EX-250HT High Temperature Bath Recirculator with Flow Through Chiller
197 Neslab Steelhead 0 Heat Exchanger
198 NESLAB THERMOFLEX 5000 WATER COOLED REFRIGERATED RECIRCULATOR.
199 NESLAB ULT-80DD Low Temperature Bath/Circulator
200 New England Affiliated Stage
201 Newport 1830-C Optical Power Meter
202 Newport 4′ x 6′ Optical Table
203 Newport 918D-IS-IG Universal Fiber Optic Detector
204 Newport Benchtop Optical Breadboard Isolation Platform
205 Newport Optical Breadboard Metric 1m x 1m x38mm with threaded holes M6 on a 25mm
206 Newport Optical Breadboard Metric 1m x 1m x38mm with threaded M6 holes 25mm grid
207 Newport Optical Breadboard. 4″ thick by 24″ long and 36″ wide
208 Nikon Nomarski Optics Microscope
209 Nikon Optical Profile Comparator
210 Nikon Optical Profile Comparator
211 Noah Precision Model 10.0 MOCVD Thermoelectric Chiller
212 Nor-Cal 3870-01160 Ion Tube Isolation Valve
213 Nor-Cal 3870-01161 Valve
214 Nor-Cal 3870-01162 Valve
215 Nor-Cal ESV-1002-NWB Manual Right Angle Vacuum Valve
216 Nor-Cal Products TBV-IQR-400-ISO-100 Intellisys Gate Throttling Butterfly Valve
217 Nor-Cal Right Angle Vacuum Valve
218 Opto Micron FX-1209 Optical Vibration Isolation Table
219 Opto Micron FX-610 Motorized Stage
220 PACE Arm-Evac 1500 Fume Extraction System
221 Papenmeier Lodige High Intensity Mixer
222 Particle Measuring Lasair 1001-(11) Aerosol Particle Counting System
223 Particle Measuring Systems Lasair 1001-(8) Aerosol Particle Counting System
224 Particle Measuring Systems Lasair 510-(6) Aerosol Particle Counting System
225 Perkin Elmer Lambda 14 UV/VIS Spectrometer
226 Perkin Elmer Lambda 3B UV/VIS Spectrophotometer
227 Perkin Elmer Lambda 750 UV VIS NIR Spectrometer
228 Perkin Elmer Lambda 950 UV/VIS/NIR Spectrophotometer
229 Pfeiffer EVB100PX DN100 ISO K Right angle valve
230 Pfeiffer TMH 064 Turbo Pump with TCP15 Controller KF40
231 Pfeiffer TMH 064P Turbo Pump with TCP15 Controller KF40
232 Pfeiffer TMU 064 Turbomolecular Pump with TCP015 Controller
233 Pfeiffer TMU 064 Turbomolecular Pump with TCP015M Controller
234 Pfeiffer TMU 260 Turbo Pump with TCP 380 Controller
235 Pfeiffer TMU 260 Turbo Pump with TCP 380 Controller
236 Pfeiffer Vacuum PPT-100 Vacuum Pirani Gauge
237 Plasmatherm SLR-720/720 Dual Chamber RIE Reactive Ion Etch System
238 Polyscience 9805 Immersion Circulating Refrigerated Open Bath 189 Liter Capacity
239 Process Technology HCT 1084-S-G-X Electric Instantaneous DI Water Heater
240 Programmed test Sources D310 Frequency Synthesizer
241 PVA TEPLA ION 100/40Q WB Plasma Barrel Asher
242 Raith ESCOSY HIGH VACUUM MANIPULATOR
243 RAITH ESCOSY HIGH VACUUM MANIPULATOR STAGE
244 Randcastle Extrusion Systems RC-025 Benchtop Single Screw Extruder
245 Research Grade EBeam Evaporator
246 Reynolds Tech 3 ft. Stainless Steel Solvent Bench
247 Rietschle SAP 220 Combination Blow and Vacuum Pump
248 Right Angle Valve
249 Rocklabs C + RC Standard Ring Mill Grinder
250 Schumacher ATS-15 TLC ABU/TLC Temperature Control System Dopant Bubbler
251 Schumacher VMFC Vapor Mass Flow Controller for TEOS Bubbler
252 Scifab Series 1000 Deluxe Hard Tissue Microtome
253 Semilab WT-2000PVN Multifunction Wafer Mapping Tool
254 Semitool STI PA72-40MB-0603 Single Bolt Rotor
255 Senko APC 8000 Fiber Optic Polishing System
256 Senko APC 8000 Fiber Optic Polishing System
257 Sentro Tech 1500°C Tube Furnace STT-1500C-2-12
258 Serva Bench MK IV Vibration Isolation Table
259 Serva Bench Vibration Isolation Table
260 Shimadzu E1-2003M1 Turbopump Controller
261 Sigma Instruments SID-142 Multi Channel Thin Film Deposition Controller
262 Signatone S-1150B Analytical Wafer Prober
263 Signatone S-1160MW-D6 Manual 6″ Analytical Wafer Prober
264 Signatone S-M90 4 Axis RF/Microwave Micropositioner Probe
265 Signatone S-M90 4 Axis RF/Microwave Micropositioner Probe
266 Sinton Instruments FCT 450 Flash Cell Tester Light I-V Testing for Solar Cells
267 SLM AMINCO FA-073 Standard French Press Cell w filling stand
268 SMC CDRB2BWU30-90S-R73C Rotary Actuator Shutter Vacuum Feedthrough
269 SMC CRB1BW20-180S Rotary Actuator
270 SMC XGT512-70508-X693 High Vacuum Slit Valve – New in Crate
271 SMC XLD-50 KF50 Right Angle Vacuum Valve
272 SPECTRO INC. SPECTROIL M ELEMENTAL OIL ANALYZER PARTS MACHINE
273 Spex 6850 Cryogenic Impact Mill
274 Spex Sampleprep 6870 Large Freezer Mill
275 SSEC 3302 Single Wafer Chemical Etch Processor
276 Stanley Vidmar 4 Drawer Industrial Storage Cabinet
277 StereoZoom Microscope on a stand
278 StereoZoom Microscope on a stand
279 SVG/SITE Services 8632CTD/8636HPO Developer-Exposure Track
280 TEK-TEMP TKD-100 15,000 BTU AIR COOLED REFRIGERATED RECIRCULATING CHILLER
281 TEK-TEMP TKD-100 15,000 BTU AIR COOLED REFRIGERATED RECIRCULATING CHILLER
282 TENNEY BTC Benchtop Temperature Test Chamber
283 TENNEY BTC Benchtop Temperature Test Chamber
284 Tenney BTRS Temperature and Humidity Test Chamber
285 Tenney Lunaire TUJR Ultra Low Temperature Environmental Chamber
286 TESCAN VEGA-II Model LSH SEM
287 Thermo Oriel Accudose 9000 Photospeed Tool
288 Thermolyne F48020 1100° C Muffle Furnace
289 Thermolyne F48025 1200° C Muffle Furnace
290 Thermotron SE-1200-5-5 Ultra Low Temperature and Humidity Environmental Chamber
291 Thermotron SM-8C Temperature Humidity Environmental Testing Chamber
292 Thermotron SM-8C Temperature Humidity Test Chamber
293 Thermotron SM-8C Temperature Humidity Test Chamber
294 TotalTemp SD49 Cryogenically Cooled Thermal Platform
295 Tridak 450 Precision Fluid Dispensing Controller
296 T-TECH 7000-SE Quick Circuit Prototype System
297 UHV Surface Science Vacuum Chamber
298 ULTRON UH114-8 Wafer/Frame Film Applicator
299 Ultron UH115 Semiautomatic Wafer Frame Film Mounter
300 Ulvac DIS-500 Oil Free Scroll Vacuum Pump
301 Ulvac High Vacuum Elevator Chamber
302 Ulvac Stainless Vacuum Chamber
303 Ulvac Stainless Vacuum Chamber
304 Unit 1665 Mass Flow Controller
305 Unit 1665 Mass Flow Controller
306 Unit 3165 Mass Flow Controller
307 Unit Instruments UCAL-1000 Mass Flow Transfer Calibrator
308 Unit Instruments UFC 7321 MFC Mass Flow Controllers
309 Unit Instruments URS-10 MFC Power Supply and Readout
310 Unitek Phasemaster IV Hot Bar Solder Reflow System
311 UVOCS T10X10 UV Ozone Cleaner
312 UVOCS T10X10-OES Ultra Violet Ozone Cleaning System
313 UVP PR-100 Ozone Surface Cleaner
314 Vacuubrand MD4 Diaphragm Vacuum Pump
315 Vacuum Collar
316 Vacuum Flange
317 Vacuum Sample Transfer Arm
318 Varian 951-5090 Valve
319 VAT 14″ OD CF UHV Gate Valve model 14050 UE24 AAG3
320 VAT 14048-JE-006-0028 Stainless 10″ Gate Valve
321 VAT DN100 Right Angle VAcuum Valve. ISO flange 4″ ID and 5.125″ OD
322 VAT F10-113024/2 Gate Valve
323 VAT Gate Valve
324 VAT Gate Valve Series 10 Model 14046-TE44
325 VAT ISO GATE VALVE
326 Vat KF16 Right Angle Vent Valves
327 VAT Large Stainless Steel Gate Valve
328 VAT Rectangular Gate Valve
329 VAT Series S022/032 Rectangular Gate Valve Monovat
330 VAT Vacuum Gate Valve
331 Veeco Julabo TCU1 High Precision Heat Exchanger
332 Veeco Wyko NT9800 Noncontact Profiler
333 VWR 1415M Vacuum Oven
334 VWR 1670 High Performance Horizontal Air Flow Oven
335 VWR 1670 High Performance Horizontal Air Flow Oven
336 Wafer Polishing Jig
337 Weiss Atlas TH340L-70°C Temperature Humidity Test Chamber
338 Wentworth 0-001-1096 Vacuum Base Probe Manipulator
339 Wentworth 001-1146 Precision Vacuum Base Probe Manipulator
340 WENTWORTH MP 2300 Programmable Semiautomatic 200 mm Analytical Prober
341 WENTWORTH MP 2300 Programmable Semiautomatic 200 mm Analytical Prober
342 Wentworth SPM 196 Vacuum Base High Precision Probe Manipulator
343 West Bond 7372B Convertible Epoxy & Eutectic Die Bonder
344 West Bond 7372B Convertible Epoxy & Eutectic Die Bonder
345 West Bond 7372B Convertible Epoxy & Eutectic Die Bonder
346 Wilson Rockwell 643-T Hardness Tester
347 XYZTec Condor Sigma Advanced Bond Tester
348 XYZTec Condor Sigma LITE Bond Tester
349 Zeiss Axioskop Inspection Microscope

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5626-0-2-1-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers