Main Maker

Semiconductor Equipment Parts

Semiconductor Equipment Parts

Categories: ,

Description

The Semiconductor Equipment /parts are subject to prior sale without notice. These items are only for end users. The items are subject to prior sale without notice.

1 Accretech TSK MHF300L Test head manipulators
2 Accretech TSK MHF300L Test head manipulators
3 Accretech TSK MHF300L Test head manipulators
4 Accretech TSK MHF300L Test head manipulators
5 Accretech TSK MHF300L Test head manipulators
6 Accretech TSK MHF300L Test head manipulators
7 Accretech TSK MHF300L Test head manipulators
8 ADE Episcan 1000 FT-IR Spectromter for measurement of Epitaxial films
9 ADVANCED ENERGY MDX Magnetron Drive p/n 2011-000-D
10 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20)
11 Advantest T5371 Test system (With a single test head  )
12 ADVANTEST T5771ES Automated Test Equipment
13 ADVANTEST T5375 Automated Test Equipment
14 AG ASSOCIATES 610 Benchtop RTP System, for up to 6″ Wafers
15 AG Associates Heatpulse 210M Rapid Thermal Annealing System
16 Agilent 41501B SMU and PGU 2 units
17 AIR SYSTEM INTL SVB-E8EXP Electric Blower, Explosion Proof
18 AKRION V2-HL.2000 Acid Wet Bench
19 AKRION V2-SA.3200 Wet Process Station Including Tanks
20 Alcan Tech/Canon MAS-8000 Microwave Ashing System
21 ALCATEL 5150CP Turbo Molecular Vacuum Pump
22 Alessi REL 5000 Semi-Automatic Prober
23 ALESSI REL-4500 Analytical Wafer Prober with 6″ (dia.) Gold Plated Chuck
24 ALPHASEM Swissline 9006 (Spares) Automatic Epoxy Die Bonder, Parts Tool Only
25 ANGELANTONI T600 TU5 Large Clean Room Oven
26 Angelantoni T600 TUS Large Clean Room Oven
27 AP & S TwinStep-B H3P04 Semi-Automatic H3PO4 2 stage Megasonic QDR
28 Applied Materials 0020-0323 REV H Heat Exchanger
29 Applied Materials 0290-09018 Rev F Heat Exchanger
30 Applied Materials Centura 5200 EPI HTF EPI Deposition, 3 CH ATM
31 Applied Materials Centura RTP RTP system with 1 X Polygen Chamber SiN Process
32 Applied Materials Opal 7830i Enhanced CD-SEM
33 Applied Materials P5000 3 chamber CVD, Universal SiN process
34 Applied Materials P5000 4 CH system with 2 x SiN CVD, 2 x Etch
35 Applied Materials P5000 4 Chamber CVD, 2 CH etch, 2 ch TEOS
36 Applied Materials P5000 CVD 4 CH dxZ – SiN process
37 Applied Materials P5000 CVD System, 2 Chamber TEOS Oxide CVD
38 Applied Materials P5000 CVD with 2 CH etch, 2 CH CVD TEOS
39 Applied Materials P5000 CVD, 3 x Universal CVD Ch, SIN process
40 Applied Materials P5000 SACVD 4 CH system with 2 xTEOS CVD, 2 x Etch
41 ASM Epsilon 3200 GaAs Epitaxial Deposition
42 ASM Epsilon 3200 GaAs Epitaxial Deposition
43 Axcelis Fusion PS3 UV Cure System  2 CH UV Bake
44 Axcelis NV GSD 200E Medium Current Ion Implanter, 180 KV
45 Axcelis NV-GSD-200E2 Medium Current Ion Implanter
46 Baccini 35MW Solar Cell Line Solar Cell Print line for Mono or Poly Crystalline Solar Cells
47 Baccini Cell electrical tester Electrical Cell tester
48 Baccini CHIP AND CRACK CAMERA Chip and Crack camera
49 Baccini Dryer 1 Dryer 1
50 Baccini Dryer 2 Dryer 1
51 Baccini Screen Printer 2 screen printer
52 Baccini Screen Printer 3 screen printer
53 Bio-Rad Q5 (Upgrade to a Q6) Overlay Registration Tool
54 Bio-Rad Q7/Q8 Overlay metrology Tool
55 Bio-Rad Q8 Overlay Metrology
56 Bio-Rad QS-1200 FT-IR Spectrometer
57 Bio-Rad QS-300 FT-IR Spectrometer for measurement of EPI films
58 Bio-Rad QS-408M Manual FT-IR Spectrometer
59 BIORAD Q5 Overlay Metrology Tool
60 BIORAD QS-300 FT-IR Spectrometer for up to 200mm Wafers
61 BIORAD QS-408M Manual FT-IR Spectrometer for Epi, SiN, BPSG Measurement & More, up to 200mm Wafers, 2ea Available
62 Bruker D8 Fabline X-ray Diffractometer
63 Canon FPA 5000 ES2+ 248 nm lithography exposure system
64 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping
65 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Fast Firing Funace with Dryer
66 COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION
67 Contamination Control Desiccator boxes, 10ea Available
68 Convac M2000 Photoresist coater
69 CR TECHNOLOGY UF160-0 Xray System
70 Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM
71 Credence Personal Kalos I Test system
72 Cyberscan CT350T Dual non-contact double-sided optical profilometer
73 Dage 2400PC Wire Pull Tester with die shear load cell
74 Dage BT23 Die Shear Tester
75 Dage MCT 22 Wire Bond Pull Tester
76 DAGE 2400PC Wire pull tester
77 DAGE BT23-PC Die Shear Tester with LC200 Die Shear Load Cell
78 DAGE BT23PC Die Shear Tester with LC200 Die Shear Load Cell, 2ea Available
79 DAGE BT24 Ball/Bump Shear Tester
80 Digital Analysis PH10 Adjustment system PH Adjustment system
81 Disco EAD 6750 with Hanmi 3800LD Package Singulation Saw with Cleaner
82 Ebara 305W Turbo pump controller
83 Ebara 305W Turbo pump controller
84 Ebara 305W Turbo pump controller
85 Ebara 306W Turbo pump controller
86 Ebara 306W Turbo pump controller
87 Ebara A30W Vacuum Pump
88 Ebara A30W Vacuum Pump
89 Ebara ET300WS Turbo pump
90 Ebara ET300WS Turbo pump
91 Ebara ET300WS Turbo pump
92 Ebara ET300WS Turbo pump
93 Ebara ET300WS Turbo pump
94 Ebara ET300WS Turbo pump
95 Ebara ET300WS Turbo pump
96 Edwards D150 Dual GRC unit
97 Edwards D150 Dual GRC unit
98 Edwards E2M40 FSPX Rotary Vacuum Pump with oil filter
99 Edwards iQDP40 Dry Mechanical Pump
100 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo
101 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo
102 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo
103 Edwards QDP80 Dry Vacuum Pump
104 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo
105 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo
106 EKRA  X5 Solder Paste Printer
107 EKRA E4 Solder Paste Printer
108 Electroglas Horizon 4085X Fully Automatic Prober with an inker
109 ELES ART 200 Burn In Board testing system
110 ELES ART200 Debug Station for Reliability Test System
111 Entegris RSPX-EUV-036 EUV Reticle stocker
112 ESEC ESEC 2007 SSI DIE BONDER
113 ESEC ESEC 3006 Wire Bonder
114 ESEC ESEC 3006 Wire Bonder
115 ESEC ESEC 3006 Wire Bonder
116 ESEC ESEC3088 Wire Bonder
117 ESI 44 LASER TRIMMER SPARE PARTS
118 EVG 820 Dry Film Lamination System
119 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer
120 Fairchild Convac Falcon Polyimide developer track 2D
121 FAITH TECHNOLOGY RapiTran 2 Wafer Transfer Station
122 FEI Quanta Inspect fp Tungsten filament SEM
123 FICO AMS-11-MR Molding
124 FICO AMS-11-MR Molding
125 FICO AMS-11-MR Molding
126 FICO AMS-11-MR2 Multiplunger
127 FICO AMS-11-MR Molding
128 Fico Besi AMS-11-MR Mold System
129 Fico Besi AMS-11-MR Mold System
130 FORTREND F6000QS 6 INCH WAFER TRANSFER
131 Fortrend F6000QS 6 INCH WAFER TRANSFER
132 FSI Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track
133 Fusion M150PC UV Bake (For spares Use)
134 GCA/Tropel 9000 Wafer Flatness Analyzer
135 Gigi Molina Brevetti Plastici SpA Custom Manual wet bench
136 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood
137 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood
138 GL Automation IDSCOPE Wafer bar code reader
139 GL Automation IDSCOPE Wafer bar code reader
140 GL Automation IDSCOPE Wafer bar code reader
141 GL Automation IDSCOPE Wafer bar code reader
142 GL Automation IDSCOPE Wafer bar code reader
143 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System
144 HITACHI S-9300 SEM – CD (CRITICAL DIMENSION)
145 HITACHI S7000 CD SEM
146 HP 16500B Logic Analyzer
147 HP / Agilent 54601A Oscilloscope, 4 Channel 100 MHz
148 Innolas ILS 700P Laser Edge Isolation
149 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace
150 K AND S 982-10 Plus Dicing Saw
151 K Tech Engineering BK04A Blister tape applicator for microelectronic components
152 K&S 1471 Automatic wedge bonder
153 K&S 1488 Plus Automatic Gold Ball Bonder
154 K&S 6495 Semi-Automatic Epoxy Die Bonder with PRS
155 K&S 6495 Semiautomatic Epoxy Die Bonder
156 K&S 6496 Semi-Automatic Die Attacher
157 K&S 6497 Semiautomatic Flip Chip Die Bonder
158 K&S 9388 Laser Pro Automatic Ball Attach System
159 K&S Powerfusion Alu Wedge Bonder
160 KARL SUSS MA 150 Mask Aligner (For spares use)
161 KARL SUSS MA56 Mask Aligner
162 KARL SUSS MA6 Mask Aligner
163 KARL SUSS MJB-3 Mask Aligner
164 KARL SUSS PM 8 Prober
165 KLA-TENCOR 2122 WAFER DEFECT INSPECTION
166 KLA-TENCOR 2132 bright-field WAFER INSPECTION
167 KLA-Tencor AIT 1 Patterned Wafer Defect Inspection Tool
168 KLA-TENCOR AIT UV Dark field wafer particle inspection system
169 KLA-Tencor RS-55TCA Resisitivity Measurement
170 KOKUSAI DD-853V Vertical Diffusion Furnace, Phosphor Anneal Process
171 KOKUSAI DD-853V Vertical Diffusion Furnace, POCl3 and Phosphor Anneal Process
172 KOKUSAI DJ-835V Vertical Diffusion Furnace, D-Poly Si Process, PH3 doping
173 LABCONCO Protector Laboratory Fume Hood and Cabinet with Sink
174 Lam 4520 (spares) REMOTE CART
175 Lam 4520 (spares) REMOTE CART
176 LAMBDA PHYSIK Novaline K2005 EXCIMER LASER
177 LEATHERWOOD LPD333.FR4.FT Semi-Auto Automated 6′ Acid Wet Bench, for up to 6″ Wafers, Excellent Condition
178 LEATHERWOOD LPJ333.SS.ADFTX Semi-Auto 6′ Solvent Wet Bench, for up to 6″ Wafers, Excellent Condition
179 Liebherr FKV 3610 Fridge for photoresist
180 MACTRONIX UKA-650 Wafer Transfer Tool – Eureka III Sr. for 150mm Wafers
181 MACTRONIX UKA-825 Wafer Transfer Tool for 200mm Wafers
182 Mazzali Climatest C320G5 Temperature and humidity testing chamber
183 Mazzali Climatest C320G5 Temperature and humidity testing chamber
184 MDA Scientific System 16 Toxic Gas Monitor
185 MDC 986G Automatic CV Plotter
186 MDC CSM Automatic CV Plotter with RM-1600 Computer
187 MDC CSM/16 Automatic CV Plotter with RM-1600 Computer
188 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system
189 Micro Automation 2066 Mask and wafer cleaner
190 Microcontrol MWE Plus UV Wafer Eraser with cassette loading
191 MKS PAS-4U1HG Residual Gas Analyzer
192 MOSAID MS4155 Memory Test System
193 MPM SP200 Screen Printer
194 MRL Black Max Black max heater element, 850 celcius
195 MRL INDUSTRIES 1024 3-Tube Horizontal Diffusion Furnace
196 Multitest MT2168 Test Handler
197 Multitest MT8502 TriTemp gravity Handler
198 Multitest MT8589 Test Handler
199 Multitest MT8589 Test Handler
200 Muratec SRC320 /  LIM Over Head Transport System (OHT)
201 Nanofocus Micro-sprint 3d inspection system with handler
202 Neslab HX-2000 75 KW Recirculating Chiller
203 NESLAB HX-75 Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled
204 NESLAB HX-75 Recirculating Water Chiller, Air Cooled
205 NESLAB HX-750 Air Cooled Recirculating Water Chiller, Damaged Compressor
206 NexGen Technologies Nexgen Prober 2013 Model semi-automatic prober with hot chuck
207 NEXTEST MAVERICK PT I Automated Test Equipment
208 NEXTEST MAVERICK PT II Automated Test Equipment
209 NEXTEST MAVERICK PT II Automated Test Equipment
210 NEXTEST MAVERICK PT II Automated Test Equipment
211 NEXTEST MAGNUM I EV Automated Test Equipment
212 NEXTEST MAVERICK PT II Automated Test Equipment
213 NICOLET Magna 550 FT-IR Spectrophotometer
214 Nikon EpiPhot 200 Inspection Microscope
215 Nikon Optiphot Microscope
216 Nikon Optiphot 150 Wafer Inspection Microscope
217 NIKON Optiphot 150 Wafer Inspection Microscope, 10X, 20X, 50X & 150X Objs., 150mm XY Stage
218 NIKON Optiphot 200 (For spares use) Wafer Inspection Microscope (Parts Tool Only)
219 NIKON Optiphot 88 Wafer Inspection Microscope
220 Nikon Optistation 3 Microscope Wafer Inspection Satation with cassette to cassette handling
221 Nikon Optistation 3 Microscope Wafer Inspection Station with cassette to cassette handling
222 Nikon Optistation 3 Wafer Inspection System
223 Nikon Optistation 3A Automatic Wafer Inspection Station
224 NIKON V-12 Optical Comparator
225 Nordson Matrix X3 High Speed XRay System f. Tray Handling
226 OLYMPUS BH-BHM Wafer Inspection Microscope
227 Orbotech Ultra Discovery VM Automatic Optical Inspection
228 Orthodyne M360C Heavy Wire Bonder
229 Orthodyne M360C Heavy Wire Bonder
230 Orthodyne M360C Heavy Wire Bonder
231 Orthodyne M360C Heavy Wire Bonder
232 Orthodyne M360C Heavy Wire Bonder
233 Orthodyne M360C Heavy Wire Bonder
234 Orthodyne M360C Heavy Wire Bonder
235 Orthodyne M360C Heavy Wire Bonder
236 Oxford CMI 950 Xray fluorescence spectrometer
237 Oxford Flex AL-II ALD system
238 Oxford Micro-dep 300 PE CVD system (For spares use)
239 Oxford Micro-etch 300 Dry Etcher
240 Oxford Plasma Technology DP80 PE CVD
241 PlasmaTherm SLR 740 Dual Chamber RIE
242 Plasmos SD 2004 Multi-Wavelength Ellipsometer
243 PLASMOS SD2000 Automatic Ellipsometer
244 PMS Liquitrack 776200 Non volatile residual Monitor
245 Poly Design Inc. Custom Heated Quartz Boat storage / drying system
246 Rasco BCU-750 Brine Chiller
247 RECIF IDLW8R Wafer ID Reader – Tabletop for 200mm Wafers
248 RECIF SPP8 Wafer Transfer for 200mm Wafers
249 Rena Etcher In-Line Etching System
250 RIGAKU 3630 (For spares use) Xray Fluorescence Wafer/Disk Analyzer, Missing Xray Tube
251 Rigaku 3640 X-ray fluoresence wafer / disk analyzer
252 RIGAKU 3700H TXRF Wafer Analyzer
253 Roth & Rau Chiller Chiller
254 Roth & Rau SiNA Plus PECVD – Deposition of Silicon Nitride
255 Rucker & Kolls 680A SEMI-AUTOMATIC PROBER
256 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester
257 Sankei Giken TCW-12000 CV Process Module Chiller
258 SDI FAaST-330 Dielectric Characterization Tool with COCOS & Epi-t for up to 300mm Wafers
259 SDI SPV-300 Surface Photo Voltage Tester for up to 300mm Wafers
260 SEIKO SEIKI STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40
261 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box
262 Seminet Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Reticle Stocker
263 Semitool ST-240 Spin Rinse Dryer
264 Semitool ST-921R-AA Spin Rinse Dryer
265 Shinkawa ACB35 Wire Bonder
266 Shinkawa ACB35 Wire Bonder
267 Shinkawa ACB35 Wire Bonder Machine
268 Shinkawa ACB35 Wire Bonder Machine
269 Shinkawa ACB35 Wire Bonder Machine
270 Shinkawa ACB35 Wire Bonder Machine
271 Shinkawa ACB35 Wire Bonder
272 Shinkawa ACB400 Wire Bonder
273 Shinkawa ACB400 Wire Bonder
274 Shinkawa UTC 3000 Wire Bonder
275 Shinkawa UTC1000 Wire Bonder
276 Shinkawa UTC1000 Wire Bonder
277 Shinkawa UTC1000 Wire Bonder
278 Shinkawa UTC1000 Wire Bonder
279 Shinkawa UTC1000 Wire Bonder
280 Shinkawa UTC1000 Wire Bonder
281 Shinkawa UTC1000 Wire Bonder
282 Shinkawa UTC1000 Wire Bonder
283 Shinkawa UTC1000 Wire Bonder
284 Shinkawa UTC1000 Wire Bonder
285 Shinkawa UTC1000 Wire Bonder
286 Shinkawa UTC1000 Wire Bonder
287 Siemens Siplace F4 pick and place mounter
288 Siemens Siplace HS 50 pick and place mounter
289 SMC INR-341-59A DUAL CHILLER
290 SMC INR-341-61A Triple Loop Chiller
291 Solitec 5110 Spin Coater
292 ST Automation MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories
293 ST Automation MT32 Flash Memory Test System
294 ST Automation PTM1 Flash Memory Tester
295 ST Automation QT200 Automated Tester System with monitor
296 ST Automation QT200 Automated Tester System with monitor
297 ST Automation QT200 Automated Tester System with monitor
298 ST Automation QT200 Automated Tester System with monitor
299 ST Automation QT200 Automated Tester System with monitor
300 ST Automation QT200 Automated Tester System with monitor
301 ST Automation QT200 Automated Tester System with monitor
302 ST Automation QT200 Automated Tester System with monitor
303 ST Automation QT200 Test System
304 ST Automation QT200 Tester System with monitor
305 ST Automation QT200 (spares) boards from qt 200 test system – see attached list
306 ST Automation R.S.V. ST Memory Test System Electronic Automation
307 ST Automation test head test head for Eprom U 1835
308 STS 320 PC Reactive Ion Etcher
309 SYNAX SX3100 Handler
310 SYNAX SX3100 Handler Ambient/Hot
311 System General T9600 Universal Device  Programmer
312 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124
313 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124
314 TAKATORI ATM-1100E Automatic Wafer Taper
315 TAKATORI ATM-2100 Automatic Wafer Detaper
316 TAKATORI ATRM-2100D Automatic Wafer Detaper
317 Tektronix 11801C Digital Sampling Oscilloscope
318 Tektronix 2432A Digital Oscilloscope, 2 channel, with GPIB
319 TEKTRONIX 7704 Oscilloscope
320 Tektronix TDS 544A Color 4 channel digitizing oscilloscope
321 Tektronix TDS694C Digital 3 GHz real-time oscilloscope
322 TEL TOKYO ELECTRON MB2 730 HT HT CVD SYSTEM, 2 CHAMBER WSi Process
323 TEL TOKYO ELECTRON MB2 730HT CVD SYSTEM, 3 CHAMBER WSi Process
324 TEL TOKYO ELECTRON P8 Wafer Prober
325 TEL TOKYO ELECTRON P8XL Fully Automatic Wafer Prober (Gold Chuck)
326 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch
327 Teradyne Catalyst Automated Test System
328 Teradyne J971 TEST SYSTEM
329 Teradyne J971SP (Spares) Boards from VLSI test system
330 Teradyne J994 Memory Tester
331 TERRA UNIVERSAL 8 Tank Stainless Steel Sink with 8ea 14″ X 14″ X 12″ (d) Tanks
332 TOSOK DBD3310 Die Bonder
333 Towa CC-S Injection Molding Press
334 TOWA CC-S Injection Molding Press, 2ea Available
335 ULTRA-T SWC111 Sawed Wafer Cleaner for up to 200mm Wafers
336 UNITEK MIYACHI 1-124-05 Parallel Gap Welder with 2-152-02 Weld Head & 9-001-01 XFMR
337 Varian 350D (Spares) Implanter (Spare Parts)
338 VARIAN 936-70 SP Helium Leak Detector
339 VARIAN SD331 Mechanical Vacuum Pump, 2ea Available
340 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type
341 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type
342 VEECO MS-35T Turbopumped Leak Detector
343 VERIGY V4000 Automated Test Equipment
344 VERIGY V4000 Automated Test Equipment
345 VERIGY V4000 Automated Test Equipment
346 VERIGY V6000 Automated Test Equipment
347 Verigy / Agilent V6000e Test system
348 VERTEQ FLUOROCARBON RD4500 CLASSIC SRD
349 Vision Engineering Dynascope Inspection Microscope
350 VISION ENGINEERING Dynascope Projection Micrsoscope
351 Weiss TS130 Thermal shock testing chamber
352 Wentworth Labs 0-023-0021 6″ PROBE STATION/ WAFER PROBER MITUTOYO MICROSCOPE
353 WENTWORTH LABS MP-2300 Analytical Wafer Prober, for up to 200mm Wafers
354 Wentworth Labs MP900 Die Probe Station
355 WEST-BOND 7200A Manual Epoxy Die Bonder

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5303

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers