Main Maker

Semiconductor Equipment Parts

Description

The following semiconductor equipment and parts are available now. Pls contact us if you are interested in any of them. They are for end user only. They are subject to prior sale without notice. Appreciate your time.

PLS USE KEY “CTRL” AND ”F” TO SEARCH  THIS PAGE WITH YOUR KEYWORLD.

1 #S-002 DX-3 Temp DX3-KCWNR Temperature Meter Controller ID-AWM-F-1-012
2 .2 Meter Monochrometer/ Detector , Verity Instruments, Matrix 303 AWD-D-1-0-015
3 Japan Storage Battery Co., Ltd.  L500TS ID-AWS-7-1-001
4 001-0084-01 REV B SN 1123 AND 4279
5 0101-0351 Motor, Rotate   for Matrix 105 Plasma Asher Descum
6 0101-0425 ASSEMBLY,  PINS/DOOR VALVE  for Matrix 105 Plasma Asher Descum
7 0101-0484      Lift Bellow Assembly     for Matrix 105 Plasma Asher Descum
8 0101-0501 Assy, Heater Chuck  for Matrix 105 Plasma Asher Descum
9 0101-0692 ASSY,ORBITRAN MOTOR  for Matrix 105 Plasma Asher Descum
10 0101-0761 Assy, Heater Chuck  for Matrix 106 Plasma Asher Descum
11 0101-1001 /101-1001 / 1000-1001 For Matrix 10 Plasma Asher AWD-D-2-9-001
12 0101-1210 ? 0101-1013 For Matrix 10 Plasma Asher AWD-D-3-4-2-001
13 0150-0026-0300 Pump Assy TE-5C-MD 1Ph Marchpump Baldor Reliance Industry Motor
14 01-5533 Rev 2 chamber Plate
15 023-0103 101-0448 ? AC Power Discussion For Matrix Asher Etcher AWD-D-2-11-007
16 023-0103 AC Power Discussion For Matrix Asher Etcher Systems AWD-D-2-11-005
17 023-0103 AC Power Discussion For Matrix Asher Etcher Systems AWD-D-2-11-006
18 023-0103? 101-0448 AC Power Dis For Matrix Asher Etcher Systems AWD-D-2-11-018
19 025-0138 Temperature Controller, Omega  for Matrix 105 Plasma Asher Descum
20 032-0021-0001 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
21 032212-00 Holder Connect, DBD-E DE ,3.5 G-SM For STS ICP RIE (?) -NM
22 03385 KRPA14AG-12V ASSEMBLED In Mexico Potter & Brumfield Control Components
23 03601-22-040 Display Assy For Tegal 901e Tegal 903e Plasma Etcher DU-2-6/5-001
24 0500310 Transistor DC Bias Power Slip For PErkin-Elmer 4400 4450, AWM-F-5-3-003
25 0508524, 23F4049 Switch Micro DT-2RV3-A7 AWM-F-5-4-002
26 0508912, KM0C2M2ES7 Capacitor -2 MFD 2000 VOCW PLR Perkin-Elmer, AWM-F-5-3-001
27 0508913, 133273700,capacitor-2 Microfarrd, AWM-F-5-3-006
28 0508916 , 133-211-000, Capacitor 5 MPO ,For Perkin-Elmer 4400 4450 AWM-F-5-3-002
29 0508935, 122275700 Amplifier-isolation ,3650HG BB Burr-brown , AWM-F-5-3-005
30 0508954, 120-144-000 Switch Micro For Perkin-Elmer 4400 4450 4410 AWM-F-5-4-004
31 0508968, 8080-250k-024 Switch 15A BreakEr For PErkin-Elmer 4450, AWM-F-5-3-004
32 0508970 , 90F2193, Switch Toggle 7103 ,Perkin-Elmer 4400 4450 4410 AWM-F-5-4-005
33 0508978, DMOF120.5 Relay-.5 Second Time Delay AWM-F-5-3-007
34 053-0020 Chamber ,Branson/IPC L3200 Gasonics
35 054-0009-01 Quartz Fitting For Branson IPC 3000, 2000, 4000 Series Plasma Asher
36 055-0032-01: Pump Adapter Seal ,for Branson IPC Plasma asher
37 064-0002 Ceramic Ring, 4″, for Tegal 901e Tegal 903e Plasma Etcher 40-294-003 ?
38 0641-0253-01 With 7310-1058-01 For AG Associates Heatpulse ID-AWS-016
39 0641-0254-01 With 7310-1059-01 For AG Associates Heatpulse ID-AWS-016
40 0641-0386-01 For AG Asscoiates Heatpulse
41 0641-0386-01 retro kit, clg sta sen, 0500-0220-01
42 0641-0796-02 &7310-4730-01 A , 0710-0425-03 For AG Associates Heatpulse
43 0641-0796-02 KIT,FLOWER / FLOWSW RETRP
44 0641-0826-01 (7310-2423-01 7100-5628-01 7310-4666-01 ) Pyrometer Parts AG
45 0641-0829-01) 7310-2423-01 7100-5628-01 7310-4666-01 ?) Pyrometer. DCP Rework
46 0641-0830-01(7310-2423-01 7100-5628-01 7310-4666-01 ?) Pyrometer Parts AG
47 0641-0941-01 With 7100-5992-01 Etc For AG Associates Heatpulse ID-AWS-016
48 065-0008 1/4 hose barb valve in line,coupling insert for Tegal 901e Tegal 903e
49 06764-001, A90-005-06 C , A90-014-01 B ,A90-030-01 C PCBs AWD-D-2-8-3-001
50 06764-001, A90-2608 A, A90-2607 B ,A90-2609 A PCBs AWD-D-3-1-1-001
51 081551-0000, 203-2-1-62-752-4-1-1 Lite36k/1000k Switch ID-AWM-F-5-2-011
52 08244017 ? 21036018 244287-001 E / 244288-001 M Ester Interface AWW-10-2-4-014
53 09.2-0475 Bellow For Thin Film Deposition Equipment
54 0990-94012 Flow Switch .01GPM  for Matrix 105 Plasma Asher Descum
55 0990-95026 Vacuum Switch  for Matrix 105 Plasma Asher Descum
56 0992-30034 Coil, RF Output Inductor    for Matrix 105 Plasma Asher Descum
57 0992-40375 Electrode Full mesh Groud, for Matrix 103,106,105,205,108, 206 plasma
58 0992-40375 Electrode, Full Mesh Ground for Matrix 105 Plasma Asher Descum
59 0992-60126 Chuck ceramic ring for Matrix 105 Plasma Asher Descum
60 0992-60133 Insulator, Door for Matrix 105 Plasma Asher Descum
61 0992-60135 Ceramic Pin   for Matrix 105 Plasma Asher Descum
62 0992-60147 Quartz Chamber  for Matrix 105 Plasma Asher Descum
63 0992-60149 Insulator, Heater    for Matrix 105 Plasma Asher Descum
64 0992-60253-1 Baffle   for Matrix 105 Plasma Asher Descum
65 0992-60477 Rev B Robot Arm Effector Matrix
66 0992-70051 ELECTRODE, SILVER, POSITIVE for Matrix 103,106,105,205,108, 206
67 0992-70284 Clamp, Quartz Chamber for Matrix 105 Plasma Asher Descum
68 0992-80203  Bracket, Mount Autotune  for Matrix 105 Plasma Asher Descum
69 0994-30034 #10 Nylon Washer for Matrix 105 Plasma Asher Descum
70 0994-60005 O-ring   for Matrix 105 Plasma Asher Descum
71 0994-60006 O-ring for Matrix 105 Plasma Asher Descum
72 0994-60007 O-ring  for Matrix 105 Plasma Asher Descum
73 0994-60050 O-ring    for Matrix 105 Plasma Asher Descum
74 0994-60063 O-ring    for Matrix 105 Plasma Asher Descum
75 0995-10512 INDEXER,CASSETTE for Matrix 103,106,105,205,108, 206
76 0995-10569 Assy, Shaft Gear       for Matrix 105 Plasma Asher Descum
77 0995-10575 ASSY,POTENTIOMETER  for Matrix 105 Plasma Asher Descum
78 0995-10575 Orbitran Pot Assembly for Matrix 105 Plasma Asher Descum
79 0995-16521 Valve,Clippard,24V LP,Door/Pin    for Matrix 105 Plasma Asher Descum
80 0995-16524 Isolation valve,1/2 VCR Male,NC   for Matrix 105 plasma asher descum
81 0995-16527 Clippard Valve        for Matrix 105 Plasma Asher Descum
82 0995-99711 Baratron Gauge, MKS  for Matrix 105 Plasma Asher Descum
83 0995-99712 Matrix105 Throttle valve MDVX-015 for Matrix 105 Plasma Asher Descum
84 0995-99788 Adjustable Band Clamp, Matrix for Matrix 105 Plasma Asher Descum
85 09A208 Transistdc 2N4233A
86 10 Inch Shield Panel  ID-AWS-011
87 101-0049 DC Power Distribution For Matrix Asher Etcher Systems AWD-D-2-11-003
88 101-0049 DC Power Distribution For Matrix Asher Etcher Systems AWD-D-2-11-016
89 101-0049 DC Power Distribution For Matrix Asher Etcher Systems AWD-D-2-11-017
90 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-012
91 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-013
92 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-014
93 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-015
94 101-0178 AC Controller Assy For Matrix Asher Etcher Systems AWD-D-2-11-001
95 101-0178 AC Controller Assy For Matrix Asher Etcher Systems AWD-D-2-11-011
96 101-0461 ? System Main Controller For Matrix Asher Etcher AWD-D-2-11-008
97 101-0461 ? System Main Controller For Matrix Asher Etcher AWD-D-2-11-009
98 101-0461 ? System Main Controller For Matrix Asher Etcher AWD-D-2-11-010
99 101-0461 ? System Main Controller For Matrix Asher Etcher Systems AWD-D-2-11-004
100 101-0461 System Main Controller For Matrix Asher Etcher Systems AWD-D-2-11-002
101 101-0500-VM 5V Valve
102 101-0999 /0101-0999 For Matrix 10 Plasma Asher AWD-D-2-9-002
103 101-1000 For Matrix 10 Plasma Asher AWD-D-2-9-003
104 101-1000 For Matrix 10 Plasma Asher AWD-D-2-9-004
105 1090-0033-C Chuck Heater Assy. 2”-4”  for Matrix 105 Plasma Asher Descum
106 1116-40601 Tegal 1513e Assembly Lower Electrode Spare Part
107 111968 Electrode Upper Insulator UHV Clean For STS ICP STS PRO ICP
108 12 Inch  Si Wafer With 4 Inch Pocket
109 12 Inch  Si Wafer With 8 Inch Pocket
110 12 Inch Cassette Box
111 12 Inch Wafer With 13 Of 1.25×1.25 Inch Pockets
112 12 Inch Wafer With 2.25×3.25 Inch Pocket
113 12 Inch Wafer With 3 Of 2 Inch Pocket
114 12 Inch Wafer With 3 Of 4 Inch Pocket
115 12 Inch Wafer With 3 Of 4 Inch Pocket And 3 Of 1.25×1.25 Inch Pocket
116 12 Inch Wafer With 5 Of 2 Inch Pocket
117 12 Inch Wafer With 7 Of Holes
118 12 Inch Wafer With 9 Of 2 Inch Pocket
119 125ml 4oz HDPE
120 126-310-010 Multipin Electrical Feedthrough Perkin-Elmer
121 13 Mhz Matching Unit Controller SRE 134040 ISS. 3 MA-CB3622 AWD-D-1–1-4-001
122 13794-01 Branson IPC VIDEO, PWB 13793-01
123 13842-01 APPLIED MICRO TECHNOLOGY
124 13952-01 A For  Branson/IPC  Asher (?) ID-AWS-k-5-1-006
125 14679-01 Branson IPC,Made in USA, CUBIT DIV PROTEUS INDUSTRIES
126 14908-001 , MITCHELL ELECTRONICS, 00200-AD01 , 00200-0001
127 14inch 4430 RF Diode Backing Plate w SiO2 target
128 14inch Moly Pallet no pocket
129 14inch Perkin Elmer 4430 RF Diode Backing Plate w TiW target
130 14inch Perkin-Elmer 4430 Moly Pallet 12x3inch pockets
131 15-00729-00 CLAMP, SPINDLE HUB MOUNT, Lam Research Novellus
132 15112-01 E Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-012
133 15114-01 E ,Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-003
134 15114-01 E, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-009
135 15114-01 E, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-010
136 15198-01 E , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-013
137 15198-01 E , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-014
138 15198-02 E, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-016
139 15417-01 C, Cable ,Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-020
140 15649-01 C , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-023
141 15649-01 C ,Cable For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-034
142 15651-01 C , 15437-01 B ,Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-003
143 156x156mm Cassette
144 15721-02 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-010
145 15721-02 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-011
146 15867-02 G, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-004
147 15867-02 G, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-005
148 15867-02 G, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-007
149 15988-01 A Branson IPC Temperature Input
150 160-124-00 Fan Blade For Perkin-Elmer 4400 4450 4410 ? AWM-F-5-4-007
151 16121-01 D,Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-011
152 16122-01 D & 16512-01 B, Cable ,Gasonics Aura 3010, 3000 L3510 AWD-D-1-0-022-015
153 16122-01 D, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-026
154 16321-01 D (2) 16321-01 B (1) For Gasonics Aura 3010,3000 AWD-D-1-0-021-009
155 16321-01D For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-023-011
156 16510-01 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-021
157 16510-02 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-020
158 16511-01 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-022
159 16512-01 B , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-022
160 1666G2Y Micro HOKE. MITE Regulator Valves-5
161 16752-01 C, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-006
162 16752-01 C, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-008
163 16878-01 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-029
164 16878-02 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-028
165 16879-01 C With Key Cable ,Gasonics Aura 3010, 3000 ,L3510 AWD-D-1-0-022-021
166 16881-01 C , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-024
167 16881-01 D, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-017
168 16924-01 Graph CTR PCB  For Gasonics Aura 3010, 3000 AWD-D-1-0-021-006
169 16×2 Inch  Susceptor, SiC , Base And Cover
170 17-041114-00 A RA8000 2796  For Matrix 10 Plasma Asher AWD-D-3-4-2-002
171 17305-01 AGL Gerling Microwave Power Source,Gasonics Aura 3010 AWD-D-1-0-006
172 17305-01 Gerling C12932-2 Microwave Power Source,Gasonics 3010 AWD-D-1-0-003
173 17305-01? Gerling C12365-1 Microwave Power Source,Gasonics 3010 AWD-D-1-0-004
174 17305-01?AGL Gerling C12932-2 Microwave Power Source,Gasonics 3010 AWD-D-1-0-007
175 17305-01?AGL Gerling C139 HVS Microwave Power Source,Gasonics 3010 AWD-D-1-0-008
176 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-001
177 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-006
178 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-007
179 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-008
180 17317-01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-003
181 17317-01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-004
182 17317-01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-005
183 17317-01D AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-002
184 17330-0 Rev C Process Chamber Assy For Gasonics Aura 3010 3000  AWD-D-1-0-001
185 17330-0 Rev C Process Chamber Assy For Gasonics Aura 3010 3000  AWD-D-1-0-002
186 17351-01 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD-D-3-1-7-003
187 17382-01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD-D-3-1-14-001
188 17382-01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD-D-3-1-14-002
189 17382-01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD-D-3-1-14-003
190 17396-01 B , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-009
191 17397-01 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-018
192 17397-01 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-019
193 17405-01 A, 96-0331 A, 96-0154 B, 17394-01 A, 17403-01 B & PCB AWD-D-1-0-020-007
194 17405-01 A, 96-0331 A, 96-0154 B, 17394-01 A, 17403-01 B & PCB AWD-D-1-0-020-008
195 17407 B , Cable For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-037
196 17407 B , Cable For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-038
197 17407-01  B, Cable For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-024
198 17407-01 B , Cable For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-033
199 17407-01 B, Cable For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-022
200 17409-01 A , Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-002
201 17461-01 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD-D-3-1-7-002
202 17542-01 A SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD-D-3-1-7-001
203 17665-01 C,Cable ,Gasonics Aura 3010, 3000 L3510 AWD-D-1-0-022-016
204 1E-011 Tegal Spare Part, Bellow
205 1X0-0170-001 EKSUSA4COM STB System For Gasonics Aura 3010,3000 AWD-D-1-0-021-007
206 2 Inch Wafer, Material Sapphire? GaN? Sapphire With GaN Epi?
207 2 Inch Wafer, Thin, 50-100um? Material Si? InP?
208 2000-0013-01 AG Associates Heatpulse PCB
209 2000-0013-03 AG Associates Heatpulse PCB
210 2100-0006-01 AG Associates Heatpulse PCB Rev A.5
211 2100-0006-02 AG Associates Heatpulse PCB Rev A.2 ZiaTech ZT8850
212 2100-0007-1000-002 AW-Etch-1000-002 PCB AWM-E-5-2-008
213 2100-0008-1000-016 pcb
214 2100-0022-01 AG Associates Heatpulse PCB
215 2100-0031-01 AG Associates Heatpulse PCB
216 2100-0032-01 AG Associates Heatpulse PCB
217 2100-0060 AG Associates Heatpulse PCB 2100-0060-02 ZiaTech ZT8812
218 2100-0110 AG Associates Heatpulse PCB
219 2100-0120 MIO-24 AG Associates Heatpulse PCB
220 2100-0150 AG Associates Heatpulse PCB
221 2100-0160 AG Associates Heatpulse PCB
222 2100-0170-01 AG Associates Heatpulse PCB
223 2100-0190 ROCK-CADAC 3V-0 000226-F
224 2100-0212 -01 AG Associates Heatpulse PCB
225 2100-0214-01  For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
226 2100-0241-01 ZiaTech ZT8820B E.2 AG Associates Heatpulse PCB 2100-0241-009
227 2100-0243-01 AG Associates Heatpulse PCB DASB-FGA 14139 REV B PC7462
228 2100-0250 AG Associates Heatpulse PCB
229 2100-0251-01 AG Associates Heatpulse PCB
230 2100-0253-01 AG Associates Heatpulse PCB
231 2100-0253-02 AG Associates Heatpulse PCB
232 2105-220251-12(214) CAP Quartz ROHM Device
233 2105-220252-12(214) ? Pedestal Base, Quartz Base ROHM Device
234 2105-220252-12(214) Pedestal Base, Quartz Base ROHM Device
235 2105-220252-12(214) Pedestal Base, Quartz Base ROHM Device
236 2105-220254-12(214) Cap Temp Check  ROHM Device
237 2105-320027-12 Rev 0 Rev 12-214Quartz Shutter ROHM Device
238 2105-420025-12 Rev 0 Bart Tip Quartzware Quartz Part Rohm Corporation
239 2105-420045-12 Rev 0 Injector Sleeve Quartzware Quartz Part Rohm Corporation
240 2105-420054-12 Rev NA Quartzware Quartz Part Rohm Corporation
241 2105-420128-12 Rev 12 Sleeve Quartzware Quartz Part Rohm Corporation
242 2105-420294-11 (214) Pipe, Intake Gas  Quartzware Quartz Part Rohm Corporation
243 2112-9020-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
244 21-2402 SL5410SM 2PW FV4 FV4 APTech Regulated Valves
245 214423 , Cable For Gasonics Aura 3010, 3000 2000LL L3510 (?) AWD-D-1-0-022-014
246 221-127-600 PES0071001 ,0509003 3/4” Disk , Perkin-Elmer 4400 ID-AWM-F-5-2-013
247 221-313-100 Delat Backing plate,for Perkin-Elmer 4410, 4450,4480
248 221-313-100-T Delat Backing plate,for Perkin-Elmer 4410, 4450,4480
249 233-2660-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
250 233-2663-94 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
251 2403-0072-01 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
252 2-440-GS40 O Ring G-B33SOL 0384164
253 2451-0013-01 QIR208-1500SS IR Lamps For AG Associates Heatpulse 8108 , 4108 RTP
254 2451-0014-01 QIR208-1000AAS / QIR190-1000 ASS IR Lamps AG Associates 8108 , 4108
255 2451-0014-01 QIR208-1000AAS / QIR190-1000 ASS IR Lamps AG Associates 8108 , 4108
256 2451-0040 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
257 2451-0046-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
258 2500-0003-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
259 2502-0004-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
260 2502-0004-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
261 2502-0040 For AG Associates Heatpulse
262 2502-0080 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
263 2504-0033-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
264 2506491-21 / 2506-491-21 / 2506-491-01rev A PCB Interconnection Gas Mat810-03421
265 250715 PCB  Board For Branson/IPC ?  ID-AWM-F-5-2-005
266 251906  PCB  Board For Branson/IPC ?  ID-AWM-F-5-2-006
267 2522-0060 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
268 2601-0003-01 Fan For AG Associates Heatpulse , ETRI Model 99XU 99XU2182000
269 26-12000-05 AP1101SHM 2PW FV4 MV4 4.00 APTech Regulated Valves
270 262078 Relay   PCB  Board For Branson/IPC ?  ID-AWM-F-5-2-007
271 281-7310 Perkin Elmer Ultek LN2 Feedthrough
272 28F6518F ASM28F6519 28F6520 PCB DS-4-11-003
273 2900-4015-01 CONTROLLER, MULTI-L
274 2901-0030 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
275 2901-0040 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
276 2908-0041-01 Meter, 1/2?, BRASS,0.5-4GPM,H2O
277 2908-0060-01 Meter H2O Flow 3-6 GPM KOBOLD SMW-7117-B For AG Asscoiates Heat
278 2oz Clear Glass Black Plastic Lids 12 Pack X000WUM5UH
279 2oz Clear Glass White Plastic Lids
280 2S700-601-(2S003-017) Japan With STK6722H AWM-B-4-5-008
281 3 Inch InP Wafer
282 3 Inch Susceptor Wafer Carrier  MPT RTP-600s RTP-800s AG Associates AG 610
283 3 Inch Susceptor Wafer Carrier For MPT RTP-600s RTP-800s AG Associates AG 610
284 301 FSVP N2 10 SLM Mass Flow Controller MFC Porter Instrument Company
285 302-031-03 23017-6 PCB
286 31-233-006 Assy., Loader Spatula for Tegal 901e Tegal 903e Plasma Etcher
287 32 Bit Interface Model No. 120 Semi fusion Corp #356 Tr. ST9 Or ST600 Or ST009?
288 33-295-001 A Tegal Spare Part
289 36-7000-01: Capacitor  for Gasonics Aura 1000 Plasma Asher
290 3700-0110-01 Nitsuko Corporation Model BCR26 BCV5100 001100008 DC Power Supply
291 3701-0003-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
292 38 sets Electroglas 2001X Wafer Probe
293 3900-0001 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
294 39-535-003 Tegal Spare Part
295 39-562-001 Tegal Spare Part
296 39-732-001 Collar Pin  for Tegal 9013 903e Plasma etcher
297 39-793-001 Tegal Top Electrode Raines Technologies
298 4 Inch Metal Cassette
299 4 Inch Metal Cassette
300 4 Inch Plastic Cassette And SST Tank With Small Holes For Wet Process
301 4 Inch Si Wafer Carrier With 2 Inch Pocket
302 4 Inch Si Wafer With 3 Inch Pocket With Flat
303 4 Inch Teflon Cassette PFA EMPAK X7100-01 PFA Wafer Cassette
304 4 Inch Teflon Cassette PFA FLUOROWARE AI82-39M PFA Wafer Cassette
305 4 Inch Teflon Cassette PFA FLUOROWARE AI82-39MLBH  LZ Wafer Cassette
306 4 Inch Teflon Cassette PFA FLUOROWARE AI82-39MLBY PFA Wafer Cassette
307 4 Inch Wafer Handle
308 4 Of 2 Inch Susceptor Wafer Carrier  MPT RTP-600s RTP-800s AG Associates AG 610
309 400 Series AIRCO Regulated Valves With Marsh Meters Gauges
310 4000-1044-06 Lamp PWR CNTL
311 4000-1044-07 Lamp Driver 208VAC
312 4000-1044-07 Lamp Driver 400VAC
313 4004-0004-01 Model WI40-371-00/xx,xp Converter Concepts Inc
314 4004-0020 Condor MPS-140W Power Supply AG Associates Heatpulse RTP
315 4004-0050 AG Associates  Power One Model HN12-5.1-A Power Supply
316 4004-0060 (AG Associates )Power One HDD15-5-A Power Supply 71269-04 Gasonics ?
317 4-01243 Barrel, Susceptor, 5 inch,XYCARB CERAMICS
318 40-215-001 Tegal Spare Part
319 40-297-001 Quartz Pin for Tegal 903e Tegal 901e
320 40-297-002 Quartz Pin, for Tegal 901e Tegal 903e Plasma Etcher
321 40-329-002  Tegal Spare Part
322 40-375-001 PAD ISOLATION  for Tegal 9013 903e Plasma etcher
323 403-E1IS SCR AG Associates Heatpulse Chips
324 4050-1016-01  AG Associates Heatpulse TSCRN, CUSTOM
325 4050-1023-01  AG Associates Heatpulse TSCRN MON, STAND ALONE
326 4100-0017-11 Pura-LITE Barnsread A110B For AG Asscoiates Heatpulse
327 4100-0044-15  For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
328 4100-0048-01: Robot Vacuum Valve   , Equipe PRI Brooks
329 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-6-3-002
330 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-6-4-001
331 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-7-4-001
332 4145B Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-6-3-001
333 41500804 310819 Veriflo Regulated Valves With Span Instruments Meters Gauges
334 42A13DCH2AA025 MKS Baratron Pressure Switch  1000 Torr-G
335 4500-0026-03 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
336 4500-0026-03 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
337 4501-0006-01 LC1-FF43-JV-24/60 AQO 105 AMP 3 Pole Contactor ID-AWM-D-5-001
338 4501-0040 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
339 4502-9041-01 VALVE, DIAPH, QTR TURN
340 4502-9042-01 VALVE, DIAPH
341 4502-9043-01 Aptach Valve
342 46-467-001 for Tegal 901e Tegal 903e
343 4810-0040-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
344 4810-0045-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
345 4810-0270 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
346 4855 Digital Beam Control Interface Fisons Kevex Instrumentals ID-AWM-D-1-007
347 48600136 4 88,42700383 4 88,42800891,48600133,427-00384 VERIFLO
348 48V Control Board Assy 06763-005 Rev3 For Gasonics Aura 2000-LL AWD-D-2-8-12-010
349 4900-0005-08 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
350 4Z(A)-PR4-VT-SS Parker Valve
351 5 Cassette Entegris X9125-0407 Wafer Cassette
352 5 Inch Teflon Cassette PFA FLUOROWARE AI82-50MB Wafer Cassette
353 5 Inch Teflon Cassette PFA FLUOROWARE AI82-50MBH PFA Wafer Cassette
354 5 Inch Teflon Cassette PFA FLUOROWARE AI82-50MU Wafer Cassette
355 50-056-001 SCREW LEAD ELEV  for Tegal 9013 903e Plasma etcher
356 500-B4 AM27C512-205 DC 039UADC AMD AG Associates Heatpulse Chips
357 5017-000-E Advanced Energy Power AWD-D-3-5-010
358 503992 PCB  DSCILATOR Board For Branson/IPC ?  ID-AWM-F-5-2-004
359 50590-01 ISS 1 SN 18026 TEGAL PCB
360 50590-01 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-6-001
361 50590-01 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-7-001
362 50590-01 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-8-001
363 5101-0002-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
364 5101-0011-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
365 5101-0100 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
366 5101-0150 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
367 5101-1302-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
368 5102-0023-01 Metron Technology AG Associates Heatpulse
369 5102-1024-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
370 5108-1059-01 flow switch, 1450 psi
371 538491-120 V GCAPRECISION SCIENTIFIC TEMPERATURE CONTROL P.C. ASSEM
372 55-004-001 for Tegal 901e Tegal 903e
373 55-005-001 for Tegal 901e Tegal 903e
374 55-008-001 for Tegal 901e Tegal 903e
375 5602-0050 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
376 56-042-001 NUT ELEV for Tegal 901e Tegal 903e Plasma Etcher
377 5990-0147-0001 A 7188-0194-0001 Spacer PCB AWM-B-4-4-005
378 5990-0147-0001 A PCB AWM-B-4-4-006
379 5B16I2L5 AG Associates Heatpulse Chips
380 6 Inch Cassette And Box (1set)
381 6 Inch Si Wafer Carrier With 2 Inch Pocket
382 6 Inch Si Wafer Carrier With 4 Inch Pocket
383 6 Inch Teflon Cassette PFA EMPAK 3150-14  PFA Wafer Cassette
384 6 Inch Teflon Cassette PFA FLUOROWARE AI82-60MB PFA Wafer Cassette
385 6 Inch Teflon Cassette PFA FLUOROWARE AI82-60MBH PFA Wafer Cassette
386 6 Inch Teflon Cassette PFA FLUOROWARE AI82-60MU PFA Wafer Cassette
387 6.5 Inch Disk , Graphite With SiC Coating
388 6.75 Inch Disc, Cover, Silica With SiC Coated
389 6” Aligner ? For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
390 60 Mm Chart ES-001 Made In Japan  5 Boxes In A Package ID-AWS-L-1-2-001
391 600-AKV-L ARAMID / FIBERGLASS BLEND 19 oz Aluminized Para Aramid Blend RN 104083
392 61-0052 AGL Gerling C12932-2 Microwave Power Source,Gasonics 3010 AWD-D-1-0-005
393 61-0052-U AGL Gerling 139HVS Microwave Power Source,Aura 3010 AWD-D-1-0-010
394 61-0052-U AGL Gerling C12932-2-U Microwave Power Source,Aura 3010 AWD-D-1-0-009
395 6149-2293-624 No 2293624 UM 45-D15SUB/S/ZFKDS Ch. No 3005804625 ID-DW-6-4-2-004
396 6149-2293-637 No 2293637 UM 45-D25SUB/S/ZFKDS Ch. No 3005815597  ID-DW-6-4-2-005
397 63-0084 A,Cable For Gasonics Aura 3010, 3000 2000LL L3510 (?) AWD-D-1-0-022-013
398 6338-2884-0 Cable DB15M/DB15F, 20FT For Thin Film Deposition Equipment
399 6338-2886-0 Cable DB25M/DB25F ,20FT For Thin Film Deposition Equipment
400 64-2560TRN20 30 PSIG Max Tescom Regulated Valve Tescom
401 670-097431-070 KT Protector ID-AWM-F-5-1-001
402 680-0146-021 Perkin Elmer LH TM11-E1501/230CV Power Supply ID-AWM-D-2-5-004
403 680-0146-021 Perkin-Elmer Custom Rectifier LH Research Model TM11-E1501/230CV
404 690-5349-002 W/ 690-8048-002 Pneumatic Controller , Tegal DS-5-13-001
405 6L-M1V2858-II NUPRO Valve
406 6LV-DLBW4 NUPRO Regulator Valve Gas Line SST Tube
407 7100-00874-11 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
408 7100-0474-01 Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
409 7100-0606-01 Rev A , 3 Fans Of ETRI 99 XM 99 XM 2182 99XM2182 AG Associates
410 7100-0874-03 Rev H AG Associates Heatpulse RTP ERP Pyrometer
411 7100-0874-05 Associates Heatpulse RTP ERP Pyrometer
412 7100-0874-09 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
413 7100-1035-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
414 7100-1091-01   ( FLUKE 1780A ) FLUKE, MOD (W/O FEET) AG Associates Heatpulse
415 7100-1134-03 Pyrometer AG Associates Heatpulse (7100-1282-03 7100-5628-01)
416 7100-1134-06 Pyrometer AG Associates Heatpulse 8108, 8800, 4108, 4100, 4100S
417 7100-1182-07 AG Associates Heatpulse 8108 ERP Pyrometer
418 7100-1213-04 DCP Pyrometer 7100-1134-059 Pyrometer AG Associates Heatpulse
419 7100-1223-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
420 7100-1282-03(7100-5622-02?)Pyrometer AG Associates Heatpulse 8108 8800 4108 8008
421 7100-1497-02 Robot Effector Robot ARM AG Associates Heatpulse + 7200-0982-03
422 7100-1885-01 Rev:   E Oven Assembly, 8108 Standard AG Associates Heatpulse
423 7100-1970-02 (7200-1010-02+7300-0173-03+7310-0568-01) Robot Effector
424 7100-2152-03 H For AG Associates Heatpulse 4100 4108 8108 AWD-D-1-0-022-026
425 7100-2282-06 , Top Plate Assembly, AG Association Heatpulse 8108, Heatpulse 8800
426 7100-2751-01 Shell A1VANIA Grease 14 oZS Type L AG Associates AWR-BB-7-002
427 7100-3192-01 F For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
428 7100-3597-01 fork assy, dtc,ncluding: 7310-3049-02-C (Plate), 7310-3050-01-A
429 7100-4015-01 With B-47 273903-1 For AG Asscoiates Heatpulse
430 7100-4016-01 With 7310-2914-01 A / B-47 273903-1 For AG Asscoiates Heatpulse
431 7100-4016-02 With 7310-2913-01 A / B-47 273903-1 For AG Asscoiates Heatpulse
432 7100-4078-03 Rev:   E Oven Assembly, 8108 Standard AG Associates Heatpulse
433 7100-4304-01 BBU Battery Back-up Unit AG Associates 7100-4278-01 7100-4307-01
434 7100-5121  For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
435 7100-5121 ? 2901-0030 ? For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
436 7100-5177-01 AG Associates Heatpulse Chips
437 7100-5622-02 AG Associates Heatpulse RTP DCP Pyrometer Dural Color Pyrometer
438 7100-5622-02 Pyrometer AG Associates Heatpulse 8108 8800 4108 8008
439 7100-5622-02 Pyrometer AG Associates Heatpulse 8108, 8800,4108, 4100, 8008,4100S
440 7100-5628-01 For 7100-5622-02 / 7100-1282-03 Pyrometer AG Associates Heatpulse
441 7100-5633-02 AG Associates Heatpulse PCB Zero Crossing Board 3-PH 60Hz AG RTP
442 7100-5705-04 Lamp PWR CNTL
443 7100-5746-01 RING, SLIP-FREE,  5IN  7450-0032-05
444 7100-5747-01B RING, SLIP-FREE 6 Inch
445 7100-5750-01 assy s-f/pkg 6in w/o flat, SLIP FREE RING (7450-0059-06J),A VERSION
446 7100-5759-01 ASM S-F/PKG 8IN N/FLT DTC,B VERSION, SLIP FREE RING
447 7100-5762-02 assy s-f/pkg notch 6 in. 7310-5737-02
448 7100-5855-01A / 2100-0214-01 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
449 7100-5999-01 RING, SLIP-FREE, 8IN, B Version
450 7100-6159-05 Lamp Driver
451 7100-7748-02 PENTIUM COMPUTER  AG Associates Heatpulse
452 7100-7911-02 Front Flange AG Associates Heatpulse 8108 Heatpulse 8800, 4108 8008
453 71268-02 Gasonics Power One Model HB5-3/OVP-A (HB530VPA)
454 7137 Rev E4, SST-8900 FCC ID HNG890CL-BBD1TIA1 AWW-10-2-6-011
455 715-28552-001 Rev 1 For Lam Research Lam Rainbow 4xxx ( 715-28552-001-1 )
456 716-6368-1 Rev 3 Lam Research 71663681 14C5996R AWD-D-1-0-018-002
457 7200-0067 SR5014590 ,For AG Associates Heatpulse
458 7200-0069 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
459 7200-0189 A For AG Asscoiates Heatpulse
460 7200-0190-C For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
461 7200-0210 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
462 7200-0211-A For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
463 7200-0213-B for AG Associates Heatpulse RTP
464 7200-0256-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
465 7200-0257-A For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
466 7200-0258-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
467 7200-0310-01 A For AG Asscoiates Heatpulse
468 7200-0365-01 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
469 7200-0381-02 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
470 7200-0382-01 For AG Asscoiates Heatpulse
471 7200-0383-01A For AG Associates Heatpulse
472 7200-0384-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
473 7200-0385-02 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
474 7200-0389-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
475 7200-0564-02 For AG Asscoiates Heatpulse
476 7200-0564-02 For AG Asscoiates Heatpulse
477 7200-0564-02 For AG Asscoiates Heatpulse
478 7200-0564-02A For AG Asscoiates Heatpulse
479 7200-0602-03 F Chamber Plate,For Heatpulse 8108 ,8800,4108,8008
480 7200-0603-01 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
481 7200-0604-02 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
482 7200-0607-03 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
483 7200-0616-02 C For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
484 7200-0649-02 E For AG Asscoiates Heatpulse
485 7200-0654-01 7310-3265-01,PLATE, WFR CARR, 8IN POPA
486 7200-0731-01 A For AG Asscoiates Heatpulse
487 7200-0732-02 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
488 7200-0746-02-P3 For AG Associates Heatpulse
489 7200-0746-02-P3 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
490 7200-0810-01 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
491 7200-0811-02 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
492 7200-0849-01 Or 7200-0840-01 For AG Asscoiates Heatpulse
493 7200-0941-01 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
494 7200-0941-01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
495 7200-0941-01B Robot Effector Robot ARM AG Associates Heat-pulse 8108 8800 4108
496 7200-0941-01C + 7310-1729-02 C + 7310-2528-01 A Robot Arm Effector AG Associates
497 7200-0945-01 + 7200-0982-03 D Robot Effector Robot ARM AG Associates Heatpulse
498 7200-0945-01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
499 7200-0983-01 For AG Associates Heatpulse
500 7200-1092-01 AG Associates Heatpulse RTP
501 7200-1097-01 A For AG Asscoiates Heatpulse
502 7212 AWM-F-5-5-001
503 7300-0350-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
504 7310-0400-010 Bracket For AG Asscoiates Heatpulse,with Fittings
505 7310-0487-02 B For AG Asscoiates Heatpulse
506 7310-0698-01 For AG Associates Heatpulse
507 7310-0699-01 For AG Associates Heatpulse
508 7310-0700-01 A Tube N2 Inlet For AG Associates Heatpulse
509 7310-0716-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
510 7310-0719-02C / 01-148-033 Crysta SiC Coated, 6 Inch Single Wafer SUSC
511 7310-0927-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
512 7310-1058-01 For AG Associates Heatpulse ID-AWS-016
513 7310-1059-01 For AG Associates Heatpulse ID-AWS-016
514 7310-1064-01 B For AG Associates Heatpulse
515 7310-1651-01 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
516 7310-1782-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
517 7310-1843-01 B + 7310-1844-01 +7310-1845-01 Robot Effector 7100-2906-01
518 7310-2224-01 Rev C Rear Flange With SST Tube AG Associates Heatpulse RTP
519 7310-2269-02 Rear Flange AG Associates Heatpulse RTP
520 7310-2861-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
521 7310-2883-01 A For AG Associates Heatpulse
522 7310-2886-01 A Rear Flange No SST Tube AG Associates Heatpulse RTP
523 7310-2886-01 Rear Flange, DTC, No SST Tube welded
524 7310-2887-02 A RING, SLIP-FREE
525 7310-2889-01(7310-2829-01?) B Robot Effector AG Associates
526 7310-2913-01 A For AG Asscoiates Heatpulse
527 7310-2914-01 A For AG Asscoiates Heatpulse
528 7310-3026-02  RING SLIP-FREE 6 IN NOTCH, OL
529 7310-3074-01 RING, SLIP-FREE, 6IN,A Version
530 7310-3203-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
531 7310-3213-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
532 7310-3445-01 A Chamber Plate,For Heatpulse 8108 ,8800,4108,8008
533 7310-3473-01 B For AG Associates Heatpulse
534 7310-3473-01 B For AG Associates Heatpulse
535 7310-3479-02 AG Associates Heatpulse Arm , Thin, Vestal Chuck
536 7310-3479-02 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
537 7310-3479-02 B+ 7310-1844-01 +7310-1845-01 Robot Effector AG Associates
538 7310-3479-02 B+ 7310-3480-04D Robot Effector AG Associates
539 7310-3480-04, adapter, thin arm for 7310-6210-01 , 7310-5786-01
540 7310-3526-01 ring, slip-free 3.0 in
541 7310-3576-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
542 7310-4146-01 tube n2/purge retro intel, A Version
543 7310-4525-03 C For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
544 7310-4576-02 TUBE,PURGE INLET,FLANGE EZ-DTC ASSY, C Version
545 7310-4606–01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
546 7310-4621-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
547 7310-4730-01 A For AG Associates Heatpulse
548 7310-4755-01 g, SLIP 6″,P2 VERSION, SLIP FREE RING
549 7310-4755-01 g, SLIP 6″,R2 VERSION, SLIP FREE RING
550 7310-4762-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
551 7310-5787-01 A Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
552 7310-5787-01 P4 + 7310-3480-04 D + 7310-2528-01 A Robot Effector AG Associates
553 7310-5874-01 A (7100-5156-02 + 7100-5155-01 )AG Associates Heatpulse RTP
554 7310-5874-01 A Gas Line AG Associates Heatpulse Rtp
555 7310-6210-01 Robot Effector long, Hot, Thin,AG Heatpulse 8800 ( 7310-5786-01)
556 7310-6210-01 Robot Effector long, Hot, Thin,AG Heatpulse 8800 ( 7310-5786-01)
557 7310-8278-01 TUBE,PURGE INLET,7100-6705-01,FLANGE EZ-DTC ASSY
558 7400-0024-01 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
559 7400-0024-04 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
560 7400-0082-01 E/F Quartz Tray for AG Associates Heatpulse 610 6 inch
561 7400-0098 / 2005A-0002  T-shape TC Water, 7100-0948, AG Associates Heatpulse RTP
562 7450-0032-03 RING, SLIP-FREE,  6IN , E VERSION
563 7450-0032-07 RING, SLIP-FREE,  6IN , 7100-5835-01A, L version
564 7450-0033-01 RING, SLIP-FREE,  5IN
565 7450-0036-04 S-R RINGS R/B 7100-5751-01, 8 INCH
566 7450-0059-02 RING, SLIP-FREE W/ NO FLAT,5 INCH
567 7450-0059-04 RING, SLIP-FREE W/ NO FLAT,4 INCH, H Version
568 7450-0059-06 RING, SLIP-FREE W/ NO FLAT,6,INCH, J version
569 7450-0090-01 RING, SLIP-FREE 6 INCH
570 7450-0091-01 RING, SLIP-FREE 8 INCH
571 74LS 160A  Or 74LS160A 5.22 , Original For TEGAL 901e Tegal 903e Tegal Equipm
572 75 VITON Size .003 , A Lot AWM-F-5-5-015
573 7500-0071-03 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
574 7500-0096-01 TRAY ALIGNMENT ,4 INCH, D  Version
575 7500-0096-03 TRAY ALIGNMENT ,6 INCH, E  Version
576 7500-0098-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
577 7500-0111-01 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
578 7500-0136-01A  For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
579 7500-0155-06 TRAY ALIGNMENT ,8 INCH
580 7600-0039 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
581 7600-0041  For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
582 7600-0041 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
583 7600-0041 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
584 7600-0203-01 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
585 7600-0204-01 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
586 7600-0205-01 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
587 7600-0216-01 AG Associates Heatpulse Chips
588 7600-0255-01 V611 OC32 S1 AG Associates Heatpulse Chips
589 7600-0256-01 V611 C555 S2 Interface AG Associates Heatpulse Chips
590 7600-0257-01 V611 E319 S3 AG Associates Heatpulse Chips
591 7600-0258 V611 GFB8 S4 AG Associates Heatpulse Chips
592 7600-0272-01 AG Associates Heatpulse Chips
593 7650-0100-01 AG Associates Heatpulse SST Tube Gas Line With Fittings-10
594 7650-0100-01 Tube Gas Line With Fittings AG Associates Heatpulse
595 7650-0101-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
596 7650-0157-01 SST Tube Gas Line With Fittings AG Associates Heatpulse
597 7650-0159-06 tube, purge exh
598 7650-0298-02 B (?)Tube Gas Line With Fittings AG Associates Heatpulse
599 7650-0298-02 B Tube Gas Line With Fittings AG Associates Heatpulse
600 76H4972 J127W036298 94-3015 PCB AWM-B-4-5-002
601 7EC4889-850 Pall Trinity Micro SST Gas Tube ID-AWS-021
602 8 Inch  Si Wafer Carrier With 16 Pieces Of 0.5 Inch Diameter Pocket
603 8 Inch  Si Wafer Carrier With 6.25/5.75 Inch Ring
604 8 Inch  Wafer Carrier With 6 Inch Pocket With 1.5 mm Holes
605 8 Inch Cassette With Box
606 8 Inch Si Wafer Carrier With 6” Pocket, 4 Pieces Ceramic Screws,140mm ID Ring
607 8 Inch Si Wafer With 6 Inch Pocket With Flat
608 8 Inch Wafer With 4 Inch Pocket
609 80-080-054 CYLINDER DBL-PVT MT 10S, 1-1/16B,Tegal 901e Tegal 903e Plasma Etcher
610 80-080-062 Pneu Spring, EAA  Tegal 901e Tegal 903e Plasma Etcher
611 80-080-065 Cylinder  for  Tegal 901e Tegal 903e Plasma Etcher
612 80-080-072 Cylinder  for Tegal 901e 903e Plasma etcher
613 80-095-137 D   PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-5-002
614 80-095-256 Pin Lifter Fiber Optic Cable Tegal Spare Part
615 80-095-278  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-6-001
616 80-095-278  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-6-002
617 80-095-278  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-7-001
618 80-095-278  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-8-001
619 80-095-278  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-9-001
620 80-095-278 B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-2-003
621 80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-4-002
622 80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-1-001
623 80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-1-002
624 80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-1-003
625 80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-2-001
626 80-095-278 Rev 10 RW Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-001
627 80-095-278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-004
628 80-095-278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-005
629 80-095-278 RW B Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-003
630 80-095-278 RW Tegal 901e Tegal 903e Main Control Board PCB Tegal Spare Parts
631 80-095-278 RW Tegal 901e Tegal 903e Main Control Board PCB Tegal Spare Parts
632 80-095-278 Tegal  901e Tegal 903e Main Control Board PCB AWM-G-5-1-006
633 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-002
634 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-005
635 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM-G-5-3-001
636 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM-G-5-3-002
637 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM-G-5-3-003
638 80-095-278RW PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-2-002
639 80-095-278RW PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-3-001
640 80-095-794 UPC,N2,225SCCM ,Tegal 901e Tegal 903e Plasma Etcher
641 801-301 Millitorr TC Vacuum Gauge Gasonics Aura 3010 ,L3510 AWD-D-1-0-022-019
642 80-163-004 For Tegal 901e 903e ?
643 80-192-139 GASKET;SIZE 1/40OD for Tegal 901e 903e Plasma etcher
644 80-197-013 VALVE TGL 3WAY-TAC2 NC BRS  for Tegal 901e Tegal 903e Plasma Etcher
645 80-198-054 Valve, EVO-3M-24  for Tegal 901e Tegal 903e Plasma Etcher
646 80-200-121 BBRG FLG DSHLD 1/4B   for Tegal 901e Tegal 903e Plasma Etcher
647 80-200-127 Bearing    for Tegal 901e Tegal 903e Plasma Etcher
648 80-200-144 Bushing Ball, 3/8B  for Tegal 901e Tegal 903e Plasma Etcher
649 80-200-177 SHAFT, PRCN, 3/8DIA 19~3/8    for Tegal 901e Tegal 903e Plasma Etcher
650 80-200-246 For Tegal 901e 903e ?
651 80-200-340 RING EXT 1/4SFT .025THK SST  for Tegal 901e 903e Plasma etcher
652 80-200-342 Band Cont Fem 125pin  for Tegal 901e 903e Plasma etcher
653 80-202-034 GROMMET 5/16ID 1/2DIA HOLE    for Tegal 901e Tegal 903e Plasma Etcher
654 80-202-291 Tegal Spare Part
655 80-202-297 for Tegal 901e Tegal 903e
656 80-202-298 for Tegal 901e Tegal 903e
657 80-202-327 Tegal Spare Part
658 80-202-357 O RIng for Tegal 903e Tegal 901e
659 81-007-138 Bellow  for Tegal equipment
660 8210 SOLENOID VALVE
661 83-096-001 VAC Valve VCR FTG, 180degree,Tegal 901e Tegal 903e Plasma Etcher
662 83-096-002 VAC Valve VCR FTG, 90 degree,Tegal 901e Tegal 903e Plasma Etcher
663 88102K-RE Omega Replacement Element
664 882-99-000 sch 882-99-101
665 882-99-000 sch 882-99-101 MOD 8332
666 90-1061 A Watchdog Gasonics Aura 3010 3000 PCB AWD-3-1-7-007 2/2
667 90-1061 A Watchdog Gasonics Aura 3010 3000 PCB AWD-D-3-1-8-003
668 9020-0480 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
669 9020-1540-B For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
670 9020-4010 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
671 9020-4010 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
672 9020-4010 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
673 9030-0300-02 For 7100-0474-01 Robot Effector AG Associates
674 9092-0022 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
675 91097-31 Topaz Ultra-isolator Line Noise Suppressor 750 VA AWD-D-1-0-026
676 921D-A1P The Foxboro Company Temperature Controller ID-AWM-D-3-1-002
677 922CB TL074CN   For TEGAL 901E 903E
678 93-229-005B RF Cable, to chuck, 24″ , for Tegal 901e Tegal 903e Plasma Etcher
679 94-287-002 Tegal 1513e Switch , Differential Spare Part
680 944SSFSFF VERIFLO Regulator Valve
681 944SSFSFF VERIFLO Regulator Valve With Plate 031-0097 Rev A
682 94C19C6R Valcor Engineering Corp Valve
683 95-0288 486 MTHBD  PCB  For Gasonics Aura 3010, 3000 AWD-D-1-0-021-004
684 95-0289 Gas INT PCB For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-030
685 95-0296 A Touch Screen Monitor for Gasonics Aura 3010,Gasonics L3510 AWG-1-6-004
686 95-0296 B Touch Screen Monitor for Gasonics Aura 3010,Gasonics L3510 AWG-1-6-002
687 95-0296 C Touch Screen Monitor for Gasonics Aura 3010,Gasonics L3510 AWG-1-6-001
688 95-0503  ? 95-2665 A Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-005
689 95-0503  ? 95-2665 A Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-006
690 95-0503  ? 95-3014 F Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-004
691 95-0503  ? 95-3014 P Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-007
692 95-0503  95-3014 (?) Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-008
693 95-0503  Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-003
694 95-0503 ? 95-0483 Rev 1 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-002
695 95-0503 ? 95-0483 Rev 1 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-003
696 95-0503 ? 95-2665 Rev A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-006
697 95-0503 ? 95-2665 Rev A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-007
698 95-0503 ? 95-2665 Rev A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-008
699 95-0503 ? 95-2665 Rev A Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-004
700 95-0503 ? 95-2665 Rev A Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-005
701 95-0503 ? 95-2665 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-6-002
702 95-0503 ? 95-3014 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-6-003
703 95-0503 ? 95-3014 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-6-004
704 95-0503 ? 95-3014 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-6-005
705 95-0503 A ,95-2665 Rev A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-009
706 95-0517 486DX MTB PCB  For Gasonics Aura 3010, 3000 AWD-D-1-0-021-003
707 95-0593 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-002
708 95-1260 C Touch Screen Monitor for Gasonics Aura 3010,Gasonics L3510 AWG-1-6-003
709 95-2992 Microwave Power Supply Assy For Gasonics PEP 3510? AWD-D-1-0-012
710 95-3531 REV. B PCB Sea level Systems
711 95-3618 Gasonics Lamp Tray For Gasonics Aura 3010, 3000, 2000LL, L3510
712 96-0121 A , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-025
713 96-0148 C , Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-001
714 96-0150 B, Cable ,Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-017
715 96-0169 A, 17407-01 B Cable Gasonics Aura 3010, 3000 ,L3510 AWD-D-1-0-022-025
716 96-0169 A, Cable For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-036
717 96-1061 A PCB For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-027
718 96-1061 A PCB For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-028
719 96-1061 A PCB For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-029
720 96-2110 A, 96-2372 A, Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-005
721 96-2110 A, 96-2372 A, Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-006
722 96-2110 B ,1828YT, Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-004
723 96-2110 B, Cable For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-023
724 96-2610 A, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-015
725 96-2611 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-012
726 96-3199 B ,Cable For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-035
727 96-3667 A, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-027
728 96-3713 C For Gasonics Aura 3010, L3510 AWD-D-1-0-022-032
729 96-4045 A, Cable ,Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-018
730 980-4825 ROBITECH INC SN36367 -8 VALVE MODULR 980-4800 SERIES
731 9830-0390 Station AG Associates Heatpulse, With9820-2020 SVPC-1
732 9830-0390 Station AG Associates Heatpulse, With9820-2020 SVPC-1
733 9830-2030 Sensor I/O AG Associates Heatpulse PCB
734 9830-2080 3 Phase Zero Crossing Detector AG Associates Heatpulse PCB 7100-5211-0
735 99-046-001  MEC-1 TEGAL PCB 98-046-001 REV A
736 99-046-602 REV C MEC-2 TEGAL PCB 98-046-001 REV C
737 99-103-001 REV E LMB-1 TEGAL PCB 98-103-001 REV A
738 99-104-001 LMC-1 TEGAL PCB 98-104-001
739 99-106-001 REV D ATS-1 TEGAL PCB 98-106-001 REV B
740 99-111-001, AST-1, TEGAL PCB
741 99-114-001 REV B MMC-1 TEGAL PCB 98-114-001 REV A
742 99-118-001 REV B RFL-1TEGAL PCB 98-118-001 REV 1 A
743 99-121-001 REV B TEGAL PCB 98-121-001 REV A
744 99-125-004 REV D FPI-4 TEGAL PCB 98-125-002 REV A
745 99-125-004 REV G FPI-4 TEGAL PCB 98-125-004 REV B
746 99-125-004 Tegal  901e Tegal 903e  PCB AWM-G-5-1-010
747 99-125-008 REV C FPI-8TEGAL PCB 98-125-008 REV B
748 99-126-003 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-13-002
749 99-126-003 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-14-001
750 99-126-003 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-1-002
751 99-126-003B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-1-001
752 99-126-005 D PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-17-001
753 99-126-005 E W/ 99-206-001 D PCB For Tegal 901e Tegal 903e Plasma DS-5-5-001
754 99-126-005 J PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-5-002
755 99-126-005 P W/ 99-206-001 D PCB For Tegal 901e Tegal 903e Plasma DS-5-4-002
756 99-126-005 PCB  Tegal 901e Tegal 903e AWM-G-5-3-008
757 99-126-005 PCB For Tegal 901e Tegal 903e DS-2-3-001
758 99-126-005 PCB For Tegal 901e Tegal 903e DS-2-3-002
759 99-126-006 F PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-17-002
760 99-126-006 G PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-15-002
761 99-126-006 G PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-16-001
762 99-126-006 PCB  Tegal 901e Tegal 903e AWM-G-5-3-009
763 99-128-002 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-9-001
764 99-128-002 TEGAL PCB 98-128-002 REV 4
765 99-128-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-6-001
766 99-128-003 REV E ISR-3 TEGAL PCB 98-128-003 REV 1
767 99-129-003 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-5-001
768 99-129-003 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-5-002
769 99-129-003 PCB For Tegal 901e Tegal 903e DS-2-4-001
770 99-129-004 ? PCB For Tegal 901e Tegal 903e DS-2-2-001
771 99-129-004 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-10-002
772 99-129-004 REV F SHI-4 Tegal PCB 98-129-004 REV A
773 99-138-001 A PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-10-001
774 99-138-001 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-11-001
775 99-138-001 Tegal  901e Tegal 903e  PCB AWM-G-5-1-008
776 99-138-001 TEGAL PCB 98-138-001 REV 2
777 99-138-002 B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-6-001
778 99-138-004  Tegal PCB 98-138-004
779 99-138-004 C PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-8-001
780 99-138-004 F PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-9-003
781 99-139-001B  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-9-002
782 99-139-001B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-2-002
783 99-139-002B PCB For Tegal 901e Tegal 903e Plasma Etcher DU-2-7-002
784 99-139-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-1-002
785 99-142-001 Tegal PCB 98-142-001
786 99-165-003 A PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-6-003
787 99-165-003 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-5-003
788 99-165-003 F PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-7-003
789 99-172 Tegal PCB 98-172-001 REV A
790 99-172 Tegal PCB 98-172-001 REV2
791 99-172-001 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-8-002
792 99-172-001 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-9-001
793 99-172-001 REV S IMN-1 98-172-001 REV A, Tegal 901e, Tegal 903e
794 99-172-002 , IMN-3 ,Tegal PCB ,98-172-001
795 99-172-002 B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-7-002
796 99-172-002 Tegal 901e Tegal 903e AWM-G-5-3-007
797 99-172-003 , IMN-3 ,Tegal PCB ,98-172-003
798 99-172-003 REV F IMN-3 98-172-003 REV B, Tegal 901e, Tegal 903e
799 99-172-003 Tegal 901e Tegal 903e AWM-G-5-3-006
800 99-173-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-4-003
801 99-173-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-1-003
802 99-173-003  Tegal PCB
803 99-173-004 D PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-14-002
804 99-173-004 D PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-3-002
805 99-173-004 D PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-4-001
806 99-173-004 PCB For Tegal 901e Tegal 903e Plasma Etcher DU-2-7-003
807 99-173-004 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-5-002
808 99-173-004 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-5-003
809 99-173-004 REV D RFG-4 Tegal PCB 98-173-003 REV B
810 99-173-004 Tegal PCB 98-173-003
811 99-173-005 A PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-15-001
812 99-173-005 A PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-2-001
813 99-173-005 REV A RFG-5 Tegal PCB 98-173-005 REVA
814 99-173-005B   PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-9-003
815 99-173-008 C PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-6-002
816 99-173-008 C PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-7-002
817 99-173-008 C PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-8-002
818 99-173-008 C PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-6-002
819 99-173-008 Tegal  901e Tegal 903e  PCB AWM-G-5-1-007
820 99-173-008/c Tegal  901e Tegal 903e  PCB AWM-G-5-1-009
821 99-176-001 PCB, SNS-1, SNSR 15    for Tegal 901e Tegal 903e Plasma Etcher
822 99-181-001 B EPD-1PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWM-G-5-3-005
823 99-181-002 D EPD-0 PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWM-G-5-3-004
824 99-181-002 PCB For Tegal 901e 903e Plasma Etcher Etching DS-5-9-002
825 99-181-002 REV L EPD-2 Tegal PCB ENDPOINT DETECTOR
826 99-181-005B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-8-002
827 99-186-001 REV 2A ATT-1 and 98-186-001 Tegal PCB
828 99-186-002 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-1-001
829 99-186-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-4-004
830 99-187-001B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-4-002
831 99-187-002 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-12-001
832 99-187-002 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-13-001
833 99-187-002 REV A ATO-2 and 98-187-002 REV 1 Tegal PCB
834 99-187-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-2-003
835 99-190-002 REV A PMF-2 and 98-190-002 REV A Tegal PCB
836 99-195-003  Tegal 1513e PCB, Switch Assembly Spare Part
837 99-196-001 6 ATI-2 and 98-196-001 REV 2 Tegal PCB
838 99-196-001 J and 98-196-001 REV C Tegal PCB
839 99-196-002  PCB For Tegal 901e Tegal 903e DS-2-5-001
840 99-196-002  PCB For Tegal 901e Tegal 903e DS-2-5-002
841 99-196-002 P ATI-2 and 98-196-001 REV C Tegal PCB
842 99-196-002 P ATI-2 and 98-196-001 REV E Tegal PCB
843 99-196-002 REV E ATI-2 and 98-196-001 REV B Tegal PCB
844 99-196-002 REV K ATI-2 and 98-196-001 REV C Tegal PCB
845 99-196-002 REV W ATI-2 and 98-196-001 REV C Tegal PCB
846 99-196-002 REV W ATI-2 and 98-196-001 REV F Tegal PCB
847 99-197-001 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-11-001
848 99-200-001 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-3-002
849 99-200-001 REV 4 SIS-1 PCB TEGAL 98-200-001 REV 2 (1).JPG
850 99-200-001B  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-5-001
851 99-200-001B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-2-002
852 99-200-003 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-10-002
853 99-200-003 PCB For Tegal 901e Tegal 903e DS-2-1-001
854 99-200-003 PCB For Tegal 901e Tegal 903e DS-2-2-002
855 99-200-003 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-2-7-001
856 99-200-003 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-2-001
857 99-200-003 REV B SIS-3 PCB TEGAL 98-200-002 REV 1  Tegal
858 99-200-003 REV C SIS-3 PCB TEGAL 98-200-002 REV 1 Tegal
859 99-200-003B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-6-002
860 99-200-003B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-7-001
861 99-200-003B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-2-001
862 99-200-004 REV C SIS-4 PCB TEGAL 98-200-001 REV 2
863 99-200-005 A PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-3-001
864 99-200-005 B  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-1-001
865 99-200-005 PCB For Tegal 901e Tegal 903e DS-2-1-002
866 99-200-005 PCB For Tegal 901e Tegal 903e DS-2-4-002
867 99-200-005 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-2-6-001
868 99-200-005 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-2-6-002
869 99-200-005 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-2-7-002
870 99-200-005 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-3-001
871 99-200-005 REV B SIS-5 PCB TEGAL 98-200-002 REV 1
872 99-200-005 REV E SIS-5 PCB TEGAL 98-200-002 REV 1
873 99-200-005 REV G SIS-5 PCB TEGAL 98-200-002 REV 1
874 99-200-005 REV H SIS-5 PCB TEGAL 98-200-002 REV 1
875 99-200-005 REV H SIS-5 PCB TEGAL 98-200-002 REV A
876 99-200-005 REV J SIS-5 PCB TEGAL 98-200-002 REV A
877 99-200-005B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-7-002
878 99-200-005B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-8-001
879 99-206–001 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DU-2-8-002
880 99-206-001 D PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-11-002
881 99-207-004 A PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DU-2-9-002
882 99-207-004 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-16-002
883 99-207-004 REV A MBE-4 PCB TEGAL 98-207-004 REV A  Tegal
884 99-207-004 REV C MBE-4 PCB TEGAL 98-207-004 REV B Tegal
885 99-209-001  B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-11-002
886 99-209-001  B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-12-002
887 99-209-001 REV C PCB ADM-1 TEGAL 98-209-001 REV A   , Tegal 901e, Tegal 903e
888 99-209-001 REV E PCB ADM-1 TEGAL 98-209-001 REV A , Tegal 901e, Tegal 903e
889 99-209-001 REV F PCB ADM-1 TEGAL 98-209-001 REV 1 , Tegal 901e, Tegal 903e
890 99-209-001 REV F PCB ADM-1 TEGAL 98-209-001 REV A, Tegal 901e, Tegal 903e
891 99-209-001B  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-9-001
892 99-209-001B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-4-001
893 99-214-002 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-10-001
894 99-214-002 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-9-002
895 99-214-002 REV 5 PCB TEGAL 98-214-002 REV 2  , Tegal 901e, Tegal 903e
896 99-214-003 REV A PCB TEGAL 98-214-002 REV A , Tegal 901e, Tegal 903e
897 99-214-003 REV C PCB TEGAL 98-214-002 REV A  , Tegal 901e, Tegal 903e
898 99-214-003 REV G PCB TEGAL 98-214-002 REV 2, Tegal 901e, Tegal 903e
899 99-214-003 REV H PCB TEGAL 98-214-002 REV C  , Tegal 901e, Tegal 903e
900 99-224-001 PCB, PCB, CSN-1, CTR SNSR    for Tegal 901e Tegal 903e Plasma Etcher
901 99-246-003 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-8-003
902 99-247-002 B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-4-001
903 99-247-002 D PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-3-001
904 99-247-002 D PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-3-002
905 99-247-002 K PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-2-002
906 99-247-002 M ? PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-1-002
907 99-247-002 M PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-4-002
908 99-278-004 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-10-003
909 99-287-001 REV C SST 98-287-001 REV A, TEGAL CORP , Tegal 901e, Tegal 903e
910 99-287-003 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-7-001
911 99-309-001 Rev B OSI-1 98-309-001 Rev A 42-730-001 Rev B 93-318-001 Tegal
912 994750-0001 70 DG840600169 Assy 994752-000 /994751-000 AWM-B-4-5-004
913 9×2 Inch Susceptor, Silica With SiC Coating, One Side Only, Base And Cover
914 A&N Cooperation 2-way Flange SST New AWM-F-2-6
915 A&N Cooperation 4-way Flange SST New AWM-F-2-5
916 A.D.smith AC Motor Type 5 S# S48A16 SER 2C00 HP 1/4 RPM 1725 AWM-C-4-6
917 A01-132-01 / 01-2125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall
918 A01-132-01 / 01-2125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall
919 A111E1 And 111M4A For AG Asscoiates Heatpulse?
920 A1-9604 FCC ID B9482335 HP 3345 82335-60001 F 82235-8001 AWW-10-2-4-002
921 A196B PCB
922 A36-B10A-15T2-000 Globe Motors ,Fan, 115 VAC 50/60 Hz 7/6 W Impedance Protected
923 A39-006-01   Lamp, 1000W, 225VAC  for Gasonics Aura 1000 Plasma Asher
924 A4-000-01 QUARTZ TRAY 6 inch
925 A4-0120-00 QUARTZ Tube
926 A4-0121-00 QUARTZ Plate , Thick
927 A4100-4A 4 Inch Muffle SiC Coat , Susceptor Base
928 A4100-4A 4 Inch Muffle SiC Coat , Susceptor Base
929 A5 ElectroGlas Theta Z Joystick Assy 250262-001 Rev E AWW-10-2-5-007
930 A7 424 Bar Code Reader 4 Port Serial I/O Assy II 246067-001 Rev L AWW-10-2-5-001
931 A8100-4B 4 Inch Muffle SiC Coat , Susceptor Disc
932 A93-038-01 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510
933 A93-038-01 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510
934 A95-060-01 Gasonics INTL With SST Tube AWD-D-3-1-5-006
935 A95-070-01, HV Transformer for Gasonics Aura 3010, 3000, 1000 Plasma Asher
936 A95-107-01 B Control Panel For Gasonics Aura 2000LL AWD-D-2-8-10
937 A95-107-01 B Control Panel For Gasonics Aura 2000LL AWD-D-2-8-10-003
938 A95-205-01  With A90-048-01 ,A90-029-02 Gasonics Aura 3010 AWD-D-3-1-14-005
939 A95-205-01 Rev A & A95-053-02 C Gasonics Lamp Assembly For Gasonics Aura
940 A95-205-01 Rev A & A95-053-02 C Gasonics Lamp Assembly For Gasonics Aura
941 AB Bulletin 1492-ERL35 A Terminal Items
942 AB Bulletin 1492-H6 Terminal Items
943 AB-7493 252559B ID-AWM-F-5-2-012
944 ABB AL30 AL30-30-10
945 AC DC Power Box For AG Associates Heatpulse
946 AC Power Supply AC Service Item CE 1004-001001, Tegal 901e 903e Etcher DQ-5-010
947 AC Power Supply AC Service Item CE 1004-00402 , Tegal 901e 903e Etcher DQ-5-005
948 AC Power Supply AC Service Item CE 1004-00402, Tegal 901e 903e Etcher DQ-5-007
949 AC Power Supply AC Service Item CE 1004-00402, Tegal 901e 903e Etcher DQ-5-008
950 AC Power Supply AC Service Item CE 1004-00901 , Tegal 901e 903e Etcher DQ-5-006
951 AC Power Supply AC Service Item CE 1004-00901, Tegal 901e 903e Etcher DQ-5-009
952 AC Remote Box For Lam Research Lam Rainbow 4428 4528 4420 4520 4500 AWD-D-3-3-1
953 AC Service CF 1004 For Tegal 901e 903e AWD-D-3-2-12
954 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP
955 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP
956 Accessories, Package Sale
957 Accurate Gas Control System No. 00746 Water Cooling Jacket OD 4.5”ID-AWM-D-5-002
958 Accurate Gas Control Systems Temperature Control AGT254200-1 PCB
959 ACE Glass 12530-17 Cylinder 2000ML SP Scienceware Cylinder, PP, Graduated , 2000
960 Acopian Model AC To DC Power Module DB15-30 AWM-F-2-4-004
961 Acopian U35Y500M Unregulated Power Supply 3A 250v ID-AWS-K-1-012
962 Acrylic Sheet Plate
963 AD Data Inc Model 5600 Switching Control Mainframe ID-AWM-F-1-009
964 AD2020 406 , Original For TEGAL 901e Tegal 903e Tegal Etcher
965 AD7541JN 8719, Original For TEGAL 901e Tegal 903e Tegal Etcher
966 Adaptec AHA-2940W/ 2940UW Assy 917306-52 FGT2940UW BD0B20105BE AWW-10-2-4-004
967 Adaptec AHA-2940W/2940UW Assy 917206-18 BF0A71403H9 FGT2940UW AWW-10-2-16-003
968 Adaptec AHA-2944UW Assy 991506-12 FGT-2944UW 05394C-C/O SG-A00 AWW-10-2-3-007
969 Adaptec AHA-2944W / 2944UW Assy 917306-00 FGT-2944UW BA0J7310G1F AWW-10-2-4-001
970 Adapter /flange For Thin Film Deposition Equipment
971 ADESSO EasyTouch Mini keyboard 12”, 87 Keys Layout 1.12 Lbs AWW-10-2-15
972 AD-NPTM-KF50X050 Adaptor KF/NPT-M .50x.50” .304/L.75mm RLgroup Advantorr
973 Advanced Energy 01990 Power Supply , DC Power Supply
974 Advanced Energy 2011-029-A Power Supply , DC Power Supply
975 Advanced Energy 2011-029-D Power Supply , DC Power Supply
976 Advanced Energy 2011-029-E Power Supply , DC Power Supply
977 Advanced Energy 2012-000-F Power Supply , DC Power Supply
978 Advanced Energy 2012-000-K Power Supply , DC Power Supply
979 Advanced Energy 2225-000-F Power Supply , DC Power Supply
980 Advanced Energy 2225-000-S Power Supply , DC Power Supply
981 Advanced Energy 2225-000-S Power Supply , DC Power Supply
982 Advanced Energy 3006-000-B / 0090-90279 High Voltage Unit Focus
983 Advanced Energy 3152012-037TPower Supply , DC Power Supply
984 Advanced Motion For Pre-aligner Ver.2 12403-0040 PC3XC-PM1 AWR-039-CC-1-004
985 Advanced Motion For Pre-aligner Ver.2 14191-0003 X02 PC3XD-PF1 AWR-039-CC-1-003
986 Advanced Radiation Corp CSA75-SPEC For Gasonics Aura 3010 AWD-D-3-1-15-003
987 AE Advanced Energy 4013-012-01 Plasma Technology Control ID-AWM-F-1-005
988 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-006
989 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-007
990 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-008
991 AG Asscoiates 7100-3689-01 Tool, Flange Pin Repl
992 AG Asscoiates Alarm Lamp With 7200-0514-03 C Plate
993 AG Asscoiates Bracket 7200-0973-02 B
994 AG Associates (Metron Technology) 7100-5995-02B, Tray, Ceramic Shield, 6 inch
995 AG Associates (Metron Technology) 7100-6360-01A Tray, Ceramic Shield, 4 inch
996 AG Associates (Steag RTP Systems) 7310-1644-01B
997 AG Associates 031-0096 050-0296 Gas Lines With Valves
998 AG Associates 0641-0796-02 C Kit, FlowMtr/Flowsw Retro
999 AG Associates 2100-0090 B   For Heatpulse 4100,4100S, 4108,8108,8800,
1000 AG Associates 2100-0110 B For Heatpulse 4100,4100S, 4108,8108,8800,
1001 AG Associates 2100-0120 A STD MIO-24 For Heatpulse 4100,4100S, 4108,8108,8800,
1002 AG Associates 2100-0140  For Heatpulse 4100,4100S, 4108,8108,8800,
1003 AG Associates 2100-0150 A For Heatpulse 4100,4100S, 4108,8108,8800,
1004 AG Associates 2100-0201-01 Rev 005 VGA/FLAT Panel Video Card AWM-B-4-5-018
1005 AG Associates 2451-0045-01 Sylvania Lamp Holder 662D PLH/30099 AWM-F-4-2-002
1006 AG Associates 2706-0004-01 T.E.M. Filter TEM-915 MFCPT ID-AWM-A-3-5-001
1007 AG Associates 2706-0011-02 ? Pall GLFPF3000VFM4 4KB-M2000-1 ID-AWM-A-3-5-003
1008 AG Associates 2900-0036-01 WIKA Type 111 10 2”  ID-AWM-A-3-7-001
1009 AG Associates 4000-0005-01 LVS-44 E-5 HAL-01-386 R-1 AWM-F-2-4-002
1010 AG Associates 4500-9020-01
1011 AG Associates 4502-0035-02 Phd Inc ML-32178 D
1012 AG Associates 4502-0035-02 Phd Inc ML-32178 D With 7210-0510-01 Bracket
1013 AG Associates 4502-0035-02 Phd Inc ML-32178 D With 7310-0510-01 A Bracket
1014 AG Associates 4502-0035-02 Phd Inc ML-32178 D With 7310-0532-01 A Bracket
1015 AG Associates 7100-4033-01B Tray, 7310-2881-01 For 8 Inch
1016 AG Associates 7100-5118 Rev 1.0 For Heatpulse 4100,4100S, 4108,8108,8800,
1017 AG Associates 7100-5168-07 RMA Analog PCB Card 7500-5146-01
1018 AG Associates 7100-5188-01 (-02?)For Heatpulse 4100,4100S, 4108,8108,8800,
1019 AG Associates 7200-0068 Rev F Globe Motors 409A6029-2 7310-3605-01
1020 AG Associates 7200-0962-03 C
1021 AG Associates 7310-2843-01A Quartz Tray With TC Station For Heatpulse 8108 8800
1022 AG Associates 7310-2935-01A For Heatpulse 4100 6 Inch
1023 AG Associates 7310-2956-01
1024 AG Associates 7310-3575-01A (?) Quartz Door Inlay Long
1025 AG Associates 7310-3575-01A Quartz Door Inlay Short
1026 AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
1027 AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
1028 AG Associates 7400-0102-01C Quartz Inlay
1029 AG Associates 7400-0109-06 quartz Tray For Heatpulse 8108 4108 8800
1030 AG Associates 7400-0115B / 7310-4341-01B Quartz Tube For Heatpulse 8108 8800
1031 AG Associates 9380-2080 B For Heatpulse 4100,4100S, 4108,8108,8800,
1032 AG Associates 9830-2030 Sensor For Heatpulse 4100,4100S, 4108,8108,8800,
1033 AG Associates 9830-2040 C For Heatpulse 4100,4100S, 4108,8108,8800,
1034 AG Associates Card Cage 7000-0028 For Heatpulse 4100,4100S, 4108,8108,8800,
1035 AG Associates Door Control Panel With 7100-5126-01 Assembly ID-AWM-A-3-6-003
1036 AG Associates Door Control Panel With 7100-5136-01 Assembly ID-AWM-A-3-6-004
1037 AG Associates Heatpuls 8108 7310-0647-02-B Exhausting Adapter D380-024
1038 AG Associates Heatpulse 210 Rapid Thermal Processing equipment
1039 AG Associates Heatpulse 410 RTA RTP RTO RTN Rapid Thermal Annealing SN 1M7052
1040 AG Associates Heatpulse 4100 Chamber Assembly
1041 AG Associates Heatpulse 4108 Rapid Thermal Processing Equipment SN 1M93174
1042 AG Associates Heatpulse 610 Rapid Thermal Processing equipment
1043 AG Associates Heatpulse 610 Rapid Thermal Processing Rapid Thermal Annealing
1044 AG Associates Heatpulse 610 Rapid Thermal Processing Rapid Thermal Annealing
1045 AG Associates Heatpulse 7200-0428-01A Door
1046 AG Associates Heatpulse 7200-1069-01B
1047 AG Associates Heatpulse 8108 8800 7100-5897-01, Assembly,Quartz Tray,6″, EZ-DTC
1048 AG Associates Heatpulse 8108 8800 7100-5898-01, Assembly,Quartz Tray,8″, EZ-DTC
1049 AG Associates Heatpulse 8108 8800 Quartz Tray For 6 Inch Standard
1050 AG Associates Heatpulse 8800, 8108, 4108 Isolated Quartz Tube 7400-0100-05J
1051 AG Associates Heatpulse 8800, 8108, 4108 Isolated Quartz Tube 7400-0100-06K
1052 AG Associates Heatpulse Ceramic Shield 6 Inch
1053 AG Associates Heatpulse Ceramic Shield 8 Inch,PN 7310-5186-01?
1054 AG Associates Heatpulse Pump 115 , GAST MOA-V113-AE
1055 AG Associates Heatpulse Pump 115 , GAST MOA-V113AE With 7100-1270-05 Wire Cable
1056 AG Associates Heatpulse Rapid Thermal Processor Model 610I , 4 Bank , AWD-1-13
1057 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
1058 AG Associates Heatpulse Susceptor 7310-0719-02 New 6” Starship W/Thermo Wells
1059 AG Associates Heatpulse Susceptor 7310-1028-02 New
1060 AG Associates Metron Technology 2109-0140 Adaptor / Connector? AWM-F-4–2-006
1061 AG Associates Metron Technology 9830-0560-02 AWM-F-4–2-007
1062 AG Associates Metron Technology UK 2200-0031-02 Tescom 2200 AWM-F-4–2-004
1063 AG Associates Metron Technology UK 2200-0051-01 Tescom 2200 AWM-F-4–2-005
1064 AG Associates Metron Technology UK 5102-0024-01 CB Rotary Handle AWM-F-4–1-015
1065 AG Associates Mini-pulse 310 Rapid Thermal Processing Rapid Thermal Annealing
1066 AG Associates Plate With TRW Global Motor 409A6029-2 AWM-C-4-1-001
1067 AG Associates PN 7310-4620-01
1068 AG Associates Purge Interface 9830-2090 PCB
1069 AG Associates Quartz Baffle
1070 AG Associates Quartz Liner 7310-3010-01A For Heatpulse 4100 6 Inch
1071 AG Associates Quartz Liner 7310-3010-02B For Heatpulse 4100 6 Inch
1072 AG Associates Quartz Tray 7310-0692-02 For Heatpulse 4100 M-tray Slip Free 6 Inc
1073 AG Associates Quartz Tray 7400-0101-06
1074 AG Associates Quartz Tray 7400-0106-06J
1075 AG Associates Quartz Tray 7400-0109-03B 5 Inch
1076 AG Associates Quartz Tray 7400-0109-06F 5 Inch
1077 AG Associates Quartz Tray 7400-0109-06F 5 Inch
1078 AG Associates Quartz Tray 7400-0109-06K 5 Inch
1079 AG Associates Quartz Tray 8 Inch 7310-5111-01?
1080 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
1081 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
1082 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
1083 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
1084 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
1085 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
1086 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
1087 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
1088 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
1089 AG Associates Quartz Tray TC Station Front For Heatpulse 4100 3-6 “ 7400-0111-01
1090 AG Associates Quartz Tray, 7400-0133-03 / 7100-1844-01C
1091 AG Associates Quartz Tray, 7400-0133-03 / 7100-1844-01C
1092 AG Associates Quartz Tray, Standard For 8 Inch
1093 AG Associates Quartz Tube 7400-0023-01G 6 Inch
1094 AG Associates Quartz Tube 7400-0100-05J
1095 AG Associates RMS Analog Card PCA 7100-5209-01-A AWW-10-2-6-004
1096 AG Associates Steag 2908-0044-01 Flower Meter Rate-Master Dwyer ID-AWM-A-3-5-008
1097 AG Associates Steag 5108-008-01 Pressure Control FIO 3 Flow ID-AWM-A-3-5-010
1098 AG Associates Steag 7100-0881-02 ? Kobold Type 41R57 Flow Meter ID-AWM-A-3-5-009
1099 AG Associates Steag Ast 4000-0009-01 DC Power?  ID-AWM-A-3-5-007 /-7-002
1100 AG Associates Steag Ast 5102-0025-01 Main Contactor ID-AWM-A-3-5-006
1101 AG Associates Steag Ast 83300016 Siemens 3TK28 04-0BB4 ID-AWM-A-3-7-003
1102 AG Associates Steag Ast Elektronik 5103-9036-01 Switch,pressure ID-AWM-A-3-5-005
1103 AG Associates Steag Ast Elektronik 7310-9423-01 Pressure Meter  ID-AWM-A-3-5-007
1104 AG Associates Steag Ast Elektronik Universal Adhesive 99300009 ID-AWM-A-3-5-004
1105 AG Associates Steag Tric Plate Assembly ID-AWM-A-3-6-001
1106 AG Associates Steag Tric Plate Assembly ID-AWM-A-3-6-002
1107 AG Associates Steak RTP System 7310-9733-01 P1 Tube , Quartz , Steam Output
1108 AG Association Emissivity/Temperature Calibration D380-023
1109 AG Association Emissivity/Temperature Calibration Frame D380-024
1110 AG Associations 4502-0228-01 NUPRO 6L-MV2858-11 Valve
1111 Agilent E5940B #300 /E5840B  TracePort Analyzer ID-AWW-8-2-3-002
1112 Agilent HFBR 5903e 0105 Made In Malaysia AWM-B-4-5-017
1113 AGL Gerling 139HVS Microwave Power Source,Gasonics 3010 61-0052-U AWD-D-1-0-011
1114 AID AIR ION DEVICES A954-027-04 Power Supply For Gasonics Aura 1000, 2000
1115 Air Applitec Inc Model F236S ,AG Associates Mattson Blower AWD-D-1-5-001,-4-001
1116 Air Products ANSI B40.1 Pressure Meter 2.5” 0-1400kpa Cajon N-70 AWM-F-2-4-001
1117 AIR Velocity Meter Model 440 ID-AWM-F-1-002
1118 Airco Temescal CV-8-2666 Power Supply For FC-1800 Evaporator ID-D380power-001
1119 Airco Temescal FC-1800 BOC Coating Technology Programmable Sweep ID-D-EV001-5
1120 Airco Temescal FC-1800 Controller ID-D-EV001-2
1121 Airco Temescal FC-1800 CV-8 High Voltage Control  ID-D-EV001-7
1122 Airco Temescal FC-1800 CV-8 High Voltage Control ID-D-TRACK-2-001
1123 Airco Temescal FC-1800 Evaporator
1124 Airco Temescal FC-1800 Evaporator
1125 Airco Temescal FC-1800 Gun Control 1   ID-D-EV001-8
1126 Airco Temescal FC-1800 Gun Control 1 ID-D-TRACK-2-002
1127 Airco Temescal FC-1800 Inficon IC 6000 ID-D-TRACK-2-003
1128 Airco Temescal FC-1800 Inficon IC/5 Deposition Controller ID-D-EV001-3
1129 Airco Temescal FC-1800 Leak Check Sensitivity Varian ID-D-EV001-12
1130 Airco Temescal FC-1800 Manual Vale Control ID-D-EV001-10
1131 Airco Temescal FC-1800 MKS HPS Products 937A Gauge Controller ID-D-TRACK-2-007
1132 Airco Temescal FC-1800 Shutter Control Boc Coating Technology ID-D-EV001-9
1133 Airco Temescal FC-1800 Substrate Heat ID-D-EV001-11
1134 Airco Temescal FC-1800 Varian 843 Vacuum Ionization Gauge  ID-D-TRACK-2-006
1135 Airco Temescal FC-1800 Varian Accessory Control ID-D-TRACK-2-005
1136 Airco Temescal FC-1800 Varian Vacuum Process Control 980-6100 ID-D-TRACK-2-004
1137 AIRPAX Cambridge MD USA Circuit Breaker 1 Point Unit  AIRPAX M 209 LR26229
1138 Airproducts 809-451247704A SST Gas Tube ID-AWS-021
1139 Airproducts 809-470172904A SST Gas Tube ID-AWS-021
1140 Aixcon Surface GSNX No. 94-3075 Part No. 1700.2021 Date 04/2000
1141 AJA International Model ST-4510 Sputter Cathode With Target 7x12x1.5 Inch
1142 AJA International Sputter Cathode
1143 AJA International Sputter Cathode Part
1144 AJA Sputtering Cathode With Target . Not Sure Of The Material DZ-6-004
1145 AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-001
1146 AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-002
1147 AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-003
1148 Alarm Lamps Frame For AG Associates Heatpulse
1149 Alcatel OME 40 C2
1150 Alcatel-Annecy Ty 5402 C15 Turbo Pump ? ID-DZ-7-006
1151 Alicat Mass Flow Meter, MS-10SLPM, Gas H2S
1152 Allwin21 AW-ETCH-105-002 21-0009-002 /2100-0105-002 K PCB
1153 ALPHA Systems Lab Inc MBA-WV1-F PCB-WV1-F FCC ID JSQASLWACJJMP1 AWW-10-2-7-020
1154 ALPHA Systems Lab Inc MBA-WV1-F PCB-WV1-F FCC ID JSQASLWACJJMP1 AWW-10-2-7-021
1155 ALPHA Systems Lab Inc MBA-WV1-F PCB-WV1-F FCC ID JSQASLWACJJMP1 AWW-10-2-7-022
1156 Alpha? MBA-WV1-F FCC ID JSQASLWACJJMP1 JAX-8228 Clone Cirrus AWW-10-2-16-006
1157 ALPHANUMERIC FLUORESCENT DISPLAY FLIP 03601-22-040, INDUSTRIAL ELECTRONI ENGINEE
1158 Altech Corp ABLsursum  38-2826 3BU32 B32A Zone21  ID-AWM-D-3-1-001
1159 Aluminum Chamber For AG Associates Heatpulse 4100 Heatpulse 610  RTP
1160 Aluminum Chamber For AG Associates Heatpulse 8108, 8800, 4108 RTP
1161 Aluminum Chamber For AG Associates Heatpulse 8108, 8800, 4108 RTP -2
1162 Aluminum Profile . Originally Used For Mini Environment Clean Room AWG-1-0
1163 Am27128DC 8411LPP AMD , Original For TEGAL 901e Tegal 903e Tegal Etcher
1164 AMAT 0020-04018 Rev G NDM-176-041 Chuck ID-AWM-D-2-1
1165 AMAT 0200-02085 Pin, Wafer Lift, Quartz EPI 200mm
1166 AMAT AMP-3300 PECVD
1167 AMAT Applied Materials 0190-35650 SERIPLEX MUX I/O PCB(5), (144H-175H)
1168 AMAT Applied Materials 0200-09626 Si Collard W/Step , New
1169 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-002
1170 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-003
1171 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-004
1172 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-005
1173 Amperex 4-400A 97-12  ID-AWS-011
1174 Analog Board AG Associates Heatpulse PCB 9800-0310 REV E
1175 Analog Board HP811204-01 REV 13 AG Associates Heatpulse PCB 9000-0290
1176 Anelvi Vacuum Connector NW35 To 5/8 Inch Tube ID-AWS-026
1177 Antec 1.6
1178 AO American Optical  Model 572 2x W/ 2 Of Nikon CFWE 10xA/18 ID-AWW-7-2-4-001
1179 AP1002S 2PW FV4 FV4 APTech Regulated Valves
1180 AP1002S 2PW MV4 MV4 APTech Regulated Valves
1181 AP1101SHM 2PW FV4 MV4 4.00 APtech Regulated Valve
1182 AP1410SM 2PW FV4 MV4 APTech Regulated Valves
1183 AP1510S 5PW SG Valve,HPI Valve SEMI 01-0241-B Pressure Meter
1184 AP3625S 2PW FV4 FV4 APTech Valve
1185 AP3625S 3PWD FV4 FV4 MV4 ASGT (5) ,AP1510S 5PW AL APTech
1186 AP3625SM 2PW FV4 FV4 APTech Valve
1187 AP3625SM 2PW FV4 FV4 APTech Valve
1188 Applied Materials AMAT Automatic Temperature Control 600369 ID -AWM-B-4-2-004
1189 Applied Materials Plasma II Plasma Etching
1190 APS-B-2710-10 PCB Contactor Relay Driver Applied Materials AMAT PCB
1191 APT Applied Process Technology Steamboat Semiconductor Developer Wet Process
1192 APtech AP1506S 4PW MV4 FV4 FV4 BO Pressure Regulator Silane ID-AWS-20
1193 APTech AP3625S 2PW FV4 FV4 AWM-C-4-7-001
1194 APTech AP3625SM 2PW FV4 FV4 AWM-C-4-7-002
1195 APTechAP 3550SM Or  AP3550S  2PW FV4 FV4 AWM-C-4-8-001
1196 ARM Controller Board Assy 06764-002 For Gasonics Aura 2000-LL AWD-D-2-8-12-011
1197 ARM Controller Board Assy 06764-002 For Gasonics Aura 2000-LL AWD-D-2-8-3-002
1198 ARO BRYAN 127122-000 Valve, Gas Line With Pressure Meter Plastic Tube
1199 ASCO Red-hat SCB262G91VH Valve Automatic Switch Co
1200 ASCO Scientific AL374 VI30PSI 5102 24VDC
1201 ASFH34x214 RE Compact Air Products For AG Asscoiates Heatpulse ,7200-1114-03 C
1202 ASFH34x214 RE SRCE 18NPT And 7200-1224-03C For AG Asscoiates Heatpulse
1203 ASGT American Speciality Gas Technology S/n 4104 Gas Controller AWM-D-3-2-003
1204 ASM/A Plasma Enhanced Deposition System Control Cabinet Control Box, ASM AMERICA
1205 Assembled In Mexico AIRPAX AG  Associates 5102-0021-01
1206 Assembled In Mexico AIRPAX Line 9309 AG  Associates 5102-0038-01
1207 Assembled In Mexico AIRPAX Line AG  Associates 5102-0034-01
1208 Assembled In Mexico AIRPAX Line LR26229 AG  Associates 5102-0033-01
1209 Associated Vacuum Technology Lab-Line 3625 With LAB-LINE   SQUAROID Duo-VAC Oven
1210 ASSY 001-0084-01 IO BOARD 10083 REV B
1211 Assy 00200-AD01 REV B ( 14907-01 / 14908-01 / 14909-01 PCB )
1212 ASSY 03-0172-400 REV B 3C905B-TX-WOL
1213 Assy 10090 Motor Driver 10089 Rev A
1214 Assy 10092 Motor Driver 10091 Rev A
1215 Assy 108811 D/C CCD 108810 D Z80 Processor For Lam AutoEtch AWD-D-1-1-7-011
1216 Assy No.5000 REV C ELDEX P/N 4909 REV C. Gasonics 68-0131?
1217 ASTECH Model Automatic Matching Controller RC-10U ID -AWM-B-4-1-003
1218 Asyst Mini environment Cassette
1219 Asyst Mini environment Cassette, 6 Inch
1220 Asyst Mini environment Cassette, 6 Inch
1221 ASYST SAM-4410 SAM 4410 Gasonics Aura 3010 ,3000, L3510 AWD-D-3-1-14-004
1222 Asyst Technologies ? Mini Environmental Cassette 6 Inch? AWG-1-7-005
1223 Asyst Technologies Mini Environment Cassette Station For Gasonics AWG-1-4-001
1224 Asyst Technologies Mini Environmental Cassette 6 Inch , For Gasoncis AWG-1-7-002
1225 Asyst Technologies Mini Environmental Cassette 6 Inch , For Gasoncis AWG-1-7-004
1226 ASYST TECHNOLOGIES Minienvironment for Gasonics Aura 3010, Gasonics L3510
1227 Asyst Technologies Polaron Film Thickness Monitor E5500 ID-AWS-K-1-007
1228 Asyst Technologies?Mini Environmental Cassette 6 Inch , AWG-1-7-003
1229 Automation Unlimited PCB 25013-6
1230 Autonics AC Ampere Meter M4W-A ID-AWM-A-3–4-018
1231 AW-105-0019 Rev B Matrix TRANSPORT INTERFACE 21-0009-0105-019
1232 B09755 Quartz, Gas Ball Quartz For Branson IPC 3000, 2000, 4000 Series Asher
1233 B09757 Quartz TRANSUCER VACUUM FITTING For Branson IPC 3000, 2000, 4000 Series
1234 B10465 Quartz CUP JOINT EXHAUST GAS For Branson IPC 3000 2000 4000 Series Asher
1235 B5-3.0 OVP Condor Inc Power Supply
1236 BA2-B0-34-615-121-D , 683-0021, Load/last Netz/line, Mexico AWM-F-5-3-008
1237 Banner Modulated Photoelectric Amplifier MA3 AWD-D-3-1-5-012
1238 Barnstead Thermolyne pM-512 ID-AWS-K-1-004
1239 Battery Backup Unit For AG Associates Heatpulse
1240 Bausch & Lomb Transformer Cat No 31 35 28 AWM-F-2-1-001
1241 Bausch&Lomb Microscope StereoZoom 7  1.0-7.0x With 2 Of 10x W.F. AWW-6-3-013
1242 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  1-7x ID-AWW-7-2-1-001
1243 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  1-7x ID-AWW-7-2-1-002
1244 Bausch&Lomb Microscope W/ StereoZoom 4 0.7x-30x AWW-6-2-4-006
1245 Bausch&Lomb Microscope W/ StereoZoom 4 Zoom 200M  0.7x – 3x ID-AWW-7-2-2-002
1246 Bausch&Lomb Microscope W/ Zoom 200M  1-7x ID-AWW-7-2-2-001
1247 Bausch&Lomb Microscope Zoom 1x-7x , 2 Of 10x W.F. Stered ID-AWW-7-2-4-004
1248 Bay A.T. BES-4243 BES 4243 BES4243 AWM-B-4-5-011
1249 Bay A.T. Gas Distributor PCB AWM-G-E-2-011
1250 Bay Pneumatic Inc BES-530-8-PCB 80-095-255. (?) PWK52486 AWM-B-4-5-009
1251 Bay Seal Co $32240-325-WS6$ MIO-MS-R-325-W-404 ID-DW-6-4-2-001
1252 Bay Seal Co ? American Variseal R40-214-W99S ID-DW-6-4-2-012
1253 Bay Seal Company Part Number 2-383V75 O-ring Viton 75d For STS ICP
1254 Bay-Tec 80-055-437 For Tegal? ID-AWS-K-2-002
1255 Beaker 1000ml 8900-752 Kimax Kimble No 14030
1256 Beaker 2000ml VWR 10536-518
1257 Beaker 200ml
1258 Beaker 4000ml Kimax Kimble No 14005
1259 Beaker 400ml
1260 Beaker 600ml Pyrex No 1000 (3 PC) VWR 89000-224 (2 PC)
1261 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates
1262 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates
1263 Belkin Pro Series PC Compatible CGAVGA/Serial Mouse F2N209-06 Cable AWW-10-2-2
1264 Bellow  For  Branson/IPC  Asher (?) ID-AWS-k-5-1-004
1265 BF-006 IHC24-2.4 11944 International Power DC Power Supplies
1266 BIMBA D-17469-A-8 Plastic Filter? For Gasonics Aura 3010 3000 AWD-D-3-1-10-002
1267 BIMBA D-17469-A-8 Stainless Filter? For Gasonics Aura 3010 3000  AWD-D-3-1-9-001
1268 BIMBA D-17469-A-8 Stainless Filter? For Gasonics Aura 3010 3000 AWD-D-3-1-10-001
1269 Black-Ray Longwave Ultraviolet Lamp ID-AWS-L-1-3-003
1270 B-line LR 78446 Power Box
1271 Blue M OV-472A-2 38C-260C
1272 Blue-white F-400 Flow Meter , Pressure Meter
1273 BOC Edwards Remote Control Box SX/S8-S9 For QDP80 Dry Vacuum Pump AWD-D-1-0-014
1274 Boc Edwards Spectron 5000 Helium Leak Detector Vacuum Pump 18 1.5
1275 Bold Technologies 625 DTS Model 625D Dump Rinse Control ID-AWM-D-2-2-001
1276 BOLD Technologies INC Batch Develop Station
1277 Boonton Electronics Model 72BD Capacitance Meter Tester ID-AWW-8-2-4-002
1278 Bosch&Lomb Microscope Sterea Star Zoom 0.7x-4.2 570 2 Of 15x ID-AWW-6-2-1-001
1279 Box Power
1280 Box Power, RF
1281 Bracket For AG Asscoiates Heatpulse 4100
1282 Bracket For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
1283 Branson/IPC 2000C Controller D380-003
1284 Branson/IPC 3000 Plasma Etch/Asher system
1285 Branson/IPC 3000C Controller
1286 Branson/IPC 3000C Controller D380-004
1287 Branson/IPC 300C Controller D380-001
1288 Branson/IPC 300LP Controller , With SIEMENS SIMATIC C7-633 D380-002
1289 Branson/IPC 3100S Plasma System  Plasma Asher Plasma descum Dry Asher Dry Clean
1290 Branson/IPC 4000 Controller
1291 Branson/IPC 4000 Controller D380-005
1292 Branson/IPC 4150 Branson/IPC 2000 Branson/IPC 3000 Branson/IPC 3000S asher
1293 Branson/IPC 843601188 A /843-601188 A Made In Japan DP 1240 C1
1294 Branson/IPC Automatch Board PWA 804-13284-01 REV B
1295 Branson/IPC Automatch Board PWA804-13284-01 B ID-AWM-E-5-2-005
1296 Branson/IPC Automatch Board PWA804-13284-01 LL ID-AWM-E-5-2-006
1297 Branson/IPC D2000 Controller For Branson/IPC 3001, 2000, 4001,3000 AWD-D-3-3-2
1298 BRanson/IPC Display Driver 16498-01 B AWD-D-3-1-7-004 2/2
1299 BRanson/IPC Display Driver 16498-01 B AWD-D-3-1-7-005 2/2
1300 Branson/IPC Display Driver 16498-01 PCB AWD-D-3-1-8-001
1301 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
1302 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
1303 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
1304 Branson/IPC Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–7
1305 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWD-D-1-10
1306 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWD-D-2-1
1307 Branson/IPC L3200 Plasma Asher , Descum, 8” 2 chambers Equipment AWD-D-2-2
1308 Branson/IPC L3200 Plasma Asher Plasma Stripper AD-AWO-1-13
1309 Branson/IPC L3200 RF Match
1310 Branson/IPC PM 119 Generator
1311 Branson/IPC PM 119 RF Generator
1312 Branson/IPC PM-921C-TEMP Controller And DIONEX GAS Plasma Systems PM119-500 RF
1313 Branson/IPC Power Distribution  PN 12255-G Branson/IPC 3001, 3000 AWD-D-3-3-3
1314 Branson/IPC Power Distribution LP P/N 12255-G AWD-D-3-2-1
1315 Branson/IPC S3000 Controller 1 Gas
1316 Branson/IPC S3000 Controller D380-006
1317 Bridgeport Machines Inc J259536 W/ ACU•RITE III Series I 2HP  ID-NMA-013
1318 Bridgeport Machines Inc J-99441 Model No 100991 ID-NMA-012
1319 Broadway Networks Inc 35-00001-01 /35-00002-01/ 35-00003-01 AWM-G-4-1-007
1320 Broadway Networks Inc 701-00001-01 No Components On The PCB AWM-G-4-1-006
1321 Broadway Networks Inc 701–00002 iST 94V-0 0624 IM-0  AWM-G-4-1-002
1322 Broadway Networks Inc 701-00003 AWM-G-4-1-005
1323 Broadway Networks Inc 701–00006 06-4640 AWM-G-4-1-003
1324 Broadway Networks Inc 701-00009-01 /0098-90AWM-G-4-1-002
1325 Brooks Automation ? Model 101-0650 Model Frog Robot  ID-AWM-D-5-004
1326 Brooks Automation ESC-200  Firmware Version V4.24A Robot Controller
1327 Brooks Automation Model 4,666,36 4-666-36 Series No F-0974 ID-AWM-D-5-003
1328 Brooks Automation Model NO 6100-87 PN 1085-0007 For Matrix 105 Matrix 106
1329 Brooks Equipe PRE-201B-CE   Pre-Aligner
1330 Brooks Equipe PRI ASYST ESC-200 ? 12919-002 Robot Controller AWR-040-AA-9-002
1331 Brooks Equipe PRI ATM-104-1 ? ATM-4456 Robot 810-04306R 3-AXIS 4 5 Inch AWR-020
1332 Brooks Equipe PRI ATM-104-1 ? ATM-5200 Robot AWR-035
1333 Brooks Equipe PRI ATM-104-1 ? Brooks 6-0002-0048-S Robot 3-AXIS 4 5 Inch AWR-022
1334 Brooks Equipe PRI ATM-104-1 Robot  3-AXIS 4 5 Inch AWR-021
1335 Brooks Equipe PRI ATM-104-1 Robot AWR-011
1336 Brooks Equipe PRI ATM-104-1 Robot AWR-019
1337 Brooks Equipe PRI ATM-104-1-S Beooks 6-0001-0513-SP  Robot AWR-018
1338 Brooks Equipe PRI ATM-204-1-S-CE Robot AWR-041
1339 Brooks Equipe PRI ESC-100 Robot Controller
1340 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  I AWR-036
1341 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  I/II AWR-038
1342 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  II AWR-037
1343 Brooks Equipe PRI Robot Controller ECS-200 AWR-040-AA-10-001
1344 Brooks Equipe PRI Robot Controller ECS-200 AWR-040-AA-10-002
1345 Brooks Equipe PRI Robot Controller ECS-200 AWR-040-AA-10-004
1346 Brooks Equipe PRI Robot Controller ESC-212 For ATM-100 AWR-040-AA-8-001
1347 Brooks Equipe PRI Robot Controller ESC-218BT-S293 AWR-040-AA-9-003
1348 Brooks Equipe PRI Robot Controller ESC-218V Firmware V4.4913AV AWR-040-AA-8-002
1349 Brooks Mass Flow Controll 5850E CF4 100 SCCM Carbon Tetrafluoride AWM-F-5-7-004
1350 Brooks Mass Flow Controller CHF3 100 SCCM 5850E AWM-F-5-7-010
1351 Brooks Mass Flow Controller CHF3 60.0 SCCM 5850E AWM-F-4-1-011
1352 Brooks Mass Flow Controller He 0-200 SCCM 5850E W/ 4HS-S2675-1 AWM-F-5-6-005
1353 Brooks Mass Flow Controller He 500 SCCM 5850E AWM-F-5-7-002
1354 Brooks Mass Flow Controller O2 0-50 SCCM 5850E AWM-F-4-1-012
1355 Brooks Mass Flow Controller SF6 0-15 SCCM 5850E W/ 4HS-S2677-1 AWM-F-5-6-006
1356 Brooks PRI Equipe Technologies 2-08-1004 Layer 1 PN 2002-0004 ? AWR-039-CC-1-005
1357 Brooks Robot PAT. No. 4,666,366 For AG Associates Heatpulse 2106 AWG-1-7-001
1358 Brounley Engineering Mode BE1113 13 Mhz RF Generator AWD-D-1-5-6-001
1359 Brounley Engineering Mode BE1113 13.56 Mhz RF Generator AWD-D-1-5-6-002
1360 Brounley Engineering Mode BE1113 13.56 Mhz RF Generator AWD-D-1-5-6-003
1361 Bryant Locking Male Base TOMA CON TRABA BASE MACHO 70615MB
1362 Bryant Midget Locking Male Base ,2 Pole 3 Wire Grounding 7595MB
1363 BS CSP 140X190 448 S/N:05 /04/06
1364 Burr-Brown TM25-300HT Farco ID-AWM-D-2-2-003
1365 Burr-Brown TM25-300HT Farco ID-AWM-D-2-2-003-2
1366 C&C Power INC Battery Disconnect Panel , Custom Engineered Power Products
1367 C&K(6)CR A Series 2.5 A 125VAC Key
1368 C04476  Window 12″ Reactor Quartz Window For Branson IPC 3000, 2000, 4000 Series
1369 C9-344-AW Upper Electrode  for Tegal 901e 903e Plasma etcher
1370 Cable  For Thin Film Deposition Equipment
1371 Cable  With Fitting For Thin Film Deposition Equipment
1372 Cable , Temp,For Thin Film Deposition Equipment
1373 Cable 0001-00288-00 For Thin Film Deposition Equipment
1374 Cable 0150-09514 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
1375 Cable 1070-0140 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
1376 Cable 1070-0181 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
1377 Cable 1070-0193 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
1378 Cable 16879-02 C Branson/IPC ? AWD-3-1-8-004
1379 Cable 1766-01 C Branson/IPC ? AWD-3-1-8-005
1380 Cable 96-0181 Rev A Z-BOT For Gasonics Aura 3010 AWD-D-3-1-15-004
1381 Cable Branson/IPC?
1382 Cable For Matrix 105 Matrix 106 Matrix 303, Matrix 403
1383 Cable For Thin Film Deposition Equipment
1384 Cable For Thin Film Deposition Equipment
1385 Cable For Thin Film Deposition Equipment
1386 Cable For Thin Film Deposition Equipment
1387 Cable For Thin Film Deposition Equipment
1388 Cable For Thin Film Deposition Equipment
1389 Cable For Thin Film Deposition Equipment
1390 Cable For Thin Film Deposition Equipment
1391 Cable For Thin Film Deposition Equipment
1392 Cable For Thin Film Deposition Equipment
1393 Cable For Thin Film Deposition Equipment
1394 Cable With Fitting For Thin Film Deposition Equipment
1395 Cable With XUP-J203135 For Thin Film Deposition Equipment
1396 Cable With XUP-J203135 For Thin Film Deposition Equipment
1397 Cable/wire For Thin Film Deposition Equipment
1398 Cables & Power-one MAP130-4000 Etc, Gasonics Aura 3010, 3000 AWD-D-1-0-022-001
1399 Cables & Power-one MAP130-4000 Etc, Gasonics Aura 3010, 3000 AWD-D-1-0-022-002
1400 Cables And Holder For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-039
1401 Cables For Gasonics Aura 2000-LL AWD-D-2-8-12-012
1402 Cajon VCR Fitting 316L-4-HVCR-3AS6 Lot 4 ID-AWS-026
1403 Cal 9900 Temperature Controller ID-AWM-A-3–4-002
1404 Caleb CM1.12.500-115 Power Supply AWM-F-2-4-005
1405 Canon  Neutronix PLA501 Front & Back Aligner, 3 Wafer
1406 Canon BH4-0223-03 BG6-0123 PCB06M For Canon pLA-501 F Mask Aligner
1407 Canon BH4-0224-03 BG6-0124 PCB07 For Canon pLA-501 F Mask Aligner
1408 Canon BH4-0225-03 BG6-0125 PCB08 For Canon 501 F Mask Aligner
1409 Canon BH4-0226-02 BG6-0126 PCB09 For Canon 501 F Mask Aligner
1410 Canon BH4-0226-03 BG6-0126 PCB09 For Canon 501 F Mask Aligner
1411 Canon BH4-0227-04 BG6-0127 PCB10 For Canon 501 F Mask Aligner
1412 Canon BH4-0227-04 BG6-0127 PCB10 For Canon 501 F Mask Aligner
1413 Canon BH4-0228-02 BG6-0128 PCB11 For Canon pLA-501 F Mask Aligner
1414 Canon BH4-0228-03 BG6-0128 PCB11 For Canon pLA-501 F Mask Aligner
1415 Canon BH4-0605-02 BG6-0586 PCB06F For Canon PLA-501 F Mask Aligner
1416 Canon Neutronix PLA-545 UV Mask Aligner
1417 Canon PLA-501 F Parallel Light Mask Aligner
1418 Canon PLA-501 F Parallel Light Mask Aligner Crated, Canon 501 F Mask Aligner
1419 Canon PLA-501 F Parallel Light Mask Aligner Crated, Canon 501 F Mask Aligner
1420 Cassette Holder For Gasonics Aura 2000 Lam Rainbow 4420 4428 4520 AWD-D-1-5-4
1421 Cassette Station 2AG, Klk Inc For AG Asscoiates Heatpulse 8108 Intel 1set
1422 CBP8-XT LE-1-0 2399 REV 1.2 912000187 DIVERSIFIED RECHNOLOGY 6512000159
1423 CBPB-XT , 95-3510-44 PCB  For Gasonics Aura 3010, 3000 AWD-D-1-0-021-002
1424 CBPB-XT , PCB  For Gasonics Aura 3010, 3000 AWD-D-1-0-021-001
1425 CC1-B0-24-425-34R-D
1426 CD 1011-00301 Display  W/ 99-125-004  , 03601-22-040 Tegal 901e 903e DS-5-15-001
1427 CD 1011-00303 Display  W/ 99-125-008, 03601-22-040 Tegal 901e 903e DT-5-2-001
1428 CD 1049-00100 Display  W/ 99-126-003  , 03601-22-040 Tegal 901e 903e DS-5-17-001
1429 CD 1049-00102 Display  W/ 99-125-003 ,Tegal 901e 903e DT-5-3001
1430 CD 1049-00102 Display  W/ 99-126-003 D, 03601-22-040 Tegal 901e 903e DS-5-12-001
1431 CD1151-00102 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DT-4-3-001
1432 CD1151-00102 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DT-4-4-001
1433 CD1151-00102 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DU-2-7-001
1434 CD1151-00102 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DU-2-8-001
1435 CD1151-00102 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DU-2-9-001
1436 CD1151-00102 W/ 99-249-002 For Tegal 901e Tegal 903e Plasma Etcher DT-5-1-001
1437 CD1151-00102? 99-249-002  PCB For Tegal 901e Tegal 903e Plasma Etcher DT-4-1-001
1438 CD1151-00102? 99-249-002  PCB For Tegal 901e Tegal 903e Plasma Etcher DT-4-2-001
1439 CD1151-00201 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DT-4-5-001
1440 CD4925BE AE UBE For TEGAL 901E 903E
1441 CDE ResMap 178 , ResMap Four Point Probe, 2”-8” Manual load
1442 CE 454-8 Rev D VECTOR 3690-16 PCB
1443 CE 4896 IDEG21 FCC ID EUD SU9 BRI4632 61216 16 PN4632 E128381 KE AWW-10-2-6-003
1444 CE1004-00901 Power Supply.  for Tegal 901e Tegal 903e Plasma Etcher
1445 CECOMP Electronics Model F16DA400INH2OVAC 0-400.0 INH2OVAC Digital Pressure Gaug
1446 Cecomp Falcon WMPSK Wall-mount Power Supply Kit 115VAC/12VDC
1447 Century Electronics PN DM74S473AN +B8504, in ST071 package
1448 Ceramic Parts For Lam Researcher? Varian? AMAT ? ID-AWS-003
1449 Ceramic Ring 10.4335”OD X 1.6” Thk UHV Clean For STS ICP STS PRO ICP
1450 Ceramic Ring For Matrix 302 Matrix 303 Matrix 403 Plasma Etcher AWD-D-1-1-5-002
1451 Ceramic Ring Shield PN 1C7367  UHV Clean For STS ICP STS PRO ICP
1452 CF6.75 to CF3.375 Adaptor zero nipple
1453 CHA 2KW QT&E 1627 60 Hz 87-21 Transformer ID-DZ-7-007
1454 CHA Industries Panel With Cables / Wires  For Thin Film Deposition Equipment
1455 CHA Industries Thermal Evaporator System
1456 CHA ION Tube Type IG100N AWD-D-1-4-4-003
1457 CHALLENGER Boyar-Schultz , An Esterline Company, H612 Handfeed ID-NMA-019
1458 CHAM HTR PWR Interface Box 17391-01 , Gasonics Aura 3010 3000  AWD-D-3-11-4-002
1459 Chamber 9995-99629, AMU1A-20 Trazar , 0101-0724 For Matrix 10  Asher AWD-D-3-4-1
1460 Chamber Door Assy W/ BIMBA Cylinder, A01-173-02 A , A05-043-02 AWD-D-2-8-14-002
1461 Chamber For Gasonics Aura 3010 Plasma Asher Plasma Clean AWD-D-2-3-002
1462 Chamber Parts AWM-C-4-1-002
1463 Chamber Parts AWM-C-4-1-003
1464 Chamber Plate For Gasonics Aura 3010 3000  AWD-D-3-11-5-001
1465 Chamber Plate,mount ERP Pyrometer For Heatpulse 8108 ,8800,4108,8008
1466 Chamber Top With Home Design Elevator For Gasonics Aura 2000LL AWD-D-2-8-8
1467 Chamber Top With Home Design Elevator For Gasonics Aura 2000LL AWD-D-3-1-3-001
1468 CHARMILLES GENEVE Type 430 SN 42501 ,made In Switzerland, ID-NMA-004
1469 Chuck  For Canon 501 F Mask Aligner?
1470 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-1
1471 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-2
1472 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-3
1473 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-4
1474 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-5
1475 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-6
1476 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-7
1477 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-8
1478 Chuck 5” ? For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-3-1
1479 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-4-2
1480 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-4-3
1481 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-5
1482 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-6-1
1483 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-6-2
1484 Chuck Bottom  AWM-C-5-6
1485 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-1
1486 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-2
1487 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-3
1488 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-4
1489 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-5
1490 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-6
1491 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-7
1492 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-8
1493 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-9
1494 Chuck, Part Number 42-0298 (?) AWD-D-1-1-5-001
1495 CHUO SEIKI Microscope Station Zoom 0.75x-4.5x AWW-6-3-012
1496 CIT-ALCATEL RSV250 For Alcatel 113 Fomblin Y25/5 ?, W/ BBC HEUCST 90 S2 AWD-1-14
1497 CIT-ALCATEL RSV250 For Alcatel 113 Fomblin Y25/5 ?, W/ BBC HEUCST 90 S2 AWD-1-15
1498 Clamp For Quartz Connection For Branson/IPC 3000, 2000, 3100,4000,3001s
1499 Clamp For Quartz Connection For Branson/IPC 3000, 2000, 3100,4000,3001s
1500 Clippard AVT 32 12 Wasco For AG Asscoiates Heatpulse, 7300-0599-01 ,7300-0420-01
1501 Clippard Minimatic Lock-in 4-way R431 ID-AWS-011
1502 Clippard Pneumatic Cylinder Model UDR 08 2 MB Lot 8 Pc ID-AWS-026
1503 CLTC-4242-SM 18108-01-R-A, STK-2503-HV
1504 CLTC-5975-HV 18108-01-R-A
1505 CM192
1506 CM430890 F549105F PRX Made In Japan
1507 COHU MOD 1322-1000/0000 Camera ID-AWW-6-2-3-003
1508 Com Card For AG Associates RTP
1509 Component Side A/N290102-400C AWM-B-4-5-006
1510 Component Side B/N A/N290103-200 Rev-A AWM-B-4-5-003
1511 Compumotor M57-40-DO ID-AWM-F-1-001
1512 Compumotor M57-51 ID-AWM-F-1-001
1513 Computer Dynamics ID-AWW-8-2-1-002
1514 Connector Station For Gasonics Aura 3010 3000 Aura 2000LL? AWD-D-3-1-7-009 2/2
1515 Control Box Signal Box
1516 Control Panel For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-10-001
1517 Cooltech Coolant Recharge Machine Robinair Model 25200B ID -AWM-A-4-004
1518 Copper 3 Inch Elbow ID-AWS-026
1519 Coppy MHY Bath 24 Liter,2 Bathes, Coppy MHY Plating Solution
1520 Cordon ENI Filter C9724 20-1368 20VW6 F7772 AWD-D-1-0-019
1521 Corning Laboratory Stirrer Model PC-210
1522 Corning PYREX 9985-100 Watch Glass 7740 Glass 8 PC/ Pack
1523 Corning PYREX 9985-150 Watch Glass 7740 Glass 7 Pieces Left
1524 Corning PYREX 9985-65 Watch Glass 7740 Glass 5 PC/ Pack
1525 Corning PYREX Disposable Glass Pipettes 15253677
1526 Corning PYREX No 7103C TC/TD 20C  25+/-0.3mL, 12PC Package
1527 Cotton Tip SWABS
1528 Cotton Tip SWABS SW896WC
1529 Cover For Thin Film Deposition Equipment
1530 Cover For Thin Film Deposition Equipment
1531 Cover, Cable With Sensor For Thin Film Deposition Equipment
1532 CPA S-Gun Sputter Deposition / Sputter-Gun System (3 S-Guns)
1533 C-PT 5WZ49 spec 169830A, 2″ P1559LG, 100PSI/700KPA,1/4NPT CBM
1534 CTI-CRYGENICS 8510 Compressor ID-D380-022
1535 CTI-Cryogenics 8200 Compressor AWD-D-3-2-2
1536 Cutler-Hammer DH361UGK Power Box
1537 Cutler-Hammer DH361UGK Power Box
1538 CVC Power Supply For Temescal FC-1800 Evaporator DP-4-2
1539 CVC Products AST-601 Vacuum Sputter Deposition System
1540 CW1003-W00137 Wafer Transfer W/99-247-002 PCB For Tegal 901e 903e DQ-1-008
1541 CW1078 W00262 Wafer Transfer W/99-200-006 PCB For Tegal 901e 903e DQ-1-004
1542 CW1078-40401 Wafer Transfer W/99-274-003 PCB For Tegal 901e 903e DQ-1-006
1543 CW1078-40401 Wafer Transfer W/99-274-003 PCB For Tegal 901e 903e DQ-1-007
1544 CW1078-40401 Wafer Transfer W/99-275-003 PCB For Tegal 901e 903e DQ-1-005
1545 CW1078-60401 Spatula Drive, Module 6”   for Tegal 901e Tegal 903e Plasma Etcher
1546 CW1105-00202 Carriage Assy.  for Tegal 901e Tegal 903e Plasma Etcher
1547 CYBEX PC-COMPANION Plus With/without Cable 7100-2390-06 AG Associates Heatpulse
1548 CYBEX PC-COMPANION Plus With/without Cable 7100-3389-03 AG Associates Heatpulse
1549 Cylinder 10 ML  SP Scienceware Cylinder, PP, Graduated , 10ml
1550 Cylinder 100 ML  SP Scienceware Cylinder, PP, Graduated , 100ML
1551 Cylinder 1000 ML SP Scienceware Cylinder, PP, Graduated , 1000ml
1552 Cylinder 25 ML  SP Scienceware Cylinder, PP, Graduated , 25ml
1553 Cylinder 250 ML  SP Scienceware Cylinder, PP, Graduated , 250ML
1554 Cylinder 50 ML  SP Scienceware Cylinder, PP, Graduated , 50ML
1555 Cylinder 599 ML  SP Scienceware Cylinder, PP, Graduated , 500ML
1556 D261133 RF Generator Flow Volt For Branson/IPC ID-AWM-F-5-2–003
1557 Data Transfer Switch  , ATP Cal, Terminal, SECS, Robot, AG Associates Heatpulse
1558 Data Transfer Switch  , Run And Teach Model , AG Associates Heatpulse
1559 Data Transfer Switch  , Run And Teach Model , AG Associates Heatpulse
1560 Data Transfer Switch  , Run Model, Teach Model, AG Associates Heatpulse
1561 Data Transfer Switch  AG Associates Heatpulse
1562 DATA Transfer SWITCH A B Run Model Teach Model AG Associates
1563 Dayton Mechanical Shaft Seal For Perkin-Elmer 4400 4450 4410 ? AWM-F-5-4-006
1564 DC Power Supply Item CEL 1005-00202 For Tegal 901e 903e DQ-1-010
1565 DC Power Supply Item CEL 1005-00202 For Tegal 901e 903e DQ-1-012
1566 DC Power Supply Item CEL 1005-00302 For Tegal 901e 903e DQ-1-011
1567 DC Power Supply Item CEL1005-00101 For Tegal 901e 903e DQ-1-009
1568 DC Power Supply Item CEL1005-00202RW For Tegal 901e 903e DQ-013
1569 DCA F-35-1-25-0 Simpson Electric ID -AWM-F-1-028
1570 DCA F-45-1-25-0 Simpson Electric ID -AWM-F-1-027
1571 DCA Simpson Electric ID -AWM-F-1-028
1572 DE10002A208V Softswitching Used With SST Same Model ID-AWM-D-2-2-002
1573 Digital Equipment Corp 50-19588-01 A01 T 11292 , 54-19589-01 AWW-10-2-6-005
1574 Digital Monitor VT420 For Tegal 901e 903e AWG-1-3-002
1575 Dionex Gas Branson/IPC S4075-11020 ,10 Inch , Barrel Plasma Asher  D380-015
1576 Dionex Gas Plasma Systems Branson/IPC PM-119 RF Generator  D380-009
1577 Dionex Gas Plasma Systems Branson/IPC PM-119 RF Generator  D380-010
1578 Dionex Gas Plasma Systems Branson/IPC PM-921C Temp Controller D380-011
1579 Dionex Gas Plasma Systems Branson/IPC PM-921C Temp Controller D380-012
1580 Dionex Gas Plasma Systems Branson/IPC Process Programmer PM906U 4 D380-013
1581 Dionex Gas Plasma Systems Branson/IPC Process Programmer PM906U-4 D380-014
1582 Disco DFL 7160 Laser Saw
1583 Display 99-125-004 ,EEE FLIP 03601-22-040 ?Tegal 901e 903e Etcher DQ-5-015
1584 Display 99-125-008, EEE FLIP 03601-22-040 ?Tegal 901e 903e Etcher DQ-5-014
1585 Display 99-125-008, EEE FLIP 03601-22-040 Tegal 901e 903e Etcher DQ-5-012
1586 Display 99-125-008, EEE FLIP 03601-22A-040 Tegal 901e 903e Etcher DQ-5-013
1587 Display Item CD 1011 -00301 With 99-125-004 /008 Tegal PCB AWM-G-5-1-011
1588 DM-xxxx(x)-1 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
1589 Dodge / Scan Estimator 24 Mark V Projection ID-NMA-024
1590 Door With Cylinder For Gasonics Aura 2000-LL AWD-D-2-8-5-003
1591 DT326005 MOD3 Uniformity Shield 75mm UHV Clean For STS ICP STS PRO ICP
1592 DT326005 Uniformity Shield 50mm UHV Clean For STS ICP STS PRO ICP
1593 DUNIWAY KF16 Flange 1/8″ KF16-1/8
1594 DUNIWAY KF25 Flange KF25-EL90
1595 DUNIWAY KF25 Flange, 1.00″ KF25-100 Socket Weld
1596 DUNIWAY KF40 Flange 1.00″ KF40-100
1597 DUNIWAY KF40 Flange KF40-TE
1598 DUNIWAY KF40 Flange, Flex Coupling, 20″ Long, KF40-FX20
1599 Duniway Metal Clamp ID-AWS-022
1600 Duniway Metal Clamp LF160-DCC LF200-DCC ID-AWS-023
1601 Duniway Metal Clamp LF160-SCC LF200-SCC ID-AWS-023
1602 Duniway Stockroom Corp GD-IGH-10-843 Bakeable Ion Gauge Cable For GP 270,271,280
1603 Duniway Stockroom Corp I-100-K Tungsten, 1” Kovar, Glass Ion Gauge Tube, New
1604 Duniway Stockroom Corp KF40-BCP
1605 Duniway Stockroom Corp Terranova Model 809 Diaphragm Gauge Controller Terra-809
1606 Duniway Stockroom I-075-K Glass Ion Gauge AWD-D-1-4-4-007
1607 Duniway Stockroom T-075-P Glass Ion Tube AWD-D-1-4-4-005
1608 Duniway Stockroom Thermocouple Vacuum Gauge Type 0531 TC Vacuum Gauge
1609 Dwyer VFC Series 690 Kpa 100 Psi ID-AWS-025
1610 Dynatex International DX-III Scriber Breaker ID-AWS-7-5
1611 E 113283 SPST Kobold Type 41R57 , 52-62 And 60-65
1612 E Assy 113261 Rev C Fab 113260c Teltec 01V 1591 21010003
1613 E Chuck 2 Inch For STS ICP STS PRO ICP
1614 E_T.N M4032 Assy No 1519540 B 1419540 PCB AWM-B-4-4-007
1615 E2K-C25MF1 Capacitive Proximity Switch Made In Japan
1616 EATON 7196-0018-0001 SST Tube Connector
1617 Ebara Control Panel T496680 Rate 2 DC24v ID-AWS-K-2-003
1618 Edwards   QDP 40 ? 80? With Blower 1250? AWR-030
1619 EDWARDS A590-00-945 IH80-MK5 200-208V 50 -60HZ
1620 Edwards Dry-Star Controller S1400 D37201000 ID -AWM-A-5-005
1621 Edwards High Vacuum Pump E2M40FSPX SN 3804
1622 Edwards IQDP40 Dry Pump
1623 Edwards Model 655 AB 100 Millitorr Pressure Transducer W655-16-611 ID-AWS-019
1624 Edwards Series 2-MCM A38225000 For QDP80 Dry Vacuum Pump AWD-D-1-0-013
1625 Edwards Type Code A528-06-000 QDP Exhaust Pressure Module ID-AWM-D-2-3-001
1626 EG 1034 Wafer Probe Option D AWW-9-2-6-6
1627 EG 2001 Probe Wafer Chuck
1628 EICO Job #1321 Cypress/Mospro Motherboard R3 W/ Adaptor For HP4085B? AWW-6-3-011
1629 EISPAN 5626 A Label 23-0560 SPT200 Series
1630 EISPAN 5626 A Label 23-0560 SPT200 Series
1631 ELB Grinders Corp Type SPA 2040-ND , No: 16847058 , SCHLIFF ID-NMA-005
1632 ELB Grinders Corp Type SWBE 010NPC-K , No: 209030489, SCHLIFF ID-NMA-006
1633 Electro Craft Servo Products E-652-0 9092-0004 AMPL ID-AWM-D-1-005
1634 Electro Powerpacs Model 1113  Vickers Instruments AWM-F-2-1-003
1635 Electro Powerpacs Model 1173 E.Leitz Model 05026 AWM-F-2-1-002
1636 Electro-craft Servo Products Model E-652-0 Part No 9092-0004 AMP AWM-F-2-2-001
1637 ElectroGlas 05024036 244273-001 H/ CRT Conteoller Assy 244274-001 AWW-10-2-6-020
1638 Electroglas 2001X Inker / Probe Station with Navitar- Motorized Zoom Control Box
1639 Electroglas 2001X Wafer Probe
1640 Electroglas 2001X Wafer Probe
1641 Electroglas 2001X Wafer Prober
1642 ElectroGlas 28V Solenoid Drivers Assy 114824-001 Rev A WP86041959 AWW-10-2-7-001
1643 Electroglas 4090u+ Wafer Probe
1644 ElectroGlas A1 AssY GMS6529-01-C Floppy/Winchester , Controller AWW-10-2-7-007
1645 ElectroGlas A1 CPU 020 Assy 251411-002 REV E FAB 251410-001 A AWW-10-2-7-008
1646 ElectroGlas A1 CPU 020 Assy 251411-002 Rev E FAB 251410-001 B AWW-10-2-7-016
1647 ElectroGlas A1 Memory BD Assy 244842-001 Rev B FAB 244841-001 A AWW-10-2-7-011
1648 ElectroGlas A1 Power DAR Assy 244425-001 Rev L AWW-10-2-16-001
1649 ElectroGlas A1 System Memory Assy 248981-001 C FAB 248980-001!A AWW-10-2-7-019
1650 ElectroGlas A1 System Memory Assy 248981-001 Rev A FAB 248980-001 AWW-10-2-7-012
1651 ElectroGlas A1 System Memory Assy 248981-002 C R? FAB 248980-001 AWW-10-2-7-010
1652 ElectroGlas A1 System Memory Assy 248981-002 Rev C FAB 248980-001 AWW-10-2-7-013
1653 ElectroGlas A2 X80112234 MET/ENG DAR 10220008, 100863B/100863J AWW-10-2-6-014
1654 ElectroGlas A3 CPU BD Assy 244888-001 C FAB 244889-001 A 21037001 AWW-10-2-7-009
1655 ElectroGlas A3 CPU BD Assy 244888-001 Rev K FAB 244889-001 Rev C AWW-10-2-7-014
1656 ElectroGlas A3 CPU BD Assy 244888-001 Rev L FAB 224889-001 C AWW-10-2-7-018
1657 ElectroGlas A3 X80101206 MET/ENG DAR RON1685 100863B/100863J AWW-10-2-6-013
1658 ElectroGlas A4 Assy 100254-E Teltec X80061992 FAB 100253 Rev C AWW-10-2-6-012
1659 ElectroGlas A4 Assy 244288-001 ,21031002, 244287 Rev D AWW-10-2-5-006
1660 ElectroGlas A4 Tester Interface 244288-001 Rev A A 21010039 AWW-10-2-6-018
1661 ElectroGlas A4 Tester Interface 244288-001 Rev A D 21009086 AWW-10-2-6-017
1662 ElectroGlas A4 Tester Interface 244288-001 Rev A D 21012056 AWW-10-2-6-016
1663 ElectroGlas A5 Theta Z Function II  Assy 245023-001 Rev F AWW-10-2-5-005
1664 ElectroGlas A5 Theta Z Function II Assy 245023-001 K AWW-10-2-13-008
1665 ElectroGlas A5 Theta Z Function II Assy 245023-001 k AWW-10-2-13-010
1666 ElectroGlas A5 Theta Z Function II Assy 245023-001 M AWW-10-2-13-009
1667 ElectroGlas A5 Theta Z Function II Assy 245023-001 R AWW-10-2-13-007
1668 ElectroGlas A5 Theta Z Function II Assy 245023-001 Rev D AWW-10-2-13-004
1669 ElectroGlas A5 Theta Z Function II Assy 245023-001 Rev H AWW-10-2-13-002
1670 ElectroGlas A5 Theta Z Function II Assy 250262 AWW-10-2-13-005
1671 ElectroGlas A5 Theta Z Function II Assy 250262-001 Rev D AWW-10-2-13-003
1672 ElectroGlas A5 Theta Z Joystick Assy 250262 AWW-10-2-13-011
1673 ElectroGlas A5 Theta Z Joystick Assy 250262 AWW-10-2-13-012
1674 ElectroGlas A5 Theta Z Joystick Assy 250262 H AWW-10-2-13-006
1675 ElectroGlas A6 Material Handling Logic Assy XA6A 244636-002 H AWW-10-2-13-001
1676 ElectroGlas A8 AssY GMS6529-01-C Floppy/Winchester , Controller AWW-10-2-7-006
1677 ElectroGlas A8 AssY GMS6529-01-C Floppy/Winchester , Controller AWW-10-2-7-017
1678 ElectroGlas Assy 100257 FAB 100256 X9121653 Extension Board ? AWW-10-2-16-002
1679 ElectroGlas Assy 250262, P95197 THETA Z JOYSTICK AWW-10-2-6-015
1680 ElectroGlas CRT Conteoller Assy 947271 TB008 FAB 947272 AWW-10-2-6-021
1681 ElectroGlas CRT Controller Lamp Driver Assy 250259-001 C AWW-10-2-4-006
1682 ElectroGlas CRT Controller Lamp Driver Assy 250259-001 C AWW-10-2-5-010
1683 ElectroGlas CRT Controller Lamp Driver Assy 250259-001 C AWW-10-2-5-011
1684 ElectroGlas EG 1034 PC Upgrade Board  AW-1034-001 AWW-10-2-14
1685 ElectroGlas EG 1034 PC Upgrade kit AWW-10-2-10
1686 Electroglas EG 1034 Prober
1687 ElectroGlas EG 1034 Upgrade Board 21-0004-1034-003’AW-1034-003 Ver E AWW-10-2-12
1688 ElectroGlas EG 1034 Upgrade Board S2100-1034-005 AW-1034-005 Ver 9.0 AWW-10-2-11
1689 ElectroGlas EG 1034 Upgrade kit S2100-1034-006 AW-1034-006 Ver 9.0 9AWW-10-2-8
1690 ElectroGlas EG 1034 Upgrade kit S2100-1034-006 AW-1034-006 Ver 9.0 9AWW-10-2-9-1
1691 ElectroGlas EG 1034 Upgrade kit S2100-1034-006 AW-1034-006 Ver 9.0 9AWW-10-2-9-2
1692 ElectroGlas EG 2001 EG2010 PSM-C Power Supply Module  AWW-10-4-4
1693 ElectroGlas EG 2001 Wager Probe Disk Driver AWW-10-3-7
1694 ElectroGlas EXTENDER Assy 100024  ,X603373, FAB 100023 Rev b AWW-10-2-6-027
1695 ElectroGlas EXTENDER Assy 100028 , X80041041 , FAB 100027 Rev b AWW-10-2-6-025
1696 ElectroGlas EXTENDER Assy 100028 , X80102172, FAB 100027 Rev b AWW-10-2-6-024
1697 ElectroGlas EXTENDER Assy 100028 , X82042939, FAB 100027 Rev b AWW-10-2-6-023
1698 ElectroGlas EXTENDER Assy 100257 , 11180471, FAB 100256 Rev b AWW-10-2-6-026
1699 ElectroGlas Keyboard Assy 244294-001 D , 21033016, WP9050620 AWW-10-2-6-022
1700 ElectroGlas Memory BD Assy 244842-xxx FAB 244841-001 A Teltec AWW-10-2-7-015
1701 Electroglas Model 3001X EG 3001X Wafer Probe
1702 Electroglas Model 3001X EG 3001X Wafer Probe
1703 ElectroGlas PL TEMP LOGIC MUX Assy 246713-001 Rev K  AWW-10-2-6-019
1704 ElectroGlas PRE-Align Driver Assy 244639-002 Rev K FAB 244638-001 AWW-10-2-7-003
1705 ElectroGlas Theta Z Inker Deivers Assy 244736-001 Rev F AWW-10-2-7-002
1706 ElectroGlas Theta Z Inker Drive Controller II Assy 948775 AWW-10-2-7-004
1707 ElectroGlas Theta Z Inker Drive Controller II Assy 948775-002 E AWW-10-2-7-005
1708 ElectroGlas Wafer Probe 100936 Assy C 100935 FAB, AWW-10-2-5-008
1709 ElectroGlas Wafer Probe X80092111 100936 Assy E 100935 FAB, AWW-10-2-5-009
1710 ElectroGlas Wafer Sense Interface Assy 247204-001 Rev E AWW-10-2-6-008
1711 Electroplating Engineers Of Japan LTD EEJA Manual Plater Model #4
1712 Electrothermal Cat No 228 Power Regulator AWM-F-2-1-005
1713 ELOGRAPHICS 170020 , ASSM 002201
1714 ELOGRAPHICS Inc E271-2201 PCB P/N 170020 Rev B ,Assm P/N 002201 A AWW-10-2-6-010
1715 ELOGRAPHICS INC PCB P/N 170020 REV B ASSM PN 002201
1716 Elson MDC Plate For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
1717 Emscope SC-650 Series Coater Sputter for SEM
1718 ENDPT DET CD1151-00102 & Display 99-125-008,Tegal 901e 903e Etcher DQ-5-011
1719 ENI ACG-5J RF Generator AWD-D-3-5-011
1720 ENI LPG-12A Solid State Power Generator, RF Generator AWD-D-3-5-001
1721 ENI LPG-12A Solid State Power Generator, RF Generator AWD-D-3-5-002
1722 ENI LPG-12A Solid State Power Generator, RF Generator AWD-D-3-5-003
1723 ENI Model VL-400M1 Phase Shift Controller For STS ICP Equipment
1724 ENI OEM-25 RF Generator  Solid State Power Generator DP-4-1
1725 ENI OEM-6 Solid State Power Generator, RF Generator AWD-D-3-5-005
1726 ENI VPA-1987-21121 RF Generator ? AWD-D-3-5-012
1727 Enterprise Systems 10764-UMC PN 706015 A/W 900178 AWD-D-1-1-7-010
1728 EOP/Press Cont 15451-01 PCB  For Gasonics Aura 3010, 3000 AWD-D-1-0-021-005
1729 Equipe Pre-Aligner I/O Control Board Ver 2C 2002-0066 2008-1026 AWR-039-CC-6-001
1730 Equipe PRI ATM-104 For AG Associates Heatpulse 4100 4108 8108 Robot AWR-013
1731 Equipe PRI ATM-104-1 ?ATM-4394 For AG  Associates Heatpulse 8108 Robot AWR-017
1732 Equipe PRI ATM-104-1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR-014
1733 Equipe PRI ATM-104-1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR-015
1734 Equipe PRI ATM-104-1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR-016
1735 Equipe PRI Brooks Automation Robot ATM-105
1736 Equipe PRI Brooks Controller Module AWR-039-BB-3-2-001
1737 Equipe PRI Brooks Controller Module AWR-039-BB-3-2-002
1738 Equipe PRI Robot Controller ECS-100 4100-0068-019 AG Associates ID-HG-5-001/2/3
1739 Equipe PRI Robot Controller ESC-100 Frame For Heatpuls 4100 AWR-040-AA-5-001
1740 Equipe PRI Robot Controller ESC-100 Frame For Heatpuls 4100 AWR-040-AA-5-002
1741 Equipe PRI Robot Controller ESC-200 Frame And Components AWR-040-AA-7-003
1742 Equipe PRI Robot Controller ESC-210 Frame V4.24A And Components AWR-040-AA-6-002
1743 Equipe PRI Robot Controller ESC-210 Frame V4.24A And Components AWR-040-AA-6-003
1744 Equipe PRI Robot Controller ESC-212 AWR-040-AA-7-001
1745 Equipe PRI Robot Controller ESC-212B-S293 Firmware V5.011AI AWR-040-AA-8-003
1746 Equipe PRI Robot Controller ESC-212B-S293 Frame And Components AWR-040-AA-6-001
1747 Equipe PRI Robot Controller ESC-218BT-FWS V4.5513A1SF Firmware AWR-040-AA-7-002
1748 ERATRON HFP 85000 8500/1200  RF Generator AWD-D-3-5-007
1749 ERB Industries INC Safety
1750 ETI 4336K  Glass Ion Gauge AWD-D-1-4-4-009
1751 ETI 4336TN Glass Ion Tube AWD-D-1-4-4-004
1752 ETN 330002 Glass ION Gauge Tube AWD-D-1-4-4-001
1753 ETRI 133LP2182 115 VAC 13/12 W 170/150 mA 50/60 HzImpedance Protected
1754 ETRI Model 99XM 233-2662-57 Metron Technology AG Associates 2601-0030 Fan
1755 Eurotherm 101 Temperature Controller ID-AWS-019
1756 Eurotherm 810/ZCP/00/PL2/0-1200C/SPS/s  SS2F60P14 Platinell II ID-AWM-F-1-010
1757 Eurotherm 820/4-20MA/00/PL2/0-1200C ID -AWM-F-1-026
1758 Eurotherm 91e Temperature Control Control ID -AWM-F-1-031
1759 Eurotherm 91e Temperature Control Control ID -AWM-F-1-032
1760 Eurotherm Controls 815S/TC/4MA20/C4MA20/DHD/NONE 485/96/F/03 AWD-D-1-0-017
1761 Eurotherm EFit By Schneider Electric PN 70727224 3700095947 EFIT/50A/240V
1762 Evaporators Parts For Thin Film Deposition Equipment
1763 Evaporators Parts For Thin Film Deposition Equipment
1764 EWAL Valve
1765 EWAL Valve
1766 EWAL Valve (Red Covers)
1767 EWAL Valve Span Pressure Meter SST Tube Gas Line
1768 Extender Board Logic Assy 114473-001 Rev B Teltec 21010004 Fab 114472-001
1769 Extender Memory 62-0002-00 5-10930 PCB AWM-B-4-4-009
1770 F252549A Or F252599A ? AWM-F-5-5-013
1771 Fan For AG Associates Heatpulse , ETRI Model 99XU 99XU2181000 208/240V 50/60Hz
1772 Farco S.A. CH2400 LE Locle  Thermode ID-AWM-D-1-006
1773 Farnell AF350M2S3H3S2X AF350 DC Power? ID-AWM-D-2-4-001
1774 FC-1800  CTI-CRYOGENICS Cryopump Relief Valve Filter PN 8044112 . ID-DQ-1-1-007
1775 FC-1800 Evaporator 6 Inch Wafer Holder Station. ID-DQ-1-1-004
1776 FC-1800 Evaporator Parts In A Lot . ID-DQ-1-1-006
1777 FC-1800 Evaporator Shuttle ? Shields? Shielding . ID-DQ-1-1-005
1778 FC-1800 Evaporator Wafer Holder Station. ID-DQ-1-1-003
1779 FC-2900MEP HE 200 SCCM MFC Tylan 2900 Series
1780 FC-2900V SF6 50 SCCM MFC Tylan 2900 Series
1781 FC-2950MEP5 N2 200 SCCM MFC Tylan 2900 Series
1782 FC-7800CD 29A1308 HE 1000 SCCM MFC Aera
1783 FCC ID B9482335 A1-9424 HP 82335-60001 Rev B 82335-80001 3345 AWW-10-2-4-008
1784 FCC ID EJMNpDBACH4 E139761 668061-005 668062-005 689661-003 AWW-10-2-4-007
1785 FCC ID IDW87082034 1580 Rev E PCB REV D Paradise 61-603646-000 AWW-10-2-7-023
1786 FCC ID J59453-2S1P1G 50090410 AWW-10-2-5-004
1787 FED3B50 Batteries  For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
1788 Feedthrough Parts For Thin Film Deposition Equipment
1789 Feedthrough Parts For Thin Film Deposition Equipment
1790 Feedthrough Parts For Thin Film Deposition Equipment
1791 Feedthrough Parts For Thin Film Deposition Equipment
1792 Feedthrough Parts For Thin Film Deposition Equipment
1793 Feedthrough Parts For Thin Film Deposition Equipment
1794 Feedthrough Parts For Thin Film Deposition Equipment
1795 Feedthrough Parts For Thin Film Deposition Equipment
1796 Feedthrough Parts For Thin Film Deposition Equipment
1797 Felker USA 6×4 OD 12GA 304L A774 HT #460350 HT-0
1798 Ferraz Shawmut 67662 PDB 2 Pole PRI 350-#6 TORQUE 275
1799 Filter Concepts 1146 3x40A 250 VAC 50/60 HZ
1800 Filter Concepts 2065 3X100A 250 VAC 50/60 HZ
1801 Filter Concepts 3H60 F 3X60A 277/480 VAC 50/60 Hz
1802 Filter For STS
1803 Filterchem Plastic Valve PR-75-2 ID-AWS-20
1804 Finite 500 Psi Filter 3/8 NPT Coalescing HSG HN15L-6CN ID-AWS-018
1805 Fire Sentry Corp SS2-1 Model ID-AWM-D-2-6-001 And AWM-F-2-2-004
1806 Fisher Scientific Inc 211131800 Chiller , Refrigerated Recirculator D380C-017
1807 Fitting
1808 Fittings
1809 Fixtures For Thin Film Deposition Equipment
1810 Fixtures For Thin Film Deposition Equipment
1811 Fixtures For Thin Film Deposition Equipment
1812 Flange 01-4723 for Branson/IPC  Asher (?) ID-AWS-k-5-1-001
1813 Flat Aligner ,Manually
1814 Flat Aligner ,Manually
1815 Flexilux 90 HLU 2987  ID-AWM-D-2-6-002
1816 Flotect Flower Switch V6EPBSSLF B2DA1026 Honeywell Skinner Valve GE CR104PXG48
1817 Fluke 2176A Multi-point Digital Thermometer ID-AWW-8-2-3-005
1818 Fluke 8024B Multimeter AWW-10-2-1-002
1819 FLUKE 8050A Digital Multimeter AWW-10-2-1-008
1820 FLW 203A-3 , SN Plasma-therm Inc ID-AWM-F-1-015
1821 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
1822 For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
1823 For Tegal 901e Tegal 903e ? Gas Line ID-AWS-032-002
1824 For Tegal 901e Tegal 903e ? Gas Line ID-AWS-032-003
1825 For Tegal Equipment PN 80-041-000 Spring
1826 For Tegal Equipment PN 80-041-001 Graphite Control 10548338
1827 For Tegal Equipment PN 80-041-003 Graphite Controls 10548312
1828 For Tegal Equipment PN TGL-42-100-001
1829 For Tegal Equipment PN TGL-42-185-003
1830 For Tegal Equipment PN TGL-42-271-005
1831 For Tegal Equipment PN TGL-42-281-001
1832 For Tegal Equipment PN TGL-42-282-002
1833 For Tegal Equipment PN TGL-42-282-003
1834 For Tegal Equipment PN TGL-42-285-002
1835 For Tegal Equipment PN TGL-42-293-001
1836 For Tegal Equipment PN TGL-42-307-001
1837 For Tegal Equipment PN TGL-42-328-002
1838 For Tegal Equipment PN TGL-80-055-048
1839 For Tegal Equipment PN TGL-80-080-028
1840 For Tegal Equipment PN TGL-80-080-029
1841 For Tegal Equipment PN TGL-80-080-031
1842 For Tegal Equipment PN TGL-80-080-036
1843 For Tegal Equipment PN TGL-80-080-038
1844 For Tegal Equipment PN TGL-80-080-041
1845 For Tegal Equipment PN TGL-80-080-054
1846 For Tegal Equipment PN TGL-80-080-085
1847 For Tegal Equipment PN TGL-80-080-093
1848 For Tegal Equipment PN TGL-80-127-167
1849 For Tegal Equipment PN TGL-80-127-183
1850 For Tegal Equipment PN TGL-80-127-262
1851 For Tegal Equipment PN TGL-80-127-273
1852 For Tegal Equipment PN TGL-80-127-321
1853 For Tegal Equipment PN TGL-80-127-325
1854 For Tegal Equipment PN TGL-80-127-343
1855 For Tegal Equipment PN TGL-80-127-350
1856 For Tegal Equipment PN TGL-80-127-361
1857 For Tegal Equipment PN TGL-80-127-362
1858 For Tegal Equipment PN TGL-80-127-363
1859 For Tegal Equipment PN TGL-80-127-364
1860 For Tegal Equipment PN TGL-80-127-365
1861 For Tegal Equipment PN TGL-80-127-366
1862 For Tegal Equipment PN TGL-80-163-004
1863 For Tegal Equipment PN TGL-80-163-005
1864 For Tegal Equipment PN TGL-80-163-006
1865 For Tegal Equipment PN TGL-80-165-002
1866 For Tegal Equipment PN TGL-80-165-003
1867 For Tegal Equipment PN TGL-80-165-004
1868 For Tegal Equipment PN TGL-80-165-005
1869 For Tegal Equipment PN TGL-80-165-008
1870 For Tegal Equipment PN TGL-80-165-014
1871 For Tegal Equipment PN TGL-80-165-025
1872 For Tegal Equipment PN TGL-80-165-026
1873 For Tegal Plasma Equipment PN TGL-40-003-002
1874 For Tegal Plasma Equipment PN TGL-40-005-001 O Ring
1875 For Tegal Plasma Equipment PN TGL-40-007-001
1876 For Tegal Plasma Equipment PN TGL-40-011-001
1877 For Tegal Plasma Equipment PN TGL-40-012-001
1878 For Tegal Plasma Equipment PN TGL-40-017-002
1879 For Tegal Plasma Equipment PN TGL-40-044-001
1880 For Tegal Plasma Equipment PN TGL-40-053-001
1881 For Tegal Plasma Equipment PN TGL-40-065-001
1882 For Tegal Plasma Equipment PN TGL-40-102-002
1883 For Tegal Plasma Equipment PN TGL-40-169-001
1884 For Tegal Plasma Equipment PN TGL-40-177-001
1885 For Tegal Plasma Equipment PN TGL-40-178-001
1886 For Tegal Plasma Equipment PN TGL-40-230-001
1887 For Tegal Plasma Equipment PN TGL-50-014-001
1888 For Tegal Plasma Equipment PN TGL-50-016-001
1889 For Tegal Plasma Equipment PN TGL-50-022-001
1890 For Tegal Plasma Equipment PN TGL-50-039-001
1891 For Tegal Plasma Equipment PN TGL-51-084-001
1892 For Tegal Plasma Equipment PN TGL-51-092-001
1893 For Tegal Plasma Equipment PN TGL-51-106-001
1894 For Tegal Plasma Equipment PN TGL-51-114-001
1895 Front Control Panel Display CD 1011 For Tegal 901e 903e AWD-D-3-2-4
1896 FSI B/N290091-200A 815035 815-035? A/N290091-400 B  AWM-B-4-5-015
1897 FSI B/N290097-200 Rev A 290097-400 Solder Side ESI 838040 PCB
1898 FSI B/N290102-200 Rev A 290102-400 Rev B Solder Side ESI 752017 PCB
1899 FSI Component Side A/N290020-400 E AWM-B-4-5-007
1900 FSM Frontier Semiconductor Measurements FSM8800 Complies W/ 21 CFR AWW-8-3-001
1901 FTS Systems RC75C0011HH 208v 10 Amps  Maxi Cool AWR-010
1902 FutureStar 158-060 ID-AWM-D-5-003
1903 G&M Tech Inc Model 10RNS Flow Rate 10 LPM Oil-less Rocking Piston Pump Used
1904 Gaertner Scientific Corp Programmable Stepper Motor Controller CC1.2 AWW-6-4-002
1905 Gaertner Scientific L106A Laser Ellipsometer 05-LHP-321 Laser Head ID-AWW-9-4-2
1906 Gaertner Scientific L115B Ellipsometer With 3222H-PC-60  Laser Head AWW-7-5-3
1907 Gaertner Scientific L116 A  Ellipsometer With 50-LHP-321 Laser Head AWW-8-5-1
1908 Gaertner Scientific L116 A Ellipsometer With Hughes HAC Laser Head AWW-6-5-5
1909 Gaertner Scientific L116 B Ellipsometer With 50-LHP-321 Laser Head AWW-8-5-2
1910 Gaertner Scientific L116-A Ellipsometer No Laser Head AWW-8-5-4
1911 Gaertner Scientific L116A Laser Ellipsometer 05-LHP-321 Laser Head AWW-7-5-1
1912 Gaertner Scientific L116-C Ellipsometer With 50-LHP-321 Laser Head AWW-8-5-3
1913 Gaertner Scientific L117 Ellipsometer No Laser Head , 3-3.5 Inch, AWW-10-3-5
1914 Gaertner Scientific L125 B Laser Ellipsometer 05-LHP-321 Laser Head ID-AWW-6-5-1
1915 Gaertner Scientific L2W25C.488  Ellipsometer 3222H-PC Laser Head AWW-7-5-2
1916 Gaertner Scientific L3W25C.488.830 Ellipsometer 3222H-PC Laser Head AWW-6-5-2
1917 Gail Motion Control DMC-630 3 Axis PC/CT/AT Bus Equipe/ PRI AWR-039-BB-3-1-001
1918 Gail Motion Control DMC-630 3 Axis PC/CT/AT Bus PN 2100-0302-01 PRI AWR-039-CC-2
1919 Gail Motion Control DMC-8230 For Equipe/ PRI AWR-039-CC-1-001
1920 Gail Motion Control DMC-8240  For Equipe/ PRI AWR-039-CC-1-002
1921 Gas Bottle Cylinder EMO Shut Off Device Used In Gas Cabinet Unknown PN
1922 Gas Box  95-0261 For Gasonics Aura 3010 3000  AWD-D-3-11-7-001
1923 Gas Box  For Gasonics Aura 3010 3000  AWD-D-3-11-6-001
1924 Gas Box Assembly For Gasonics Aura 2000LL AWD-D-2-8-8
1925 Gas Box For Matrix 10 Plasma Asher, No MFC Inside?  AWD-D-2-9-006
1926 Gas Delivery Item CG 1015-00500 W/ 99-126-005 ,Tegal 901e 903e Etcher DQ-4-004
1927 Gas Delivery Item CG 1015-00504 W/ 99-126-006 Tegal 901e 903e Etcher DQ-4-005
1928 Gas Delivery Item CG 1145-W00493 W/ 99-126-005 ,Tegal 901e 903e Etcher DQ-4-003
1929 Gas Delivery Item CG 1145-W00948 W/ 99-126-006 ,Tegal 901e 903e Etcher DQ-4-008
1930 Gas Line APTech 95930W2PFSMF,EWAL Valve, Millipore Pressure Meter
1931 Gas Line APTech AP1510SHM 2PM MV4 MV4 3.38 ,6L-FV4A-T4A Millipore Pressure Meter
1932 Gas Line APTech AP3625SM 2PW MV4 TW4 PRX/7 ,AP3625SM 3PWG MV4 FV4TW4 PRX/8
1933 Gas Line APTech AP3657S 2PW TW4 MV4 1.5 ,AP5008SZ 4 MT SC MK Wika Pressure Meter
1934 Gas Line NUPRO 6L-M1V2858-II Pressure Meter Valve
1935 Gas Line NUPRO SS-4BK TW-10 (2) SS-4TF-TW Filter UPC-1000 N2 100 SCM
1936 Gas Line Valves And APTech AP3625SM 2PW FV4 FV4 ,AP3550S DUAL V Fv4Fv4Fv4 HS/2
1937 Gas Line Valves Pressure Meter APTech AP3625SM 2PW FV4 FV4
1938 Gas Line With NUPRO SS-4BK V51-1C (3) , SS-4D4L V51(2) ,Pall 4HS-F2075-1
1939 Gas Line With NUPRO SS-4BK V51-1C (3pieces) , SS-4D4L V51, Pall 4HS-R7608-1
1940 Gas Line With NUPRO SS-4BK V51-1C (4) SS-4D4L V51(4),Filter ,MFC Unit UFC-1100
1941 Gas Line With NUPRO SS-4BK V51-1C (8), SS-4D4L V51(4),Pall 4HS-F2075-1 4HS-9889-
1942 Gas Line With Valves SS-DSV51
1943 Gas Lines With Valves And Meters
1944 Gas Lines With Valves And Meters
1945 Gasonics 17547-01 Rear Control Assy For Gasonics Aura 3010 3000 AWD-D-3-1-10-003
1946 Gasonics 95-0320-01 Rev C Seagate ST3660A Driver AWM-G-E-2-013
1947 Gasonics 95-2872  SST Tube ,Millipore WG2FT1RR2/ C7PM3221 Filter AWD-D-3-1-6-001
1948 Gasonics 97042-01 Power Conditioner For Gasonics Aura 3010 3000 AWD-D-3-1-11
1949 Gasonics 97042-01 Power Conditioner For Gasonics Aura 3010 3000 AWD-D-3-1-12
1950 Gasonics A01-008-03 Rev A For Gasonics AE 2001 Or Gasonics Aura 1000
1951 GASONICS A-2000LL AID “AIR ION DEVICE” PREFIRE ASSY A95-027-03 NOS
1952 Gasonics A89-005-01 7 A90-005-02 D Controller Board AWD-D-1-1-7-004
1953 Gasonics A89-005-01 7 A90-005-02 J Controller Board AWD-D-1-1-7-005
1954 Gasonics A89-005-01 B A90-005-02 N? P? Controller Board AWD-D-1-1-7-006
1955 Gasonics A89-005-01 B A90-005-02 R Controller Board AWD-D-1-1-7-002
1956 GASONICS A89-030-01 REV C 90-2608 REV B
1957 GASONICS A90-003-01 A89-003-01 REV H
1958 Gasonics A95-134-01 SST Tube AWD-D-3-1-6-002
1959 Gasonics AE 2001 Plasma Etcher Dry Etcher AWO-1-14
1960 Gasonics Aura 1000 Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-16
1961 Gasonics Aura 2000 Plastic Cover , Loadlock?AWD-D-3-1-6-003
1962 Gasonics Aura 2000LL Plasma Asher Plasma descum Dry Asher
1963 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-1
1964 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-10
1965 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-11
1966 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-12
1967 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-2
1968 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-3
1969 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-4
1970 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-5
1971 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-6
1972 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-7
1973 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-8
1974 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-9
1975 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-1
1976 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-2
1977 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-3
1978 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-4
1979 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-5
1980 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-6
1981 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-7
1982 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-8
1983 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-8
1984 Gasonics Aura 3010 L3510 Plasma Asher Display Assembly Monitor 95-0296 AWM-B-4-6
1985 Gasonics Aura 3010 L3510 Plasma Asher Display Assembly Monitor 95-0296 AWM-B-4-7
1986 Gasonics Aura 3010 Plasma Asher Plasma Clean AWD-D-2-3
1987 Gasonics BRanson/IPC Display Driver 16498-01 B AWD-D-3-1-8-002
1988 Gasonics Chamber Door PN 17329-01 For Gasonics Aura 3010 3000  AWD-D-3-11-1
1989 Gasonics Controller ? Gas Panel ? With Dayton Electronic For 6X543 AWD-D-1-3-4
1990 Gasonics Cooling Station 17387-01 ? For Gasonics Aura 3010 AWD-D-1-1-5-003
1991 Gasonics Cooling Station 17387-01 ? For Gasonics Aura 3010 AWD-D-1-1-5-004
1992 Gasonics Cooling Station 17387-01 E  For Gasonics Aura 3010 AWD-D-1-1-6-001
1993 Gasonics Distribution 95-0293 B For Aura 3000 3010 Plasma Asher AWD-D-3-4-3
1994 Gasonics Distribution 95-0552  A For Aura 3000 3010 Plasma Asher AWD-D-3-3-5
1995 Gasonics Distribution 95-0552  A For Aura 3000 3010 Plasma Asher AWD-D-3-4-4
1996 Gasonics Gasonics/IPC Display Decoder A98-014-01 Rev D
1997 Gasonics INTL PCA ,EOP INTFC, MINI-controller A90-2565 Rev A
1998 Gasonics L3500 Plasma Asher Plasma descum Dry Asher Dry Etch
1999 Gasonics L3510 Plasma Asher Plasma descum Dry Asher Dry Etch
2000 Gasonics L3510? L3500? Branson/IPC L3300? Plasma Asher Plasma Clean AWD-D-1-6
2001 Gasonics Lamp A95-214-01 USHIO EHG 120V 750W For Aura 3010 3000 AWD-D-3-1-13-001
2002 Gasonics Lamp Control  Module PN 17447-01  95-0550? Aura 3010 3000  AWD-D-3-11-2
2003 Gasonics Lamp Control  Module PN 17447-01  95-0550? Aura 3010 3000  AWD-D-3-11-3
2004 Gasonics Lamp Control  Module PN 17447-01  95-0550? Aura 3010 3000  AWD-D-3-11-3
2005 Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–5-001
2006 Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–6-001
2007 Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–6-002
2008 Gasonics Lamptray Assy For Gasonics Aura 3010 3000 2000LL? AWD-D-1-4–5-001
2009 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-2-001
2010 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-2-002
2011 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-3-001
2012 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-3-002
2013 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–10-001
2014 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–10-002
2015 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–11
2016 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–8
2017 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–9
2018 Gasonics Lamptray PN 17327-01 D For Gasonics Aura 3010 3000  AWD-D-1-3-3-003
2019 Gasonics Model Robotload PTL 129-06833 ID -AWM-B-4-2-001
2020 Gasonics PCA Display Panel 90-2678 B W/ 90-2679 , 89-2637? 4004 AWD-D-1-1-7-001
2021 Gasonics PCA Display Panel 90-2678 B W/ 90-2679 , 89-2637? 4004 AWD-D-1-1-7-003
2022 GASONICS PCA LOADLOCK INTERFACE BD 90-2608 REV B A89-030-01 REV C
2023 Gasonics PEP 3510 Frame ? Plasma Asher Plasma Clean AWD-1-9
2024 Gasonics Plate For Microwave Guide For Gasonics Aura 3010 3000 AWD-D-3-1-12-002
2025 Gasonics PWM Motor Driver 810-2850-001 AWD-D-3-1-5-011
2026 Gasonics Ring ? For Gasonics Aura 3010 AWD-D-1-1-5-005
2027 Gasonics Thermocouple For Gasonics Aura 3010 3000 AWD-D-3-1-12-003
2028 GASONICS CONTROLLER BOARD A90-005-06 REV K
2029 Gasonics/IPC AC CNTRL PWR ASSY 16563-01? 1656B-01? 16568-01? AWD-D-3-1-6-005
2030 Gasonics? GES PT-9012-286 370704 AWR-039-CC-3-002
2031 Gasonics? GES PT-9012-286 397226 AWR-039-CC-3-001
2032 GAST D0A-U191-AA Pump
2033 Gast DOA-P704-AA High Capacity Vacuum Pump
2034 Gast DOA-P704-AA High Capacity Vacuum Pump
2035 Gast DOA-P704-AA High Capacity Vacuum Pump
2036 Gasverteilerplatte 5 Vac Part Number: AST 57600010 STEAG-MATTSON
2037 GE Safety Switch TH4322 Model 10 Amps 60A
2038 General Purpose Transformer Type 2 Enclosure Catalog No T-3-53044-S SE ID-DY-5
2039 Genetix ClonePix FL Automated Clone Screening Colony Picker w/ Jun-Air OF302-10S
2040 GENMARK Automation M0352 Robot AWR-029
2041 Genmark Automation PA3H Rev. 1 GPN D2-01-2-00050 /2100-0302-01 AWR-039-CC-1-006
2042 Genmark Automation Robot Controller AWR-040-AA-4-001
2043 Genmark Automation Robot Controller AWR-040-AA-4-002
2044 Genmark Automation Robot Controller AWR-040-AA-5-003
2045 Genuine Sloan A-36-A Closet Kit ID-AWM-A-3–4-003
2046 Glass Bottle With Lid . New. All are Shown In The pictures.
2047 GLFPF3000VFM4 Pall Gaskleen 2020783 For Tegal 901e Tegal 903e Etcher DT-3-10-001
2048 Gold Techni TG25T Bath Rhodium 225 Bath Complete Working
2049 Gordos AC Input AC Output DC Input DC Output 6200-0276-02 Rev J?2100-0005-01?
2050 GP 275 Mini-convectron Granville-phillipg ID-AWS-019
2051 GP 303 Vacuum Process Controller Granville-Phillips Used
2052 GP270 Gauge Controller Granville-Phillips Airco Temescal FC-1800. ID-D-EV001-1
2053 GP-ISRY Ulvac Japan ID-AWM-D-2-3
2054 GPM130D Condor Power Supply
2055 Granville-Phillips Brooks Automation 385007-GO-T Convectron ATM
2056 Grobet Model 3.5 QUART
2057 GTC Products L112-DV2-3E-FXFF
2058 H2 Reg. & Gauge 44-2261-242-019 ID-AWS-017
2059 Halmar Electronics Inc Power Controller Model 1P-2060
2060 HAMAMATSU PHOTONICS K.K. C956-04 Made In Japan
2061 HARIG Products, Inc Super 612 ID-NMA-020
2062 Has Box For Matrix 10 Plasma Asher, No MFC Inside?  AWD-D-2-9-005
2063 Hastings Vacuum Gauge Meter For DV-6 Gauge Tube ID-AWS-027
2064 Heatpuls 8108 Controller Station.W/ 05-1 598-01A Monitor, Dynapro 1780A D380-026
2065 HEC HT57 100PF+/- 10% 883115KVDC N750 1503-0004-00 ID-DW-6-4-2-011
2066 HEC HT57 50PF+/- 10% 9130 /9440/ 9210 15KVDC NP0 1503-0004-00 ID-DW-6-4-2-010
2067 Helicalohm MW22-10MM ETI Systems Switch AWM-F-5-4-003
2068 Hewlett Packard 4061A Semiconductor Test System
2069 Hewlett Packard device Set To SCSI ID 2 C2944D ID-AWW-8-2-1-001
2070 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-1
2071 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-2
2072 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-3
2073 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-4
2074 Hewlett Packard HP 16067A Low Leakage Fixture AWW-9-2-8-1-012
2075 Hewlett Packard HP 16067A Low Leakage Fixture AWW-9-2-8-1-013
2076 Hewlett Packard HP 16072A 16072-26551?B-3422 W/ Adaptor For HP4085B AWW-6-3-007
2077 Hewlett Packard HP 16072A 16072-26551?B-3422 W/ Adaptor For HP4085B AWW-6-3-008
2078 Hewlett Packard HP 16072A 16072-26561 A-3030 W/ Adaptor For HP4085B AWW-6-3-009
2079 Hewlett Packard HP 16072A 16072-26561 A-3030 W/ Adaptor For HP4085B AWW-6-3-010
2080 Hewlett Packard HP 16072A 16072-26561?A-3030  W/ Adaptor For HP4085B AWW-6-3-006
2081 Hewlett Packard HP 16072A 16072-26561A-3030 W/ Adaptor For HP4085B AWW-6-3-005
2082 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-001
2083 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-002
2084 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-003
2085 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-004
2086 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-005
2087 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-006
2088 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-007
2089 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-009
2090 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-010
2091 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-011
2092 Hewlett Packard HP 16078A Adapter 4145A-4085A AWW-9-2-6-4
2093 Hewlett Packard HP 16078A Adapter 4145A-4085A AWW-9-2-6-5
2094 Hewlett Packard HP 82937A HP-IB Interface AWW-9-2-6-7
2095 Hewlett Packard HP 85021 B Calibration Kit AWW-9-2-6-2
2096 Hewlett Packard HP 85029 B Verification Kit AWW-9-2-6-1
2097 Hewlett Packard HP-IB 98624A 98624-66501 B-2244 217230 ,52-2509 AWW-10-2-3-002
2098 Hewlett Packard HP-IB 98624A 98624-66501 B-2244 217230 ,52-2509 AWW-10-2-3-003
2099 Hewlett Packard HP-IB 98624A 98624-66501 B-2933 3415 DIV 53F AWW-10-2-3-004
2100 HF 74032-89-43 REV H ASST NO 125280-004
2101 High Yield Technology Model 100 ID -AWM-B-4-1-004
2102 HINE DESIGN HINE ARM REV 303 SER.# 005228 ASSY 778-2H
2103 Hine Design Motor Station For Gasonics Aura 2000-LL AWD-D-3-1-2-001
2104 Hine Design Ser 006413 With DC Motor 2326.939-13.216-200 Robot AWM-C-4-2-001
2105 HIR2000SK43PV1FSMMM Parker VERIFLO Regulated Valves With Millipore Pressure G
2106 HNL Inc A93-021-04(11540) Microwave Guide For Gasonics Aura 2000LL AWD-D-2-8-9
2107 Home Design Cassette Station For Gasonics Aura 2000-LL AWD-D-2-8-4-002
2108 Home Design Cassette Station For Gasonics Aura 2000-LL AWD-D-3-1–1-002
2109 Home Design Robot Assembly For Gasonics Aura 2000-LL AWD-D-2-8-4-001
2110 Home Design Robot Assembly For Gasonics Aura 2000-LL AWD-D-3-4-001
2111 Honeywell 612X9-HT-00-00-7E16 Chart:1571T 120 Volts Hz 60 VA 4.5 0-100 F Purple
2112 Honeywell GKMB13W2 For Aura 3010 3000 AWD-D-3-1-13-003
2113 Honeywell PG1LM0100 0/100 Gauge ID-AWM-F-5-1-008
2114 HP 0-2306-40 2415-5963 98256A T35 8C 0591285 AWW-10-2-4-010
2115 HP 1651A Logic Analyzer Hewlett Packard ID-AWW-AWW-9-3-12
2116 HP 1660A Logic Analyzer Hewlett Packard ID-AWW-AWW-10-3-4
2117 HP 24541-660031 B-2732-63 041-00540 AWW-10-2-16-005
2118 HP 3466A Digital Multimeter  Hewlett Packard ID-AWW-8-2-4-004
2119 HP 3499A Switch/Control System Hewlett Packard ID-AWW-AWW-9-3-6
2120 HP 3499A Switch/Control System Hewlett Packard ID-AWW-AWW-9-3-7
2121 HP 3499A Switch/Control System Hewlett Packard ID-AWW-AWW-9-3-8
2122 HP 4062 Process Control System With 4x HP 4142B And 1X Keithley 7002 Switch Sys
2123 HP 4062UX Process Control System
2124 HP 4062UX Process Control System,  With 4x 4142B Modular DC Source / Monitor
2125 HP 4062UX Process Control System, Complete,working
2126 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-005
2127 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-006
2128 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-007
2129 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-008
2130 HP 4085B Switching Matrix
2131 HP 4085B Switching Matrix
2132 HP 4085B Switching Matrix Frame Hewlett Packard ID-AWW-AWW-9-3-1
2133 HP 4140B pA Meter / DC Voltage Source Hewlett Packard ID-AWW-8-4-002
2134 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-10-3-6
2135 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-7-4-002
2136 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-7-4-003
2137 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-7-4-004
2138 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-10-3-2
2139 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-10-3-3
2140 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-10
2141 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-11
2142 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-3
2143 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-5
2144 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-9
2145 HP 4145B Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-10-3-1
2146 HP 4145B Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-4
2147 HP 436A Power Meter Hewlett Packard ID-AWW-8-2-2-003
2148 HP 437B Power Meter Hewlett Packard ID-AWW-8-2-4-001
2149 HP 645A C9730A EP-86  6830A004AA Black  Color Laser JEt 5500 C3500 And More
2150 HP 8443 Tracking Generator . Counter Hewlett Packard ID-AWW-AWW-9-3-2
2151 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-003
2152 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-004
2153 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-005
2154 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-007
2155 HP Controller 382 Hewlett Packard ID-AWW-6-4-006
2156 HP Controller 382 HP-B98624A Hewlett Packard  28685B ID-AWW-6-4-003
2157 HP Controller 382 HP-B98624A Hewlett Packard  28685B ID-AWW-6-4-004
2158 HP CW-4-94 Rev B 98257-66524 7267721-56 B-2622-56 98257A 56-2730 AWW-10-2-4-012
2159 HP CW-4-94 Rev B 98257-66524 7268005-56 B-2622-56 98257A 56-2730 AWW-10-2-4-011
2160 HP E5255A 24(8×3)CH Multiplexer Hewlett Packard ID-AWW-6-4-005
2161 HP HDSP-2470 SN 10036 HDSP-2424
2162 HP Hewlett Packard HP E3171A3 Option U62  For HP 4062UX ID-AWW-9-5-3
2163 HP Hewlett Packard HP E3171AJ Option U62  For HP 4062UX ID-AWW-9-5-4
2164 HP Hewlett Packard HP E3171AJ Option U62  For HP 4062UX ID-AWW-9-5-5
2165 HP Hewlett Packard HP Visualize Workstations B2000 For HP 4062UX ID-AWW-9-5-1
2166 HP4062 Semiconductor Process Control System
2167 HP4062UX Semiconductor Process Control System
2168 HP4062UX Semiconductor Process Control System
2169 HP4062UX Semiconductor Process Control System , 10 PC Of HP 4084B
2170 HP741-050-580-C HARRIS Specialty Gas Wika Pressure Gauges Nova B 44MF ASG
2171 HP-Agilent 8110A 72 Vio ID-AWW-8-4-007
2172 HP-Agilent E5250A +E5252Ax4 ID-AWW-6-4-005
2173 HPS 88-9167 / 100889167 Seal , CF,CU/NI Plt, 8 ID-AWM-F-1-005
2174 HPS Corp. Boulder Co. 1104404 ? CC04404 ? For Tegal Etcher
2175 HPS DIV Of MKS SST Cover ID-AWS-023
2176 HTC 1200 Heating Recirculator NESLAB  BOM 353005310208 TU-3 380C-019
2177 Humphrey HA111E1-PLL /H1114A For AG Asscoiates Heatpulse?
2178 Humphrey Products Air Valves Air Cylinders 0624E11205060
2179 Huntington Flange AWM-C-4-7-003
2180 Huntington IK-100 Glass Ion Tube AWD-D-1-4-4-006
2181 Huntington Labs PN 4629219 MFR-132-50-MOD For Thin Film Deposition Equipment
2182 HV Inline Valve VAT PN 26524-KA21-0001/0692 For STS ICP
2183 Hydrogen Leak Detector For 5000 Ppm ID-AWS-017
2184 IBM CORP 4163901 01 IBM FRV NO. 10G3966 SIV EMBED LEVEL 9-2-92 PC MAIN CONTROL B
2185 IBM Travel star Harder Driver Model DARA-20600 With Motorola Disk Mounting Card
2186 IBMEJNF3 TMS 27C512-2JL A2EE 9136 AG Associates Heatpulse Chips
2187 icon STD A 302-030-03 SN 21014-20
2188 ICS-716-011540-001 Ring Face Upper For Lam Research Lam Rainbow 4xxx
2189 Ideal P103819 Adaptor Conical KF-40 To CF 1-1/3 In., ISO-KF NW-40 To Conflat
2190 Idealvac KF Clamps KF25CLA KF25 Clamp AL PN P101199 New Made In China
2191 IE IEMIERSON AcDC RBT 61 1730-7005 Power Supply ID-AWM-D-2-5-003
2192 Industrial Control Transformer Sola HEVI-duty E150 FS1002 AWD-D-1-5-5
2193 Inficon 901-200-04 Quadrex-200 SCU Mass Filter Control ID-AWM-D-2-1-002
2194 Inficon AG LI-9496 Blazers Model PSG500 PN 350-060 SN 4506
2195 Inficon AG Type VGC 401 No. 390-010 F-No: 1262
2196 Inficon IC 6000 Controller Model 013-093
2197 Inficon Leybold-heraeus 013-093 ID-AWM-F-1-007
2198 INJ. Valve Controller With Fuji Temperature Controller ID-AWM-D-1-010
2199 inmac Clear Signal T-Switch A B
2200 Innotech TA-N2-1000 New
2201 Inspection Syncotec Power Supply Type 6 I-700? ID-AWM-A-3-002
2202 Interfan PM018-115-3B Fan
2203 intralux 4000 Volpi AG  ID-AWW-8-2-2-001
2204 intralux 4000 Volpi AG  ID-AWW-8-2-2-002
2205 intralux 4000 Volpi AG  ID-AWW-8-2-3-001
2206 intralux 4000 Volpi AG CH-8952 Schlieren ID-AWW-8-2-1-003
2207 intralux 4000 Volpi AG ID-AWW-8-2-1-005
2208 IR401W 28 FS MF 43800628 132389 Regulated Valve VERIFLO Corporation
2209 Isolated Quartz Tube for AG Associates Heatpulse 210 RTP
2210 Item CR1113-00301 RF Matching  Network For Tegal 901e 903e DQ-2-001
2211 Item CR1113-00301 W/ 31-086-004 /CR1044-302 Tegal 901e 903e DQ-2-013
2212 Item CR1113-00301 W/ 31-086-004 /CR1124-00101 ,Tegal 901e 903e DQ-2-012
2213 Item CR1113-00301 W/ 31-086-004 /CR1124-00701 ,Tegal 901e 903e DQ-2-011
2214 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-002
2215 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-003
2216 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-004
2217 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-005
2218 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-006
2219 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-007
2220 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-008
2221 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-009
2222 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-010
2223 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-015
2224 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-016
2225 Item CR1113-00301RW W/ 31-086-004 /CR1124-01001 Tegal 901e 903e DQ-1-003
2226 Item CR1113-00801RW W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-014
2227 ITT McDonnel & Miller Series FS1 Flower Switch ID-AWS-L-1-2-002
2228 j50-24 V.ADJ  ID-AWM-D-2-3
2229 J60100-3CR Fuse Buss Bussmann Cooper Industries
2230 Japan SANWA LC361C Wafer Probe With MU-6 Olympus Microscope SZ40 SZ CHI , 5000V
2231 Jay D. SPROUT Company Inc 225 HTMP-1000 ID-NMA-001
2232 JDS Uniphase 2214-25MLUP Laser Part
2233 JDS Uniphase 2214-25MLUP Laser Part With Laser Power Controller 000327 Rev A
2234 Jennings UCSL-1000-5S AWD-D-1-0-016-001
2235 Jennings UCSL-500-10S AWD-D-1-0-016-002
2236 JETINTELLIGENCE Print Cartridge 410A Magenta HPLaserJET pro M452 M477
2237 Jofra D50RC D 50 RC ID-AWM-D-1-004
2238 Kacon Signal Phone KSP-42T ID-AWM-A-3–4-011
2239 Karl Suss MA 150 EL  Mask Aligner
2240 Karl Suss MA 200 Mask Aligner
2241 KB Model KBIC-120 9429A ID-AWM-D-2-5-006
2242 Keithley 7001 Switch System  SDL 103-0902 AWW-8-1-1-001
2243 Keithley Instruments Inc 55478 A 225 Current Source ID-AWW-8-2-4-003
2244 Keller Technology Corp KTC Bondtest-30 BT-30 W/TD 110v 3A 60Hz ID-AT-012
2245 KENT Industrial Co., LTD Model KGS-250AHD ID-NMA-015
2246 Key For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
2247 Keyboard Panel For Gasonics Aura 3010 3000 AWD-D-3-2-7-003
2248 King Instrument Company 7510217A08 10”/pvc/EPR/10 GPM ID-AWS-025
2249 KLA Tencor Surfscan 4500
2250 Kla-tencor 056529 D , 056S29 D ? 003840 Teltecolv 1185 AWW-10-2-4-013
2251 KLA-Tencor 1530A-8-0005_SA SN 200569
2252 KLA-Tencor 20-03030 Digital Data Converter 20 03030 AWW-10-2-1-005
2253 Kla-tencor 6470-22732 Digitec Cooperation Printer AWW-10-2-1-003
2254 Kla-tencor 6470-23004 Digitec Cooperation Printer AWW-10-2-1-007
2255 KLA-Tencor Alpha-step MDL No 10-00020 AWW-9-2-5-001
2256 KLA-Tencor B-22 AWW-10-2-17-002
2257 KLA-Tencor Daytron Inc DI-5049!B AWW-10-2-17-001
2258 KLA-Tencor Digital Data Converter Model No 20 03030 /20-02030 AWW-10-2-17-005
2259 KLA-Tencor Digital Interface Model 10-0?? AWW-10-2-1-004
2260 KLA-Tencor Mgage 200 Mgage 300 Parts W/M77744 V7169 AWW-10-2-17-006
2261 KLA-Tencor PCB AWW-10-2-17-003
2262 KLA-Tencor PCB AWW-10-2-17-004
2263 KLA-Tencor Prometrix Film Thickness Probe  W/ FT-650, Cognex 3100 Vision System
2264 KLA-Tencor Prometrix SpectraMap SM-300 W/ Wafer Handler C2C / SN 9202C2C-02
2265 Klinger Motor Controller ? AWD-D-1-4-3-001
2266 Klinger Motor Controller ? AWD-D-1-4-3-002
2267 Klinger Motor UE71 Current 1 AMP AWD-D-1-4-2-001
2268 Kooltronic KBB36-XX09, Capacitor Z97F5704 A95-125-02 A AWD-D-2-8-14-001
2269 Kooltronic KBB36-XX09, Capacitor Z97F5704 A95-125-02 A AWD-D-2-8-6-001
2270 Kouwell KW-524H FCC ID FSS KW-524H AWW-10-2-16-010
2271 Kulicke & Soffa K&S 8028 Auto Gold Ball Bonder
2272 Kulicke & Soffa K(&S) Triton RDA Auto Wedge Bonder
2273 Kurt J Lesker Thin Film Dual Thermal Evaporator Used Complete Working
2274 Kurt J. Leaker Co. Multi-Gauge LR88590 120/240 VAC 50/60 Hz 5 A
2275 KURT J. Leaker Co.,  Glass ION Gauge Tube I-075-K IRIDIUM 3/4” ? AWD-D-1-4-4-002
2276 KW-509S FCC ID IJKW-509S PCB CMD LL CM16C550P 9748
2277 L-10CS , O-ring, door seal  For Branson/IPC Plasma Asher Door
2278 L-8:  Door Seal, 8″, for Branson IPC Plasma asher
2279 L8324 For TEGAL 901E 903E
2280 Lab-line Instruments Imperial IV Ultra-clean “100” Oven  AWR-033
2281 Lam Research 2300632 Has Line For Drytek 384 ID-AWS-024
2282 Lam Research 392A 392-1-B-H23-585 For Lam AutoEtch AWD-D-1-1-7-012
2283 Lam Research 716-140141-261 C Si Collard W/Step , New
2284 LAM Research 839-011906-001, ELECTRODE SILICON 6 INCH, New 2nd source
2285 LAm Research 839-014577-101 Chuck ID-AWS-L-1-2-006
2286 Lam Research 840-009865-100 766-9866-100 ID-AWS-024
2287 Lam Research 853-210622-306 Coupling Ring, New
2288 Lam Research Lam 490B Plasma Etcher Equipment AWD-D-1-8
2289 Lam Research Lam 590 Plasma Etcher 4/6 Inch Without Change Equipment AWD-D-1-9
2290 Lam Research Lam AutoEtch 490 590 690 790 Monitor. Used
2291 Lam Research Lam AutoEtch 490 Plasma Etch Plasma Etcher Dry Etch
2292 Lam Research Lam AutoEtch 590 Plasma Etch Plasma Etcher Dry Etch
2293 Lam Research Lam Rainbow 4420 Plasma Etch Plasma Etcher Dry Etch
2294 LAMBDA Alpha 600W Power Supply
2295 LAMBDA Electronic Inc Model LFS-42-12-K Regulated Power Supply
2296 LAMBDA Electronic Inc Model VEGA 650 Regulated Power Supply V608LJR
2297 LAMBDA Model LVS-42E-5 For AG Asscoiates 4000-0010-01 SRV
2298 Lamp Control Assembly For AG Associates Heatpulse 8800
2299 Lamp Control Module For AG Associates Heatpulse 8108 , Heatpulse 8800
2300 Lamp Control Module For AG Associates Heatpulse 8108 , Heatpulse 8800
2301 Lamp Enable SSR Assy 17700-01  For Gasonics Aura 3010 3000  AWD-D-3-11-7-002
2302 Lamp Enable SSR Assy 17700-01  For Gasonics Aura 3010 3000  AWD-D-3-11-8-001
2303 Lamp Enable SSR Assy 17700-01  For Gasonics Aura 3010 3000  AWD-D-3-11-8-002
2304 Lamp Heat Connected Station ? For Gasonics Aura 3010 AWD-D-3-1-15-001
2305 Lamp Heat Connected Station ? For Gasonics Aura 3010 AWD-D-3-1-15-002
2306 Lamp Reflect Assy ID-AWS-013
2307 Lamptray Assembly Gasonics Aura 2000LL AWD-D-3-1-4-002
2308 Lamptray Assembly W/ A95-053-02, A95-205-01, Gasonics Aura 2000LL AWD-D-2-8-11
2309 LASCO SCH 40 PVC D2466 And Other Plastic Component.
2310 LASELCO 2201745 Transformer For Gasonics Aura 3010, 3000, 2000-LL AWD-D-3-2-3
2311 Layton MFG 55632 Mixed Bed-type I Calcalligan Service AWR-043
2312 Leblond Makino EDNC64 With MGC 3 LS 42 Float, LS44 Temp Sensor ID-NMA-002
2313 Leblond Makino EDNC64 With MGC 3 LS 42 Float, LS44 Temp Sensor ID-NMA-003
2314 LEBLOND MAKINO FNC 74-A20 ID-NMA-007
2315 Leecraft VCC 26-07 2000W 600V 10A , AG Associates 2109-0050 Lamp Holder
2316 Lehighton 1510 EC Sheet Resistance Measurement
2317 LEHIGHTON Electronics Inc Model 1310 Metrology Resistivity ID -AWM-A-5-002
2318 LEYBOLD INFICON Model 758-500-G1 Deposition Monitor
2319 Leybold Inficon Part Model 904-432-G1 ID-AWM-A-4-009
2320 Leybold Pump Control Box 16x12x9 Inch
2321 Leybold Pump Control Box 16x12x9 Inch
2322 LEYBOLD Trivac Type D65BCSPFPE B8111397 Vacuum Pump AWD-D-1-2-001
2323 Leybold Turbotronic NT 150/360 Part No. 85472-3 Made In USA
2324 LEYBOLD Turbotronik NT340M PN 899242 For STS ICP AWD-D-1-5-2-006
2325 Leybold TurboVac 50 85402 A941100126 LUFTKUEHLUNG 854-06-B1 ID -AWM-A-5-006
2326 Leybold Vacuum GMBH Turbovac 1000C For STS ICP Spare AWD-D-1-5-8
2327 Leybold Vacuum GMBH Turbovac 1000C For STS ICP Spare AWD-D-1-5-9
2328 Leybold-heraeus Turbovac 360 Made In Germany
2329 LFE Plasma System PD-152-14 4128 A-PD-1201-0002 RF Marching? ID-AWM-D-2-1
2330 LFE Plasma Systems PP-151-9 Plasma Asher Plasma descum Dry Asher D380-019
2331 Light Station 15” High ID-AWW-6-2-2-002
2332 Light Station 28” High ID-AWW-6-2-2-001
2333 Lindberg 919/ZCP/KP2/200-1199C/PIO/A/X NS2C184X30 7014-1003-00A ID-AWM-F-1-013
2334 Linear Instruments 0156-0000 PMS 4,146,828 AWM-F-2-2-005
2335 Liquid Nitrogen LN2 Bottle 531-13 00004986-000 Was For Hitachi CD-SEM ID-DW-6-5
2336 LISK S-1997 ID-AWS-011
2337 Littelduse 930915, AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
2338 Little Giant 00167456 SNC200F2 Z-world Davis CA USA AWM-B-4-5-016
2339 LM224J For TEGAL 901E 903E
2340 LN2-150 Tank? Container? Bottle? AWD-D-1-0-025
2341 Load lock Cover For Lam AutoEtch 490 590 690 790 AWD-D-1-1-7-014
2342 Lock And Key For AG Asscoiates Heatpulse
2343 Loctite Electronics Division 1600-0005-01 For AG Associates Heatpulse ID-AWS-016
2344 Lot 8 Pieces ENI Solid State Power Generator, RF Generator AWD-D-3-7-001
2345 Lot 9 Pieces ENI Solid State Power Generator, RF Generator AWD-D-8-001
2346 Lot Of M714 M717 Taper Tip Manual Syring. New. All are Shown In The pictures.
2347 Lot Of M718 M717 Taper Tip Manual Syring. New. All are Shown In The pictures.
2348 Lot Of M718 M717 Taper Tip Manual Syring. New. All are Shown In The pictures.
2349 Lot Of Plastic Fittings All In The Picture Package Sale Only Most Of Them New
2350 Lot Of Plastic Fittings All In The Picture Package Sale Only Most Of Them New
2351 Lot Of Plastic Fittings All In The Picture Package Sale Only Most Of Them New
2352 Lot Of Smooth-ON PC-3 PC-B, Weld-On 711 PVC P-70 705 PVC Oatey Clear Etc
2353 Lot: Vacuum Parts For Gasonics Aura 2000-LL AWD-D-2-8-7
2354 Lot: Varian L6281-703 NW40 A/O, Sigmameltec PS-10N , Tele Byte, AWD-D-2-8-13-002
2355 Loyola Controls Inc Model EPAC-1-240-7.2 Part No. 01-5262 240v 50/60 Hz 30 Amp
2356 LOYOLA CONTROLS INC OB 5090 35-0106 SN 57834
2357 LSL-024R Light Module ID-AWS-013
2358 Lucas Pressure Transducer P3061-2WD 0-5 VDC 24241 Schaevitz Sensors
2359 Lucas/Signatone Corp. Quad Pro Four-Point Probes QuadPro Resistivity System
2360 Luxtron Model 1104 Options Rev 9027.02 ID-AWS-K-1-005
2361 M 167A M 167 PCB FOR RESET, MADE IN GERMANY
2362 M And W Systems Flowrite Cooling RPCX17-A-D-D12x10”-LI-CMII-HE AWR-009
2363 M And W Systems M&W RPCX17A-D-D12x10”-LI-CMII-HE Chiller AWD-D-2-10-024
2364 M8724 LF347N For TEGAL 901E 903E
2365 Maaway Products MPD 41620 Power Supply 120 VAC 30 AMP 50/60 Hz AWW-10-3-8
2366 Machine Parts For Thin Film Deposition Equipment
2367 Machine Parts For Thin Film Deposition Equipment
2368 Machine Technology , HI TEMP STEPPER HOT PLATE, 2217605220, 2217603220
2369 MACHINE TECHNOLOGY INC MOTOR CONTROL BOARD III 2217605501
2370 Machine Technology Inc Temp CTRL 2217605210 Made In USA
2371 Machine Working Station W/ Enco Sander-Grinder 163-4650(SN-160) ,Toyang 301 Saw
2372 Magnehelic 2000-0C Max 15 Psi ID-AWS-K-3-010
2373 Magnehelic 2002c Max 15 Psi ID-AWS-K-3-009
2374 Magnehelic Dwyer Instruments Inc Pressure Meter
2375 Magnetic Blower Model JB1R084N ID-AWS-024
2376 MakeAclamp Make-A-Clamp Kit Stainless Steel Stock Number 4005
2377 Manhattan Data Transfer Switch  , ATP Cal, Terminal, Robot, Spare AG Associates
2378 Marathon 1432955
2379 Marathon 1433955
2380 Marelco Power Systems Inc Howellmi M17716SE MEC 200!transformer D380-022
2381 Mask Aligner Adaptor Plate 2.5×2.5×0.6 To 4×4 AWW-9-2-1-005
2382 Mask Aligner Adaptor Plate AWW-9-2-1-006
2383 Mask Aligner Adaptor Plate AWW-9-2-1-007
2384 Materials Research Corporation MRC 603 MRC 693 TES-600 sputtering system
2385 Materials Research Corporation MRC 603 Sputtering System
2386 Materials Research Corporation MRC 603 Sputtering System Sputter System
2387 Materials Research Corporation MRC 643 Sputtering System
2388 Materials Research MRC K46-0378 Al/Si1/Cu 0.5 Sputter Target  ID -AWM-A-4-001
2389 Matheson Totalizer Model 8124 0-100 Counts Per Minute And Newport P6000
2390 Matrix  209S Microwave Plasma Asher , Stripper Plasma Descum , 8 Inch ID-AWO-2-2
2391 Matrix 10 Plasma Asher Plasma Clean , Up To 8 Inch Wafer AWO-1-15
2392 Matrix 10 Plasma Asher Plasma Descum Equipment
2393 Matrix 10 Plasma Asher Plasma Descum Equipment , 8 Inch AWD-D-1-7
2394 Matrix 101 103 102 104 105 205 106 Plasma Asher 992-60094 Ring AWM-C-4-2-003
2395 Matrix 101 103 102 104 105 205 106 Plasma Asher 992-60126 Ring AWM-C-4-2-003
2396 Matrix 101 103 102 104 105 205 106 Plasma Asher 992-60126 Ring AWM-C-4-2-004
2397 Matrix 101 103 102 104 Plasma Asher Chuck AWM-C-4-2-002
2398 Matrix 105 (?) RF Matching Network ID-AWS-013
2399 Matrix 105 Matrix 106 Matrix 302 /303 PCB PN 1000-0032
2400 Matrix 105 Matrix 106 Matrix 302 Matrix 303 Display Screen
2401 Matrix 105 Matrix 106 Matrix 302 Matrix 303 Transport Controller PN 1000-003
2402 Matrix 105 Matrix 205  Plasma Asher Chamber Parts AWM-C-5-4-001
2403 Matrix 105 Matrix 205 Matrix 106 Matrix 303 Matrix 403 Matrix Monitor Display
2404 Matrix 105 Matrix 205 Matrix 303 Matrix 403 Monitor Front Frame ID-AWM-D-1-001
2405 Matrix 105 Plasma Asher Plasma Descum for GaAs GaN InP GaInP SiC wafer
2406 Matrix 105 System One Stripper Plasma Asher Plasma descum Dry Asher Dry Etch
2407 Matrix 106 Asher  Chuck & Chamber Bottom Plater With TC Sensor ID-AWS-k-6-1-001
2408 Matrix 106 Asher  Chuck & Chamber Bottom Plater With TC Sensor ID-AWS-k-6-1-002
2409 Matrix 106 Asher Heater ID-AWS-L-1-1-001
2410 Matrix 205 Plasma Asher Descum (Through The Wall Matrix 105 model) Dry Clean
2411 Matrix 209S Matrix BobCat 209S  Microwave Plasma Asher,Plasma Descum ID-AWO-2-1
2412 Matrix 303 Matrix 105 (?) Plasma Etcher Plasma Asher Bottom Of Chamber
2413 Matrix 303 Matrix 403 Chamber AWM-C-5-1-001
2414 Matrix 303 Matrix 403 Plasma Etch Chuck Top Assembly ID-AWS-k-6-2-001
2415 Matrix 303 Matrix 403 Plasma Etch Chuck Top Assembly ID-AWS-k-6-2-002
2416 Matrix 303 Matrix 403 Plasma Etcher Chamber Bottom Plate BAWM-C-5-2-001
2417 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer 6-97103-07  AWM-C-5-4-006
2418 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer 6-97103-07  AWM-C-5-4-007
2419 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer AWM-C-5-4-005
2420 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer AWM-C-5-4-008
2421 Matrix 303 Matrix 403 Plasma Etcher Chamber Ceramic Ring  AWM-C-5-4-011
2422 Matrix 303 Matrix 403 Plasma Etcher Chamber Parts AWM-C-5-2-002
2423 Matrix 303 Matrix 403 Plasma Etcher Chamber Parts AWM-C-5-3-001
2424 Matrix 303 Matrix 403 Plasma Etcher Chamber Pump Ring  AWM-C-5-4-009
2425 Matrix 303 Matrix 403 Plasma Etcher Chamber Pump Ring  AWM-C-5-4-010
2426 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring  AWM-C-5-4-012
2427 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring AWM-C-5-4-002
2428 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring AWM-C-5-4-003
2429 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring AWM-C-5-4-004
2430 Matrix 303 Plasma Etcher Ceramic Ring
2431 Matrix 303 Plasma Etcher Chuck
2432 Matrix 303 Plasma Etcher Chuck
2433 Matrix 303 Plasma Etcher Top Chamber
2434 Matrix 303 System One Etcher  Plasma Etch Plasma Etcher Dry Etch
2435 Matrix 990-95010
2436 Matrix Chamber Bottom Plate  ID-AWS-k-6-3
2437 Matrix Chamber Bottom Plate With Chuck And Vacuum Accessories ID-AWS-k-6-4
2438 Matrix Integrated Sys 1000-0027  9000-0027? Process Controller AWD-D-1-1-7-008
2439 Matrix Integrated System  RFS500W Controller Assy 9200-04- AWM-G-5-3-002
2440 Matrix Integrated System 1000-0005
2441 Matrix Integrated System 1000-0005 (1010-0005 R) Operator Interface PCB
2442 Matrix Integrated System 1000-0005 (1010-0005 R) Operator Interface PCB
2443 Matrix Integrated System 1000-0005 (1010-0005 R) Operator Interface PCB (1)
2444 Matrix Integrated System 1000-0042
2445 Matrix Integrated System 1000-0042 (1010-0005 B) Operator Interface PCB
2446 Matrix Integrated System 1000-0082 1010-0082 AWM-G-5-3-001
2447 Matrix Integrated System 9000-0042 Operator Interface PCB
2448 Matrix Integrated System 9000-0042 Operator Interface PCB
2449 Matrix Integrated System 9000-0042 Operator Interface PCB
2450 Matrix Integrated Systems 1000-0007
2451 Matrix Integrated Systems 1000-0007
2452 Matrix Integrated Systems 1000-004 F 103 Temperature Controller Interface
2453 Matrix Integrated Systems 1000-0082 PCB
2454 Matrix Integrated Systems 1000-200-4 Monochrometer Endpoint PCB AWD-D-1-1-7-007
2455 Matrix Integrated Systems MONOCHROMETER ENDPOINT PCB 1000-0032
2456 Matrix Integrated Systems MONOCHROMETER ENDPOINT PCB 1000-0032, 1010-0032 REV A
2457 Matrix Integrated Systems MONOCHROMETER ENDPOINT PCB 1000-0032, 1010-0032 REV A
2458 Matrix Integrated Systems Process Controller 1000-0050
2459 Matrix Integrated Systems Process Controller 1000-050
2460 Matrix Integrated Systems Process Controller 1000-050
2461 Matrix Integrated Systems Process Controller 1000-050
2462 Matrix Integrated Systems TRANSPORT INTERFACE 1000-0019 REV B 1010-0019 REV R
2463 Matrix Integrated Systems TRANSPORT INTERFACE 9000-0019 REV B 1010-0019 REV R
2464 Matrix Integrated Systems TRANSPORT INTERFACE 9000-0019 REV B 1010-0019 REV R (
2465 Matrix Operator Interface PCB PN 1000-0042 For Matrix 105 Matrix 303 Matrix 106
2466 Matrix PCB Brooks Automation Component Side Orbitran Rev C (0999)And B (SN 0788)
2467 Matrix Process Controller  Interface PN 1000-0027 For Matrix 10x X03 Xxx
2468 Matrix RF Service RFS500M ControllEr 03-00011- Rev 4 17-0005-00 2 AWM-G-5-3-003
2469 Matrix RF Service RFS500M Controller 17-0005-00 2 03-00011-00 4 AWM-G-5-3-005
2470 Matrix RF Service RFS500M ControllEr 17-0006-00 2 03-00012- AWM-G-5-3-008
2471 Matrix RF Service RFS500M ControllEr 9200-0004-02 AWM-G-5-3-004
2472 Matrix RF Service RFS500M ControllEr A17-0006-00 A03-00012-2 Motor AWM-E-5-2-010
2473 Matrix RF Service RFS500M ControllEr AW-105-500 AWM-E-5-2-009
2474 Matrix System One Etcher Matrix 302? Matrix 303 ?AWD-D-2-7
2475 Matrix System One Etcher Style 302 Matrix 302 Plasma Etch, Dry Etcher AWD-1-12
2476 Matrix System One Etcher Style 402 Matrix 403 Plasma Etch, Dry Etcher AWD-D-2-4
2477 Matrix System One Stripper Matrix 103
2478 Matrix System One Stripper Matrix 10X
2479 Matrix System One Stripper Matrix 205 AWD-D-2-6
2480 Matrix System One Stripper Matrix 20x  AWD-D-2-5
2481 Matrix System One Stripper Style 10X Plasma Asher Plasma Clean AWD-1-10
2482 Matrix System One Stripper Style 10X, Matrix 103 Plasma Asher Descum AWD-1-11
2483 Matrox 590-00 REV B 16551-74L-1827 00059264 Rev. A00 MGA-MIL/4/ AWW-10-2-16-004
2484 Matrox Electronic Systems STD 2480 136-C06-3BCDE AWD-D-1-1-7-009
2485 Matsushita Electronic Opt. Model 3N4993B122 ID-AWS-K-3-001
2486 Mattson AST 2800 RTP (200mm, 8″)  Rapid Thermal Processing Equipment
2487 Mattson AST 2900 RTP (200mm, 8″)  Rapid Thermal Processing Equipment
2488 Mattson AST 3000 RTP (200mm, 8″)  Rapid Thermal Processing Equipment
2489 Maxim Max231CPD For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
2490 MC14070B CP FF8722A  For TEGAL 901E 903E
2491 MC1458CP1 For TEGAL 901E 903E
2492 MC6821P For Tegal PCB
2493 MCS ATN-1010D 101-0478 Model-10 RF Power Control ID-AWS-K-1-002
2494 MCS ATN-1010D 101-0478 Model-10 RF Power Control ID-AWS-K-1-002
2495 MCSI Assy No 71300901 PCB 71300301 Rev C ESC 200 Old CTL-6411 AWR-039-CC-4-001
2496 MCSI IND-88-4 CPU Rev A  AWR-039-CC-5-001
2497 MDA-12 448H Min. Fuse For AG Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
2498 MDC 150008 450×275 Flange ID-AWS-023
2499 MDC LAV-300 310077 Angle And Inline Valve ID-AWS-026
2500 MDC Ultra High Vacuum 3 Inch Angle And Inline Manual Valve LAV-300 ID-AWS-017
2501 MDV-018 MDV018 High Vacuum Valve ID-AWM-D-1-011
2502 MDV-018 MDV018 High Vacuum Valve ID-AWM-D-1-012
2503 MDX-1K Advanced Energy Power AWD-D-3-5-008
2504 MDX-1K Advanced Energy Power AWD-D-3-5-009
2505 Mean Well MW Q-120C Power Supply For Gasonics Aura 3010 3000 AWD-D-1-0-023-005
2506 MEC DMOF12D.5B Master Electronic Controls For Perkin-Elmer 4400 ? AWM-F-5-4-010
2507 Mercury Probe HG-512-4 MSI Electronics ID-AWW-8-2-2-004
2508 Metal Carrier 1mm Thickness Total ,300um About Depth 4 Inch Wafer Carrier
2509 Metal Carrier Aluminum Carrier , 2×4 Inch 2mm Thickness 1mm Pocket
2510 Metal Cassette 4 Inch
2511 Metal Cassette 4.5 Inch
2512 Metal Cassette,square,6 Inch
2513 Metalworking Equipment for the semi-conductor tooling industry
2514 Meter Signal Box
2515 MGP-SD AWW-10-2-16-007
2516 MHT Mitsui High-tec, Inc MITSUI MFG Co., Surface Grinder MSG-200MH ID-NMA-022
2517 MHT Mitsui High-tec, Inc MITSUI MFG Co., Surface Grinder MSG-250SE  ID-NMA-023
2518 MHT Mitsui High-tec, Inc Surface Grinder MSG-200MH ID-NMA-021
2519 Micro Memory Inc MM-8500C /16 8200-0253  2 E / 91251 AWM-B-4-5-005
2520 Micro Technical Industries Thermo-probe Model 810 ID-AWM-A-4-008
2521 Micropump 000-605 20070 113 020-000 81851 073 ID-AWM-D-2-5-001
2522 Microscope Holder/ Adaptor AWW-6-1-6-002
2523 Microscope Holder/ Adaptor AWW-6-1-6-003
2524 Microscope Holder/ Adaptor AWW-6-1-6-004
2525 Microscope Holder/ Adaptor AWW-6-1-6-005
2526 Microscope Holder/ Adaptor AWW-9-2-1-001
2527 Microscope Holder/ Adaptor AWW-9-2-1-002
2528 Microscope Nikon 022936 AWW-9-2-1-003
2529 Microscope Station 10” High ID-AWW-6-2-1-003
2530 Microscope Station 15” High With Olympus ID-AWW-6-2-2-003
2531 Microtek AVON LTD Utek CB3121 ISS3 CB 3121 ISS 3 PCB  AWD-D-1–1-4-010
2532 Microtek AVON LTD Utek CB3124 ISS5 CB 3124 ISS 5 PCB  AWD-D-1–1-4-009
2533 Microtek CB2021 ISSU 5 CB2022 ?PCB Temperature Controller AWD-D-1–1-3-005
2534 Microtek CB2055 ISSU 2 CB2056 ? PCB AWD-D-1–1-3-004
2535 Microtek CB3121 ISS 3 PCB AWD-D-1–1-3-001
2536 Microtek CB3121 ISS 3 PCB AWD-D-1–1-3-002
2537 Microtek Utek 187Khz Matching Unit Controller Board SRE 134048 AWD-D-1–1-4-003
2538 Microtek Utek Avonlid CB3082 ISS 4 PCB AWD-D-1–1-4-002
2539 Microtek Utek CB1503-1 ISS6 CB 1503-1 ISS 6 PCB  AWD-D-1–1-4-007
2540 Microtek Utek CB3012 CB 3012 ISS 4 PCB  AWD-D-1–1-4-004
2541 Microtek Utek CB3017 ISS3 CB 3017 ISS 3 PCB  AWD-D-1–1-4-006
2542 Microtek Utek CB3017 ISS4 CB 3017 ISS 4 PCB  AWD-D-1–1-4-008
2543 Microtek Utek CB3121 ISS3 CB 3121 ISS 3 PCB  AWD-D-1–1-4-005
2544 Microwave Quartz Tube For Gasonics Aura 3010 Plasma Asher AWD-D-1–1-4-012
2545 MIL-B-81705C TYPE I Gallium Phosphide Wafer 2 Inch
2546 Miller Design And Equipment ,Interface , CPU Prober Controller AWW-10-2-4-005
2547 Millipore 300894-001 / 1ZR9745J Spare Parts
2548 Millipore CWFV Filter (?) ID-AWM-F-5-2-009
2549 Millipore Wafergard PF40 Filter WGFV40P01 New 0.1 Um AWM-F-4–2-003
2550 Minertia  RM Motor UGRMEM-02SNQ33 TG-7SVE For AG Asscoiates Heatpulse?
2551 MITUTOYO Coordinate Measuring Machine Model B-231, Code No. 806M-3 ID-NMA-017
2552 MKS 1179A N2 100 SCCM Mass-Flo W/ Swagelok SS-4TF-05,SS-4C-1 AWM-F-4-1-013
2553 MKS 1179A N2 100 SCCM Mass-Flo W/ Swagelok SS-4TF-05,SS-4C-1 AWM-F-5-6-008
2554 MKS 1179A01313CR1CV N2 1000 SCCM Mass-Flo Controller AWM-F-5-6-004
2555 MKS 1179A01352CR1CV N2 500 SCCM Mass-Flo Controller AWM-F-4-1-014
2556 MKS 122A-11063  Baratron 10 Torr For Tegal 901e Tegal 903e DS-3-13-001
2557 MKS 122AA-00010AB Baratron 10 Torr For Tegal 901e Tegal 903e DS-2-8/9-001
2558 MKS 122AA-00010AB Baratron 10 Torr For Tegal 901e Tegal 903e DS-3-10-001
2559 MKS 122AA-00010BB Baratron 10 Torr For Tegal 901e Tegal 903e DS-2-10-003
2560 MKS 122AA-00010BB Baratron 10 Torr For Tegal 901e Tegal 903e DS-2-12-002
2561 MKS 127A-11356 Baratron 10 Torr For Tegal 901e Tegal 903e DS-3-12-001
2562 MKS 127AA-00001B-S Baratron 10 Torr For Tegal 901e Tegal 903e DS-3-11-001
2563 MKS 127AA-00010A Baratron 10 Torr For Tegal 901e Tegal 903e DS-3-12-002
2564 MKS 127AA-00010A-SP016-88 Baratron 10 Torr For Tegal 901e Tegal 903e DS-3-13-002
2565 MKS 2 Channel T.C. Controller Type 286 ID-AWS-K-1-010
2566 MKS 222BHS-A-A-10 Baratron 10 Torr For Tegal 901e Tegal 903e DS-2-10-002
2567 MKS 253A-11165 253A-1-40-1/ S045-90 ?Throttle Control Valve AWW-10-1-1-002
2568 MKS 253A-11165 253A-1-40-1/ S045-90 Throttle Control Valve AWW-10-1-1-001
2569 MKS 253A-11165 253A-1-40-1/ S45-90 Pressure Flow Throttle Valve , AWW-10-1-3-006
2570 MKS 253A-11165 253A-1-40-1/S045-90 ? Pressure Flow Throttle Valve AWW-10-1-3-001
2571 MKS 253A-11165 253A-1-40-1/S045-90 ? Pressure Flow Throttle Valve AWW-10-1-3-002
2572 MKS 253A-11165 253A-1-40-1/S045-90 ? Pressure Flow Throttle Valve AWW-10-1-3-007
2573 MKS 253A-11165 253A-1-40-1/S045-90 ?Pressure Flow Throttle Valve AWW-10-1-2-002
2574 MKS 253A-11165 253A-1-40-1/S045-90 Pressure Flow Throttle Valve AWW-10-1-2-001
2575 MKS 253A-11165 253A-1-40-1/S045-90 Pressure Flow Throttle Valve AWW-10-1-2-003
2576 MKS 253A-11165 253A-1-40-1-S Throttle Valve PN 03-01705-2-901 AWW-10-1-1-003
2577 MKS 253A-11165 Pressure Flow Throttle Valve , AWW-10-1-3-004
2578 MKS 253A-11165 Pressure Flow Throttle Valve , AWW-10-1-3-005
2579 MKS 253A-1-40-1-SP Pressure Flow Throttle Valve AWW-10-1-3-008
2580 MKS 253B-11165 Pressure Flow Throttle Valve , 03-01705-2-901 A AWW-10-1-3-003
2581 MKS 722A12TCE2FA 100 Torr AWD-D-1–1-2-001
2582 MKS 722A21TCB3FJ  20 Torr AWD-D-1–1-2-002
2583 MKS Barathon 142A-13243 10 Torr ID-AWS-20
2584 MKS Baratron 1torr 227AHS-A-1 ID-AWS-018
2585 MKS Baratron 370A-00001 1 Torr ID-AWS-K-3-009
2586 MKS Baratron 390HA-00001 Range 1 Torr
2587 MKS Baratron 627A 1TAD S 1torr ID-AWS-019
2588 MKS Baratron Pressure Meter Controller 250A For Matrix 105, 106,303, AWM-F-2-8
2589 MKS Baratron Pressure Meter PDR-C-1B PS/DVM ID-AWS-K-1-006
2590 MKS Electronic 170M-6C ID-AWM-D-2-1-003
2591 MKS ENI OEM-6 RF Generator For Matrix 105 Asher AWD-D-2-8-002
2592 MKS Exhaust Throttle Valve 253B-11020 / 253B-1-40-2 SPO21-84 AWD-D-3-1-5-007
2593 MKS HPS   Glass Ion Gauge AWD-D-1-4-4-010
2594 MKS ION Gauge CONT Type 290-01 ID-AWS-K-1-009
2595 MKS ION Gauge Controller 290B-01 Type 290 ID-AWS-015
2596 MKS MFC Model GE50A019501RBK020 Has CL2 Range 50 Sccm
2597 MKS Model 852B 12PCA2GC Range 100 PSIA Baratron Pressure Transducer
2598 MKS N2 MFC Type 2159B-00100RV 100 SCCM With SS-BN496 NUPRO Valve
2599 MKS Pressure/flow Type 270A Display ID-AWS-K-1-007
2600 MKS Pressure/flow Type 270A Display ID-AWS-K-1-008
2601 MKS PWR SUP /readout Pressure Readout Type 110A ID-AWM-F-1-003
2602 MKS Signal Conditioner / Display Model Type 270D-0 ID-AWS-K-1-011
2603 MKS Type 152 Automatic Pressure Controller Gasonics Aura 2000-LL AWD-D-2-8-5-002
2604 MKS Type 152 Automatic Pressure Controller Gasonics Aura 2000-LL AWD-D-3-1-5-003
2605 MKS Type 152 Pressure Controller Gasonics Aura 3010 AWD-D-3-1-14-006
2606 MKS Type 250 Controller Model 250E-4-D
2607 MLT-1 94V-0 0234 WEC 1001-01 Rev-A For Agilent HP 4062 Probe Card  ID-AWW-7-1-6
2608 MO 015 042 FSI 301202-001 ID-AWM-D-2-5-008
2609 MO-015-013,FSI 230240-001 AWM-F-5-5-011
2610 MO-015-016 , FSI 230029-006,P3AC-6-70D PNEUMATICO INC AWM-F-5-5-005
2611 MO-015-016, FSI 230092-006, SW Preassure 70 PSI , P3AC—6-70D AWM-F-5-5-008
2612 MO-015-019 , FSI 230029-005 ,P3AC-4-20D PNEUMATICO INC AWM-F-5-5-004
2613 MO-015-020, FSI 300555-001 ,cylinder, Air , AWM-F-5-5-009
2614 MO-015-027, FSI 230092-001, AWM-F-5-5-007
2615 MO-015-029, FSI 300565-001 Cylinder Air AWM-F-5-5-012
2616 MO-015-036, FSI 230029-007, P3AC-3  PNEUMATICO INC AWM-F-5-5-006
2617 MO-015-060, FSI 402160-001 Pin AWM-F-5-5-014
2618 MO-015-092, FSI 290025-400,P.C. HTR , Control Assy , AWM-F-5-5-010
2619 MO-015-114 , Air Shuttle Valve 102-0321 AWM-F-5-5-003
2620 Model 20 Output 150 Watts Labpro PowerLite 150
2621 Model 3502 Mathewson Valve And Meters
2622 Model ACG-10 ENI Power Systems RF Generator For Tegal 901e Tegal 903e
2623 Model ACG-10B ENI Power Systems RF Generator For Tegal 901e Tegal 903e
2624 Model F-IM2 Newport Optical Fiber Microinterferometer ID-AWW-6-2-4-001
2625 Model No 2100-4 SN 9303 PCB 61-000817-03 PCA 71-000818-03 ID-AWM-D-1-008
2626 Model PK243M-01AA Vexta 2-phase 0.9 DC 0.95A 4.2 Omega Motor ID -AWM-B-4-3
2627 Model PK264-01A Vexta 2-phase Motor ID -AWM-B-4-2-005
2628 Model TL2 Olympus Optical Co ID-AWW-8-2-3-003
2629 Module Control Siltec Assy 0122-0653 Rev H  64288 PCB AWM-G-E-2-012
2630 Monitor 995-10532 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
2631 Monitor For Matrix 101 102 103 104 105 106!205 206 302 303 403 Asher AWG-1-4-002
2632 Monitor For Matrix 101 102 103 104 105 106!205 206 302 303 403 Asher AWG-1-5-002
2633 Moore Special Tool Co., INC JIG Grinder Model No G18 ID-NMA-018
2634 Mori Seiki MV-65 MV 65/40 Machining Center ID-NMA-010
2635 Mori Seiki SK System Inc Machining Center MV-45/40 ID-NMA-008
2636 Motor Station For Gasonics Aura 2000-LL AWD-D-2-8-5-001
2637 MotorSTP Controller BSH-250 ID-AWM-D-2-2-005
2638 MP 402869-001 A Code Wheel , Indexing AWM-F-5-5-002
2639 MP2020 Wentworth Labs Wafer Probe , Up To 8 Inch W/ Temptronic TP0315B-1 Chiller
2640 MRC  Al59/Si1/Cu 0.5 M3-007-00 Sputter Target  ID -AWM-A-4-002
2641 MRC  L61-0577 Ti/W 30-4800-OW101-6000 Sputter Target  ID -AWM-A-4-003
2642 MRC 8671 MRC 8671 MRC 8? Sputtering System, Type 8671
2643 MRC ASA-2250 REV B 4104137, 883-45-101, MOD A, 8419
2644 MTI OTF-1200X Open Tube Furnace
2645 MUEGGE MW2101A-260EC National Electronics 2722-162-10471 AWD-D-1-1-001
2646 MW Mean Well DR-120-24 MW02 ID-DW-6-4-2-007
2647 MW200 Cassette And Cassette Box , 8 Inch
2648 N Newport P6000 Gate ID-AWM-F-1-016
2649 NAF2000-N01-C SMC ID-AWS-019
2650 Nalgene 1 Galon/4l
2651 Nanoline CD Measurement Nanometrics Critical Dimension Computer
2652 Nanometrics 210 Nanospec AFT Film Thickness Measurement
2653 Nanometrics 50/51 NanoLine IV Critical Dimension Measurement
2654 Nanometrics 62-0004-00 PMT Pre-Amp Board 010-8000 AWM-B-4-5-012
2655 Nanometrics NanoSpec/AFT 2100 Film Thickness Measurement
2656 Nanometrics NanoSpec/AFT Microarea gauge Film Thickness Measurement
2657 National Instruments 180212-01 A Assy 180210-01 Rev A 46412 AWW-10-2-4-009
2658 NEC ElectraEliteIPK Electra Elite IPK II (?) Computer AWD-D-1-0-023-012
2659 NEMIC-LAMBDA 94v-0 PCL-12 SCB028C Power Supply ? AWM-B-4-4-003
2660 Neslab  Merlin M33 For Tegal 901e 903eb Refrigerated Recirculator D380C-021
2661 Neslab (?) Chiller Heater ID-AWS-K-3-007
2662 Neslab CFT-33 Refrigerated Recirculator D380C-003
2663 Neslab CFT-75 Refrigerated Recirculator D380C-001
2664 Neslab CFT-75 Refrigerated Recirculator D380C-002
2665 Neslab Chiller 134a (?) Head / Hester Controller ID-AWS-K-3-005
2666 Neslab Chiller 134a (?) Head / Hester Controller ID-AWS-K-3-006
2667 Neslab Chiller RTE-134 D380C-006
2668 Neslab Chiller RTE-134 D380C-007
2669 Neslab Chiller RTE-134 D380C-008
2670 Neslab Chiller RTE-134 D380C-009
2671 Neslab Chiller RTE-221 D380C-010
2672 Neslab Chiller RTE-221 D380C-011
2673 Neslab Coolflow CFT-25 Refrigerated Recirculator BOM 393103031602 AWR-007
2674 Neslab Coolflow CFT-25 Refrigerated Recirculator BOM 39310603162 AWR-008
2675 Neslab Coolflow CFT-75  Refrigerated Recirculator BOM 349104040116 AWR-006
2676 Neslab Coolflow Liquid/liquid Recirculator System I D380C-004
2677 NESLAB Instruments Inc 76mm 1mm PN 4100-005-02 ID-AWS-013
2678 Neslab RTE-110 Pyrometer Chiller, 900303 Refrigerated Recirculator D380C-018
2679 Neslab RTE-9DD Pyrometer Chiller, Refrigerated Recirculator D380C-016
2680 NESLAB SYSTEM II ID-380C-020
2681 Neslab System III Coolflow Liquid Recirculator 23x27x36 “ AWD-D-1-3-001
2682 NEST Petri Dish 90mmx15mm Base And Cover. 20 Sets Per Pack
2683 Neutronix NV2 Canon PLA 500/501 Wafer Mask Aligner
2684 New Stainless Steel Adaptor ISO-100-CF 4-5/8 PN P103833 ID-DZ-7-004
2685 New Stainless Steel Flange 4”/6” ? ID-DZ-7-005
2686 New Stainless Steel Flange 5.5” /7” ID-DZ-7-002
2687 New Stainless Steel Flange 6” /11”-7” ? ID-DZ-7-003
2688 New Stainless Steel Flange 8”/10” ? ID-DZ-7-001
2689 Newport Bias Meter DC Meter (?) AWM-F-2-2-006
2690 Newport Electronics Inc Model ICN77333  -2.5
2691 Newport Quanta Q2005-S  ID-AWM-F-1-020
2692 Newport Quanta Q902/S ID-AWM-F-1-021
2693 Newport Quanta Q902/S ID-AWM-F-1-022
2694 Nikon 200493 Camera-2 Camera Control Unit ID-AWM-D-1-003
2695 Nikon 216986 Motor Control AWM-F-2-1-004
2696 NilStat 3210 FlowBar Controller Quartz Timing ION Systems 91-3210A AG Associates
2697 NilStat 5024e Controller Static Control System ION Systems AG Associates
2698 NITTO MA2008IIV Full-auto Vacuum Wafer Mounter for 200mm wafer
2699 NL-10256-16 Assy,95-0503 A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-010
2700 NM49AB LM566CN For TEGAL 901E 903E
2701 Noah Precision Inc Model 2002 Tegal 901e Tegal 903e Chiller Circulator AWR-003
2702 Noah Precision Inc Model 2002 Tegal 901e Tegal 903e Chiller Circulator AWR-004
2703 Noah Precision Model 2002 Chiller AWD-D-2-10-023
2704 Norgren F08-200-M3DA @ R08-200-RGMA ID-AWS-L-1-2-004
2705 Novellus Gasonics BA95-121-01 Chamber Door For Plasma Asher Equip AWM-C-5–7-004
2706 Novellus Systems ? 27-00165-00 Rev C AWM-F-5-5-018
2707 Novellus Systems Sense Board Assy P/N 02-00315-xx AWM-B-4-5-013
2708 Novellus Systems Sense Pin Board 02-00314-00 D W/ 31-00293-00 AWM-F-5-5-017
2709 NUPRO Magnetized Material UN 2807 PN A-5K-E4-A
2710 NUPRO Magnetized Material UN 2807 PN A-5K-E4-L
2711 NVZ110 (17 Pieces In One Set) SMC Solenoid Valve, Gas Line
2712 NW63 Elbow Clamp Flange OD 95mm /3.74 Inch ID-AWS-026
2713 O Ring Red
2714 OEM-650A ENI OEM-6A-11491-51 Solid State Power Generator, RF AWD-D-3-5-006
2715 Olympus 200499 Microscope Zoom 0.75x-3x AWW-6-1-5-003
2716 Olympus 214464  Microscope Zoom 0.7x-4.0x AWW-6-1-6-001
2717 Olympus 242569 BLI.082 Microscope Zoom 0.7-4.0 , 2 Of G20x AWW-7-2-4-003
2718 Olympus 252549 Microscope Zoom 0.7x-4.0x AWW-6-1-5-004
2719 Olympus 280856 Zoom 0.7 -4.0 , 2 Of  20x W.F. / Olympus 122 AWW-6-2-4-001
2720 Olympus 291731 Zoom 0.7 -4.0 , H01104 S.K AWW-6-1-5-002
2721 Olympus 307678 Zoom 0.7-4.0,H62107M AWW-6-1-5-001
2722 Olympus AL100-L6 Olympus Optical Co., LTD
2723 Olympus Microscope 808-627  Zoom 0.7 -4.0 , AWW-9-2-1-008
2724 Olympus Microscope W/ VM VMZ 1x-4x  ID-AWW-7-2-2-003
2725 Olympus MX50A-F With Nikon DN 100, Digital Net Camera
2726 Olympus Optical Co Model TGHM  ID-AWS-L-3-5-002 ID-AWS-F-2-1 (1 Pc)
2727 Olympus Optical Co PM-CBAD PM-PBS No 009249 AD System Exposure ID-AWM-D-3-2-001
2728 Olympus W/ 110AL0.62x WD160 SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-4-001
2729 Olympus W/ 110AL0.62X WD160, SZ30 SZ 3060 WF20x12/GSWH20x12.5 ID-AWW-7-2-3-001
2730 Olympus W/ 110AL0.75x WD130 SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-3-002
2731 Olympus W/ 110AL0.75x WD130, SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-7-2-3-002
2732 Olympus W/ 110AL0.75x WD130, SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-7-2-3-003
2733 Olympus W/ 110AL0.75x WD130, SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-2-001
2734 Olympus W/ 110AL0.75x WD130? SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-2-002
2735 Olympus W/ 110AL0.75x WD130? SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-3-001
2736 Omega DP-116-JC1-DS Calibration J
2737 Omega Engineering DP25-TC 115V 6 Watts Meters
2738 Omega Engineering Inc CN5052K Temperature Controller ID-AWM-F-1-018
2739 Omega Engineering Inc DP25-TC-A Temperature Controller ID-AWM-F-1-017
2740 Omega Engineering Inc Trendicator ID-AWM-F-1-019
2741 Omega Flow Sensor With Inline And Remote Flow Rate Indication SL9601 600 PSI MAX
2742 Omega Flow Sensor With Inline And Remote Flow Rate Indication SL9602 600 PSI MAX
2743 Omega Meter For AG Association Heatpulse 8108, 8800, 4108,4100, 610, 410,310,210
2744 Omega MML 400 Coutant MML 400-B2 Power Supply ID-AWM-D-2-5-002
2745 Omega One Omega Drive Model CN79033-C4 Process Control Equipment CN790000 Seri
2746 Omeon E5AX Temperature Controller ID-AWM-A-3–4-012
2747 Omron 3G2A3-0C221  Programmable Controller ID-AWM-A-3–4-008
2748 Omron 3G2A3–A221 Programmable Controller ID-AWM-A-3–4-006
2749 Omron 3G2A3-PS221 Programmable Controller ID-AWM-A-3–4-005
2750 Omron 3G2S6-CPU15 Sys .Mac S6 3G2A3-PS221 3G2A3-OC221 Assembly AWM-F-2-2-002
2751 Omron E2k-X4MF1 Capacitive Proximity Switch ID-AWM-A-3–4-004
2752 Omron E5C2-R20J Temperature Controller ID-AWM-A-3–4-009
2753 Omron H3CA-A Type Timer ID-AWM-A-3–4-010
2754 Omron H7EC-BVL Counts ID-AWM-A-3–4-016
2755 Omron S8VS-06024B Power Supply ID-DW-6-4-2-008
2756 Omron Type H5AN-4D Timer ID-AWM-A-3–4-007
2757 Optem International 25-81-01 Zoom W/  iAi CV-M1250K Power ID-AWW-7-1-3
2758 Optem International 25-81-01 Zoom W/  iAi CV-M2250 Power ID-AWW-7-1-1
2759 Optem International 25-81-01 Zoom W/  iAi CV-M2250 Power ID-AWW-7-1-2
2760 Optem International 25-81-01 Zoom W/  iAi CV-M2250 Power ID-AWW-8-1-6
2761 Optem International 25-81-01 Zoom W/ Panasonic Power GP-KS102 /29-60-02 AWW-6-2
2762 Optem International 25-81-01 Zoom W/ Panasonic Power GP-KS102-2F ID-AWW-8-1-5
2763 Optem International 25-81-01 Zoom W/ Panasonic Power GP-KS102C ID-AWW-7-1-4
2764 Optem International HF-65 Microscope W/ 28-90-39 Zoom , 28-90-08 ID-AWW-6-1-3
2765 Optem International Zoom ID-AWW-6-1-4
2766 Opto 22 PB4 For Branson/IPC ? Gasonics? AWD-D-3-1-7-006 2/2
2767 Original Phd AUK 1 3/8 X 2 -B-M-P For AG Associates 4502-0035-02
2768 Orion Research Model 211 Digital PH Meter ID-AWW-8-2-3-004
2769 OTI ? UTI 2221 RF Generator 04020 ID-AWM-D-2-2-006
2770 Oven Accessories
2771 Oven Accessories
2772 Oven Technology Inc  Oven Technology 4400 Oven Technology 4600 OTI Bake-Oven
2773 Oxford Plasmalab System 100 PECVD
2774 Oxford Plasmalab System 133
2775 Oxford Plasmalab System 133
2776 Oxford Plasmalab System 133
2777 Oxford Plasmalab System 133
2778 P.S.U.1 Controller For Gasonics? Matrix ? Lam Research? STS AWD-D-1-5-2-004
2779 P/N V20397 ORIF 1/4. V 120/60 FSI 40 Watts 11.0 SNAP-TITE / ALLIED Valve
2780 P/N: 221-386-000 Perkin-Elmer 44XX  Series Table Shaft
2781 P8806F MM74HCT74N 1004025 6  For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
2782 PACIFIC PRECISION LABORATORIES INC 200-0009 202-1002
2783 PACIFIC PRECISION LABORATORIES INC 200-0009 202-1002
2784 Pacific Scientific SC323A032 115/230 1/3 Ph ID-AWM-D-1-002
2785 Pallet For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-3/4/5-001
2786 Panel For Thin Film Deposition Equipment
2787 Panel For Thin Film Deposition Equipment
2788 Panel For Thin Film Deposition Equipment
2789 Panel For Thin Film Deposition Equipment
2790 Panel For Thin Film Deposition Equipment
2791 Panel For Thin Film Deposition Equipment
2792 Panel With Omega CN79022 For Thin Film Deposition Equipment
2793 Parker HIR2000SK43PV1FSMMM  ID-AWS-017
2794 Parker Liquid Line Filter Dryer Model 082 ID-AWM-D-5-004
2795 Part Number: B90820.0091  STEAG-MATTSON
2796 Parts ComIng With  Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-009
2797 Parts Coming With 7200-0731-01 A For AG Asscoiates Heatpulse
2798 Parts For 95-0503 A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-011
2799 Parts For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-023-013
2800 Parts for Tegal 901e Tegal 903e etc.Sell as 1 lot.
2801 Parts For Thin Film Deposition Equipment
2802 PBM 6241 COMP Side Rev A  PCB For Branson/IPC ? ID-AWM-F-5-2-002
2803 PC545FS-W 000024 PCB For Gasonics Aura 3010,3000 AWD-D-1-0-021-008
2804 PC6062 Rev E Parallel I/O MBC Circuit Side
2805 PCA 71-006996-01 A
2806 PCA 71-006996-01 A, PACIFIC PRECISION LABS, 200-0005
2807 PCB 9214-97-3 SIDA 294
2808 PCB BA #001-0009-01 Rev 1.6 AWM-G-4-1-001
2809 PCB Cage For AG Associates Heatpulse
2810 PCB Cage For AG Associates Heatpulse-2
2811 PCB For AG Assoicates RTP
2812 PCB OPTO 22 PB 24 AC Input AC Output DC Input DC Output AG 2100-0005-01
2813 PCBA 09-00169-01 A PCB AWW-10-2-3-006
2814 PD-1653-0005 A 220-978-4?? PD-1653-5 PCB AWM-B-4-4-004
2815 PD-1653-0008 PCB For Branson/IPC ? ID-AWM-F-5-2-001
2816 PD-1653-3   PCB  Board For Branson/IPC ?  ID-AWM-F-5-2-008
2817 PD-1653-9 PCB  Board For Branson/IPC ?  ID-AWM-F-5-2-009
2818 PD-1995-0025 Switch Thumbwhefl For Branson/IPC ?  ID-AWM-F-5-2-010
2819 Perkin Elmer backing plate DC Magnetron 8″ diameter # 221-249-400
2820 Perkin Elmer High Vacuum Division Ultek UHV Manually Operated Valve
2821 Perkin Elmer Ultek High Throughput Ion Pump 221-377-800
2822 Perkin-Elmer 4400 sputtering system
2823 Perkin-Elmer 4400 sputtering system, and Perkin-Elmer 4410 Perkin-ELmer 4450
2824 Permit-yuan 408PS071203 TB Xentek   SX300-82000 Power Supply AWD-D-1-0-023-004
2825 PFC0300-3AH-Z 300 W Max Output Power Supply Lambda
2826 PFEIFFER Balzers Vent Control TCF 102 PM C01 350 A/F 420 ID-AWM-D-2-1-001
2827 PFEIFFER Vacuum 106225  For Thin Film Deposition Equipment
2828 PFEIFFER Vacuum B108 JTS ID -AWM-A-5-003
2829 PFEIFFER Vacuum B108 JTS ID -AWM-A-5-004
2830 PFEIFFER Vacuum Controller TCP 380 AWD-D-1-5-2-005
2831 Phcenix Contact PLC-BsP-24DC/21 6149-2966-472 Relay PLC 24 DC ID-DW-6-4-2-006
2832 Phd Tom Thumb Piston NPGMF113/8×10-B-72k ID-AWS-027
2833 Philips Type PM 3212 Q NC 9444 022 12007 No DQ/04 04558 0-25 MHz ID-AWW-6-3-003
2834 PIO-24 PC6422-14075 Rev C PC6422 14075 C AWR-039-CC-7-001
2835 Pipe Heat WCSH-0120-0000 105.0 0920c37-50144 E43684 HPS 4525-0017 A ID-DQ-2
2836 Pixesmart PCI Bis Ver 3.1 XC 2499 MA07H14 E199143 94v-0 0728 AWW-10-2-6-002
2837 PL 7901 Extender Card Assy 100108 9331459
2838 PL Breakout board, model 120 RS-422, REV 004, Made in USA
2839 PL Model 120 W/ RS-422 Breakout Board SBC-2A 94v-0 99-17
2840 Plasma Etch BT1 Plasma Asher Etcher F22
2841 Plasma Etch PE-100 Plasma Asher Etcher ID-D380-022
2842 Plasma Etch PE-100 Series Plasma Etch
2843 Plasma Technology DP 80 PECVD Plasmalab
2844 Plasma Therm 700  Series Wafer Batch Plasma Etcher PECVD Deposition
2845 Plasma Therm 790 Series PECVD Plasma Etch Chemical Vapor Deposition System
2846 Plasma Therm 790 Series Reactive Ion Etching / Plasma Enhanced System RIE
2847 Plasmalab CVD-2 Oxford Plasma Technology Model DP80
2848 Plasmatherm SLR 720 RIE Etcher
2849 Plastic Capacitors Inc HV25-103M 118v/2.5 Kvdc 10 Ma ID-AWS-K-3-008
2850 Plastic Courrugated Pipe  ID 2 “ ,OD 2 1/4 “ , 130-134” Length For Vacuum Pipe
2851 Plastic Courrugated Pipe  ID 4“ ,OD 41/4 “ , 110-120” Length For Vacuum Pipe
2852 Plastic Cylinder For High Vacuum Component For Thinfilm Deposition Equip Part
2853 plastic ring For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
2854 Plastic Tray With Holes
2855 Plate For AG Associates Heatpulse -6
2856 Plate With 7200-0459-04 F ,PCB Door Reversal  7100-5136- AG Associates Heatpulse
2857 Plate With 7200-0459-04 F ,PCB Door Reversal  7100-5136- AG Associates Heatpulse
2858 Plate With 7200-0459-04 F ,PCB Door Reversal  7100-5136- AG Associates Heatpulse
2859 Plate With 7200-0714-01 , PCB Door Reversal  7100-5136- AG Associates Heatpulse
2860 Plate With 7200-0714-01 , PCB Door Reversal  7100-5136- AG Associates Heatpulse
2861 Plates For  Branson/IPC  (?) With 14721-01 Rev B-1 / 16160-01 A ID-AWS-k-5-1-003
2862 Plates For  Branson/IPC  Asher (?) ID-AWS-k-5-1-002
2863 PMC/BETA Model 3209 ID-AWSK-3-012
2864 PN 085-1038-01 Copper gasket for 2.75 OD flange For Perkin-Elmer 4400 4410 4450
2865 PN 085-1230-01 Convectron Gauge  For Perkin-Elmer 4400 4410 4450
2866 PN 101-0575 Robot Effector Robot Arm
2867 PN 120-121-000 O-Ring, Bearing Housing  For Perkin-Elmer 4400 4410 4450 Sputter
2868 PN 121-394-300,O-Ring for the insulator and water manifold,for Perkin-Elmer 4450
2869 PN 121-394-500 , O-Ring for the insulator,  for Perkin-Elmer  4400 4410 4450
2870 PN 121-395-900, O-Ring, Bearing Housing, for Perkin-Elmer  4400 4410 4450
2871 PN 121-964-940, Belt, FHP, Hoist, Sputter, for Perkin-Elmer  4400 4410 4450
2872 PN 122-148-500, Belt, TBL ROT, TMG 3/8 Pitch, for Perkin-Elmer  4400 4410 4450
2873 PN 12S1038A Spare Parts, Varian?
2874 PN 13840-01 MICRO-AIDE INC. OPTO-INPUT 80-0023
2875 PN 13843-01 ROBOTROL CORP ANALOG OUTPUT BOARD
2876 PN 14909-01 MITCHELL ELECTRONICS ASSY 00200-AD01 REV A FAB 00200-0001 REV B
2877 PN 178-095-000,O-Ring, Table, Water Tube, -115 for Perkin-Elmer  4400 4410 4450
2878 PN 2100-0001-4100-006 AG Associates Heatpulse PCB
2879 PN 2100-0080 AG Associates Heatpulse PCB
2880 PN 21-0001-4100-005 AG Associates Heatpulse PCB
2881 PN 21-0001-4100-012 AG Associates Heatpulse PCB
2882 PN 21-0001-4100-024 AG Associates Heatpulse PCB
2883 PN 21-0001-4100-15 AG Associates Heatpulse PCB
2884 PN 21-0001-4100-16 AG Associates Heatpulse PCB
2885 PN 21-0001-4100-18 AG Associates Heatpulse PCB
2886 PN 2100-0307-02 AG Associates Heatpulse PCB
2887 PN 2100-0308-02 AG Associates Heatpulse PCB
2888 PN 2100-0308-03 AG Associates Heatpulse PCB
2889 PN 2100-4100-009 AG Associates Heatpulse PCB
2890 PN 2100-4100-066 C AG Associates Heatpulse PCB
2891 PN 221-246-700 Water Manifold for Perkin-Elmer  4400 4410 4450
2892 PN 221-288-900 Water Tube Assembly, for Perkin-Elmer  4400 4410 4450
2893 PN 221-313-000 DC Delta Magnetron Assembly,Perkin-Elmer 4410 4450, 4480
2894 PN 221-386-000,Table Shaft Assembly, for Perkin-Elmer  4400 4410 4450
2895 PN 233-1722-63 Magnetron , Delta For Perkin-Elmer 4410 4450 sputtering system
2896 PN 233-1728-41 Shutter, Full Circle For Perkin-Elmer 4400 4410 4450 Spu
2897 PN 233-1729-65 Pallet, 4 Inch, 22 Wafers For Perkin-Elmer 4400 4410 4450 Spu
2898 PN 2908-0041-01 AG Associates Heatpulse H605-004 HL50501 Meter 1/2” Brass
2899 PN 300-400-023, Top Plate Shield (C)    for Perkin-Elmer  4400 4410 4450
2900 PN 300-400-030 Shield, Top Flange for Perkin-Elmer  4400 4410 4450
2901 PN 300-400-040 Shield Vertical for Perkin-Elmer  4400 4410 4450
2902 PN 300-400-050 Shield Horizontal for Perkin-Elmer  4400 4410 4450
2903 PN 36-2019 Novellus A3292 Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-004
2904 PN 36-2019 Novellus A3292 Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-005
2905 PN 38-232-001 A For 6 Inch Tegal 901e 903e AWD-D-3-2-6-002
2906 PN 39-344-002 Upper Electrode For Tegal 901e Tegal 903e Plasma Etcher DT-1-001
2907 PN 46-273-001 A For 4 Inch Tegal 901e 903e AWD-D-3-2-7-001
2908 PN 46-274-001 For Tegal 901e 903e AWD-D-3-2-5
2909 PN 46-275-001 For 6 Inch Tegal 901e 903e AWD-D-3-2-6
2910 PN 46-383-001 For 3 Inch Tegal 901e 903e AWD-D-3-2-7-002
2911 PN 7100-0168-01 AG Associates Heatpulse PCB ZiaTech ZT8830
2912 PN 7100-1258-07 AG Associates Heatpulse Susceptor?
2913 PN 7100-1258-08 AG Associates Heatpulse Susceptor?
2914 PN 7100-1258-09 AG Associates Heatpulse Susceptor?
2915 PN 7100-1596-15 AG Associates Heatpulse Susceptor?
2916 PN 7100-2181-01 AG Associates Heatpulse PCB Timer 2100-0090
2917 PN 7100-2181-02 AG Associates Heatpulse PCB Timer 2100-0090
2918 PN 7100-2181-03 AG Associates Heatpulse PCB Timer 2100-0090
2919 PN 7100-2181-04 AG Associates Heatpulse PCB Timer 2100-0090
2920 PN 7100-2586-02 AG Associates Heatpulse PCB ATP Board Assy
2921 PN 7100-2586-03 AG Associates Heatpulse PCB
2922 PN 7100-3128-01 AG Associates Heatpulse PCB
2923 PN 7100-3181-03 AG Associates Heatpulse Susceptor?
2924 PN 7100-3387-01 AG Associates Heatpulse PCB ZiaTech 8950
2925 PN 7100-3395-01 AG Associates Heatpulse PCB Elographics E271-2201 PN 170020 B
2926 PN 7100-3397-02 AG Associates Heatpulse PCB
2927 PN 7100-3604-02 AG Associates Heatpulse PCB 7310-1055-02?
2928 PN 7100-3613-01 AG Associates Heatpulse Breakout Board
2929 PN 7100-3617-02 AG Associates Heatpulse PCB
2930 PN 7100-3639-01 AG Associates Heatpulse PCB
2931 PN 7100-3639-02 AG Associates Heatpulse PCB
2932 PN 7100-4023-01 AG Associates Heatpulse PCB
2933 PN 7100-4040-01 AG Associates Heatpulse PCB
2934 PN 7100-4040-02 AG Associates Heatpulse PCB
2935 PN 7100-4059-01 AG Associates Heatpulse PCB
2936 PN 7100-4059-02 AG Associates Heatpulse PCB
2937 PN 7100-4066-01 AG Associates Heatpulse PCB
2938 PN 7100-4148-02 AG Associates Heatpulse PCB
2939 PN 7100-4213-01 AG Associates Heatpulse PCB
2940 PN 7100-4277-01 AG Associates Heatpulse PCB
2941 PN 7100-5040 AG Associates Heatpulse PCB 7100-5040-02
2942 PN 7100-5080-02 AG Associates Heatpulse PCB
2943 PN 7100-5080-02 AG Associates Heatpulse PCB
2944 PN 7100-5111 AG Associates Heatpulse PCB 7100-5111-01?
2945 PN 7100-5112 AG Associates Heatpulse PCB
2946 PN 7100-5117 AG Associates Heatpulse PCB 7100-5117-01?
2947 PN 7100-5119 AG Associates Heatpulse PCB
2948 PN 7100-5120-10 AG Associates Heatpulse PCB ZiaTech ZT8820B
2949 PN 7100-5120-12 AG Associates Heatpulse PCB ZiaTech ZT8820B
2950 PN 7100-5123-02 AG Associates Heatpulse PCB
2951 PN 7100-5123-03 AG Associates Heatpulse PCB
2952 PN 7100-5124 AG Associates Heatpulse PCB ZiaTech ZT8830 (ZT 8830) 7100-4124-01?
2953 PN 7100-5128-03 AG Associates Heatpulse PCB
2954 PN 7100-5128-03 AG Associates Heatpulse PCB
2955 PN 7100-5129-02 AG Associates Heatpulse PCB
2956 PN 7100-5133-01 AG Associates Heatpulse PCB
2957 PN 7100-5133-02 AG Associates Heatpulse PCB ZiaTech ZT8812
2958 PN 7100-5133-03 AG Associates Heatpulse PCB ZiaTech ZT8812
2959 PN 7100-5133-04 AG Associates Heatpulse PCB ZiaTech ZT8812
2960 PN 7100-5146-01 AG Associates Heatpulse PCB
2961 PN 7100-5146-02 AG Associates Heatpulse PCB
2962 PN 7100-5146-04 AG Associates Heatpulse PCB
2963 PN 7100-5148-01 AG Associates Heatpulse PCB
2964 PN 7100-5159-06 J AG Associates Heatpulse PCB
2965 PN 7100-5160-03 AG Associates Heatpulse PCB
2966 PN 7100-5168-02 AG Associates Heatpulse PCB
2967 PN 7100-5168-04 AG Associates Heatpulse PCB
2968 PN 7100-5168-08 AG Associates Heatpulse PCB
2969 PN 7100-5171-01 AG Associates Heatpulse PCB
2970 PN 7100-5172-02 AG Associates Heatpulse PCB
2971 PN 7100-5174-01 AG Associates Heatpulse PCB
2972 PN 7100-5174-02 AG Associates Heatpulse PCB
2973 PN 7100-5175-02 AG Associates Heatpulse PCB
2974 PN 7100-5176-03 AG Associates Heatpulse PCB
2975 PN 7100-5177-04 AG Associates Heatpulse PCB
2976 PN 7100-5177-08 AG Associates Heatpulse PCB
2977 PN 7100-5177-089 AG Associates Heatpulse PCB
2978 PN 7100-5181-02 Rev C AG Associates Heatpulse PCB ZiaTech 8820B E.3
2979 PN 7100-5188-01 AG Associates Heatpulse PCB
2980 PN 7100-5188-02 AG Associates Heatpulse PCB
2981 PN 7100-5188-02 AG Associates Heatpulse PCB ZiaTech 8820B E.2
2982 PN 7100-5188-02 AG Associates Heatpulse PCB ZiaTech 8820B E.4
2983 PN 7100-5188-19 AG Associates Heatpulse PCB
2984 PN 7100-5189-01 AG Associates Heatpulse PCB
2985 PN 7100-5190-02 AG Associates Heatpulse PCB
2986 PN 7100-5190-03 AG Associates Heatpulse PCB 2100-0085 REV D
2987 PN 7100-5191-01 ? AG Associates Heatpulse PCB ZiaTech ZT 8850 REV A.2
2988 PN 7100-5191-01 Rev A AG Associates Heatpulse PCB ZiaTech ZT 8850 REV A.5
2989 PN 7100-5192-01 AG Associates Heatpulse PCB
2990 PN 7100-5193-01 AG Associates Heatpulse PCB
2991 PN 7100-5193-02 AG Associates Heatpulse PCB
2992 PN 7100-5194-01 AG Associates Heatpulse PCB 2100-0090 7100-2181-01 /02/03
2993 PN 7100-5209-01 AG Associates Heatpulse PCB
2994 PN 7100-5209-02 AG Associates Heatpulse PCB
2995 PN 7100-5210-01 AG Associates Heatpulse PCB
2996 PN 7100-5210-03 AG Associates Heatpulse PCB
2997 PN 7100-5210-04 AG Associates Heatpulse PCB
2998 PN 7100-5221-01 AG Associates Heatpulse PCB
2999 PN 7100-5222-01 AG Associates Heatpulse PCB
3000 PN 7100-5222-02 AG Associates Heatpulse PCB
3001 PN 7100-5222-03 AG Associates Heatpulse PCB
3002 PN 7100-5222-04 AG Associates Heatpulse PCB
3003 PN 7100-5222-05 AG Associates Heatpulse PCB
3004 PN 7100-5256-01 ZiaTech ZT8820B AG Associates Heatpulse PCB
3005 PN 7100-5259-01 AG Associates Heatpulse PCB
3006 PN 7100-5259-02 AG Associates Heatpulse PCB
3007 PN 7100-5425-03 AG Associates Heatpulse PCB
3008 PN 7100-5447-02 AG Associates Heatpulse Susceptor?
3009 PN 7100-5633-02 AG Associates Heatpulse PCB
3010 PN 7100-5667-01 AG Associates Heatpulse PCB
3011 PN 7100-6270-01 AG Associates Heatpulse PCB
3012 PN 715-011640-006 Ring Focus Anodized 6 Inch  For Lam Research Lam Rainbow 4xxx
3013 PN 715-18611-117 REV 1 Chuck For Lam Research Lam Rainbow 4xxx
3014 PN 7200-0520,Cassette holder, AG Associates Heatpulse
3015 PN 720-016-000 Marco Insulator for Perkin-Elmer  4400 4410 4450
3016 PN 72-0043-002 Ring For STS ICP
3017 PN 7310-2841-02N Quartz Tube for AG Associates Heatpulse 8800 8108 4108
3018 PN 7310-3480-04 ,Adapter, Thin Arm, AG Associates Heatpulse
3019 PN 7310-4213-02 AG Associates Heatpulse PCB 7100-4213-01?
3020 PN 7310-4318-01 ,HSC,PYROM,Water Cooled, AG Associates Heatpulse
3021 PN 734-000229-001 O Ring For Lam Research Lam Rainbow 4xxx
3022 PN 734-001081-001 O Ring For Lam Research Lam Rainbow 4xxx
3023 PN 734-007293-001 O Ring For Lam Research Lam Rainbow 4xxx
3024 PN 734-091228-001 O Ring For Lam Research Lam Rainbow 4xxx
3025 PN 7400-0009 Quartz Tube 6 Inch Used AG Associates No Window
3026 PN 7400-0062-01 M-tray 6 Inch Broken AG Associates TC Station Rear
3027 PN 7400-0062-01 M-tray 6 Inch New AG Associates Heatpulse 4100 TC Station Rear
3028 PN 7400-0085-09 M 6 Inch New AG Associates Heatpulse 4100
3029 PN 7400-0104-02 Quartz Tray 6 Inch AG Associates
3030 PN 7400-0107-01A Quartz tray for AG Associates Heatpulse 4100 TC Station TC Pin
3031 PN 7400-0111-01B Quartz Tray 6 Inch Broken AG Associates TC Station Rear
3032 PN 7400-0132-01C / 7400-0036F Quartz Inlay FLTG Door AG Associates Metron
3033 PN 7600-0039 AG Associates Heatpulse Chip
3034 PN 80-055-140 Tegal RF Power T-502S RF Products
3035 PN 9000-0240 REV 11 AG Associates Heatpulse PCB, Oven Control Board
3036 PN A4-0034B Liner
3037 PN CC1012-00101 Chamber Assembly Tegal 901e SN 11531
3038 PN CC1106-00204 Chamber Assembly Tegal 901e SN 10903
3039 PN CC1106-01101 Chamber Assembly Tegal 901e SN 11606
3040 PN TGL-39-292-003   Tegal Spare Parts
3041 PN TGL-39-292-003   Tegal Spare Parts
3042 PN TGL-39-336-018   Tegal Spare Parts
3043 PN TGL-39-341-005  Tegal 901e  Spare Parts
3044 PN TGL-39-344-001 Tegal Spare Parts
3045 PN TGL-39-604-001 Tegal Spare Parts
3046 PN TGL-39-622-001 Tegal Spare Parts
3047 PN TGL-39-628-001 Tegal Spare Parts
3048 PN TGL-39-633-001 Tegal Spare Parts
3049 PN TGL-39-636-001 Tegal Spare Parts
3050 PN TGL-39-637-001 Tegal Spare Parts
3051 PN TGL-39-639-001 Tegal Spare Parts
3052 PN TGL-39-654-001 Tegal Spare Parts
3053 PN TGL-39-655-001 Tegal Spare Parts
3054 PN TGL-39-659-001 Tegal Spare Parts
3055 PN TGL-39-662-001 Tegal Spare Parts For Tegal 1500 Plasma Etcher
3056 PN TGL-39-675-001 Tegal Spare Parts For Tegal 1500 Plasma Etcher
3057 PN TGL-39-676-001 Tegal Spare Parts For Tegal 1500 Series Plasma Etcher
3058 PN TGL-39-680-003 Tegal Spare Parts For Tegal Plasma Etcher
3059 PN TGL-39-682-001 Tegal Spare Parts For Tegal Plasma Etcher
3060 PN TGL-39-682-001 Tegal Spare Parts For Tegal Plasma Etcher
3061 PN TGL-39-683-001 Tegal Spare Parts For Tegal Plasma Etcher
3062 PN TGL-39-687-002 (?)Tegal Spare Parts For Tegal Plasma Etcher
3063 PN TGL-39-689-001 Tegal Spare Parts For Tegal Plasma Etcher
3064 PN TGL-39-694-001 Tegal Spare Parts For Tegal Plasma Etcher
3065 PN TGL-39-696-001 Tegal Spare Parts For Tegal Plasma Etcher
3066 PN TGL-39-699-001 Tegal Spare Parts For Tegal Plasma Etcher
3067 PN TGL-39-700-007 Tegal Spare Parts For Tegal Plasma Etcher
3068 PN TGL-39-735-003 Low Electrode Chuck 5 Inch Tegal Spare Parts
3069 PN TGL-39-735-008 Low Electrode Chuck Tegal Spare Parts
3070 PN TGL-39-750-001 Tegal Spare Parts
3071 PN TGL-39-751-001 Tegal Spare Parts
3072 PN TGL-39-757-003 Tegal Spare Parts
3073 PN TGL-39-757-003 Tegal Spare Parts
3074 PN TGL-39-758-001 Tegal Spare Parts
3075 PN TGL-39-776-001 Tegal Spare Parts
3076 PN TGL-39-804-001 Tegal Spare Parts
3077 PN TGL-39-815-001 Tegal Spare Parts
3078 PN TGL-39-815-003 Tegal Spare Parts
3079 PN TGL-39-817-001 Tegal Spare Parts
3080 PN TGL-39-819-001 Tegal Spare Parts
3081 PN TGL-39-833-001 Tegal Spare Parts
3082 PN TGL-39-847-002 Tegal Spare Parts
3083 PN TGL-39-847-004 Tegal Spare Parts
3084 PN TGL-39-848-003 Tegal Spare Parts 37-848-003 (?)
3085 PN TGL-39-848-004 Tegal Spare Parts
3086 PN TGL-39-848-005 Tegal Spare Parts
3087 PN TGL-39-852-001 Tegal Spare Parts
3088 PN TGL-39-852-002 RW Tegal Spare Parts
3089 PN TGL-39-852-004 Tegal Spare Parts
3090 PN TGL-39-853-002 Tegal Spare Parts
3091 PN TGL-39-853-004 Tegal Spare Parts
3092 PN TGL-39-854-001A Tegal Spare Parts
3093 PN TGL-39-854-001B Tegal Spare Parts
3094 PN TGL-39-854-001C Tegal Spare Parts
3095 PN TGL-39-855-001 Tegal Spare Parts
3096 PN TGL-39-856-001 Tegal Spare Parts
3097 PN TGL-39-857-001 Tegal Spare Parts
3098 PN TGL-39-858-001 ATegal Spare Parts
3099 PN TGL-39-858-001 Tegal Spare Parts
3100 PN TGL-39-860-001 ATegal Spare Parts
3101 PN TGL-39-868-001 Tegal Spare Parts
3102 PN TGL-39-869-001 Tegal Spare Parts
3103 PN TGL-39-870-001 Tegal Spare Parts
3104 PN TGL-39-872-001 Tegal Spare Parts
3105 PN: 221-416-750A  Perkin Elmer, Ultek Vacuum Parts, Inserts, Nipple Tube
3106 PN: 2451-0010-02 Lamp J208V-1200WB1 IR Lamps For AG Associates Heatpulse RTP
3107 PN: 2451-0010-03 Lamp J208V-1200WB1 IR Lamps For AG Associates Heatpulse RTP
3108 PN: 2451-0013-01 QIR208-1500SS IR Lamps For AG Associates Heatpulse RTP
3109 PN: 2451-0015-01 QIR208-2000SS  IR Lamps For AG Associates Heatpulse RTP
3110 PN: 7100-5727-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
3111 PN: 7100-5727-01C For AG Associates Heatpulse 8108, 8800, 4108 RTP
3112 PN: 7100-5898-01 For AG Associates Heatpulse 8108, 8800, 4108 RTP
3113 PN: 7310-3008-02 For AG Associates Heatpulse 8108, 8800, 4108 RTP
3114 PN: 7310-4781-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
3115 PN: 7310-5195-02 (7100-5995-02) For AG Associates Heatpulse 8108, 8800, 4108 RTP
3116 PN: 7310-6046-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
3117 PN:33-085-002 Tegal 903e Tegal 901e Bellows Lower electode
3118 PN:40-750-601  Tegal 6″ Focus Ring
3119 PN:80-080-072 CYL, Dbl Ended 1/2S, 1 1/8B Tegal 901e Tegal 903e Plasma Etcher
3120 PN:80-080-077 CYL, AIR 3/4 SFT 2.09s 2B, Tegal 901e Tegal 903e Plasma Etcher
3121 Pneumatic Valves ET-3M-12VDC With Station Base 10pc Of Valves Per Each
3122 Poles For STS ICP RIE PECVD Clamp Bracket Balance
3123 Pomona 4243-0 Double Binding Post For 0.063” To 0.250” Panel
3124 Power Box
3125 Power DAR Extender Assy 102971-001 Rev A  21080057 FAB 102972-001 Rev B
3126 Power General Unitrode Model 244CM AWM-F-2-4-003
3127 Power One Power Supplies MAP130-4000 Assy D.R. 081-64013-101J
3128 Power One Power Supply HDD15-5-A , HN12-5.1-A, SWITCHING POWER INC OFSX-225IECF
3129 Power Supply
3130 Power Supply
3131 Power Supply For Gasonics Aura 3010 3000 2000LL L3510 Plasma AWD-D-1-0-023-007
3132 Power Supply For Gasonics Aura 3010 3000 2000LL L3510 Plasma AWD-D-1-0-023-010
3133 Power Supply For Gasonics Aura 3010, 3000 2000LL, L3510 AWD-D-1-0-023-002
3134 Power Supply For Gasonics Aura 3010, 3000 2000LL, L3510 AWD-D-1-0-023-003
3135 Power Supply Power-One MAP130-4000 Gasonics 3010 2000L L3510 AWD-D-1-0-023-008
3136 Power Supply Power-One MAP130-4000 Gasonics 3010 2000L L3510 AWD-D-1-0-023-009
3137 Power-0ne Power Supply HB200-0. 12-A
3138 Power-one HD28-4-A
3139 Power-one HTAA-16w-A Power Supply ID-AWM-D-2-5-005
3140 Power-one MAP130-4000 Power Supply For Gasonics Aura 3010 3000 AWD-D-1-0-023-006
3141 Practical Peripherals Microbuffer In-line Serial AWW-10-2-1-009
3142 Premier 75x25x1mm Premium Fine Ground Edge Microscope Slides 1/2 Gross
3143 Press/Vacum W/ATM SNSR CG1146-01301 ,Refurbished Tegal 901e 903e Etcher DQ-4-006
3144 Press/Vacum W/ATM SNSR CG1146-W00876 Tegal 901e 903e Etcher DQ-4-007
3145 Printer Driver Board 62-0003-00 5-1064 PCB AWM-B-4-4-008
3146 pRO-1010 High Capacity Two Bed 248-7-2374W 09/2009 ID-AWS-014
3147 Process Controller PCB 1000-0080 For Matrix 105 106 103 303 404..
3148 Profacture Upgrade Kit 90x Windows PC Interface Tegal PCB AWM-G-5-1-012
3149 Prometrix StatTrax SP9514 SP9516 20 megabytes FT-500 Spectramap ID-AWW-6-1-1
3150 Proteus Ind FSDC REVD 200077 062999 PCB AWD-D-3-1-7-008 2/2
3151 Proteus Industries Inc Model 0200C24 Valve For Aura 3010 3000 AWD-D-3-1-13-002
3152 PROTEUS Pyro Cooling Oven Cooling Valve
3153 PS-10N LOT 99Y P.R.S.-/+100 kPa Pressure Switch SigmaEltec, DV-4D-VCCR Teledyne
3154 PSI 480-01 Pressure Meter PSI 300562-001
3155 PVA Tepla M4L Plasma Asher, Model M4L Serial Number 4136 ID-D380-021
3156 PWA00021-EV-170 FCC ID E3E5UVEV-170 7635 AWW-10-2-5-003
3157 Pylon Inc 500SD Version 5.3 PRT 131 Rev 3 PCB CC0101
3158 QJM94-2306 Compact Air Products For AG Asscoiates Heatpulse
3159 QJM94-2306 Compact Air Products For AG Asscoiates Heatpulse With 7200-1114-03 C
3160 Qua Tech Inc PXB-721 Rev C PX 4936 AWW-10-2-6-001
3161 Quality Transformer 6300 For Gasonics Aura 2000LL AWD-D-2-8-12-001
3162 Quality Transformer 6300 For Gasonics Aura 2000LL AWD-D-2-8-12-002
3163 Quality Transformer 6300 For Gasonics Aura 2000LL AWD-D-2-8-12-003
3164 Quantum 3.5 series Hard Disk
3165 Quantum Fireball 3.5 Series Hard Disk With Disk Mounting Card
3166 Quantum Fireball 3.5 Series Hard Disk With PL Disk Mounting Card 7100-5899-08
3167 Quantum Fireball ST 3.5 series
3168 Quantum Fireball ST 3.5 Series Harder disk With Motorola Disk Mounting Card
3169 Quantum Fireball ST 3.5 Series Harder disk With Motorola Disk Mounting Card
3170 Quantum Lightning ProDrive Harder disk With PL Disk Mounting Card 2000-0013-02
3171 Quantum ProDrive LPS Hard Disk
3172 Quantum Storage Systems DSS401 , 6 Pieces Per Pack
3173 Quantum Storage Systems DSS403, 6 Pieces Per Pack
3174 Quantum Storage Systems DSS405, 6 Pieces Per Pack
3175 Quartz Baffle For Heatpulse 8108 AG Associates Metron
3176 Quartz Boat  For Branson/IPC Asher And Ovens ID-AWS-L-1-7
3177 Quartz Boat 4 Inch 25 Pieces Wafers
3178 Quartz Boat 6 inch
3179 Quartz Boat 8 Inch
3180 Quartz Chamber For Branson/IPC L3200 Asher New And Used
3181 Quartz Chamber For Branson/IPC Plasma Asher
3182 Quartz Chamber For Branson/IPC Plasma Asher Diameter 10 Inch
3183 Quartz Cover Quartz Cap For Branson/IPC 3000, 2000, 3100,4000,3001s
3184 Quartz Liner for AG Associates Heatpulse 8800 8108 4108
3185 Quartz Pin  For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3186 Quartz Pin  For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3187 Quartz Plate , New BL-06
3188 Quartz Plate 06-2822 ID-AWS-003
3189 Quartz Plate For Branson/IPC Plasma Asher Door
3190 Quartz rod Diameter 3/4” Length About 47” PN 902-0089
3191 Quartz Tray for AG Associates Heatpulse 210T RTP
3192 Quartz Tray for AG Associates Heatpulse 610 3-6 inch
3193 Quartz Tray For Branson/IPC Asher ID-AWS-L-1-4-001
3194 Quartz Tray For Branson/IPC Asher ID-AWS-L-1-5
3195 Quartz Tray For Branson/IPC Plasma Asher
3196 Quartz Tray For Branson/IPC Plasma Asher
3197 Quartz Tray Fork
3198 Quartz Tray Quartz Shelves For Branson/IPC Asher ID-AWS-L-1-6
3199 Quartz Tray Quartz Shelves For Branson/IPC Asher ID-AWS-L-1-6-2
3200 Quartz Tray Quartz Shelves For Branson/IPC Asher ID-AWS-L-1-6-3
3201 Quartz Tray Quartz Shelves For Branson/IPC Asher ID-AWS-L-1-6-4
3202 Quartz Tube
3203 Quartz Tube
3204 Quartz Tube
3205 Quartz Tube
3206 Quartz Tube For Branson/IPC 3000, 2000, 3100, 3001s, 4000
3207 Quartz Tube Quartz Adaptor For Branson/IPC 3000, 2000, 3100, 3001s, 4000
3208 Quartz Tube Quartz Adaptor Quartz Connector For Branson/IPC 3000, 2000, 3100,
3209 Quartzware Quartz Part
3210 Quick-loader Interface Assy 247155-001 Rev D Teltec OIV 4089 AWW-10-2-6-007
3211 Quintel Mask Aligner
3212 R501/2 67507-1-006 Air Products Regulated Valve
3213 R95-3009 Rev D Gasonics Lamp Assembly For Gasonics Aura 3010
3214 Radiation Power Systems 2130-C2 1KW DUV  Illumination Controller AWR-BB-4-001
3215 Raytek MI Sensor With Cable / Wire For Thin Film Deposition Equipment
3216 RC4558P C4558C For TEGAL 901E 903E
3217 Reaction Chamber CC 1026-00066 , Tegal 915 Plasma Etcher /Asher (?) SS380-7-2
3218 Reaction Chamber CC 1026-00201 , Tegal 915 Plasma Etcher /Asher (?) SS380-7-1
3219 Reaction Chamber CC1012-00101 Top Assembly For Tegal 901e 903e DQ-5-004
3220 Reaction Chamber CC1106-01301 (?) Top Assembly For Tegal 901e 903e DQ-5-001
3221 Reaction Chamber CC1106-01301 Top Assembly For Tegal 901e 903e DQ-5-002
3222 Reaction Chamber CC1106-01301 Top Assembly For Tegal 901e 903e DQ-5-003
3223 Rectanglar Conflat Adaptor-4.5 to 2.75 45 ( PN 400767000), Perkin-Elmer
3224 Rectanglar Conflat Adaptor-6 to 2.75
3225 Refurbished AG Associates Heatpulse 4100 Rapid Thermal Processing Equipment
3226 Refurbished AG Associates Heatpulse 4100S Rapid Thermal Annealing Equipment
3227 Refurbished AG Associates Heatpulse 4108 Rapid Thermal Processing Equipment
3228 Refurbished AG Associates Heatpulse 8108 Rapid Thermal Processing Equipment
3229 Relay Tester With APC Smart-UPS , HP Compaq Pickering Interfaces 40-914-001
3230 Relay Tester With HP Compaq ,TDK Lambda UP-10-20 , TERAVICTA SC_4x24_SKT_C
3231 Relay Tester With HP Compaq Pickering Interfaces 40-914-001
3232 Remote AC Box For Lam Rainbow LRC 4428 4528 4628 4728 4420 4520 4620 4720
3233 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-10
3234 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-11
3235 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-8
3236 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-9
3237 RF Plasma Products AG 300 AG-300 Auto Gain Endpoint Detector ID-AWM-F-1-006
3238 RF Plasma Products Model T-502S RF Generator CR1087-20101 Tegal ID-AWM-A-3-001
3239 RF Plasma Products T-502 500W RF Generator For Tegal 901e 903e Etcher DQ-4-002
3240 RF Plasma Products T-502S  500W RF Generator For Tegal 901e 903e Etcher DQ-4-001
3241 RF Power Control MCS Inc Model-10 ATN1010D PN 101-0478 A ID-AWM-A-4-007
3242 RF Power Products RFPP RF25M 7521968010
3243 RF Service RFS500M Controller 17-0006-00 2 03-00012- 9200-0005-02 AWM-G-5-3-006
3244 RH4B-U AC24v ,1N-NW-25B-316L Half Nipple NW-25-316L
3245 RH4B-ULDC12V Relay, Plug In, Coil Voltage, 12V, 10Amp
3246 RING, SLIP-FREE 6 Inch
3247 RING, SLIP-FREE 6 Inch
3248 RJ GUARIAN 1310H-4C-24VAC 3A 28VDC/220VAC
3249 RJ idec SH4B-05 97801 10A 300v SA 84913
3250 Robot Arm PLATE Robot Accessories ITEM14
3251 Robot Arm PLATE Robot Accessories ITEM15
3252 Robot Arm Robot Effector Adaptor  Robot Accessories ITEM17
3253 Robot Arm Robot Effector Robot Accessories ITEM 10
3254 Robot Arm Robot Effector Robot Accessories ITEM 11
3255 Robot Arm Robot Effector Robot Accessories ITEM 12
3256 Robot Arm Robot Effector Robot Accessories ITEM 13
3257 Robot Arm Robot Effector Robot Accessories ITEM 5
3258 Robot Arm Robot Effector Robot Accessories ITEM 6
3259 Robot Arm Robot Effector Robot Accessories ITEM 7
3260 Robot Arm Robot Effector Robot Accessories ITEM 8
3261 Robot Arm Robot Effector Robot Accessories ITEM 9
3262 Robot Arm Robot Effector with adaptor Robot Accessories ITEM 2
3263 Robot Arm Robot Effector with optical sensor Robot Accessories
3264 Robot Arm Robot Effector with optical sensor Robot Accessories  ITEM 3
3265 Robot Arm Robot Effector with optical sensor Robot Accessories 4
3266 Robot Arm SCANNER OPTICAL SENSOR Robot Accessories ITEM16
3267 Robot AWM-C-4-3-001
3268 Robot Belt 112T80 D5 Or SDP 6B16-112037 PN 4100-0044-19AWR-BB-6-002
3269 Robot Belt 120XL LO Or 120XL U1 Or SDP 6R3-060037 PN 4100-0044-23 AWR-BB-6-003
3270 Robot Belt 150T80 Z2 PN 4100-0044-24 AWR-BB-6-001
3271 Robot Belt 155T80 AWR-BB-6-012
3272 Robot Belt 165T80 Z5 AWR-BB-6-010
3273 Robot Belt 185T80 B3 AWR-BB-6-011
3274 Robot Belt 185T80 Z5 AWR-BB-6-008
3275 Robot Belt 185T80 Z5 AWR-BB-6-009
3276 Robot Belt 212T80 Z3 E3 PN 4100-0044-22 AWR-BB-6-004 and OTHERS
3277 Robot Belt 215T80 A5 /215T80 E5 / 215T80 B5 PN 4100-0320-01 AWR-BB-6-007
3278 Robot Belt 215T80 L1 PN 4100-0044-25 AWR-BB-6-005
3279 Robot Belt 215T80 Z3 PN 4100-0044-25 AWR-BB-6-006
3280 Robot Belt AWR-BB-6-018
3281 Robot Belt D5 AWR-BB-6-017
3282 Robot Belt Gates Powergrip 170×037 066MC PN 2400-0012-01 AWR-BB-6-015
3283 Robot Belt Uniroyal 283827-2 120xL037 AWR-BB-6-013
3284 Robot Belt Uniroyal 90XL037 283827-1 AWR-BB-6-014
3285 Robot Effector Robot ARM
3286 Robot Effector Robot Arm
3287 Robot Effector Robot ARM AWR-BB-3-001
3288 Robot Effector Robot ARM AWR-BB-4-001
3289 Robot Effector Robot ARM AWR-BB-4-003
3290 Robot Effector Robot ARM AWR-BB-5-001
3291 Robot Effector Robot ARM AWR-BB-5-002
3292 Robot Effector Robot ARM AWR-BB-5-003
3293 Robot Effector Robot ARM B&C 56-010559 B AWR-BB-4-002
3294 Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-004
3295 Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-005
3296 Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-006
3297 Robot Remote Controller 8045R2-2 Equipe Technologies Inc AWR-BB-7-001
3298 Robot Teach Switch , AG Associates Heatpulse 8108 8800 4108 4100
3299 Robot Teach Switch , AG Associates Heatpulse 8108 8800 4108 4100
3300 Rorzeco RD-323M10, Sysmac c40H , 12N-010-13, Acopian U24Y350 AWD-D-2-8-13-001
3301 Rota Flow Meter F.M.61079-15 ID-AWS-025
3302 RS-232 Board 62-0006-00 5-1078 PCB AWM-B-4-4-010
3303 RTP-3000 Rapid Thermal Processing Equipment
3304 Rudolph Research AutoEL Automatic Ellipsometer Laser Model 2,4C ID-AWW-9-4-3
3305 S35 1/4 CW617N 06 450-CWP PN30 26 Valve
3306 S4-0001-1 Disk, 6.75”, Silica With SiC Coating, One Side Only
3307 S4-0001-1-A Susceptor,6 Inch, Silica With SiC Coated, Base
3308 S—4D4L NUPRO  Valve
3309 S7061601403 V5C071670-9 , BTC1670 1545700010 AWW-10-2-6-009
3310 Sanyo VM5509 B/W Monitor With Cables AWW-10-2-1-001
3311 SC Technology Delta Laser Head DES-200LM Complies With 21 CFR ID-AWW-6-2-3-002
3312 SC Technology Delta Laser Head DES-200LPT Complies With 21 CFR ID-AWW-6-2-3-001
3313 SC Technology Inc Delta Laser Controller DES-200LM AWM-F-2-7
3314 Scanning Monochromator 1104B X XINIX ? XNX? ID-AWM-D-2-2-004
3315 SCHLIFF ELB Grinders Corp Type Star 1426 VAII Nr 16446117g  ID-NMA-016
3316 Schroff Switchpac 30w Typ TPS 15/2 Power Supply ? ID-AWM-D-2-5-005
3317 Schroff Switchpac Typ TPS 15/3.5 1100s-059 Farco Power Supply ? ID-AWM-D-2-6-005
3318 Schroff Switchpac Typ TPS 5/10 1100s-057 Farco Power Supply ? ID-AWM-D-2-6-004
3319 Schroff Switchpac Typ TPS 5/5 T-12 Power Supply ? ID-AWM-D-2-6-003
3320 SCP 3150 Process Controller 583-054-1A ID -AWM-F-1-034
3321 SEIKO 7525-PDR 005858 MEC-40V-0
3322 Semco Chucks Semco Technologies Feedthrough NR PN STS 286160 PN Semco G062099-2
3323 SEMCO Level Sensor Model XLSM ID -AWM-B-4-2-003
3324 Semi Gas 56343 With APtech 033-0174-100 / ENAL 70-125 Psi ID-AWS-010
3325 Semiconductor  Equipment Corp Expander Model 2625B  ID -AWM-A-5-001
3326 Semitool SD250 Spin Dryer
3327 SEMTRoNICS Analyzer EN425 ID-AWM-D-2-5-007
3328 SensArray 1501A-4-0010 TC Wafer, 4 Inch , Single Point 7310-0996-01
3329 SensArray 1501A-6-0058 TC Wafer, 6 Inch, 5 Points
3330 SensArray 1501A-6-1001 TC Wafer, 6 Inch, 1 Point
3331 SensArray Corporation Fiber Optic ProcessProbe Instrumented Wafers 2130A-8-5014
3332 SensArray Corporation Fiber Optic ProcessProbe Instrumented Wafers 2130A-8-5020
3333 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-6-0523
3334 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-0244
3335 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-0431 7310-3516-03
3336 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-4108
3337 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-5057
3338 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-5057
3339 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-6-0123
3340 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-6-0191
3341 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-0033
3342 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-005 7310-4368-01
3343 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-0213
3344 SensArray Corporation ProcessProbe Instrumented Wafers 1530B-8-0010
3345 SensArray Corporation ProcessProbe Instrumented Wafers 1530B-8-0137
3346 SensArray Corporation ProcessProbe Instrumented Wafers 1819A-8-1022
3347 SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5005
3348 SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5009
3349 SensArray Corporation ProcessProbe Instrumented Wafers 2140A-8-5002
3350 SensArray ProcessProbe Instrumented Wafer 6 Inch TC Wafer 1501A-6-0525
3351 SensArray ProcessProbe Instrumented Wafer 6” Single Point TC Wafer 1501B-6-0373
3352 Servopack Digital Operator JUSP-OPO2A ID-AWM-A-3–4-015
3353 Sexauer Plumbing Repair Products GTY 032540 Sloan Royal Closet Kit ID-AWM-A-3–4
3354 Sheldon  Bellco 1927 Laboratory Oven
3355 Shield, Cover,  Shutter For Perkin-Elmer? Sputtering Equip AWW-9-2-3/4/5-002
3356 Shield, Cover,  Shutter For Perkin-Elmer? Sputtering Equip AWW-9-2-3/4/5-004
3357 Shorter Than 13952-01 A Stand-off For  Branson/IPC  Asher (?) ID-AWS-k-5-1-007
3358 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-001
3359 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-002
3360 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-003
3361 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-004
3362 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-005
3363 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-010
3364 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-011
3365 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-006
3366 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-007
3367 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-008
3368 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-009
3369 SiC Probe Silicon Carbon Probe
3370 Siemens Potter & Brumfield W23-X1A1G-10 Switch AWM-F-5-4-008
3371 Signal 241-6-24 Class B-3 Transformer Branson/IPC ? AWD-3-1-8-007
3372 Signal DP-241-8-28 Transformer Branson/IPC ? AWD-3-1-8-006
3373 SIIG Inc IO1866 V1.0 P014 61 J6M000001059 JJ-P04212 AWW-10-2-16-008
3374 Siltec Module Control Assy No 0122-0653 Rev M AWM-B-4-5-010
3375 Simpson DCA F-45-1-25-0 ID-AWM-A-3–4-017
3376 Simpson Temperature Meter Controller ID-AWM-F-1-011
3377 Singal Convert Transfer Station ID-AWS-K-3-002
3378 Single Claw ISO63-100 Metric, AL PN P1001786 Made In Taiwan
3379 Single Track Coater And Bake SVG-8136 HPO SVG Spin Track
3380 SiSPAN Model GCS-314 Serial 0557
3381 SMC Air Cylinder NCDGTN50-XA-0030US With THOMSON TWN 16 ADJ ?  AWM-F-4-2-001
3382 SMC Air Filter Model NAF3000 & NAR3000-N03 ID-AWS-L-1-2-003
3383 SMC NCD-G03, 2509-0133-01 For Gasonics Aura 3010 AWD-D-3-1-15-005
3384 SMC XLA40-H5Q1A-CFR10120 SPTS PN 3003266
3385 SMC ZSE2-T1-15 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3386 SMIF Cassette Station Box, 8 Inch
3387 SN 800533641 94v-0 9119 , B1010A , AWW-10-2-6-006
3388 SN74LS02N For TEGAL 901E 903E
3389 SNB-C032 2SIPIG Card WP93024747 AWW-10-2-16-009
3390 Sola , Unit Of General Signal SLS-24-012 9602 Power Supply ID-DW-6-4-2-003
3391 SOLAR WAFER 156X156MM 125X125MM
3392 Solid Tek ACK-260A FCC: L2BACEKEY260 Keyboard
3393 Solitec Model 820-ACB Automatic Coat Bake System With Aotocoat Dispense 4 Inch
3394 Sonalert Mallory SC628 6-28 VDC 3-14ma With Panel Station
3395 Sonalert Mallory SC628 6-28 VDC 3-18mA
3396 Sony Camera With Adaptor CMA-D1CE ,DXC-101P CCD Olympus 268986 ID-AWW-6-2-1-004
3397 Southco 03-180055-000 E31021550 PN 1409-0090-02
3398 SP16-DR-A OMRON
3399 SP16-DR-A OMRON
3400 SP16-DR-A SYSMAC mini OMRON
3401 SPAN Gas Minder Display Assembly 1083 For Aura 3010 3000 AWD-D-3-1-13-004
3402 Span Instruments Si Pressure Meter
3403 SPAN LR100 Digital Display Systems ID-AWM-A-3-003
3404 SPAN LR300 ID-AWM-D-2-1-004
3405 Span Pressure Meter 1504383
3406 Span Pressure Meter With 316L Fitting
3407 Span Pressure Meter With 316L Fitting
3408 Special ISO  ID-AWS-L-1-1-006
3409 Special ISO 250-CR ID-AWS-L-1-1-004
3410 Special ISO 88-9167 ID-AWS-L-1-1-005
3411 SpectraMap SM200/e ID-AWM-10-3-1
3412 Springville MFG Co Inc I 125x4M 5/80 Max 250 Psi ID-AWS-018
3413 Springville MFG Co Inc I 125x7m 5/80 Max 250 Psi ID-AWS-018
3414 SPTS 206221 O-Ring 12mmx1.5
3415 SPTS Antec Switching Power Supply Model EA-430D For STS ICP.
3416 SPTS Double Acting Cylinder PN 256459 For SPTS ICP STS ICP
3417 SPTS E01714 O-Ring 280V Lower ICP CAB
3418 SPTS E06874 O-Ring 383V
3419 SPTS FB319368 Z12575D Coolant Pipe & Block
3420 SPTS FIN-ICP-001 Ceramic Shield , ICP For STS ICP Clean By Clean Sciences Tech
3421 SPTS Inc 110867 Gasket DN40KF  For STS ICP
3422 SPTS Inc 206078 O-ring  231 RS For STS ICP
3423 SPTS Inc 206095 O-ring  157×2.5mm Silicone, For STS ICP
3424 SPTS Inc 206096 O-ring  200.00×2.50mm For STS ICP
3425 SPTS Inc 206218 O-ring  253mmx4mm V For STS ICP
3426 SPTS Inc 206994 O-ring  BS386 V For STS ICP
3427 SPTS Inc 206994 O-ring  BS386 V For STS ICP
3428 SPTS Inc 206996  O-ring  Seal Dowty No.625 For STS ICP
3429 SPTS Inc 206997 O-ring  BS381V  For STS ICP
3430 SPTS Inc 236852 Index Laser Head For STS ICP
3431 SPTS Inc 239163 Wiper XGT0402-9S For STS ICP, Made By SMC
3432 SPTS Inc AS311050 P.I.C. ASSY (loadlock) For STS ICP
3433 SPTS Inc CL311403 LID SHUT SWITCH CABLE  For STS ICP
3434 SPTS Inc DT304896 For STS ICP, Screw Vented CSK M4x6mm
3435 SPTS Inc DT307646 RF Shielding 1.5 Meters For STS ICP
3436 SPTS Inc DT309770 PTFE NW 16 O-Ring CARR For STS ICP
3437 SPTS Inc DT309771 PTFE NW25 O-ring  Carier  For STS ICP
3438 SPTS Inc DT313565  PTFE WASHER For STS ICP
3439 SPTS Inc E000017 O-ring 326V For STS ICP
3440 SPTS Inc E00006 O-ring  382V Upper  For STS ICP
3441 SPTS Inc E00017 O-ring  326V  For STS ICP
3442 SPTS Inc E00035 O-ring  035 V  For STS ICP
3443 SPTS Inc E00163 O-ring 163V  For STS ICP
3444 SPTS Inc E00276 O-ring  276V  For STS ICP
3445 SPTS Inc E00278 O-ring  278V  For STS ICP
3446 SPTS Inc E00313 O-ring  313V Used With 212002 For STS ICP
3447 SPTS Inc E00392 O-ring 392V  For STS ICP
3448 SPTS Inc E00618 O-ring  18mmx5mm V For STS ICP
3449 SPTS Inc E01410 O-ring  112V  For STS ICP
3450 SPTS Inc E01411 O-ring  011V  For STS ICP
3451 SPTS Inc E01422 O-ring  272V For STS ICP
3452 SPTS Inc E01483 O-ring 250V For STS ICP
3453 SPTS Inc E01485 O-ring  274V  For STS ICP
3454 SPTS Inc E01493 O-ring  109 V  For STS ICP
3455 SPTS Inc E01494 O-ring  261V  For STS ICP
3456 SPTS Inc E01677 O-ring  212V  For STS ICP
3457 SPTS Inc E02200 O-ring  263V  For STS ICP
3458 SPTS Inc E06015 O-ring  154V  For STS ICP
3459 SPTS Inc E06016 O-ring 333V For STS ICP
3460 SPTS Inc E06060 O-ring  223V For STS ICP
3461 SPTS Inc E06873 O-ring  377V For STS ICP
3462 SPTS Inc E06873 O-ring  377V For STS ICP
3463 SPTS Inc E06922 O-ring  320V For STS ICP
3464 SPTS Inc E08012 O-ring  127V  For STS ICP
3465 SPTS Inc FB303040 RF Connector Assembly   For STS ICP
3466 SPTS Inc KVM SWITCH Box Set PN 550031 For SPTS ICP STS ICP
3467 SPTS Inc O-Ring 010V PN E01482
3468 SPTS Inc Part No 260024 Flow Controller Elbow For STS Pro ICP
3469 SPTS Inc PB310170.02 CS310170.02  For STS ICP
3470 SPTS Inc PN 112021 RF Connector Spacer For STS ICP
3471 SPTS INC PN 237824 Flow Switch FS380 1 SLPM For STS ICP, GEMS FS-380 177592
3472 SPTS INC PN 561189 Centering Ring ISO 63 For STS ICP
3473 SPTS INC Quartz Discs PN 110865 For STS ICP
3474 SPTS INC Quartz Discs PN 110866 SODA LIME GLASS Discs UV For STS ICP
3475 SPTS INC VAT 241783 For STS ICP
3476 SPTS Silicone Insulated Wire Hook PN 541278 Quantity 2 MTs
3477 SPTS Spare Parts Kit CO/N 222386 PN 543417 O/N 1915648/2
3478 SPTS Technologies Part No E00163 JW Part No OB002965 O Ring
3479 SPTS UPS 625VA 50/60Hz PN 256739 For STS ICP. CS13250 Powercom UPS
3480 SQ60330W2PFSMM And 944SSFSFF Regulated Valve
3481 SQ60330W2PFSMM And 944SSFSFF VERIFLO Regulated Valve
3482 SR5016332  For AG Associates Heatpulse 4100,4108,8108 , 8800 ?
3483 SR5017613 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3484 SRS Stanford Research Systems Inc Residual Gas Analyzer High Vacuum Component
3485 SS Ring For STS ICP Fin-082916
3486 SS-1RS4 Whitey Valves-4
3487 SS-42S4 Whitey , Gas Lines
3488 SS-42S4 Whitey Valve , Gas Line
3489 SS-43GXVCR4 Swagelok Valve
3490 SS-43VCR4 Swagelock
3491 SS-4-BK TW-10 And SS-4TF TWPM-2 Filter NUPRO
3492 SS-4BK V51-10 NUPRO Valve
3493 SS-4BK V51-C NUPRO Valve
3494 SS-4BK-1C 2 Pieces And SST Tube NUPRO Valves
3495 SS-4BK-1C NUPRO Valve
3496 SS-4BK-1C NUPRO Valve
3497 SS-4BK-1C NUPRO Valve
3498 SS-4BK-1C NUPRO Valve,part Only
3499 SS-4D4L V51 NUPRO Valve
3500 SS-4TF TWPM  NUPRO Valve/filter
3501 SS-8BK-TW-1D NUPROValve
3502 SS-BNV51 C NUPRON Valve 4HS-A9881 PALL Filter 7310-0229-01 A AG Associates
3503 SS-BNV51 C NUPRON Valve 7310-0229-01 A AG Associates
3504 SS-DLV51 NUPRO Valve
3505 SS-DLV51 NUPRO Valve
3506 SS-DLV51 VCR4 NUPRO Valve
3507 SSI S-1095A IO Board 62-007-00
3508 SSI Solaris 200 Rapid Thermal Processing Rapid Thermal Annealing
3509 SST Adaptor ? Chuck ? Lam Research?ID-AWS-024
3510 SST Bracket With Connector- 8
3511 SST Bracket-9
3512 SST Station Cassette Station? ID-AWS-010
3513 SST Tube   ID-AWS-011
3514 SST Tube  Gas  Line With Fittings-9
3515 SST Tube  Line With Fittings , SS-4BK TW-10, SS-4TF-TW NUPRO -32
3516 SST Tube  Line With Fittings -22
3517 SST Tube  Line With Fittings -23
3518 SST Tube  Line With Fittings -24
3519 SST Tube  Line With Fittings -25
3520 SST Tube  Line With Fittings -26
3521 SST Tube  Line With Fittings -27
3522 SST Tube  Line With Fittings -28
3523 SST Tube  Line With Fittings -30
3524 SST Tube  Line With Fittings -31
3525 SST Tube  Line With Fittings-1
3526 SST Tube  Line With Fittings-2
3527 SST Tube  Line With Fittings-3
3528 SST Tube  Line With Fittings-33
3529 SST Tube  Line With Fittings-34
3530 SST Tube  Line With Fittings-35
3531 SST Tube  Line With Fittings-4
3532 SST Tube  Line With Fittings-5
3533 SST Tube  Line With Fittings-6
3534 SST Tube  Line With Fittings-7
3535 SST Tube  Plastic Tube Line With Fittings-13
3536 SST Tube For  Gasonics Aura 2000LL AWD-D-3-1-5-001
3537 SST Tube Gas Line With Fittings
3538 SST Tube Gas Line With Fittings
3539 SST Tube Gas Line With Fittings
3540 SST Tube Gas Line With Fittings
3541 SST Tube Gas Line With Fittings
3542 SST Tube Gas Line With Fittings
3543 SST Tube Gas Line With Fittings 20
3544 SST Tube Gas Line With Fittings -6
3545 SST Tube Gas Line With Fittings -7
3546 SST Tube Gas Line With Fittings, 2 Of SS-4BK TW-1C, 3 Of SS-4TF TWPM 0.5 Micron
3547 SST Tube Gas Line With Fittings, Adapter 839-338388-1 Rev E1
3548 SST Tube Gas Line With Fittings, Gasonics PN  07-0004
3549 SST Tube Gas Line With Fittings-11
3550 SST Tube Gas Line With Fittings-12
3551 SST Tube Gas Line With Fittings-8
3552 SST Tube Line With Fittings -29
3553 SST Tube Plastic Components For Thin Film Deposition Equipment
3554 ST 001 Printer Paper P/N#2920-000008
3555 ST 004 RSI Power C98B07926 MW Mean Well SP-300-24
3556 ST 029 Norgren 11-018-146 REG Max Set 28PSI
3557 ST 032 Rechner Sensors KAS-70-20-S ,711800
3558 ST 034 Parker B2D X271DC2AC2 24 VDC
3559 ST 052 Norgren 11-018-100 Precision Pressure Regulator
3560 ST 055 T.E.M. Filter Company Gas Filter No. TEM-811-P Max Press 750 PSI 0.003um
3561 ST005
3562 ST012
3563 ST015
3564 ST017 C 501920 1033.20
3565 ST020 PN 2504-110045 O-ring ,black VITON
3566 ST021 O-ring, Black VITON PN 2504-110033
3567 ST022 O-ring Black VITON PN 2504-106030
3568 ST024  API Gettys 23D-6112M Model 20 VDC Voltage
3569 ST025 J54S 126 Option 9043 E/R 15A 480 VAC United Electronic Controls
3570 ST027 Photomultiplier Tube R928 Type, No. VF1041 Made In Japan
3571 ST033 Parker B14Dx35 Valve 24V DC
3572 ST037 Mirror ,Detector 2% Transmitted
3573 ST042 Part Number 1N6282 Century Electronics
3574 ST045 Mask Change Switch Assy P/N 681-0065-011 SGS99347 TIP127 Italy
3575 ST046 VN10KM Transistor MOSFET
3576 ST053 Output Wafer Sensor Board 0513-491400
3577 ST060 PN 2510-000001 Focus Motor Timing Belt
3578 ST061 O-ring, Black VITON PN 2504-110033
3579 ST063 HP Hewlett-Packard 10780A Receiver 2204A06514
3580 ST069, 201SSTX86DBLC44 Braden Precision Bearings
3581 ST070 263-790 LT1013CN8
3582 ST073 LT1012 CN8 Or LT1012CN8?
3583 ST085 Inalnd Servo Brush 50-02-00334
3584 ST087 Theta Stage Rolling Diaphragms 52-02-00170
3585 ST151 200 Micron Cross Mask 0606-446200 500210 KS Equipment Inc
3586 Stainless SST 304L DN40 CF,half  Nipple ID-AWS-026
3587 Stainless SST DN40 CF, NW35 Rotatable Nipple ID-AWS-026
3588 Stainless SST KF50 Flange ,Tee ID-AWS-026
3589 Stainless Steal Carrier 5inchx5inchx0.5inch
3590 Stainless Steel KF-50  Flange Bellow ID-AWS-L-1-1-003
3591 Stainless Steel NW100 Flange Bellow ID-AWS-K-3-011
3592 Stainless Steel NW80 Flange Bellow ID-AWS-L-1-1-002
3593 Stainless Steel Swagelok 6LV-DFBW8-WH Nupro Valve ID-AWS-026
3594 Stainless Steel Swagelok SS-600-3LQ ID-AWS-026
3595 Stainless Tube Flange 2 1/2” To 3” Flange For Vacuum Pipe
3596 Stainless Tube Flange 2 1/2” To 3” Flange For Vacuum Pipe
3597 Stainless Tube ID 1 3/8 Inch  For Vacuum Pipe
3598 Stainless Tube Stainless Pipe
3599 Stanford Research System Model PS350 / 5000V-25W High Voltage ID-AWS-K-1-001
3600 Static Eliminator F167 4000464 G100-001 MO-016-003 ID-AWM-D-2-4-002
3601 Static Eliminator M-1205wC 4001678 Transformer ID-AWM-D-2-4-003
3602 Static Eliminator Model 1207 90001-07300  ID-AWM-D-2-4-004
3603 STI Semitool Spin Rinse Dryer ST-260
3604 STI TC-20 Resistivity Monitor Harris Corp 00128 920M-A02P AWM-C-5-1-002
3605 STP-200 Turbo Molecular Pump Seiko-Seiki Great Condition AWD-D-1-5-7
3606 STS ICP RIE Ceramic Clamp For 150mm / 6 Inch (6”) Wafer
3607 STS ICP RIE PECVD Ceramic Ring
3608 STS ICP RIE PECVD Ceramic Ring
3609 STS ICP RIE PECVD Ceramic Ring
3610 STS ICP RIE PECVD Ceramic Ring
3611 STS ICP RIE PECVD Ceramic Ring
3612 STS ICP RIE PECVD Chuck Assembly
3613 STS ICP RIE PECVD Clamp Bracket Balance , 20 Kg
3614 STS ICP RIE PECVD Clamp Bracket Balance,23kg
3615 STS ICP RIE PECVD Clamp, Used.5 Inch
3616 STS ICP RIE PECVD V06-1547H Hate Compl 77006-R1
3617 STS multi-chamber Cluster-8 inch
3618 STS MULTIPLEX ICP-4inch
3619 STS Mutiplex ICP equipment
3620 STS PRO ICP Etcher
3621 STS RF Cable AWD-D-1-5-3-001
3622 STS RF Cable AWD-D-1-5-3-002
3623 Sumitomo Heavy Industries Temperature Control TPD 04C ID-AWM-D-2-3-002
3624 Super Fine Color CCD CAMERA CV-950 With Cables
3625 Superior Electric SLO-syn Synchronous/Stepping Motor SS1508 ID-AWM-D-2-6-006
3626 Supertex 2090057, Gasonics L6125301 Bellow Kit AWD-D-1-0-018
3627 SVG
3628 Swagelok ? Connector ID-AWS-018
3629 Swagelok NY-400-1-1 Male Connector VDYKE
3630 Swagelok SS-12-UT-A-16 ID-AWS-018
3631 Swagelok SS-45S8 MAC 912B-PM-111CA Whitey 133SR Cleveland Controls AFS-222 X2
3632 Swagelok SS-600-3LQ ID-AWS-019
3633 Switch
3634 Switch
3635 Swivel Vibration-Damping Leveling Mount for AG Associates Heatpulse 4108  8108
3636 SYMBIOS LOGIC SYM8951U 348-0037217 A 348-0037218A AWW-10-2-4-003
3637 Symbol Technologies VRC4040-00E40DUS Computer And Monitor AWM-C-5-1-003
3638 Syncotec W-Germany Control Unit Type 1441 I-700 Technical Instrum ID-AWS-K-1-013
3639 SYNTAX No PC 207906
3640 T0893 6035-A REV C ASSY D110986-G1
3641 TAC•386•KC Omega TC Box For Ag Associates Heatpulse
3642 TAIYD 35H-3 HYDRAULIC CYL 1CB80B300-AB ID-AWS-008
3643 TAIYD 35H-3 HYDRAULIC CYL 1CB80B300-AB-X ID-AWS-008
3644 Tamarack Scientific Integrating Exposure Controller EC-12-ID ID-AWM-D-1-009
3645 TAPESWITCH CB1
3646 Target Shield For Thin Film Deposition Equipment
3647 Target Shield For Thin Film Deposition Equipment
3648 Target Shield For Thin Film Deposition Equipment
3649 TC Thermocouple
3650 TC Thermocouple,replacement  F0600007301 , MPT RTP-600s RTP-800s Modular Process
3651 TDK LAMBDA Electronic Inc Model Vega-Lite 750 V7018NC Regulated Power Supply
3652 Technic Inc. Portable Wet Bench
3653 Technics Macro Stripper Series 2000 ID-D380-020
3654 Technics PD II-A Plasma System,11 Inch Chuck, D380RIE-001
3655 Technics Planar Etch 11-A Plasma System with PD-IIA,
3656 TED PELLA INC Product No 5049-SV ESD-Safe Carbon Wafer Tweezer, 4WF For 5.8-10.2
3657 TED PELLA INC Product No 5367-10NM Pelco Pro HP Tweezer ,Strong Tips, Flat Edges
3658 TED PELLA INC Product No 5367-11NM Pelco Pro HP Tweezer ,Flat Accurate Round Tip
3659 TED PELLA INC Product No 5367-16NM Pelco Pro HP Tweezer ,Style 00D,120mm, NM-SS
3660 TED PELLA INC Product No 5367-1NM Pelco Pro HP Tweezer Bent,Fine Tips,110mm
3661 teflon fittings swagelok
3662 Tegal  40-244-002 A
3663 Tegal  93-128-004 Rev E Cable RF
3664 Tegal  Item CD 1011  With 99-125-004 /008 & Flip 03600-22-040 AWM-G-5-2-001
3665 Tegal  Item CD 1011  With 99-125-004 /008 & Flip 03601-22-040 AWM-G-5-2-002
3666 Tegal  Item CD 1011  With 99-125-004 /008 & Flip 03601-22-040 AWM-H-1-001
3667 Tegal  Item CD 1011  With 99-125-004 AWM-G-5-2-003
3668 Tegal 1513e AC Module Item CE 1028 Parts
3669 Tegal 1513e AC Module Item CE 1028 Parts
3670 Tegal 1513e Aligment Tools-Jigs ID-AWS-010
3671 Tegal 1513e DC Module Item CE 1029  Parts
3672 Tegal 1513e Gas Controller Item CG 1150 -00202 ID-AWS-012
3673 Tegal 1513e Loft Assembly, Wafer,
3674 Tegal 1513e Main Controller Item CD 1043-00205 ID-AWS-012
3675 Tegal 1513e Main Controller Item CD 1043-00205RW ID-AWS-012
3676 Tegal 1513e Matching Network Item CR 1031
3677 Tegal 1513e Motor Controller Item CE 1093  Parts
3678 Tegal 33-349-002 ID-AWS-L-1-2-007
3679 Tegal 39-148-02  E ID-AWS-L-1-3-001
3680 Tegal 39-341-006 D ID-AWS-L-1-3-001
3681 Tegal 400 Plasma Etcher ID-AWSK-3-k-6-001
3682 Tegal 40-281-001 Spare Parts
3683 Tegal 50574-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
3684 Tegal 50590-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
3685 Tegal 50590-01 Tegal CM1104-00402 Tegal PCB
3686 Tegal 50590-01 Tegal CM1104-00403 Tegal PCB
3687 Tegal 700 Tegal 701 Tegal 703 PCB
3688 Tegal 80-055-182 Spare Parts
3689 Tegal 80-095-223 Spare Parts
3690 Tegal 801 803 701 703 Plasma Etcher Chuck 39-754-009 New AWM-C-5-5-001
3691 Tegal 80-197-032 Spare Parts
3692 Tegal 80-197-032 Spare Parts
3693 Tegal 80-202-341 Spare Parts
3694 Tegal 803 Plasma Etch Chuck ID-AWS-L-1-2-005
3695 Tegal 80-679-008 Spare Parts
3696 Tegal 81-007-138 Bellow Spare Parts
3697 Tegal 83-126-003 PCB Spare Parts
3698 Tegal 901e 903e Circulating System FC-1000-S Chiller AWD-D-2-10-014
3699 Tegal 901e 903e Circulating System FC-1000-S Chiller AWD-D-2-10-015
3700 Tegal 901e 903e Circulating System Neslab FTC-350 AWD-D-2-10-020
3701 Tegal 901e 903e Circulating System Neslab RTE-100  AWD-D-2-10-021
3702 Tegal 901e 903e Circulating System Neslab RTE-101 AWD-D-2-10-016
3703 Tegal 901e 903e Circulating System Neslab RTE-101 AWD-D-2-10-017
3704 Tegal 901e 903e Circulating System Neslab RTE-211 AWD-D-2-10-018
3705 Tegal 901e 903e Circulating System Neslab RTE-9DD AWD-D-2-10-019
3706 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-001
3707 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-002
3708 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-003
3709 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-004
3710 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-005
3711 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-006
3712 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-007
3713 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-008
3714 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-009
3715 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-010
3716 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-011
3717 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-012
3718 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-013
3719 Tegal 901e 903e Display 03601-22-040 Flip Tegal PCB 99-125-008 AWM-G-5-1-014
3720 Tegal 901e 903e Display 03601-22-040 Flip Tegal PCB AWM-G-5-1-013
3721 Tegal 901e 903e Tegal PCB 99-125-008 AWM-G-5-1-015
3722 Tegal 901e 903e Tegal PCB 99-173-003 B RFG-3 AWM-E-5-2-007
3723 Tegal 901e Chuck 6 Inch 39-733-004  ID-AWS-L-3-2-002
3724 Tegal 901e Chuck 6 Inch 39-733-004  ID-AWS-L-3-2-004
3725 Tegal 901e Etcher  Plasma Etch Plasma Etcher Dry Etch
3726 Tegal 901e Plasma Etcher SS380-7-3
3727 Tegal 901e Ring For Chuck 6 Inch 39-733-004  ID-AWS-L-3-2-003
3728 Tegal 901e Tegal 903e  30-232-003 Shuttle Assembly ID-AWS-027
3729 Tegal 901e Tegal 903e 30-225-004 Spatula Assembly 6”  ID-AWS-L-3-3-003
3730 Tegal 901e Tegal 903e 39-848-004 Block Stage 6” Cat Whisker ID-AWS-L-3-3-001
3731 Tegal 901e Tegal 903e 80-202-191 O-ring Viton ID-AWS-L-3-3-005
3732 Tegal 901e Tegal 903e 80-202-192 O-ring Viton ID-AWS-L-3-3-004
3733 Tegal 901e Tegal 903e 99-172-002 & 99-172-001 & 99-172-003
3734 Tegal 901e Tegal 903e Chamber Assy 39-733-003 Rev B CW1109-50102 SN 17039
3735 Tegal 901e Tegal 903e Chamber Assy CC1106-00204 SN 11396
3736 Tegal 901e TEgal 903e Chamber Flange ID-AWS-L-3-2-001
3737 Tegal 901e Tegal 903e Chamber Part Tegal Spare Parts
3738 Tegal 901e Tegal 903e Chuck 30-735-019 A ID-AWM-E-5-2-001  6”
3739 Tegal 901e Tegal 903e Chuck 30-735-019 A ID-AWM-E-5-2-002 6”
3740 Tegal 901e Tegal 903e Chuck 39-733-002 E  ID-AWS-036-001
3741 Tegal 901e Tegal 903e Chuck 39-733-002 E  ID-AWS-036-002
3742 Tegal 901e Tegal 903e Chuck 39-733-003 H ID-AWS-035-005
3743 Tegal 901e Tegal 903e Chuck 39-735-019 A ID-AWS-035-004
3744 Tegal 901e Tegal 903e Chuck 39-741-004 H ID-AWS-035-003
3745 Tegal 901e Tegal 903e Chuck 39-741-009 K ID-AWS-035-006
3746 Tegal 901e Tegal 903e Chuck 39-741-009 K ID-AWS-035-007
3747 Tegal 901e Tegal 903e Chuck 39-741-027 A ID-AWS-035-002
3748 Tegal 901e Tegal 903e Chuck 39-927-022 C ID-AWS-035-001
3749 Tegal 901e Tegal 903e Chuck 4 Inch ID-AWS-036-003
3750 Tegal 901e Tegal 903e Chuck 5 Inch ID-AWS-035-008
3751 Tegal 901e Tegal 903e Chuck A ID-AWM-E-5-2-003 6”
3752 Tegal 901e Tegal 903e Chuck A ID-AWM-E-5-2-004 6”
3753 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1015  W/ 99-126-005 AWM-H-3-001
3754 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-1
3755 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-2
3756 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-5
3757 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-6
3758 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-7
3759 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-H-2-001
3760 Tegal 901e Tegal 903e Gas Line ID-AWS-029-003
3761 Tegal 901e Tegal 903e Gas Line ID-AWS-029-004
3762 Tegal 901e Tegal 903e Gas Line ID-AWS-029-005
3763 Tegal 901e Tegal 903e Gas Line ID-AWS-031-003
3764 Tegal 901e Tegal 903e Gas Line ID-AWS-032-001
3765 Tegal 901e Tegal 903e Main Control Board PN 80-095-278
3766 Tegal 901e Tegal 903e Main Control Board PN 80-095-278 AWM-B-4-4-001
3767 Tegal 901e Tegal 903e Main Control Board PN 80-095-278 AWM-B-4-4-002
3768 Tegal 901e Tegal 903e Monitor , Used
3769 Tegal 901e Tegal 903e O-ring For Gas Feed Through 3700-01360 ID-AWS-L-3-3-006
3770 Tegal 901e Tegal 903e PCA, EOP Control Module 90-1045-01 REV G
3771 Tegal 901e Tegal 903e PCB 80-095-278 Rev A DAC 2
3772 Tegal 901e Tegal 903e PCB 99-126-006 REV D
3773 Tegal 901e Tegal 903e PCB 99-138-003 REV A TMC-3
3774 Tegal 901e Tegal 903e PCB 99-249-002 Rev K DEF-2
3775 Tegal 901e Tegal 903e PCB DAC 80-095-278 With 99-207-004 A/K/C
3776 Tegal 901e Tegal 903e PCB TEGAL PCB 99-247-002 REV.D SPI-2
3777 Tegal 901e Tegal 903e Pneumatic Valve Assembly ID-AWS-033-001
3778 Tegal 901e Tegal 903e Press/Vacuum  W/ATM SNS Item CG 1146 ID-AWM-B-5-3
3779 TEGAL 901E TEGAL 903E Tegal PCB 99-165-003 AESI-3
3780 TEGAL 901E TEGAL 903E TEGAL PCB 99-173-008 REV C
3781 TEGAL 901E TEGAL 903E Tegal PCB 99-249-002 RW  REV.N DEP-2
3782 TEGAL 901E TEGAL 903E Tegal PCB 03601-22B-40
3783 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1002-6100
3784 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1002-6200RW
3785 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078
3786 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078 W00454
3787 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078-40401
3788 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078-50301
3789 Tegal 901e Tegal 903e Top Electrode Cleaned AWM-C-4-1-004
3790 Tegal 901e Tegal 903e Vacuum Assembly ID-AWM-B-5-4
3791 Tegal 901e Tegal 903e Vacuum Line AWM-H-3-003
3792 Tegal 901e Tegal 903e Vacuum Line ID-AWS-029-001
3793 Tegal 901e Tegal 903e Vacuum Line ID-AWS-029-002
3794 Tegal 901e Tegal 903e Vacuum Line ID-AWS-030-001
3795 Tegal 901e Tegal 903e Vacuum Line ID-AWS-030-002
3796 Tegal 901e Tegal 903e Vacuum Line ID-AWS-030-003
3797 Tegal 901e Tegal 903e Vacuum Line ID-AWS-030-004
3798 Tegal 901e Tegal 903e Vacuum Line ID-AWS-031-001
3799 Tegal 901e Tegal 903e Vacuum Line ID-AWS-031-002
3800 Tegal 901e Tegal 903e Vacuum Line Item CG 1014  AWM-H-3-002
3801 Tegal 901e Tegal 903e Vacuum Pressure Line Item CG1180 AWM-H-3-004
3802 Tegal 901e TEgal 903e Wafer Transfer  CW1003 W00137 ID-AWS-L-2-001
3803 Tegal 901e TEgal 903e Wafer Transfer  CW1078  ID-AWS-L-2-002
3804 Tegal 901e TEgal 903e Wafer Transfer  CW1078  ID-AWS-L-2-003
3805 Tegal 901e TEgal 903e Wafer Transfer  CW1078  ID-AWS-L-3-001
3806 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-1-002
3807 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-1-003
3808 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-1-004
3809 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-1-005
3810 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-004
3811 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-005
3812 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-006
3813 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-007
3814 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-008
3815 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-009
3816 Tegal 901e TEgal 903e Wafer Transfer  CW1078-50301 ID-AWS-7-2-003
3817 Tegal 901e TEgal 903e Wafer Transfer Profacture  CW1078-60401 ID-AWS-7-2-001
3818 Tegal 901e TEgal 903e Wafer Transfer Profacture  CW1078-60401 ID-AWS-7-2-002
3819 Tegal 901e Tegal 903e Wafer Transfer Shuttle 46-273-001 C ID-AWM-E-5-001 6”
3820 Tegal 901e Tegal 903e Wafer Transfer Shuttle Assembly 46-273-001 C ID-AWS-034 4”
3821 Tegal 901e Tegal 903e Wafer Transfer Shuttle Assembly 46-273-001 C ID-AWS-034 4”
3822 Tegal 901e Tegal 903e Wafer Transfer Shuttle Assembly 46-273-001 C ID-AWS-034 6”
3823 Tegal 901e Tegal 903e Wafer Transfer Shuttle Assembly ID-AWS-034
3824 Tegal 901e Tegal 903e Wafer Transfer Shuttle Assembly ID-AWS-035
3825 Tegal 901e Tegal 903e Wafer Transport Spatul  CW1078-40401 RW CW13661 6 Inch
3826 Tegal 903 901 E Wafer Process Counter ID-AWS-K-2-004
3827 Tegal 903e Chuck
3828 Tegal 903e Chuck 6 Inch, Black 39-927-008
3829 Tegal 903e Chuck 6 Inch, Black 39-927-008?
3830 Tegal 903e Etcher Plasma Etch Plasma Etcher Dry Etch
3831 Tegal 903e Tegal 901e 99-165-003 And 99-197-001 And Omron E2K-X4ME
3832 Tegal 903e Tegal 901e Ceramic Ring For 4 Inch Chuck , 40-294-003 ?
3833 Tegal 903e Tegal 901e Chuck 3 Inch PN 39-735-001 REV K
3834 Tegal 903e Tegal 901e Chuck 5 Inch Anodized
3835 Tegal 903e Tegal 901e Chuck 6 Inch  PN 39-735-019 REV A
3836 Tegal 903e Tegal 901e Chuck 6 Inch No Anodized
3837 Tegal 903e Tegal 901e Chuck PN 39-548-002 REV A 3 Inch
3838 Tegal 903e Tegal 901e Chuck PN 39-733-004 REV L
3839 Tegal 903e Tegal 901e Chuck PN 39-733-004 REV M 5 Inch
3840 Tegal 903e Tegal 901e Chuck PN 39-735-002
3841 Tegal 903e Tegal 901e Chuck PN 39-735-002 REV K
3842 Tegal 903e Tegal 901e Chuck PN 39-735-002 REV M
3843 Tegal 903e Tegal 901e Chuck PN 39-735-002 With One O Ring
3844 Tegal 903e Tegal 901e Chuck PN 39-735-020 Rev A. 6 Inch
3845 Tegal 903e Tegal 901e Chuck PN 39-741-026  Rev B 6 Inch
3846 Tegal 903e Tegal 901e Chuck PN 39-741-026 REV B
3847 Tegal 903e Tegal 901e Nest 3 Inch
3848 Tegal 903e Tegal 901e Nest 4 Inch 46-273-001 C
3849 Tegal 903e Tegal 901e Nest 4 Inch Shorter
3850 Tegal 903e Tegal 901e Nest 6 Inch
3851 Tegal 903e Tegal 901e Nest PN 46-273-001 C
3852 Tegal 903e Tegal 901e Power Supply Box AC Box
3853 Tegal 903e Tegal 901e Ring PN 39-908-001
3854 Tegal 903e Tegal 901e Shower Head 37-221-001 (TSI-TG221-001)
3855 Tegal 903e Tegal 901e Shower Head OEM PN 39-680-003
3856 Tegal 903e Tegal 901e Shower Head PN 37-221-001 REV H
3857 Tegal 903e Tegal 901e Shower Head Profacture PRO-37-221-002 REV A Made In USA
3858 Tegal 903e Tegal 901e Shuttle ARM 5 Inch PN 39-853-001 A
3859 Tegal 903e Tegal 901e Shuttle ARM 5 Inch PN 39-853-001 A?
3860 Tegal 903e Tegal 901e UPP Electrode PN 39-188-002
3861 Tegal 903e TTW ( Through The Wall )Etcher  Plasma Etch Plasma Etcher Dry Etch
3862 Tegal 93-124-002 Cable AWD-D-3-1-13-007
3863 Tegal 99-126-005 A PCB Spare Parts
3864 Tegal 99-129-006 RW PCB Spare Parts
3865 Tegal 99-136-001 D PCB Spare Parts
3866 Tegal 99-188-001 Rev A EPE-1 Tegal PCB
3867 Tegal 99-206-001 Rev C GCP-1 Tegal PCB
3868 Tegal 99-232-004 N PCB Spare Parts
3869 Tegal 99-240-003 C PCB Spare Parts
3870 Tegal 99-240-003 Rev B ETS-3 Tegal PCB
3871 Tegal 99-244-001 Rev C PCB Spare Parts
3872 Tegal 99-299-001 G PCB  Spare Parts
3873 TEGAL 9XX DAC-SCAN PCB TEGAL 901E TEGAL 903E TEGAL PCB 80-095-278
3874 Tegal AC Service Item CE-1004-00901 SN 13212 Tegal Spare Parts
3875 Tegal AC Services Item CE-1004-00901 SN 12427 Tegal Spare Parts
3876 Tegal AC SVCE EUR  Item CE-1004-01001 SN 14471 Tegal Spare Parts
3877 Tegal Bias Power Supply 80-095-164 ID-AWS-012
3878 Tegal Chuck 37-139-001. (39-139-001?)
3879 Tegal Chuck 39-336-018
3880 Tegal FC-1000S 2500W 230/50 Tegal 901e Tegal 903e Chiller Circulator AWR-005
3881 Tegal Line Recorder 80-055-166 ID -AWM-B-4-2-002
3882 Tegal Matching Network Item CR-1113-00301 SN 12165 Tegal Spare Parts
3883 Tegal Matching Network Item CR-1113-00301 SN 13145 Tegal Spare Parts
3884 TEGAL PCB 03600-22-040
3885 TEGAL PCB 03601-22-040
3886 Tegal PCB 98-044-002 / 99-044-002
3887 TEGAL PCB 99-125-001 REV 7 FPJ-1
3888 TEGAL PCB 99-126-005 IGC-5 REV H/A/J/G/E
3889 TEGAL PCB 99-126-009 B IGC-9
3890 Tegal PCB 99-165-001  REV 4 ESI-1
3891 TEGAL PCB 99-172-001 REV 3 IMN-1
3892 TEGAL PCB 99-172-002 REV B IMN-2
3893 TEGAL PCB 99-173-005 A RFG-5
3894 Tegal PCB 99-341-002 REV 3 SSI-2
3895 Tegal PCB 99-345-001 REV A ID1-1
3896 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-424-001 Rev A
3897 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-733-003 Rev L
3898 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-733-004 Rev k 5 Inch
3899 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-900-001
3900 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-908-001
3901 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-926-001
3902 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-51-147-001
3903 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-51-148-001
3904 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-51-150-001
3905 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-51-151-001
3906 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-51-182-001
3907 Tegal Plasma Etcher Asher Spare Parts PN 30-232-001 Tegal 901e Tegal 903e 4 Inch
3908 Tegal Plasma Etcher Asher Spare Parts PN 39-954-001 Tegal 901e Tegal 903e 4 Inch
3909 Tegal Plasma Etcher Asher Spare Parts PN 39-954-002 Tegal 901e Tegal 903e 5 Inch
3910 Tegal Plasma Etcher Asher Spare Parts PN 41-175-001 Tegal 901e Tegal 903e 4 Inch
3911 Tegal Plasma Etcher Asher Spare Parts PN TGL-39-929-001
3912 Tegal Plasma Etcher Asher Spare Parts PN TGL-39-946-002 Rev 2
3913 Tegal Plasma Etcher Asher Spare Parts PN TGL-39-954-003 Tegal 901e Tegal 903e 6”
3914 Tegal Plasma Etcher Asher Upgrade Kit 29-208-001 Touchscreen Replacement
3915 Tegal Press/Vacuum W/ATM SNS Item CG1146-01201 SN 13804 Tegal Spare Parts
3916 Tegal RF Generator CR 1087-20101 RF Plasma Products T-502S ID-AWM-D-1-008
3917 Tegal Spare Parts
3918 Tegal Spare Parts
3919 Tegal Spare Parts PN TGL-39-967-002
3920 Tegal T-1000E DC Supply  ID-AWS-012
3921 Tegal WAFER Transfer CW1078 W00303RW
3922 Tegal WAFER Transfer CW1078-40301
3923 Tegal WAFER Transfer CW1078-50401
3924 Tegal WAFER Transfer CW1078-60301
3925 Tegal? Fabco-Air Inc E 521 Xk
3926 Tek-Temp Instruments TKD200/5118IR Was For Tegal 903e Etcher Working AWR-031
3927 Tek-Temp Instruments TKD200/5118T1 Was For Tegal 903e Etcher Working AWR-032
3928 Tektronix Inc Type 586 Curve Tracer ID-AWW-8-4-006
3929 Tektronix P6102 Probe With Options ID-AWW-8-2-1-006
3930 Telebyte For Gasonics Aura 3010 3000  AWD-D-3-11-4-001
3931 Telebyte OPTO-ISOLATION Module 268 Model  For Aura 3010 3000 AWD-D-3-1-13-005
3932 Telebyte OPTO-ISOLATION Module 268 Model  For Aura 3010 3000 AWD-D-3-1-13-006
3933 Telebyte W/ 96-0255 A, 96-0256 A For Gasonics Aura 3010, L3510 AWD-D-1-0-022-031
3934 Telemecanique Thermal Overload LR2D1316 023261 ID-AWM-A-3–4-013
3935 Telemecanique XUP J203135 Photoelectric Sensor ID-DW-6-4-2-002
3936 TeleVideo Systems 18A—D87?30062A For Tegal 901e 903e Monitor AWD-D-1-0-024
3937 Temescal 0620-7612-0 Cable , EBC Indexer DR For Thin Film Deposition Equipment
3938 Temescal 0629-0364-0 Assembly , TRC Drive, UPG , New, Never Used
3939 Temescal BJD-1800 3-Cathode Sputter System
3940 Temescal FC-1800 BOC Coating Technology TRC-3460 Pocket Select ID-EV001-3
3941 Temescal FC1800 E Beam Evaporator
3942 Temescal FC-1800 Electron Beam Evaporator System – TES refurbished and upgra
3943 Temescal FC-1800 Evaporator
3944 Temescal FC-1800 Evaporator
3945 Temescal FC-1800 Evaporator Shielding shield. ID-DQ-1-1-001
3946 Temescal FC-1800 Evaporator Shielding shield. ID-DQ-1-1-002
3947 Temptronic TP03000A-2300-1
3948 Tencor M-gage 200 Kla Tencor Mgage For 2 To 5 Inch Metal Thin Film Metrology
3949 Teravicta 8_Dev_Doc Rev b With 8_CotoB41_DC_b PCB
3950 Teravicta R-CSP8-01 PCB
3951 Teravicta RT_CSP12X8-01 Rev C
3952 Teravicta RT_Liadboard_Dock Rev a With TT1244A
3953 Teravicta RT-CSP8X6-01-PKg PCB
3954 TermoTek P300 Series Chiller P307-19717
3955 Termotek P302-16466-1 ,2009 Vintage D380C-012
3956 Termotek P302-16466-1 2009 Vintage D380C-014
3957 Termotek P307 Series Chiller
3958 Termotek P307-19717-1 ,2018 Vintage D380C-015
3959 Termotek Rack 700w P307-055 2002 Vintage D380C-013
3960 Tescom Regulated Valves 60 PSI
3961 Tescom Regulated Valves 60 PSI
3962 Tescom Regulator, 74-2461KRG20-037
3963 Tescom Regulator, 74-2461KRG20-037
3964 Tescom Regulator, 74-2461KRG20-037
3965 Tescom Regulator, 74-2461KRG20-037
3966 Tescom Regulator, 74-2461KRG20-037
3967 Tescom Regulator, 74-2461KRG20-037
3968 Tescom Regulator, 74-2461KRN20-037
3969 Tescom Regulator, 74-2461KRN20-037
3970 Tescom Valve 100 PSIG Max Outlet ,USG U.S.Gauge Pressure Meter
3971 TGL-40-391-001 Tegal 905 Quartz Chamber Tegal Spare Parts
3972 TGL-80-506-003 OPTICAL FILTER 520 NM endpoint Filter Tegal Spare Parts
3973 TGL-80-506-008 OPTICAL FILTER 777 NM endpoint Filter Tegal Spare Parts
3974 TGL-80-506-013 OPTICAL FILTER 750 NM endpoint Filter Tegal Spare Parts
3975 TGL-99-002-004 Tegal PCB Tegal Spare Parts
3976 TGL-99-003-002 Tegal PCB Tegal Spare Parts
3977 TGL-99-005-001 Tegal PCB Tegal Spare Parts
3978 TGL-99-008-001 Tegal PCB Tegal Spare Parts
3979 TGL-99-013-001 Tegal PCB Tegal Spare Parts
3980 TGL-99-037-001 Tegal PCB Tegal Spare Parts 99-037-01? Tegal 415 PCB
3981 TGL-99-043-002 Tegal PCB Tegal Spare Parts 99-043-001
3982 TGL-99-044-002 Tegal PCB Tegal Spare Parts
3983 TGL-99-045-001 Tegal PCB Tegal Spare Parts
3984 TGL-99-046-001 Tegal PCB Tegal Spare Parts
3985 TGL-99-046-502 Tegal PCB Tegal Spare Parts
3986 TGL-99-047-001 Tegal PCB Tegal Spare Parts
3987 TGL-99-048-001 Tegal PCB Tegal Spare Parts
3988 TGL-99-054-001 Tegal PCB Tegal Spare Parts
3989 TGL-99-079-001 Tegal PCB Tegal Spare Parts
3990 TGL-99-079-002 Tegal PCB Tegal Spare Parts
3991 TGL-99-081-001 Tegal PCB Tegal Spare Parts
3992 TGL-99-082-004 Tegal PCB Tegal Spare Parts
3993 TGL-99-095-001 Tegal PCB Tegal Spare Parts
3994 TGL-99-098-003 Tegal PCB Tegal Spare Parts
3995 TGL-99-099-001 Tegal PCB Tegal Spare Parts
3996 TGL-99-104-001 Tegal PCB Tegal Spare Parts
3997 TGL-99-106-001 Tegal PCB Tegal Spare Parts
3998 TGL-99-112-001 Tegal PCB Tegal Spare Parts
3999 TGL-99-112-002 Tegal PCB Tegal Spare Parts
4000 TGL-99-114-001 Tegal PCB Tegal Spare Parts
4001 TGL-99-116-001 Tegal PCB Tegal Spare Parts
4002 TGL-99-126-003 Tegal PCB Tegal Spare Parts
4003 TGL-99-139-002 Tegal PCB Tegal Spare Parts
4004 TGL-99-141-001 Tegal PCB Tegal Spare Parts
4005 TGL-99-154-001 Tegal PCB Tegal Spare Parts
4006 TGL-99-158-001 Tegal PCB Tegal Spare Parts
4007 TGL-99-181-001 Tegal PCB Tegal Spare Parts
4008 TGL-99-181-006 D Tegal PCB Tegal Spare Parts
4009 TGL-99-186-002 Tegal PCB Tegal Spare Parts
4010 TGL-99-186-002 Tegal PCB Tegal Spare Parts
4011 TGL-99-190-002 Board Temp Monitor Tegal PCB Tegal Spare Parts
4012 TGL-99-197-001 Board Sensor Slotted SSL-1 Tegal PCB Tegal Spare Parts
4013 TGL-99-200-003 PCB Board Tegal PCB Tegal Spare Parts
4014 TGL-99-206-001 PCB Board Tegal PCB Tegal Spare Parts
4015 TGL-99-208-004 Tegal PCB Tegal Spare Parts
4016 TGL-99-209-001 Tegal PCB Tegal Spare Parts
4017 TGL-99-232-004 Tegal PCB Tegal Spare Parts
4018 TGL-99-237-003 Tegal PCB Tegal Spare Parts
4019 TGL-99-300-001 Tegal PCB Tegal Spare Parts
4020 TGL-99-304-001 Tegal PCB Tegal Spare Parts
4021 TGL-99-318-001 Tegal PCB Tegal Spare Parts
4022 The Barden Corp Barden Precision Bearings 100H 0-9 P21D  ID-AWM-F-5-1-006
4023 The Barden Corp Barden Precision Bearings 100H 0-9 P31B ID-AWM-F-5-1-005
4024 The Barden Corp Barden Precision Bearings 100H 0-9 Q28R ID-AWM-F-5-1-003
4025 The Barden Corp Barden Precision Bearings 100H 0-9 Q6N ID-AWM-F-5-1-007
4026 The Barden Corp Barden Precision Bearings 100H 0-9 R19A ID-AWM-F-5-1-004
4027 The Barden Corp Barden Precision Bearings 100H 0-9 R31E ID-AWM-F-5-1-002
4028 The Pancake Line FABCO-AIR HPS-48 AWD-D-1-1-002
4029 Theis Enterprises Computer With SW For Tegal 901e Tegal 903e Etcher, CS&S
4030 Theis Enterprises SN 200454 Main Control Board For Tegal 901e Tegal 903e Etcher
4031 Thermal Evaporators Nest For Thin Film Deposition Equipment
4032 Thermal Evaporators Nest For Thin Film Deposition Equipment
4033 Thermocouple/resistance Thermometer PRE-Amplifier SN 445 PL59 AWD-D-1–1-4-011
4034 THT RG75TK Glass Ion Gauge AWD-D-1-4-4-008
4035 Timer
4036 Timken Torrington Needle Bearing B-47 For AG Asscoiates Heatpulse, 273903-1
4037 Transport Controller Rev 1 PCB 1000-0003 Rev 20 For Matrix 105 106 103 303 404..
4038 Tric Plate For AG Associates Heatpulse -1
4039 Tric Plate For AG Associates Heatpulse -2
4040 Tric Plate For AG Associates Heatpulse -3
4041 Trident video card JA-8237A/V2 , Modular Process Technology. MPT RTP-600
4042 Trident video card JA-8237A/V4 , Modular Process Technology. MPT RTP-600
4043 TRW Global Motor Division 409A6029-2 /7200-0382-01 For AG Asscoiates Heatpulse
4044 TRW Global Motor Division 409A6029-2 /7200-0382-01 For AG Asscoiates Heatpulse
4045 TRW Global Motor Division 409A6029-2 For AG Asscoiates Heatpulse
4046 TRW Global Motor Division 409A6029-2, 7200-0353-01 For AG Asscoiates Heatpulse
4047 TRW Global Motor Division 409A6029-2,For AG Asscoiates Heatpulse,New?
4048 TRW Global Motor Division 409A6029-2,For AG Asscoiates Heatpulse,New?
4049 Tube Gas Line With Fittings AG Associates Heatpulse
4050 Two Layers Bracket For Wafer Central Station
4051 Tyco Electronics Alcoswitch RM200 5-1437614-4 ID-AWS-019
4052 Tylan  FC-2900M CHF3 / 50 SCCM For Tegal 901e Tegal 903e Plasma Etcher DT-1-021
4053 Tylan  FC-2900M SF6 / 20 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-023
4054 Tylan  FC-2900V He 200 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-030
4055 Tylan  FC-2900V O2 / 15 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-032
4056 Tylan  FC-2900V O2 / 50 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-026
4057 Tylan  FC-2900V O2/ 25 SCCM For Tegal 901e Tegal 903e Plasma Etcher DT-1-020
4058 Tylan  FC-2900V SF6 / 20 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-025
4059 Tylan  FC-2900V SF6 / 50 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-031
4060 Tylan  PC-2900V SF6 / 100 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-028
4061 Tylan  pC-5900UB N2/ 2 SLM MFC For Tegal 901e Tegal 903e Etcher DT-1-024
4062 Tylan  PC-5900UV N2 / 400 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-027
4063 Tylan  PC-5900UV N2 / 500 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-029
4064 Tylan  VC-5900VU-55 N2/ 500 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-022
4065 TYLAN FC 280 N2 5 SLM MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-006
4066 TYLAN FC-2900V N2 / 20 SCCM MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-013
4067 Tylan General MDVX-018 High Vacuum Valve ID -AWM-A-4-006
4068 Type 640 Model 640A-21602 MFC Mass Flow Controller MKS  1179A01314CR1CV 10 Torr
4069 UFC-1000 SF6 50 SCCM MFC Mass Flow Controller Unit Instruments Inc
4070 UFC-1020 N2 100 SCCM MFC Unit Instruments Inc
4071 UFC-1020 O2 100 SCCM MFC Unit Instruments Inc
4072 UFC-1100 100 SCCM N2 For Tegal 901e Tegal 903e Plasma Etcher DT-1-004
4073 UFC-1100 100 SCCM N2 For Tegal 901e Tegal 903e Plasma Etcher DT-1-005
4074 UFC-1100 100 SCCM PH3/N2  For Tegal 901e Tegal 903e Plasma Etcher DT-1-003
4075 UFC-1100 500SCCM O2 MFC Unit Instruments Inc
4076 UFC-1100 Ar 10 SLM MFC Unit Instruments Inc
4077 UFC-1100 CHF3 100 SCCM MFC Unit Instruments Inc
4078 UFC-1100 HCL 200 SCCM MFC Unit Instruments Inc
4079 UFC-1100 N2 1 SLM MFC Unit Instruments Inc
4080 UFC-1100 N2 10 SLM MFC Unit Instruments Inc
4081 UFC-1100 N2 2 SLM  MFC Unit Instruments Inc
4082 UFC-1100 N2 50 SCCM For Tegal Plasma Asher Strip Etcher Unit Instruments Inc
4083 UFC-1100A  SF6 50 SCCM MFC Unit Instruments Inc
4084 UFC-1100A HE 200 SCCM MFC Unit Instruments Inc
4085 UFC-1100A O2 100  SCCM MFC Unit Instruments Inc
4086 UFC-1101 10 SCCM  O2 MFC Unit Instruments Inc
4087 UFC-1101A HE 50 SCCM MFC Unit Instruments Inc
4088 UFC-1101A SF6 10 SCCM MFC Unit Instruments Inc
4089 UFC-1200 100 SCCM SiH2Cl2 For Tegal 901e Tegal 903e Plasma Etcher DT-1-002
4090 UFC-1300 N2 200 SCCM MFC Unit Instruments Inc
4091 UFC-1400A N2 50 SCCM For Tegal Plasma Asher Strip Etcher Unit Instruments Inc
4092 UFC-1500A SF6 50 SCCM MFC Unit Instruments Inc
4093 UFC-7301  3cc N2 UNIT 7300 Series
4094 ULVAC cRyogenics Controller ID-AWS-K-1-003
4095 Ulvac GP-2A Pirani Vacuum Gauge Control ID-AWS-K-2-001
4096 Uniformity Shield,ceramic , UHV Clean For STS ICP STS PRO ICP, SPTS
4097 Unit 8130 He 50 SCCM MFC 797-900918-001 For Tegal 901e Tegal 903e DT-1-015
4098 UNIT Mass Flow Controller High Performance UFC-1000 CHF3 50 SCCM AWM-F-4-1-008
4099 UNIT Mass Flow Controller High Performance UFC-1000 CHF3 60.0 SCCM AWM-F-4-1-007
4100 UNIT Mass Flow Controller High Performance UFC-1000 N2 15.0 SCCM AWM-F-4-1-005
4101 UNIT Mass Flow Controller High Performance UFC-1020 CHF3 10 SCCM AWM-F-5-7-003
4102 UNIT Mass Flow Controller High Performance UFC-1020 HE 200 SCCM AWM-F-5-7-012
4103 UNIT Mass Flow Controller High Performance UFC-1020 SF6 15 SCCM AWM-F-4-1-010
4104 UNIT Mass Flow Controller High Performance UFC-1020 SF6 15 SCCM AWM-F-5-7-011
4105 UNIT Mass Flow Controller High Performance UFC-1100 He 200 SCCM AWM-F-4-1-001
4106 UNIT Mass Flow Controller High Performance UFC-1100 N2 25 SCCM AWM-F-4-1-004
4107 UNIT Mass Flow Controller High Performance UFC-1100 NH3 5 SLM AWM-F-5-7-009
4108 UNIT Mass Flow Controller High Performance UFC-1100 O2 500 SCCM AWM-F-4-1-009
4109 UNIT Mass Flow Controller High Performance UFC-1100 SF6 20 SCCM AWM-F-5-7-007
4110 UNIT Mass Flow Controller High Performance UFC-1100 SF6 25 SCCM AWM-F-4-1-006
4111 UNIT Mass Flow Controller High Performance UFC-1100A CF4 100 SCCM AWM-F-5-7-014
4112 UNIT Mass Flow Controller High Performance UFC-1100A N2 1 SLM AWM-F-5-6-001
4113 UNIT Mass Flow Controller High Performance UFC-1100A N2 2 SLM AWM-F-5-7-008
4114 UNIT Mass Flow Controller High Performance UFC-1100A O2 10 SLM AWM-F-5-7-006
4115 UNIT Mass Flow Controller High Performance UFC-1100A SF6 100 SCCM AWM-F-4-1-003
4116 UNIT Mass Flow Controller High Performance UFC-1660 NF3 100 SCCM AWM-F-5-7-001
4117 UNIT Mass Flow Controller High Performance UFC-1660 O2 20 SCCM AWM-F-5-6-011
4118 UNIT Mass Flow Controller High Performance UPC-1000 225 N2 SCCM AWM-F-5-7-005
4119 UNIT Mass Flow Controller High Performance UPC-1000 N2 2 SLM  AWM-F-5-6-010
4120 UNIT Mass Flow Controller High Performance UPC-1100 HCL 200 SCCM AWM-F-5-6-009
4121 UNIT Mass Flow Controller High Performance UPC-1100A CHF3, 50 SCCM AWM-F-5-6-003
4122 UNIT Mass Flow Controller High Performance UPC-1300 N2 225 SCCM AWM-F-4-1-002
4123 UNIT Mass Flow Controller High Performance UPC-1300 N2 225 SCCM AWM-F-5-7-013
4124 UNIT Mass Flow Controller High Performance UPC-8100 N2 1 SLM AWM-F-5-6-007
4125 UNIT Mass Flow Controller High Performance VFC-1020 O2 , 50 SCCM AWM-F-5-6-002
4126 UNIT UFC-1020  He 200 SCCM  MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-009
4127 UNIT UFC-1100 N2 50 SCCM  MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-008
4128 UNIT UFC-1100 N2 50 SCCM  MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-011
4129 UNIT UFC-1100A N2 50 SCCM  MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-007
4130 UNIT UFC-1200 N2 50 SCCM  MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-010
4131 UNIT UPC-1300 N2 2 SLM MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-012
4132 Unit UPC-1300 N2/ 100 SCCM MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-014
4133 United Electric Controls Company 10-D11
4134 United Electric Controls Company Spectra 10 Model 10-D11
4135 UPC-1300 N2 225 SCCM For Tegal Plasma Asher Strip Etcher Unit Instruments Inc
4136 UPE75 581429 UPE375590 Advanced Specialty Gas Regulated Valve
4137 Upgrade Kit For ElectroGlass EG 1034 Wafer Probe  Mapping ID-AWS-K-2-005/AWS028
4138 Used 246975-002A For EG 2001 Wafer Probe, ElectroGlas
4139 Used Chuck For EG 2001 Wafer Probe, ElectroGlas
4140 Used Chuck For EG 2001 Wafer Probe, ElectroGlas
4141 USED LAM Research 4400 715-011630-001 PEDESTAL ESC
4142 Used Parts  For EG 2001 Wafer Probe, ElectroGlas
4143 USF-PP1 Water Filter For 20 Micro ID-AWS-027
4144 USH-500FU USHIO Lamps Super High Pressure Mercury Lamp
4145 USHIO HB-25105AP Mercury Lamp Power Supply For Canon Mask Aligner
4146 Ushio HB-25105AP Mercury Lamp Power Supply for Neutronix NV2 Canon PLA 500/501
4147 Utek Microtek CB3012 ISS 4  PCB AWD-D-1–1-3-003
4148 Utility Panel Assy For Gasonics Aura 3010 AWD-D-3-1-6-004
4149 Vacuum Flange For Thin Film Deposition Equipment
4150 Vacuum Pipe Flange For  Branson/IPC  Asher (?) ID-AWS-k-5-1-005
4151 Vacuum Ring For Thin Film Deposition Equipment
4152 Vacuum Structure For Thin Film Deposition Equipment
4153 Vacuum Structure With Bayside NE23-050-LB For Thin Film Deposition Equipment
4154 Vacuum Tubes For Thin Film Deposition Equipment
4155 Vacuum Valve ID-AWS-027
4156 Valve
4157 Valve -7
4158 Valve With Swagelok K37086 6LV-DAFR-P-O AFLJ , Used
4159 Valve-10
4160 Valves-1
4161 Valves-2
4162 Valves-3
4163 Varian  05720001 Retainer Seal  ID-AWS-011
4164 Varian  1094341 +opto Insolator Assy ID-AWS-011
4165 Varian 00-674163-00 Anode Cap Spare Parts
4166 Varian 105120001 Blbctrode ID-AWS-011
4167 Varian 3118 E-beam Evaporator And Thermal Evaporator System
4168 Varian 3120 Electron Beam Evaporator
4169 Varian 3120 Electron Beam Evaporator
4170 Varian 3180 Ceramic Ring P/N  318012, 3180012(?)
4171 Varian 3180 Cover Shield P/N  3180007
4172 Varian 3180 Cover/shield  P/N  3180043
4173 Varian 3180 O-Ring  (CRS P/N 3180018) ,10 Pieces In A Package, 402-414
4174 Varian 3180 O-Ring  (CRS P/N 3180019) ,10 Pieces In A Package, 403-021
4175 Varian 3180 O-Ring  (CRS P/N 3180020) 9 Pieces  409230 In A Package
4176 Varian 3180 O-Ring  (CRS P/N 3180021) 5Pcs In A Package
4177 Varian 3180 O-Ring  (CRS P/N 3180022) ,10 Pieces In A Package, 409233
4178 Varian 3180 O-Ring  (CRS P/N 3180025) ,5 Pieces In A Package, 2-149
4179 Varian 3180 O-Ring  8.25 ID X 0.210WCRS P/N 3180042),8Pieces In A Package
4180 Varian 3180 O-Ring  P/N  3180020 1 PieceIn Package, 409-230
4181 Varian 3180 O-Ring  Seal Ring 4 Lobe Coax Feedthru 3180032) 10 Pieces In Package
4182 Varian 3180 O-Ring  Shutter F/T To Rear Plate P/N 3180036) 10 Pieces In Package
4183 Varian 3180 O-Ring , 1.5 I.D.X. 13W (CRS P/N 3180029 ) 1/27-45967 8 In A Package
4184 Varian 3180 O-Ring , 2.25 I.D.X. 13W (CRS P/N 3180030) 1/27-459656 8 In Package
4185 Varian 3180 O-Ring , Coax F/T To Front Plate Size 2-157 P/n 3/27-409274-00
4186 Varian 3180 O-Ring ,7.225 ID X 0.210w (CRS P/N 3180041) 8 Pcs In A Package
4187 Varian 3180 O-Ring ,shutter F/T Size 2-112, 10 Pcs In A Package
4188 Varian 3180 P/N 3180009,Humphrey
4189 Varian 3180 P/N 3180010,Humphrey
4190 Varian 3180 P/N 3180026
4191 Varian 3180 P/N 3180033, Mount , Sensor A674536
4192 Varian 3180 P/N 3180046
4193 Varian 3180 Plate Varian 3180 Sputter System
4194 Varian 3180 Shield Varian 3180 Sputter System
4195 Varian 3180 Spare Parts 1/A674682 PN 3180034 Cap, Sensor
4196 Varian 3180 Spare Parts 2/0067194600 AR213180-027 PN 3180027
4197 Varian 3180 Spare Parts P/N 3180008 Switch Pressure 35 PSI 2 Pieces In A Pack
4198 Varian 3180 Spare Parts P/N 3180013, 3180015(?)
4199 Varian 3180 Spare Parts P/N 3180014
4200 Varian 3180 Spare Parts P/N 3180023
4201 Varian 3180 Spare Parts PN 3180005 ,100 Pieces 652-004
4202 Varian 3180 Spare Parts PN 3180006, 100 Pieces 600-024
4203 Varian 3180 Spare Parts Varian 3180 Sputter System
4204 Varian 3180 Whitman Controls Corp P117G-3H-F11L6-X Switch 10 PSI, P/n 3180037
4205 Varian 3180 Whitman Controls Corp P117V-3N-F11L13-X-674930 Switch 3180038
4206 Varian F9350001 Ring, Clamp, 100mm CTG Spare Parts
4207 Varian F9350001 Ring, Clamp, 100mm CTG Spare Parts
4208 Varian L6280302 NW25 H/O , MKS 122AA-00010DB With SST Tube AWD-D-3-1-5-005
4209 Varian L6281-301 NW16 Angle Vacuum Valve
4210 Varian L6281-302 NW25 Angle Vacuum Valve
4211 Varian L6281-303 KF40 Angle Vacuum Valve
4212 Varian L6281-701 NW-16-A/O With SST Tube AWD-D-3-1-5-004
4213 Varian NW-16-A/O L6281701 L6281-701 AWD-D-3-1-5-009
4214 Varian NW-40-A/O L6281-703 AWD-D-3-1-5-008
4215 Varian Turbo-V 81-M Turbo Molecular Model 9698904M001 Serial 235934
4216 Varian Type 0531 Tc Vacuum Gauge 1/4” VCR AMAT 3310-01074 ID-AWS-019
4217 Varian Vacuum Roughing Foreline Trap Model 345 ID-AWS-024
4218 VAT 315011 Stepper Motor Compl CO/N 222386 PN 315011 Nanotec-Munich
4219 VAT Adaptive Pressure Controller PN 225599 Software 64PM.31.00 641-PM-16BC-0002
4220 VAT Bausatz SN 726682 And O-rings For STS ICP
4221 VAT F03-81131-01  CE High Vacuum Valve AWM-C-5–7-003
4222 VAT F03-87530 / 003 03509-UA24-1003 CE High Vacuum Valve AWM-C-5–7-002
4223 VAT F03-87530 / 005 03509-UA24-1003 CE High Vacuum Valve AWM-C-5–7-001
4224 VAT High Vacuum Valve
4225 VAT Manual Vacuum Valve NW63 Connector F-60078-24 ID -AWM-B-4-1-001
4226 VAT O-Ring Set P/N 218703 For STS ICP
4227 VAT Ring Compl PN 257469
4228 VAT Valve F26-60307-871 Assembly ID-AWS-018
4229 VBT-D-8V01 94v-0 1896 AWM-B-4-5-014
4230 Vecmar Computer Solutions Digital Monitor VT520 For Tegal 901e 903e AWG-1-1-002
4231 Veeco GEN-II MBE  accessories For 3 Machine
4232 Veeco GEN-II MBE  GaAs-AlAs-InAs and then have Si and Be doping sources.
4233 Veeco GEN-II MBE  GaAs-AlAs-InAs Then Si And be Doping Source
4234 Veeco GEN-II MBE for Si-Ge-Sn alloys and has an As doping source
4235 Vek-8576-D Kytolo Muurame Finland Flower Meter ID-AWS-025
4236 VEXTA PH266L-33-A1 Stepping Motor For Tegal 901e Tegal 903e DS-2-12-001
4237 VEXTA PH266L-33-A1 Stepping Motor For Tegal 901e Tegal 903e DT-3-2-001
4238 VEXTA PH266L-33-A1 Stepping Motor For Tegal 901e Tegal 903e DT-3-4/5-001
4239 VEXTA PH266L-33-A1 Stepping Motor For Tegal 901e Tegal 903e DT-3-8-001
4240 VEXTA PH266L-33-A1 Stepping Motor For Tegal 901e Tegal 903e DT-3-9-001
4241 VEXTA PH268-23 Stepping Motor For Tegal 901e Tegal 903e DS-2-10/11-001
4242 VEXTA PH268-23 Stepping Motor For Tegal 901e Tegal 903e DT-3-1-001
4243 Vexta Stepping Motor Distributed Motion Inc PH2654L-05B-C10A ID-AWS-017
4244 Vexta Stepping Motor Distributed Motion Inc PH2654L-05B-C10A ID-AWS-017
4245 Vishay Mouser Electronics 71-RH25-120 RH025120R0FC02 25watts
4246 Volpi Art. 10074 ID-AWM-F-5-2-014
4247 VWR 1000ml 32oz HDPE
4248 VWR 10803-136 611-0093 VWR Weigh Boat , 46x46x8mm,ps, Small,white 500/PK
4249 VWR 1410 VWR Scientific Vacuum Oven With BC2208 Electromotors LTD BS 5000-11
4250 VWR 250ml 8 Oz HDPE
4251 VWR 414004-116 VWR Amber High-Density Polyethylene Wide Mouth Bottle 30 Ml 12/PK
4252 VWR 414004-227 ,500ml
4253 VWR 414004-228 1000cc
4254 VWR 89126-600 120ml WM J VWR Trace Clean 080717-1BMB 24pc/pk
4255 VWR 89126-602 250ml WM J VWR Trace Clean 080717-1BMB 24pc/pk
4256 VWR CAS-67-63-0 Isopropanol,500cc-16oz
4257 VWR CAS-67-63-0, 1000cc-32oz, Isopropanol (CH3)2CHOH
4258 VWR CAS-67-64-1 , 1000cc-32oz, Acetone CH3COCH3,
4259 VWR CAS-7732-18-5 Deionized Water,500cc-16oz
4260 VWR CAS-7732-18-5 Dispensing 10111-964 ,1000cc-32oz
4261 VWR Garment Size U 10pc Per Pack
4262 VWR Magnetic Stirring Bars Spinbar Stirring Bar Teflon, Octagon 58948-251
4263 VWR Magnetic Stirring Bars Spinbar Stirring Bar VWR PTPE Magnetic Stir Bar
4264 VWR Methanol CAS-67-56-1 ,500cc-16oz
4265 VWR Scientific 1370 F Oven
4266 VWR Trace Clean 080717-1BMB 250ml WM J 89126–602
4267 VWR Trace Clean 89094-092 1000ml Cylinder
4268 VWR Traceable Mini-controller ID-AWM-D-2-6-006
4269 Vynckier Enclosure Power Supply -power One HCC 15-3-A, F15-15-A
4270 W140-371-00/xx Converter Concepts ID-AWM-D-2-5
4271 Wafer Automatic Load/unload , Gaertner Scientific Laser Ellipsometer, AWW-6-5-4
4272 Wafer Carrier:  8 Inch Si Wafer Carrier For 6 Inch Wafer With Flat  Vacuum/Holes
4273 Wafer Carrier: 12 Inch Si Ingot Wafer Carrier For 6 Inch Wafer With Flat
4274 Wafer Carrier: 12 Inch Si Wafer With Of 6.5×6.5 Inch Pocket
4275 Wafer Carrier: 4 Inch Si Wafer With  2 Inch Pocket With Flat
4276 Wafer Carrier: 4 Inch Si Wafer With  3 Inch Pocket
4277 Wafer Carrier: 4 Inch Si Wafer With  3 Inch Pocket
4278 Wafer Carrier: 4 Inch Si Wafer With  3 Inch Pocket With 1.5mm Holes
4279 Wafer Carrier: 6 Inch Quartz Disk (1mm Thickness) With  4 Of 2 Inch Pocket
4280 Wafer Carrier: 6 Inch Quartz Disk (1mm Thickness) With  4 Of 2 Inch Pocket
4281 Wafer Carrier: 6 Inch Si Wafer With  4 Of 2 Inch Pocket
4282 Wafer Carrier: 6 Inch Si Wafer With Of 4 Inch Pocket
4283 Wafer Carrier: 6 Inch Si Wafer With Of 4 Inch Pocket
4284 Wafer Carrier: 8 Inch Si Wafer With 4 Inch Pocket With 1.5mm Holes
4285 Wafer Carrier: 8 Inch Si Wafer With 6 Inch Pocket
4286 Wafer Carrier: 8 Inch Si Wafer With 6 Inch Pocket With 1.5mm Holes
4287 Wafer Carrier: 8 Inch Si Wafer With 9 Pieces Of 28mm X 28 mm Pocket
4288 Wafer Carrier: Silica With SiC Coating
4289 Wafer Carrier:8-3/4 Inch X8-3/4 Inch Plate With 4 Of 4 Inch Round Pocket
4290 Wafer Holder For Sputter / Evaporator Equipment DA7-2-1-001
4291 Wafer Holder For Sputter / Evaporator Equipment DA7-2-1-002
4292 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-001
4293 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-002
4294 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-003
4295 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-004
4296 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-005
4297 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-006
4298 Wafer Transfer CW1078  For Tegal 901e 903e AWD-D-3-2-13
4299 Water Panel With Lines And Valves
4300 Watlow EZ-zone PM6C1CC-1LAJAAA SN 008062 DC 0819
4301 Watlow Series 808 Temperature Control ID -AWM-F-1-029
4302 Watlow Series 945Temperature Control ID -AWM-F-1-030
4303 WELCH DUO-SEAL Vacuum Pump Model 1397 W/ Baldor Motor L3510 AWD-D-2-8-001
4304 Wentworth Labs Assy 3-102-1868 A CSP12X8-SB-150 #2 S.O. #25561
4305 Wentworth Labs Assy 3-102-1868 A TT1244DA-8X-SB #1 /#2 S.O. #26767
4306 Wentworth Labs Assy 3-102-1868 A TT1244DA8XSBSD #1 S.O. #26767
4307 Wentworth Labs Assy 3-102-1868 A TT2214A-4X-SB #1 /#2 S.O. #26767
4308 Wentworth Labs Assy 3-102-1868 A TT2214A4XSBSD #1 /#2 S.O. #26767
4309 Wentworth Labs Assy 3-402-0069 Rev C CSP6X6 CSP8 #2(?)
4310 Wentworth Labs Assy A/w 3-102-1868 Rev A CSP12X8-SB-SD , S.O.#26378
4311 Wentworth Labs Assy A/w 3-102-1868 Rev A TT1244DA8SBSD , S.O.#26767
4312 Wentworth Labs Probe Card
4313 Werkzeug ELB SPA 2030 VAII 86242 ID-NMA-009
4314 Western 665, CGA 660, 670 Washer” AWM-F-5-5-019
4315 Western Servo Design WS911-0004 4880-5 10010-T1 ID-AWS-K-1-014
4316 Wet Process Equipment
4317 Wet Process Equipment
4318 Wet Process Equipment
4319 Wet Scrubber H2S Probe
4320 White VMO- O-RIng-2504-0015-02 for AG Associates Heatpulse 8108
4321 Whitey Co 133 SR 200psi 90 Spring Return With SST Tube   ID-AWS-011
4322 WIKA 316 SS Tube And Connection-150 Psi ID-AWM-D-5-005
4323 Wire
4324 Wire Connector Solder Machine ID -AWM-A-4-005
4325 Wires Cables For Tegal 901e 903e Plasma Etcher Etching DQ-2-017
4326 Wires Cables For Tegal 901e 903e Plasma Etcher Etching DQ-2-018
4327 Wires Cables For Tegal 901e 903e Plasma Etcher Etching DQ-2-019
4328 Wires Cables W/ 99-142-001 For Tegal 901e 903e Plasma Etcher Etching DQ-2-020
4329 Wires Cables W/99-172-003, 99-142-001 For Tegal 901e 903e Plasma DQ-5-017
4330 Wixom 45A-LAC-DDAJ-1KG 24VDC 41300043-01  ID-AWS-L-1-1-007
4331 WS2107FL-7 Boxer Fan Volts 115/230 A.C AMPS .24/.12 50/60 HzImpedance Protected
4332 X-253, 6342-1916-1 Wire/cable , A Lot AWM-F-5-5-016
4333 XINIX INC CPU PCB ASSY 0012-0032 REV 2
4334 XSUNX Sunx Sensor System NX-21T 8J ID-AWM-A-3–4-014
4335 XYNETICS Inc ASSY 103808 X510313 +/-15 VDC Regulator AWW-10-2-5-002
4336 Yeong Chin Machinery Industries Co.?LTD Model YC-1 1/2 VA SN 72531 ID-NMA-014
4337 YHP 04145-66525 B-2808 33-PCB AWW-10-2-3-001
4338 Yield Engineering Systems Inc YES Model R1 YES R1 Asher  Dry Asher D380-017
4339 Yield Engineering Systems Inc YES Model R3 YES-R3 Asher  Dry Asher D380-018
4340 Yokogawa Controller? Meter ?Gauge ?Printer ?ID-AWS-K-1-006
4341 Yokogawa Meter With 93-957-001 Cable
4342 Yokogawa Printer ID-AWS-K-3-003
4343 Z-BOT 001-6300-03 for Gasonics Aura 3010, 3000 Gasonics L3510 AWG-1-5-001
4344 ZiaTech ZT90011 RS232 Interface AG Associates Heatpulse PCB
4345 ZT90011 RS-232C 1694 INTERFACE REV B SN48-32 , ZIOTECH

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

ID-SS380-Inventory-20201210

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers