Main Maker

Semiconductor Equipment Parts in stock

Semiconductor Equipment Parts

Categories: ,

Description

The Semiconductor Equipment /parts are  in  CA USA and are subject to prior sale without notice. These items are only for end users. The items are subject to prior sale without notice.

PLEASE USE “Ctrl” + “F” KEYBOARDS TO SEARCH WHAT YOU WANT AT THIS PAGE.

Item OEM Manufacture TOOL NAME Model #
1 Advantest Advantest T2000, CMT545 Chip tester T2000
2 Alcatel Alcatel, AMS 4200, Deep Reactive Ion Etch (DRIE) AMS 4200
3 Angstrom Angstrom Sun Technologies Transmission Spectroscopic Ellipsometer
4 Aixtron Aixtron Front End Transfer Module, Wafer Loader, Robot Controller, MagnaTran7 Transfer Module
5 ALSI ALSI DCM802 Laser Separation System w/ LCPU, Wafer, Laser Dicing
6 Applied Material AMAT Applied Materials Precision 5000 Nitride PARC, 4 chamber, CVD tool, 8 inch, P-5000
7 Applied Material AMAT P5000 SACVD tool, 8 inch, 3 chamber, Robot, Storage Elevator, 3 Ozone Generator, 3 RF Generator, Chemical gas Cabinet, PLIS system P-5000
8 Applied Material 8115 AMAT 8115
9 Applied Material 8310 PLASMA ETCHER 8310
10 Applied Material 8330 (AMAT METAL ETCHER) 8330
11 Applied Material 8330 (AMAT METAL ETCHER) 8330
14 ASML SVG Track SVG 90 S Coat and Develop Track System, Size: 6” and can run 8”  Wafers,  (4) Chill Plates, (5) Hot Plates, Full Enclosure, HDMS Module, Microbar Trackmate 4-Port Chemical Delivery Cabinet Manual Control Unit. SVG 90 S
15 ATMI VECTOR Scrubber, facility tool SCRUBBER
16 AXIC AXIC 1000 XRF, Metal Thickness measurement tool 1000 XRF
17 Brooks Automation Brooks Automation 109752-147 FabExpress Load Port 002-720021 Wafer Transfer Cabinet, 107434 ATM Robot, 105947 Series 8 Robot Controller Brooks Automation
18 Chemcut Chemcut’s Model 2315D Spray Resist Develop system Model 2315D
19 Chemcut Chemcut’s Model 2315S Spray Resist Strip system Model 2315S
20 Cincinnati Sub-Zero CSZ Cincinnati Sub-Zero Temperature Environmental Chamber ZHS-8-1-1-H/AC ZHS-8-1-1-H/AC
21 CPA CPA 9900 Sputtering PVD Tool, Can run 4 Target, Currently have 2 Target, Cryo Compressor, BOC Edward Vacuum pump, AE MDX DC power supply, RF Generator, Vacuum gauge CPA
22 Credence Credence STS 4020 chip test system STS 4020
23 Credence Credence Saphare Chip tester system Saphare
24 Delatech Delatech 858 V-2 scrubber 858
25 Delta Design Delta Design Summit ATC 1909177 P&P Handler w/ Affinity Chiller PWG-060K-BE44CB​D2 Summit ATC
26 DNS Screen DNS Screen DNS 80A Developer track tool, Developer Photoresist Chemical CabinetTrack, Developer rack, power rack DNS 80A
27 ESMO FAM Cart ESMO FAM Cart Failure Analytical Manipulator Cart adapted for Advantest T2000 ESMO FAM Cart
29 Fusion Axcelis Fusion 200ACU Asher. Dual robot arm 200ACU
30 Fusion Fusion Semiconductor G03 Ozone Asher. Dual chamber, Dual robot arm G03
31 Gasonics Gasonic IPC Asher 2000LL Plasma Asher Etch System cassette to Cassette Automatic 2000LL
32 Gasonics GaSonics 9104 Quartz Chamber Plasma Asher 15698-02, ENI OEM-12B-06 RF Generator 9104
35 Jandel Jandel Four Point Probe RM 3000
36 Kaijo Wire Bonder
37 Karl Suss MA 200 Karl Suss MA 200 Litho Mask Aligner 200mm wafer 210AA057-03, Suss Microtec MA 200
38 Karl Suss PA 200 PA 200 Prober  manipulator PA 200
41 Hitachi Hitachi M-308ATE Metal Etch System w/ Power Rack, Delatech 858 V-2 scrubber, Gas Cabinet M-308ATE
42 KLA KLA Tencor 5107 Overlay Inspection System, KLA 5100 series KLA
45 Kullicke & Soffa 1488 plus, Wire Bonder 1488
48 LAM 4500 (LAM RAINBOW OXIDE ETCH) RF Generator, Chiller ETCHER
49 LAM 490 (LAM AUTO ETCHER) computer Base, RF Generator, Chiller AUTO ETCH
50 LAM 490 (LAM AUTO ETCHER) computer Base, RF Generator, Chiller AUTO ETCH
51 LAM 490 (LAM AUTO ETCHER) computer Base, RF Generator, Chiller AUTO ETCH
56 Leica Leica INM20 Trinocular Microscope Wafer Inspection & 5 objective W/ DIC Optics INM20
57 Mattson Mattson Aspen III, Front End Transfer Chamber, Robot, Controller, Aspen III
58 Mattson Process chambers Module with LF10 and RF30S RF generators qty =8 Chamber
59 Mattson Process chambers Module with New power plasma RPS Qty = 2 Chamber
60 Metara Metara LMS-300 TCA Trace Contaminant Analysis Tool LMS 300
64 Micro Tech Screen Printer Micro tech printer
66 Modo Modo LS-200F-10 UV Light Source Modo LS-200F-10
67 Modutek Modutek 6′ WPS Wet Processing Bench, Station, C14506-9500, C14506-8000, PVDF WPS
68 MTI MTI DZF-6050-HT/500 High Temp Compact Vacuum Oven, 2500W, 50~500 C DZF-6050-HT/500
69 MTS MARANGONI MTS MARANGONI Dryer
71 MTS Wet Bench MTS Wet Bench (Final Clean) 8 ft – 4 process tanks
72 Nanometrics Nanometrics 50-2 CD Measurement System, 3″ to 6″ Wafers, 10x Eyepieces – 5x, 10x, 40x Objectives, Includes Nanoline Computer Controller, Monitor, Keyboard 50-2 CD
73 Nanometrics Nanometrics NANOSPEC 8300X Wafer thickness measurement tool 8300
76 Novellus / LAM Novellus Saber Integrated INT1-APM1 300mm Wafer Cleaner Spin Rinse Dry Module H9/10 SRDi Saber Chamber
80 Pacific western Systems P5MS Wafer Prober, tester, power supply P5MS
81 Pacific western Systems P5MS Wafer Prober, tester, power supply P5MS
82 PAL Custom Bench Plating Cu/Sn Plating tool PAL Custom Bench
84 Perk-Elmer 4450 Perk-Elmer 4450 Sputtering System (Delta target), Crup Pump, Vacuum Pump, RF Generator, DC Power Supply PE 4450
85 Plasmatherm Plasmatherm SL-730 PECVD equipment, SL730 CVD processing tool SL-730
88 Quincy Quincy Compressor Model QGS-30 Model QGS-30
89 Ransco Ransco Despatch Fast Rate Cycling Chamber Oven with LN2 low pressure injection Temp Cycle Oven
90 Rofin Rofin Laser scribe-EasyScribe F20 EasyScribe F20
91 Rudolph Rudolph FE-3 Focus Ellipsometer, 4″ 6″ 8″ capable FE-3
92 RUDOLPH Rudolph Technologies AutoEL Automatic Ellipsometer Model IV-NIR-3, SS1, 4E, AUTO EL
93 Semiconductor Diagnostics SDI 210 Semiconductor Diagnostics SDI 210, 210E-SPV, FAaST, Wafer Measurement. SDI 210, 210E-SPV, FAaST,
94 SEMITOOL SEMITOOL SSTC742280K Polymer Remover, 2 chamber, chemical cabinet, Fire Suppression system SSTC742280K
95 SEMITOOL Semitool SST408 Solvent Chemical Stripping Resist Remover Tool, SST, 6 inch wafer, 2 chemcial tank SST408
96 SEMITOOL Semitool SSTF42120F Solvent Chemical Stripping Resist Remover Tool, 6 Inc wafer, 2 chemical tank SSTF42120F
97 Signatone/Alessy Signatone LYI S 250-6 Prober manipulator with Alessi microscope LYI-S250-6
99 Technical Instruments AMS 310 Mask Inspection tool AMS 310
101 TEL TEL Tokyo Electron P-12XL Fully Automatic Wafer Prober, P12XL, Chuck P12XL
102 Testequity Temperature cycling chamber Model 115
103 Testequity Temperature/Humidity Chamber Testequity 123H Model TH123H
104 THERMO SCIENTIFIC THERMO SCIENTIFIC 6700 FTIR MEASURE
105 VAC Glove BOX VAC Glove box (8 ft) VAC Nexus
106 VBS Auto Dewar system ADF10B
107 Vecco DEKTAK Vecco DEKTAK  SXM Atomic Force Microscope SXM Atomic Force Microscope
109 Wentworth Lab Wentworth Lab 0-043-0001 Prober, Lamp, 8″ Wafer Chuck Temptronic TP03000A-2300-1 0-043-0001
111 Yield Engineering Yield Engineering Systems YES-5 Vacuum Oven YES-5
113 ZEISS AIMS ZEISS AIMS 193 Mask Qualification System w/Coherent LDU ESI 500Hz FT 193nm AIMS 193
114 Zygo Zygo KMS 450i Mask Inspection tool KMS 450i
400 ADVANCED ENERGY ADVANCED ENERGY AE RFDS 3000 3155038-013B 208V 50/60Hz 5kW
402 ADVANCED ENERGY AE RFPP LF-10 RF Generator, 1000 Watt, Tested, Calibration Sheet
403 ADVANCED ENERGY AE, RFPP RF 10S, RF Generator, Mattson or Varian
405 ADVANCED ENERGY AE Advanced Energy 3155162-027 RF match Novellus 27-306026-00,  Navigator 10013
408 ADVANCED ENERGY Advanced Energy 3150073-001 AE RF-10S, RF Generator, 1000W Novellus G19-10071-00, New RF-10S
409 ADVANCED ENERGY AE Advanced Energy LF-30 RF generator 2.5KW, Novellus R27-032578-00 LF-30
410 ADVANCED ENERGY Advanced Energy AE 3155083-109 HFV 8000 RF Generator, AMAT 0190-01873 HFV 8000
411 ADVANCED ENERGY Advanced Energy AE 3155083-109 HFV 8000 RF Generator, AMAT 0190-01873 HFV 8000
413 Applied Material AMAT 0010-38755 Centura DPS Dome Temperature Controller 0060-35212 DTCU DTCU
414 Applied Material AMAT Centura DPS Tempurature Controller DTCU, 0060-35211, 0060-35210 DTCU
415 Applied Material DPS Chamber  Heater
416 Applied Material Advanced Energy AE 5034-003-B RF Matching Network
417 Applied Material AE Navigator RF MATCH 3155132-001 C, AMAT 0920-00004
418 Applied Material AMAT 1110-01025 UV microwave plasma kit, ASTeX FI20065, FI20061, AX7610-3, Guide
419 Applied Material AMAT 0240-00884, AMAT 0190-00209 RF Match, Advanced Energy AZX90, AE 3155031-011
422 Applied Material AMAT P5000 CVD chambers, 8 inch, gas box, lift assembly
423 Applied Material AMAT 0010-70403 PVD Endura G-12 AFS LID, G12
424 Applied Material AMAT 0010-20422 PVD Endura 8 inch shield Treatment, G12 LID
425 Applied Material AMAT 0010-03341M WxZ Heater Assembly, 8 inch, New in Box with papers
426 Applied Material AMAT 0010-03347 M WxZ 6 Inch Heater Assembly. Used, untested
427 Applied Material AMAT 0010-03347 WxZ Heater Assembly, 6 inch
428 Applied Material AMAT 0010-03368 WxZ Heater Assembly, 8 inch, New in Box with papers
429 Applied Material AMAT 0010-03369 WxZ Heater Assembly, 8 inch, New in Box with papers
430 Applied Material AMAT 0010-03371 WxZ Heater Assembly, 8 inch, New in Box and papers
431 Applied Material AMAT 0010-03372 WxZ Heater Assembly, 8 inch, New in Box and papers
432 BOC Edward IQ40 Vacuum Pump
433 BOC Edward IQ80 Vacuum Pump
434 BOC Edward QDP 40 Vacuum Pump
435 BOC Edward QDP 80 Vacuum Pump
436 BOC Edward Edwards’s IQDP-40 dry pump QDP40
437 BOC Edward Edwards IL-70 dry pump IL 70
438 BOC Edward Edwards’s IQDP-40 dry pump QDP40
439 Brooks Automation Brooks Automation Robot 017-0483-01, Reliance Robot 002-9510-38
440 Brooks Automation Asyst Asyst WX 73 Wafer Mapper, Hine Design HA4 Robot 0400-088, Robot Assembly
441 Comdel Comdel CB5000 RF Generator, Novellus 27-335415-00
444 CTI Helex CTI Helix  8116071G001  On-Board 8F Cryo pump AMAT 0190-13331,
445 CTI Helex CTI Helix  8116142G001 On-Board 8F Cryo pump AMAT 0190-13331,
447 CTI Helex CTI Helix Brooks Cryo pump, 8116013G005 on-board 10, FastRegen Control 810-32287
451 Kensington Newport Kensington Newport WH4, Robot assembly WFH4Cm.TT/LR 04-6288-01-08 EMP, New
452 Leybold Oerlikon Leybold Oerlikon Turbo Pump 400110B0017 MAG 1300 C 200 ISO-F DN 25KF, Qty = 5
453 Leybold Oerlikon Leybold Mag drive 2000 turbo pump, Turbo controllers and Cables.
454 Neslab Thermo Fisher Thermo Neslab STEELHEAD 0 Chiller, Heat Exchanger, Centura, PVD, 423506
458 Neslab Thermo Fisher Thermo Fisher, Neslab G50 with PC200 controller
459 Novellus / LAM Novellus R02-341002-00, Ion Source Match Network, New
460 Sumitomo Sumitomo SU-01C72 Aviza 815008-418 Ozone generating unit, New
462 VMB Cabinet VMB (Gas Cabinets) Custom

The Semiconductor Equipment /parts are  in  Morgan Hill, CA USA and are subject to prior sale without notice. These items are only for end users. The items are subject to prior sale without notice.

PLEASE USE “Ctrl” + “F” KEYBOARDS TO SEARCH WHAT YOU WANT AT THIS PAGE.

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

 

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers