Main Maker

Semiconductor Equipment Parts in stock

Semiconductor Equipment Parts

Categories: ,

Description

The Semiconductor Equipment /parts are  in  USA and are subject to prior sale without notice. These items are only for end users. The items are subject to prior sale without notice.

3720 Hi-Tech Cleanroom ECU Environmental Control Unit SVGL Micrascan HVAC
3721 HiTek +35kV OLS10K High Voltage Power Supply E19299291 Advanced Energy Varian AE
3722 Hitek Power +60 kV OL330 High Voltage Supply AE A1017290 Glassman Advance Hivolt
3723 HNC-964-WSR-200000-0-F WITH ROBOT / TEL / HIRATA ROBOT CNTRL 1PHASE AC200V 5A
3724 HON TECH HT3302
3725 HON TECH HT3302A
3726 Honeywell TSQUALWDX-07821 Sputtering Target 59Al/1Cu 7.830×11.640×13.050″ New
3727 Honeywell TSQUTWBTM-08263 Target 49.5W/10Ti 0.38×7.715×11.640×13.050″ New
3728 Honle UVASPOT 400T High Powered Ultra-Violet UV Curing System2
3729 Honle UVASPOT 400T High Powered Ultra-Violet UV Curing System5
3730 Horiba Jobin Yvon FUV200 Gonio UT300 UVISEL Ultra Thin Film Analyzer
3731 Horiba Jobin Yvon FUV200 Gonio UT300 UVISEL Ultra Thin Film Analyzer
3732 Horiba PD-3000A Reticle / Mask Particle Detection System
3733 HORIBA STEC 4288036001 / CHEMICAL MONITOR CS-137-40P5 / HORIBA STEC
3734 HOSPITAL HEPAir ENVIRONMENTAL PURIFIER AIR HANDLING SYSTEM ADVANCE CLEAN ROOM
3735 HP 10897-60002 / 10897B LASER AXIS CONTROL PCB / HP HEWLETT-PACKARD
3736 HP 3070 Series 2 In Circuit Tester Agilent Hewlett Packard II SMT PC Board 3 III
3737 HP A4094A 715/64 WORKSTATION / HP
3738 HP Blade Server HSTNS-1024 With 4 Bl460c 8gen 256 Ram 2 Hard Drives 146gb Used
3739 HP Hewlett-Packard 08051 Fast Pulse Converter PCB Card Nikon NSR System Used
3740 HP Hewlett-Packard 08245 J3 Fast Pulse Converter PCB Card Nikon NSR System Used
3741 HP Hewlett-Packard 08245 J3 Fast Pulse Converter PCB Card Nikon NSR System Used
3742 HP Hewlett-Packard 10746A07169 J2 Binary Interface PCB Card Nikon NSR Used
3743 HP Hewlett-Packard 11658 Comparator PCB Card 10762-60001 Nikon NSR System Used
3744 HP Hewlett-Packard 11684 J4 X Comparator PCB Card 10762-60001 Nikon NSR Used
3745 HP Hewlett-Packard 11691 J5 Y Comparator PCB Card 10762-60001 Nikon NSR Used
3746 HP Hewlett-Packard AH235A Sequence Processor rx2660 Nikon NSR-S620D
3747 HP Verigy E6989-66501, E6989-69591 Digital DCDC Board for 93000 Tester
3748 HP Verigy E6989-66507Analog DCDC Board for 93000 Tester
3749 HP/Agilent Z4207A Fiber Optic Number Cruncher Board, ASML PN: 4022.470.06574
3750 HP/Hewlett Packard/ Agilent 5517C Laser Head(439 uW) W/ Repair Form &Check Card
3751 HSP Calabration Kit
3752 HTA Horng Terng Automation WB-1100 LED Wafer Breaking System
3753 HTG MASK ALIGNER
3754 Hughes 2460-II Automatic Gold Wire Ball Bonder with Accessories – Palomar
3755 Hughes 2470-V Palomar Automatic Wedge Wire Bonder Aluminum and Gold
3756 HUGHES HAC-230 Welder with VTA-64 Weld Head
3757 Hughes HMC-2460 Automatic Wire Bonder System WD-8700D1
3758 Hughes Model 2500 Hybrid Automatic Die Bonder with Manual
3759 HUNTAIR FANWALL TECHNOLOGY CLEANPAK RAH-102-11-130 TRANSFER FAN NEW
3760 HUNTING HIVOLT 211904 /TRAP POWER SUPPLY
3761 Huntington HiVac Z-Axis Manipulator, Motorized MPMZ-450-12 4.5″ CF NEW (3990)
3762 huntington vacuum thermionics 8″ conflat 4″ UHV z axis translation MDC $11k list
3763 HUTTINGER ELEKTRONIK / MF GENRATOR / TruPlasma MF3020 / 20KW
3764 HUTTINGER ELEKTRONIK POWER SUPPLY TIG 30 DCPLUS 30,000 WATTS 40A 480V
3765 HUTTINGER Elektronik RF- DRIVER- AMP 2000W 13.56Mhz Output Generator Amplifier
3766 Huttinger Elektronik TruPlasma MF 3010 Plasma Cutter Generator
3767 HUTTINGER PFG 300 RF RF GENERATOR VERSION ID.916765 300 WATT 13.56 MHz
3768 Huttinger Trumpf TIG 30 DC Plus (DCplus) 30 kW Sputtering Plasma Generator
3769 HUTTINGER TYPE IS4-13560
3770 Hybond 512-10-14 Manual Tack Bonder Wire Tacking Machine (refurbished)
3771 Hybond 522A
3772 HYBOND 522A BALL BONDER << Guaranteed to bond your product >>
3773 Hybond 552 45° Feed Thermocompression Wedge Wire/Ribbon Bonder refurb’d
3774 Hybond 572 532A 45° Feed Thermosonic Wedge Wire & Ribbon Bonder refurb’d
3775 HYBOND 572-40 VERTICAL FEED WEDGE BONDER
3776 Hyd Mech S-20 Band Saw Fabrication
3777 Hyd Mech S-20 Band Saw Fabrication
3778 Hylax Hypertronics HT5000 Fiber Laser Trimming / Cutting / Marking Set, Software
3779 Hylax Hypertronics HTFB-1070P Fiber Laser Trimming / Cutting / Marking Set-Up
3780 Hypervision Chip Unzip Backside Preparation System
3781 HyperVision Visionary 1 Inspection System, With Alessi 4100-A Wafer Probe
3782 IBM AC1 / IBM ESERVER 306M XSERIES 800MHZ FSBUS SINGLE / 20TH CENTURY PLASTICS
3783 ICOS WI-2000 Wafer Surface Inspection System FOR PARTS
3784 ICS Advent 7415-A3 Kontron KLA Quantox System Computer
3785 IDE ACS-7500 / IDE DUAL RAID EXTERNAL BOX ACCUSYS DNS SK-200 /
3786 IDE TCRV2 /NANOSCOPE DIMENSION 9000/9300M MICROSCOPE TC CONTROLLER/ IDE
3787 idesorber laser for wafer inspection
3788 IDI 201-M6L10-S IDS Dispenser Photoresist 6-Port System 15PSI Tested Working
3789 IDI 203-M8L1B-S IDS Dispenser Photoresist Lot of 2 Incomplete Untested As-Is
3790 IDI 203-MC6L1S IDS Dispenser Photoresist 5-Port System 15PSI Tested Working
3791 IDI 203-MC6L1S IDS Dispenser Photoresist 6-Port System 15PSI Tested Working
3792 IDI 301-M6L1-S / IDS PUMP 300 / IDI
3793 IDI 313-M8H1-S / CHEMICAL PUMP (IDI 4-500-016) / IDI
3794 IDI 4-620-002 / PUMP FLUID FOR PHOTOLITHOGRAPHY MODEL HVP M610 / IDI HIGH VISCOSITY
3795 IDI Integraded Designs inc model 450 resist pump
3796 IDI Integrated Design 203-MC8L1S IDS Dispenser Photoresist Used Tested Working
3797 IDI MODEL 300 IDI CONTROLLER / CONTROLLER MODEL 300 INTEGRATED DESIGNS INC / IDI
3798 IGC FI-5A Polycold Cryogenic Refrigeration Unit, R2000 Series, 940068-12
3799 Immersion Developer Nitrogen Water Cascade 6′ Wet Chemistry Dip Bench w/ Timer
3800 Imprio100 Jet and Flash Imprint Lithography Tool
3801 IMS / Credence ATS-2 Blazer Tester
3802 IMS 12 DRAWER DEHUMIDIFIER, MODEL DG-1012MSN2, 350 DEGREE DESSICANT DRYING OVEN
3803 IMS CMM Impact Coordinate Measuring System Renishaw w/Accessories Nice Shape
3804 IMTEC 10-000-1681 Heated Quartz Process Tank ACCUBATH QRT/S-K1502 New
3805 IMTEC 99-000-1912 / ACCUBATH AQZ-A1252-14 234VAC MAX VOLTS 2372W NOM 208 VAC PH/ IMTEC
3806 IMTEC ACCUHEAT IN-LINE HEATER 98-000-2265 6KW 208V NEW WET BENCH SILICON WAFER
3807 IMTEC ACCUHEAT IN-LINE HEATER 98-000-2266 12KW 208V NEW CHEMICAL BENCH WAFEB
3808 IMTEC ACCULINE SCP 4531687A-00 QUARTZ TANK 19″ LONG X 18″ X 8″ HEATED NEW
3809 Imtec Acculine Star 2001 Image Reversal System
3810 IMTEC ACCULINE, INCSTAR 2000 / BAKE OVEN / IMTEC ACCULINE, INC
3811 IMTEC QZ-A1002-11 / HEATED QUARTZ BATH, ACCUBATH (10-000-0123) / IMTEC
3812 IMTEC Tank Process Dual 200mm QUARTZ ACCUBATH QRT/S-A2002-51
3813 INCONEL 2000 FLOW / AUTOCLAVE ENGINEERS COLD ISOSTATIC PRESS 10,000 PSI @ 200F / INCONEL
3814 INCONEL 2000 FLOW / AUTOCLAVE ENGINEERS COLD ISOSTATIC PRESS 10,000 PSI @ 200F / INCONEL
3815 INDOCO 36″ X 30″ X 38″ INSIDE DIMENSIONS 500 DEGREE F 250 BTU INDUSTRIAL OVEN
3816 INDRAMAT PERMANENT MAGNET SCAN SERVO MOTOR 112A-3-VD-1-B/130-A-1/I00625/S005
3817 Indramat Power Supply TVM 1140-90022, dc servo drive AMAT 9000 9200 9500 implant
3818 Indramat Scan motor, dc servo drive PI9200 Implanter AMAT 9000 9200 9500 Applied
3819 Indramat Scan motor, type 2, servo drive dc PI9200 Implanter AMAT 9000 9200 9500
3820 Indramat Spin Amp Controller TDM 0540-90014, servo AMAT 9000 9200 9500 implant
3821 INFICON 921-407-G1 CONTROLLER
3822 INFICON HPR-1100 / TRANSPECTOR, RGA, HPR / INFICON
3823 Inficon IC/5 Deposition Controller Model 760-500-G2 with 4-month Warranty
3824 Inficon IC/5 Deposition Controller Model IC5-21221 / 760-500-G2 / 4 mo wrty
3825 INFICON J3TF34B04631 Transpector CIS2 ResidualGas Analyzer SystemSerial Numb
3826 Inficon Protec / Wise Model P3000 Helium Sniffer Leak Detector P/N 520-002 NF44
3827 Inficon Protec P3000 Helium Sniffer Leak Detector8
3828 INFICON TRANSPECTOR HPR-1100 assy with sensor head
3829 Infranor SMTAS Brushless Servo Controllers, 3 SMTAS-220/10, SMTAS-220/17, 422583
3830 Infrared Heating Technologies Annealing Oven
3831 INFRASCAN 200 INV / OPTICAL DIAGNOSTIC FOR IC DEVICES / CHECKPOINT TECHNOLOGIES
3832 INGEN2PU0 / PUMP INTELLEGEN II / ASML
3833 Inline PCB Laser Marker Simplimatic Automation Cimtrak Model: 3341
3834 InLine SMT 0.5 meter Inspection Conveyor 24″ Width
3835 InLine SMT 0.6 meter Inspection Conveyor 24″ Width
3836 InLine SMT 1.5 meter In-Line Workstation (3-stage)
3837 Inline SMT Bare Board Unstacker
3838 Inline SMT Bare Board Unstacker 24″ Width
3839 Innotec DS-28C High Vacuum Batch Sputtering System Chamber – As Is / For Parts
3840 Innotec RF Downsputter PVD Coating System
3841 Innovative Robotics 08001-A41-P21-W11-EC1 0820 Robot Fanuc R-J3C Teach Pendant
3842 Innovative Robotics 4 Axis Scara Wafer Robot  08001-041-011-W01-0J1 FANUC
3843 Inovys in2-IVOCELOT Channel SOC Tester Ocelot 512 inTEST 992797 Untested As-Is
3844 Inspection 3 Dimension Rotary Zoom Microscope with USB2.0 Port + LED Ring Light
3845 Inspection/Bypass Conveyor (NEW), 1Meter
3846 Inspex TPC 8500 Laser Imaging System/ Analyzing Defects in Semiconductor Wafers
3847 Integrated INT1-APM1 300mm Wafer Cleaner Spin Rinse Dry Module H9/10 SRDi 451850
3848 INTELLIGENT ACTUATOR 12G 60 600B9 / ASSY, ACTUATOR, SHIMIZU KIDEN
3849 INTELLI-RAY 600 SHUTTERED UV FLOODLIGHT WITH DVP PR920
3850 intensified RHEED system Physical electronics perkin elmer varian veeco UHV
3851 INTERCON SBS 8808 O/S / MATRIX ARRAY SINGULATION SYSTEM 220V 25A 3PH 50/60HZ / INTERCON
3852 Intergen AutoAlign 456(8) 4-6″ Laser Wafer Scribe/Marking Center/Marker System
3853 International BTU Paragon 98 Reflow Oven 480V
3854 InterTest 1014C Pressurization System
3855 InterTest 1014-CB Gross Leak Bubble Detection System/Detector
3856 INVAX TECHNOLOGIES 01651-011 / ETC-INVAX CERAMIC ELECTROSTATIC CHUCK / INVAX TECHNOLOGIES
3857 Invax Technologies 02425-011 Electrostatic Chuck 300mm PVD Upgrade New
3858 Invax Technologies Echuck PVD 300mm ESC-9232 New
3859 Invax Technologies ESC-9232B Electrostatic Chuck AM-END-500C-12SB New Surplus
3860 Ion Source, Kaufman & Robinson EH 2000F with Power Supplies
3861 Ion Tech ID-3500 Advanced Energy w/Large Bell Jar Ion Beam Coater Evaporator
3862 Ion Track Instruments Nitrogen generator with built in compressor
3863 Ionic Contamination Test System OmegaMeter 620 SMD
3864 IotPal Corporation Model ISC-4 Spin Coater with Vacuum Pump (up to 4“ substrate)
3865 IotPal Corporation Model ISC-8 Spin Coater with Vacuum Pump
3866 IPEC 676
3867 IPEC SpeedFam Novellus 2805-103891 Assy, Platen Spindle Cooled Polisher,
3868 IPEC, Model 372M Avanti CMP Automated Wafer Polishing System
3869 Ipec/Westech Avanti Polisher System Controllers / 4mos. Wrty; 2 pcs
3870 ipg fiber laser 2 way beam share beam switch – NEW – coherent redpower
3871 IPG LASER YLP-V2-1-100-10-10 Ytterbium Fiber Laser
3872 IPS LTD EP1676 /SHIELD / IPS LTD
3873 IPTE FLEXMARKER 2009 Inline Laser PCB Barcode marking system
3874 IPTE FLEXMARKER 2009 Inline Laser PCB Barcode marking system
3875 IR-820 4-Axis SCARA High Throughput Wafer Handling Robot + Controller/Teach Pend
3876 Irvine Optical BL200 Inspection Station Mainframe Brooks Automation Macro
3877 Irvine Optical Ultrasort 208 **
3878 IT Industrial Technologies IT7586-G2A1440PFA1 Computer ASM 2398095-01 New
3879 IVS 220 FE CD SEM Gun Assembly Field Emission Scanning Electron Microscope Gun
3880 IVS IVS200 SEM Vacuum Chamber XY Positioining Stage Dual Varian Ion Pump
3881 IWAKI AMERICA PDS-105C-KPM4-S01 / CHEM MONITORING SYSTEM,PUMP
3882 Iwaki FF-20BT2 Pneumatic Drive Bellows Pump, 450761
3883 Jandel RM3-AR Multiposition 4 Point Wafer Probe w/ Remote,
3884 Janis Closed Cycle Refrigerator & Cryostat:- 10K Optical Research CCS-150
3885 Janome Apollo Seiko JSR4400N JSR-4400 Scara L-Cat Soldering Robot
3886 JDS 2214-30SLQT/30MW SFS LASER
3887 JDS TSLKTB 2213-75 TSLKTB, / LASER, 4 AMPS, 500 MW 487.9NM / JDS
3888 JDSU, 75mW ARGON LASER 2213-75TSLKTB, POWER SUPPLY 2113-75SLT, KLA TENCOR AIT
3889 JEL C4000 Industrial Digital 4-Axis Control Unit w/ Wafer Transfer Robot Arm
3890 JELIGHT 256 UV Ozone Cleaner
3891 Jenoptik 4022.454.9932.2 ASML BP Mirror 193nm Twinscan XT:1250 New
3892 JEOL EM-2011F FEG HT High-Tension Voltage Tank JEM-2010F JOBI
3893 JEOL JSM-6010PLUS/LV Scanning Electron Microscope with Motorized X-Y Stage
3894 JEOL JSM-6400 F SEM, scanning electron microscope
3895 Jeol JSM-6400F Scanning Microscope
3896 Jeol JWS-7505 Scanning Electron Microscope SEM
3897 JEOL JWS-7505 Wafer Inspection SYSTEM Scanning Electron Microscope, NORAN EDX
3898 Jeol JWS-7505 Wafer Inspection System Tilt SEM Scanning Electron Microscope
3899 JEOL SM-09010 Cross Section Polisher
3900 JEOL SM-09010 Cross Section Polisher
3901 JEOL USA, INC 780143418 / ASSEMBLY,ION PUMP,GUN-FIELD EMISSION
3902 Jeol Wafer Arm Boat Elevator Loader Transport System JWS-7505 End Effector
3903 JEOL Wafer Stage Assembly 200mm with Probes JWS-7555S Wafer Defect SEM Working
3904 JEOL WS-50VB/8 Inspection Stage Chamber JWS-7555S Wafer Defect Review SEM Spare
3905 JEOL WS-5790SMB Controller Module JVME-ADSC MVME 2434 JWS-7555S Working Spare
3906 JEOL WS-5790SMB Controller Module JVME-ADSC MVME 2434 JWS-7555S Working Spare
3907 Jet Edge Waterjet  2015 Jet Edge Waterjet Series 60-80DS Mobile System Waterjet Fabrication
3908 Jipelec SiC Series High Temperature Batch Furnace
3909 J-MAR PRECISION SYSTEMS INC 010-4847-001 / MIRAGE LASER MEASUREMENT SYSTEM
3910 JORDAN VALLEY 1301163 / PARKER 803-9069B ASSY SPECTROMETER LINEAR XY STAGE / JORDAN VALLEY
3911 JORDAN VALLEY 2212041 / MOTION CONTROLLER MOTOR DRIVER ASSEMBLY / JORDAN VALLEY JVX 2212041
3912 JOT Automation FIFO Buffer J214-51.2/8 (2007)
3913 JOT In-circuit Test Handler PN: J401-03
3914 JOT Magazine Loader J213-10.4/10 with 3 Magazines
3915 JOT OZO 18-HS PCB Router
3916 JOT T49005-1 Destacker
3917 JUKI FEEDER PACKAGE CF081ER CF03HPR AQ02HP 170 feeders total
3918 JUKI FX3 Electronic Feeder trolley PN 40084773
3919 JUKI FX-3L 2008 60K CPH, High Speed pick and place, trolleys, 01005
3920 JUKI FX-3L 2010 Chip Shooter / High Speed Pick and place, 60K CPH
3921 JUKI FX-3RL 2012 High Speed Chip Shooter 60K CPH, Pick and place
3922 JUKI GKG-GL 2014 Automatic screen printer, 2D, 20×20″ board
3923 JUKI KD-2077 High speed inline glue dispenser SMA surface mount adhesive dispens
3924 JUKI KE-2050L Pick and Place / Chip Shooter 13.2K CPH
3925 JUKI KE-2050RL Pick and Place / Chip Shooter 13.2K CPH
3926 JUKI KE-2070L 18,300CPH 6 Head pick and place machine
3927 JUKI KE-760L Chip Mounter
3928 JUKI TR5 TR5DN rear mount matrix tray feeder / server for 2000 series
3929 Juki Trolley KE-3010, SMT Trolley, KE3010 Juki Machines
3930 Julabo FC 1200T FC1200T Digital Recirculating Chiller 28L/Min, -10 to 80 Degrees
3931 JULABO SC5000W / COOLING UNIT SEMICHILL 2317848-00 / LAM RESEARCH
3932 Julabo TCU1 High Precision Heat Exchanger – Never Used
3933 Julabo TCU1 High Precision Recirculating Chiller Heat Exchanger New NIB Presto
3934 Julabo TCU2 High Precision Recirculating Chiller Heat Exchanger New NIB Presto
3935 Jupiter Scientific Callisto 2+ Scrubber Gas Abetment System (new surplus)
3936 K & S Kulicke & Soffa 984-6 Plus Industrial Precision Dicing Saw 50/60Hz Wafer
3937 K&S / ADT 982-10 Dicing Saw / 200mm chuck / 10″ travel
3938 K&S 4123 Manual Wedge Wire Bonder w/ Heated Work Holder (refurbished)
3939 K&S 4123 WEDGE BONDER
3940 K&S 4124 Manual Gold Ball Bonder
3941 K&S 4124-21 Gold Ball Wire Bonder w/ Negative EFO (refurbished)
3942 K&S 4523 Manual Wedge Wire Bonder4
3943 K&S 4523D Tabletop Digital Manual Wedge Bonder6
3944 K&S 4523D Tabletop Manual Wedge Bonder6
3945 K&S 4524 AD Ball Bonder – Refurbished / Auto StepBack / Options / 6 Mos Wrty
3946 K&S 4524 D
3947 K&S 4524AD Programmable Digital Ball Bonder2
3948 K&S 4524AD Programmable Digital Ball Bonder2
3949 K&S 4524-D Digital Manual Gold Ball Wire Bonder 4524D (refurbished)
3950 K&S 4526 Auto-Stepback Wedge Wire Bonder w/ Workholder (refurbished)
3951 K&S 8028 PPS KULICKE & SOFFA HIGH SPEED FINE PITCH WIRE BALL BONDER
3952 K&S 982-6 Precision Dicing Saw4
3953 K&S 984-6 Precision Wafer Dicing Saw.
3954 K&S Kulicke & Soffa 4124 Thermosonic Gold Ball Wire Bonder
3955 K&S Kulicke & Soffa 4126 Step-Back Wedge Bonder
3956 K&S Kulicke & Soffa 7100 Wafer Dicing Saw 7100ad w/ 6″ Vacuum Chuck
3957 K&S Kulicke & Soffa 982-6 Wafer Dicing Saw
3958 K&S Kulicke & Soffa 982-6 Wafer Dicing Saw
3959 K&S Kulicke & Soffa 984-6 Wafer Dicing Saw w/ 6″ Chuck
3960 K&S Kulicke and Soffa Model 780 Wafer Dicing Saw Cutter AS IS
3961 K&S Kulicke and Soffa Model 780 Wafer Dicing Saw Cutter AS IS
3962 K&S model 918 Fully Automatic dicing saw
3963 K&S-4127 HEAVY WIRE Bonder
3964 Kaiser Systems Inc HVPS Controller 80-50 p/n 1100003
3965 Karl Suss | 8in BSA Custom Chuck
3966 Karl Suss | 8in Chuck
3967 Karl Suss | BA6 Bond Aligner 4in/100mm Fusion Chuck
3968 Karl Suss | BA6/BA8 Bond Aligner 4in/100mm BSA Chuck
3969 Karl Suss | BA6/BA8 Bond Aligner 4in/100mm BSA Chuck
3970 Karl Suss | BA6/BA8 Bond Aligner 4in/100mm BSA Chuck
3971 Karl Suss | BA6/BA8 Bond Aligner 6in/150mm BSA Chuck
3972 Karl Suss | MA150/MA200 Mask Aligner 3in/75mm BSA Chuck
3973 Karl Suss | MA150/MA200 Mask Aligner 6in/150mm Chuck
3974 Karl Suss | MA200 Mask Aligner 8in/200mm Chuck
3975 Karl Suss | MA6 Mask Aligner Proximity Maskholder (2.5in x 2.5in)
3976 Karl Suss | MA6/BA6 Mask Aligner 6in/150mm Lower Bond Chuck
3977 Karl Suss | MA6/MA8 4in/100mm BSA Chuck for 1mm Thick Wafers
3978 Karl Suss | MA6/MA8 4in/100mm BSA Chuck for 3mm Thick Wafers
3979 Karl Suss | MA6/MA8 4in/100mm BSA Chuck for 5mm Thick Wafers
3980 Karl Suss | MA6/MA8 Mask Aligner 5in/125mm BSA Chuck
3981 Karl Suss | MA6/MA8 Mask Aligner Chuck, Pieces: 0.75in x 1in
3982 Karl Suss 200AA04102 116AA002 Mask Aligner
3983 Karl Suss FC-150 Flip Chip Bonder
3984 Karl Suss FC-150 Flip Chip Bonder
3985 Karl Suss HR-100 Diamond Scriber – Suss MS100 / 100mm / Refurbished/Wrty
3986 Karl Suss MA 100 ML Suss Mask Aligner
3987 Karl Suss MA 150 Mask Aligner
3988 Karl Suss MA 200 Litho Mask Aligner 200mm Wafer 210AA057-03, Suss Microtec
3989 KARL SUSS MA 6″ MASK ALIGNER (with warranty)
3990 Karl Suss MA1006 (Refurbished)
3991 Karl Suss MA150 5″ Mask Holder
3992 Karl Suss MA150 Power Supply Electronic Wagon (New Condition)
3993 Karl Suss MA150 Touch Screen (Working Condition)
3994 Karl Suss MA150CC BSA Mask Aligner – Back Side Alignment – Suss Microtec –
3995 Karl Suss MA150CC BSA Mask Aligner – Back Side Alignment – Suss Microtec –
3996 Karl Suss MA56/MA 56 MPM GaAs Mask Aligner
3997 Karl Suss MA6/MA8 2″ wafer chuck – Topside
3998 Karl Suss MA6/MA8 2″ wafer Mask holder
3999 Karl Suss MA6/MA8 2″ wafer Topside only chuck
4000 Karl Suss MA6/MA8 3″ Mask Aligner Chuck and Mask Holder
4001 Karl Suss MA6/MA8 3″wafer Mask Holder
4002 Karl Suss MA6/MA8 3″x 2″ wafer Topside only chuck
4003 Karl Suss MA6/MA8 4″ wafer BSA chuck
4004 Karl Suss MA6/MA8 5″ wafer Mask Holder
4005 Karl Suss MA6/MA8 6″ wafer Mask Holder
4006 Karl Suss MA6/MA8 BSA scopes
4007 KARL SUSS Mask Aligner 2” Vacuum Chuck Back Side BSA
4008 Karl Suss Mask Aligner BSA (Back Side Alignment) Microscope MA6/MA150
4009 Karl Suss Mask Aligner DVCU Box (Digital Video Control Units) (Working Units)
4010 Karl Suss Mask Aligner MA56 6″ Mask Aligner USA
4011 KARL SUSS MICROALIGNER 6” TOP SIDE ALIGNMENT MA6 WITH VM-1220U
4012 Karl Suss MJB 3 Mask Aligner
4013 Karl Suss MJB 45 S Mask Aligner Workstation w/Table
4014 Karl Suss MJB-3
4015 KARL SUSS MJB3 ALIGNER
4016 KARL SUSS MJB3 ALIGNER 200 WATT LAMP
4017 KARL SUSS MJB3 ALIGNER 350 WATT LAMP
4018 Karl Suss MJB3 High Performance Mask Alignment & Exposure System2
4019 Karl Suss MJB3 mask aligner
4020 KARL SUSS MJB3 MASK ALIGNER
4021 Karl Suss MJB3 Mask Aligner1
4022 Karl Suss MJB-3 Precision Contact Aligner w/ Mimir 505 Energy Controller! Clean
4023 Karl Suss MJB3 Precision High Performance Mask Alignment Exposure System1
4024 Karl Suss MJB3 Research Mask Aligner1
4025 Karl Suss MJB3 Standard Mask Aligner
4026 Karl Suss MJB3-IR Mask Aligner with IR Transmission Alignment6
4027 Karl Suss MJB55 Mask Aligner (for Photolithography)
4028 KARL SUSS PA200 Semiauto Probe Station with ProberBench 5.15 SW PA-200
4029 Karl Suss Prober Dark Box Enclosure
4030 Karl Suss Prober Dark Box Enclosure
4031 Karl Suss PSM 6 Wafer Probe Station, Bausch & Lomb MicroZoom Microscope
4032 Karl Suss PSM6 Submicron Manual Prober3
4033 Karl Suss RC8 MS2
4034 Karl Suss RC8 THP 200mm Spin Coater & Progammable Hot Plate, CE Serial Plate.
4035 Karl Suss Wafer Prober Probe Station (11500026) 6″ Thermal Vacuum Chuck
4036 Karl Suss/ Cascade Microtech PA200 8″ inch RF DC Prober Refurb 1 YEAR Warranty
4037 Karl Suss/Cascade Microtech PM5 6″ inch RF ThZ Prober Refurburb 1 YEAR Warranty
4038 Kashiyama  2014 Kashiyama ME40K Dry Vacuum Pump SDE603BS +KMB2003 Blower +Yaskawa Controls
4039 Kashiyama  2014 Kashiyama ME40K Dry Vacuum Pump SDE603BS +KMB2003 Blower +Yaskawa Controls
4040 KAWASAKI 30C63E-A002 / ROBOT CONTROLLER AC 208V 1:2WIRE+PE 50/60 Hz 6.1A / KAWASAKI
4041 KAWASAKI 30D60E-A006/ROBOT CONTROLLER/KAWASAKI
4042 Kawasaki 30D60E-A011 Robot Controller
4043 Kawasaki 30D60E-A011 Robot Controller
4044 Kawasaki 3NS410B-A704 Robot
4045 KAWASAKI 3NS420B-C003 / DUAL ARM ROBOT ASM 1045-978-01
4046 KAWASAKI 3NS510B-D001 ROBOT NX5100270
4047 KAWASAKI 50480-1538 /ASSY FORK HAND 4 WITH GUIDE
4048 Kawasaki 50999-1992R21 Processor PCB Card 1HA-12 Nikon NSR-S205C
4049 Kawasaki 50999-2009R00 Processor PCB Card 1JB-51 Nikon NSR-S205C
4050 Kawasaki 50999-2055R01 Processor PCB Card 1JP-51 Nikon NSR-S205C
4051 Kawasaki C62C-A002 Robot Controller
4052 KAWASAKI DAI NIPPON SCREEN 50817-1220R05 / TEACH PENDANT
4053 Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Cut Cables As-Is
4054 Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Sheared Pin As-Is
4055 Kawasaki NS110C-B001 Chuckbot 4K192-238-4 NSR-S307E 300mm DUV Used
4056 Kawasaki NS110C-B001 Chuckbot Robot 4K192-238-3 NSR-S307E 300mm DUV As-Is
4057 Kawasaki NS110C-B001 Chuckbot Robot 4K192-238-4 NSR-S307E 300mm DUV As-Is
4058 Kawasaki NS420TEC61 30C61E-B008 Controller
4059 KEITHLEY 99622 / PCB, FMU QMO-2607 / KEITHLEY
4060 Keithley Yieldmax 450 Semiconductor Process Monitor Parametric Analyzer S450i
4061 Keithley Yieldstation S900 Semiconductor Parametric Test System/Process Monitor
4062 Kensington 15-3600-0300-01 300mm Wafer Prealigner AMAT 0190-16360 Working Spare
4063 Kensington 15-3600-0300-01 Wafer Prealigner PRE-OA2 AMAT 0190-16360 Endura Used
4064 Kensington 25-3600-0300-03 300mm Wafer Prealigner AMAT Ultima X Working Spare
4065 Kensington 35-3700-1725-03 Semiconductor Wafer Handling Robot with Linear Track
4066 Kensington CSMT-4 Compact Wafer Sorting Mapping Tool Sorter 8″ 200mm
4067 KENSINGTON CSMT-4, 8″ WAFER SORTER MAPPING TOOL
4068 Kensington Laboratories Prealigner Assembly, Serial 97-128-03 with cables
4069 Kensington MdlCSMT-4 8”(200mm.) Wafer Sorter Mapping Station
4070 Kensington MdlCSMT-4 8”(200mm.) Wafer Sorter Mapping Station
4071 Kensington MdlCSMT-4 8”(200mm.) Wafer Sorter Mapping Station
4072 Kensington Newport 25-3700-1125-04 Transfer Robot 9407-0785 Nanometrics ((New))
4073 KEVEX SIGMA SUPERDRY / 4601B ION PUMP/4855 DIGITAL BEAM CNTRL/THERMO EDS DECT
4074 Key GV-6AP-4010780 Gate Valve Throttle Valve Assembly MRC Eclipse A112990 Used
4075 Key GV-6AP-4010780 Gate Valve Throttle Valve Assembly MRC Eclipse A112990 Used
4076 Keyence – Deal Height Measurement KEY-01
4077 Keyence LV-51M Digital Laser Sensor Assy, Differential, Prealigner, 452371
4078 Keysight/Agilent Hybrid Double Density Card
4079 KH Fredricks RF Sputterer System – 10″ targets with RF sputter etchback
4080 KINETICS CHEMPURE SYSTEMS PFD3-322A / BELLOW PUMP,TEFLON,12GPM-1 FLARE FLUID
4081 King Design DP-1200-25 Vibration & Shock Environmental Equipment System *working
4082 King Design KD-9363EM Vibration Analysis Shaker System
4083 Kinney KT-505LP Rotary Piston Pump, Factory Rebuilt, by Provac Sales, Inc.
4084 Kjellberg Plasma System HiFocus 440i neo.
4085 KLA 111025 /COMPUTER, INCA ENERGY, KLA EV300/ KLA
4086 KLA 2114B-30SLQST / CYONICS-UNIPHASE POWER SUPPLY KLA 6220 6420 WITH EXCHANGE / KLA
4087 KLA 356824 / THETA STAGE ACTUATOR ASSY/ KLA-AIT I AND AIT II
4088 KLA 7315-A3-01, 0046190-001 / KLA QUANTOX SYSTEM COMPUTER / KONTRON
4089 KLA 770-773260-001 /ASSY, SWITCHER 24V PS2 W/EXCHANGE / KLA-TENCOR
4090 KLA 774-673033-004 / POWER SUPPLY VIPER 2401 / KLA TENCOR CORPORATION
4091 KLA 880-05229-000,880-50510-000 /E-GUN ELECTRON W/EXCH GUN 8100 8100XP 8100E W / KLA
4092 KLA Instruments 655-650167-00 Wafer Defect Cassette Stage Assembly 2132 Used
4093 KLA Instruments 710-650099-20 KLA DP PCB Card 073-650098-00 2132
4094 KLA Instruments 710-650099-20 KLA DP PCB Card 073-650098-00 Rev. L0 2132 Used
4095 KLA Instruments 710-679375-001 KLA DP Display Processor PCB Card KLA 2138 Used
4096 KLA Instruments 710-679375-002 KLA DP Display Processor PCB Card KLA 2138 Used
4097 KLA Instruments 720-450025-00
4098 KLA PCP4J256H-Q1 900-07034/PCB VANTAGE RT7410-HCD 901-40044R.1C XUV IMAGE COMP/KLA
4099 KLA Surf scan SP1 TBI Single Board
4100 KLA TENCOR  CPU, KLA SP1-TBI, 550 MHZ, 92-005649-00X / KLA TENCOR
4101 KLA Tencor 0020885-000 / PCB ASSY, ANALOG BOARD, AIT2 AIT3 / KLA
4102 KLA Tencor 0027758-000 / WAFER APS2, AIT2, SLOT 12,  KLA
4103 KLA Tencor 0032129-000 / PSF REAR CHANNEL ASSEMBLY  / KLA TENCOR CORPORATION
4104 KLA Tencor 0035987-000 / AIT-PS-KLA COHERENT INNOVA POWER SUPPLY / KLA TENCOR
4105 KLA Tencor 0048191-000 SpectraCD-XTR Optical Scanning Head Assembly
4106 KLA Tencor 0048191-000 SpectraCD-XTR Optical Scanning Head Assembly
4107 KLA TENCOR 0052989-002 / KLA-PCB, AIT 2XP DATAPACKAGE / KLA TENCOR
4108 KLA Tencor 0058657-000 Optical Sensor Head Input Board for SpectraCD-XTR
4109 KLA TENCOR 0090484-000/KLA TENCOR/1063178/COHERENT/INNOVA LASER POWER SUPPLY STARCII-AIT
4110 KLA TENCOR 0090488-002 / AIT-HD-KLA CU AIT LASER / KLA TENCOR
4111 KLA Tencor 0141376-000 Pneumatic Module Candela Rev AB **
4112 KLA TENCOR 0202078-000 / COMPUTER, V2 REBUILD 0202077-000 WITH EXCHANGE / KLA TENCOR
4113 KLA TENCOR 0215884-002 / SCD-XTR LIGHT SOURCE / KLA TENCOR 0215884-002
4114 KLA TENCOR 0215884-003 / SCD-XTR LIGHT SOURCE COMPLETE / KLA TENCOR CORPORATION
4115 KLA TENCOR 0232637-000 / PCB DIGITAL SIGNAL PROCESSOR 569917 / KLA TENCOR
4116 KLA TENCOR 10-02265 / REPLACEMENT SCAN STYLUS KIT, 50 MICRON RADIUS / KLA TENCOR
4117 KLA TENCOR 198331/ PCB 4-CHANNEL MTR CONTR / KLA
4118 KLA TENCOR 21-0061 / PCB COGNEX 5400 W/EXCH 801-5401-01 VPM-5434-32 VM16A 203
4119 KLA TENCOR 230715 / HEAD ELECTRONICS PCB / KLA TENCOR
4120 KLA TENCOR 28877157 / U500 ULTRA ES13497 PCB / KLA TENCOR
4121 KLA TENCOR 36-0325 / SINGLE BOARD COMPUTER SBC 80C186 54-0325 WITH EXCHANGE / KLA TENCOR
4122 KLA TENCOR 375039 / PCB, DATA PROCESS BOARD AIT 2, SLOT S1/S2, WEXCHANGE / KLA TENCOR
4123 KLA TENCOR 51-0027 / POWER SUPPLY FOR RS-XX (ONLY SOLD WITH CORE EXCHANGE)
4124 KLA Tencor 5500 Surfscan – 4,6 & 8″ – Guaranteed . 4 mo wrty Demo. Quick Ship
4125 KLA Tencor 6420 Below Wafer Assembly 289132 Surfscan S6420
4126 KLA TENCOR 700-674958-000 / WAFER HANDLER PCB VIPER 2401 / KLA TENCOR CORPORATION
4127 KLA TENCOR 710-650044-20 / DD ASSY PCB / KLA TENCOR
4128 KLA TENCOR 710-659274-20 /PCB,PLL A/D CHANNEL ASSY-KLA/W EXCHANGE(0906RA0-PLAD_AD4 /KLA
4129 KLA TENCOR 710-661726-00 / S.A.T. RANDOM DEFECT FILTER PCB BD 1 / KLA TENCOR
4130 KLA TENCOR 710-661726-00 / S.A.T. RANDOM DEFECT FILTER PCB BD 1 W/EXCHANGE / KLA TENCOR
4131 KLA TENCOR 720-06884-000 / COLUMN CONTROL CHASSIS II (CCC II) WITH EXCHANGE / KLA TENCOR
4132 KLA TENCOR 720-06888-000 /FOCUS CONTROL BOARD CHASSIS, KLA CUSTOM 81XX/KLA-TENCOR
4133 KLA TENCOR 720-14688-00 / WIEN FILTER CONTROLLER CHASSIS / KLA TENCOR
4134 KLA TENCOR 740-473180-000 / STD HOST CHUCK ASSY 200MM 51XX 52X / KLA TENCOR CORPORATION
4135 KLA Tencor 750-370919-001 300UV Robot Arm Box w/ Plate, 750-059525-000, 423073
4136 KLA TENCOR 750-480037-002 / IDE CONTROLLER FOR CTC-1 TYPE 395.500 / KLA TENCOR
4137 KLA TENCOR 750-673371-000/0181 / VIPER 2401 OPTICS ASSEMBLY / KLA TENCOR VIPER 2401
4138 KLA TENCOR 750-693047-002 / IMMECOR DUAL PENTIUM RAID IPC CE400
4139 KLA TENCOR 780-688026-001 / KLA 21XX THETA STAGE ASSY EXCHANGE / KLA TENCOR
4140 KLA TENCOR 820-18119-000 / GAUGE INTERLOCK PCB/ KLA TENCOR CORP
4141 KLA TENCOR 820-19059-000 / HARDWARE CONTROL INTERFACE PCB/ KLA TENCOR
4142 KLA TENCOR CORP 0130489-000/ASSY IMAGE COMP AIT XUV CE MARK MERCURY COMP SYS/KLA TENCOR CORP
4143 KLA TENCOR CORPORATION 0003569-000 / PCB ASSY, ANALOG BOARD, SP1-TBI REV AA /
4144 KLA TENCOR CORPORATION 0044378, REV 005 / COMPUTER KLA
4145 KLA TENCOR CORPORATION 0045403-000 / LASER TRACKING AUTO FOCUS MODEL 8000
4146 KLA TENCOR CORPORATION 0045755-000 / ASSY, ANALYZER, MGF2 / KLA TENCOR
4147 KLA TENCOR CORPORATION 18-123472 / SOURCE ASSY,UV,AIR TIGHT
4148 KLA TENCOR CORPORATION 52-0879 / ND FILTER ASSY UV12XX W/EXCH
4149 KLA TENCOR CORPORATION 52-0904-01 / 450 MHZ COMPUTER
4150 KLA TENCOR CORPORATION ACUSHAPE / ACUSHAPE POWER SUPPLY US008815
4151 KLA TENCOR CORPORATION AOD1+ DRIVER ASSY,AIT2
4152 KLA TENCOR CORPORATION V20215A / COMPUTER VIPER 2401 / KLA TENCOR CORPORATION
4153 KLA Tencor EFEM Interface Techsol 003-99-001-230 R and D Test Station
4154 KLA TENCOR ENCL AOD DRV ASSY AIT2 17499-000 / KLA TENCOR
4155 KLA TENCOR EV300 AC POWER DISTRIBUTION CHASSIS
4156 KLA Tencor ICS, AIT 1 Patterned Wafer Defect Tool ICS# 9301-10P4
4157 KLA Tencor Inspex Eagle Patterned Wafer Laser Inspection System (AS-IS)
4158 KLA Tencor P-1 Profiler
4159 KLA Tencor P10 P-10 surface profiler w/M2 XR Extended range MicroHead 200mm
4160 KLA Tencor P-2 (P2) Automated Long Scan Profiler Profilometer w/Options
4161 KLA TENCOR PCB 4-CHANNEL MTR CONTR
4162 KLA TENCOR PCB ASSY MATROX CORONA REV 6 8M CONFG AIT2, SLOT 1, WEXCHANGE / KLA
4163 KLA Tencor Prometrix RS75 54-0387 Lower Stage Interconnect Board RS PCA 36-0387
4164 KLA Tencor SpectraCD-XTR Optical CD/Profile Metrology System CPU Controller
4165 KLA TENCOR VIBRATION ISOLATION SYSTEM (#3009)
4166 KLA/TENCOR Candela CS10
4167 KLA-Tencor #088/KE10600-M Cooling Module 64000 Quantox
4168 KLA-Tencor #98/KE10600-M Cooling Module 64000 Quantox
4169 KLA-Tencor | 0023892-000, 200/300mm Vacuum Chuck
4170 KLA-Tencor | 0060055-001, Ceramic Dual End-Effector
4171 KLA-Tencor | 0068627-000, Ceramic End-Effector
4172 KLA-Tencor | 2114B-30SLT, 30mW Laser Power Supply
4173 KLA-Tencor | 213780, PFE 4K Mask SFS7500 Board
4174 KLA-Tencor | 29-0138, Nikon Pupil Stop 4X Objective
4175 KLA-Tencor | 339830, Ceramic Puck for Surfscan 64X0
4176 KLA-Tencor | 41-0681, Front Switch Door Assembly
4177 KLA-Tencor | 413976, Universal Profiler Interface
4178 KLA-Tencor | 483-00 / MM-VGA32K, Matrox Video Board
4179 KLA-Tencor | 52-0283, Turret Assembly
4180 KLA-Tencor | 52-0428, Focus Flipper
4181 KLA-Tencor | 52-0449, Spectrometer
4182 KLA-Tencor | 52-0466, DRS Spectrometer Lid Assembly
4183 KLA-Tencor | 54-0346, Optics Interface Board
4184 KLA-Tencor | 68805, Oriel Universal Power Supply
4185 KLA-Tencor | 710-650044-20, DD Assy. Board
4186 KLA-Tencor | 710-658807-00, VIF Assy. Board
4187 KLA-Tencor | 710-658909-001, XYI Board
4188 KLA-Tencor | 710-661726-001, S.A.T Random Defect Fliter Bd.
4189 KLA-Tencor | 710-678525-00, DF Assy Board
4190 KLA-Tencor | 710-679375-001, DP Assy Board
4191 KLA-Tencor | 710-679609-003, X-Flex5 Board
4192 KLA-Tencor | 712-404561-00, 8M Main Memory Board
4193 KLA-Tencor | 716-402608-00, Pre-Aligner Assembly
4194 KLA-Tencor | 716-450032-00, Shutter Aperture Assembly
4195 KLA-Tencor | 730-401895-00, Light MA9 Motor Assembly
4196 KLA-Tencor | 740-451952-00, Elevator Assembly
4197 KLA-Tencor | BA353-AJ, Digital Storage Works
4198 KLA-Tencor | UV Drive Assembly
4199 KLA-Tencor 0020885-000, 373583 / PCB ASSY, ANALOG BOARD , AIT2 AIT3 / KLA
4200 KLA-Tencor 0023936-001 Power Assy LPM AIT UV
4201 KLA-Tencor 0031663-000 Measurement Head
4202 KLA-Tencor 0052196-007 MMD Analog PCB 0021488-001 AIT UV
4203 KLA-Tencor 0071141-003 Assembly 0033116-000 AIT UV 0090847-000
4204 KLA-Tencor 0130033-000Timing Generator PCB KLA AIT Surfscan 6420 Surf
4205 KLA-Tencor 0130035-000Timing Generator PCB KLA AIT Surfscan 6×00 345253
4206 KLA-TENCOR 0224471-000 / ARGON LASER HEAD,30MW,488NM OEM CUSTOMIZED FOR KLA-TENCOR SURF/JDS
4207 KLA-Tencor 11301400403000 System Controller Macro Computer PC
4208 KLA-Tencor 148679 P-2 Automated Long Scan Profiler Profilometer AS IS
4209 KLA-Tencor 200mm Wafer Chuck AIT I Surfscan Patterned Inspection System Used
4210 KLA-TENCOR 2214-30SLQTA / ARGON LASER HEAD – Refurbished
4211 KLA-Tencor 273163 Particle Area Processor PCB KLA AIT Surfscan 6xxxSurf 0135243-000
4212 KLA-TENCOR 29-0260 / OBJECTIVE LENS, 15X UV / ASET SYSTEM / KLA-TENCOR
4213 KLA-TENCOR 29-0260 /15X OBJECTIVE / KLA-TENCOR
4214 KLA-TENCOR 471-05868-000 / CONTROLLER,VAC GAUGE GP 360 / KLA-TENCOR
4215 KLA-TENCOR 720-14688-00, WIEN FILTER CONTROLLER CHASSIS KLA-TENCOR
4216 KLA-Tencor 8100-XPS X-Y Stage Assembly Complete, 8100XPS CD-SEM Metrology 8100XP
4217 KLA-TENCOR 820-06224-000 100-280 HARDWARE CONTROL INTERFACE PCB
4218 KLA-TENCOR 92670-05-1 / AC POWER DISTRIBUTION CHASIS KLA-TENCOR EV300 / AMRAY
4219 KLA-Tencor AIT UV 0071141-003 Assembly 0033116-002 0090847-001
4220 KLA-Tencor ALPHASTEP 100
4221 KLA-Tencor Archer 300DFF1P 300mm Dual FOUP Handler
4222 KLA-Tencor Candela CS 2 Surface Analyzer
4223 KLA-Tencor Candela CS-10 Surface Analyzer
4224 KLA-Tencor FT650 /FLIM THICKNESS EQUIPMENT BY PROMETRIX FT-650 TABLE TOP STYLE / KLA TENCOR
4225 KLA-Tencor KLA80 Control System AST Bravo MT 4/66 KLA Instruments Untested As-Is
4226 KLA-Tencor Lens Assy, Lower Octopole/Wein 720-17210-000, 8100-XPS CD-SEM, 8100XP
4227 KLA-Tencor P 12 Disk Profiler
4228 KLA-Tencor P-11 Profiler
4229 KLA-Tencor P-12 Disk Profiler
4230 KLA-Tencor Polarization Assembly 0041186-003 0071152-001 AIT Fusion UV Used
4231 KLA-Tencor Polarization Assembly 0041186-003 0081580-000 AIT Fusion UV Working
4232 KLA-Tencor Polarization Assembly 0071152-001 0023504-002 AIT Fusion UV Used
4233 KLA-Tencor Polarization Assembly 0081580-000 0071152-001 AIT Fusion UV Used
4234 KLA-Tencor Prometrix UV-1050 Thin Film Measurement System
4235 KLA-Tencor SP1 300DFF1P Dual FIMS Handler
4236 KLA-Tencor Surfscan 6200 (SFS6200)
4237 KLA-Tencor Surfscan 6XXX PS4 Power Supply Main 5V
4238 KLA-Tencor Surfscan SP1/TBI BPS Beam Position Controller P/N 375420
4239 KLA-Tencor Surfscan SP1/TBI CD Assy Centering Device
4240 KLA-Tencor Surfscan SP1/TBI Galvo Assy NEW
4241 KLA-Tencor Surfscan SP1/TBI NIST Calibration Wafer On 300mm 12inch
4242 KLA-Tencor Surfscan SP1/TBI Single Board Computer. Part number KT1457
4243 KLA-Tencor Thermawave 2600B Loading System
4244 KLA-TENCOR ULTRAPOINTE 1010 WAFER LASER CONFOCAL IMAGING SYSTEM
4245 KLA-Tencor Wafer Stage Assembly Kensington 8500-12 Surfscan 7000 KLA-KLA-Tencor Working

PLEASE USE “Ctrl” + “F” KEYBOARDS TO SEARCH WHAT YOU WANT AT THIS PAGE.

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

 

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers