Main Maker

Semiconductor Equipment Parts in stock

Semiconductor Equipment Parts

Categories: ,

Description

The Semiconductor Equipment /parts are  in  USA and are subject to prior sale without notice. These items are only for end users. The items are subject to prior sale without notice.

2493 Bruce Technologies / BTU / BTI / BDF 41 Diffusion Furnace /Install available
2494 Bruce Technologies / BTU / BTI / BDF 41 Diffusion Furnace 150mm wafer processing
2495 Bruce Technology / BTI BDF 41 Furnace with Automation Elevator Loaders
2496 Bruker AXS Nonius X8 Proteum Pt 135 X-Ray Diffractometer System
2497 Bruker AXS X8 PROTEUM PT135 X-Ray Diffractometer Assembly P/N 843-017100
2498 Bruker Contour GT-K Profilometer
2499 Bruker Contour GTX Profilometer / Mint – Demo Condition.. Warranty
2500 Bruker Nano Icon ScanAsyst Atomic Force Microscope 840-002-372 481-016-100
2501 Bruker Nano Inc. Electron Beam Optics ASSY 23-169096-000
2502 Bruker Nano Inc. Motor Gearbox ASSY 60-023168-000
2503 Bruker Oxford Spectrospin BLAXH100/50P 200-400Mhz RF Unit
2504 Bruker Oxford Spectrospin DL620V002SX-88 unit
2505 Bruker Oxford Spectrospin HPPR Preamplifier w/ Z002510 Z002665 Z002511 modules
2506 Bruker Oxford Spectrospin SCB13R 16 Bit BSMS ECL03 with HRDAC – 703 module
2507 Bruker Veeco Wafer Inspection Chuck 17 3/4in 450mm Wafer Processing NEW (5318)
2508 BSI Wafer
2509 BTU / RTC Solar Furnace PV-609 S/N: RTC2506090603 BTU HCPA-4ir
2510 BTU 125N Furnace. Just removed from use. Looking for swift sale, pls make offer
2511 BTU 2002 BTU MODEL VIP98N PC CONTROLLED 7 ZONE BELT FURNACE / REFLOW OVEN
2512 BTU 2006 BTU PYRAMAX 98N REFLOW OVEN
2513 BTU 448 KVA BTU VIP98 Reflow Oven 7 zone 300C (572F) 29 sqft chain surface 22″ wide
2514 BTU 600 Gas Saturator for H2 / Hydrogen
2515 BTU Diffuzor 60″l x44 Dia Retort Diffision Funace 3 zone Tube ☆Great Shape☆
2516 BTU International PYRAMAX 150N Z12 Nitrogen Reflow Oven 18″ Belt Furnace
2517 BTU International Pyramax 75A Reflow Oven
2518 BTU INTERNATIONAL TFF51-4-36N26GT FAST FIRE 1050C NITROGEN OR AIR BELT FURNACE
2519 BTU PARAGON P98 Reflow Belt Furnace
2520 BTU Pyramax 150A Reflow Oven – 10 Zones – Edge Rail Only – 480V
2521 BTU Pyramax 98A Lead Free Reflow Oven (180201)
2522 BTU Pyramax 98A SMT Reflow Oven, Excellent Condition, Edge Rail and Mesh
2523 BTU VIP98N Convection Reflow Furnace
2524 BUDZAR ICE CHILLER MODEL AC-05-FCB-24-00-003 460v
2525 BUDZAR ICE CHILLER MODEL AC-05-FCB-24-00-003 460v With Thermal Care RA Series
2526 BUDZAR ICE CHILLER MODEL AC-05-FCB-24-00-003 460v With Thermal Care RA Series
2527 BUDZAR ICE PORTABLE PROCEESS CHILLER MODEL WC-15-FCB-36-4-001 460v
2528 Budzar Industries ICE Portable Process Chiller
2529 Buehler Ecomet 250 Polisher Grinder
2530 Buehler Ecomet 3 Variable Speed Grinder Polisher9
2531 Buehler Ecomet 3-Station Variable Speed Polisher Model 48-8183
2532 Buehler Ecomet 4 Variable Speed Grinder Polisher with Automet 2 Power Head
2533 Buehler Ecomet 6 Semiautomatic Polisher Grinder with Automet 2 Power Head
2534 BUEHLER ECOMET-4 VARIABLE SPEED POLISHER 49-1775-160
2535 BUEHLER SimpliMet 2000 Auto Mounting Press & STRUERS TegraPol-35 Grinder Polish
2536 Burleigh Exfo WA7100 Multi Wavelength Meter 1270 to 1680 nm6
2537 BX80-070955-14 / LM ARM CONTROLLER 2 / SINFONIA TECH CO LTD
2538 BYK Gardner 4725 Haze-Gard Plus9
2539 Caltex Systems Microscope Model: AMS-845xyz
2540 Calypso Hammerhead 2004 Calypso Hammerhead 2, 5′ x 10′ Table Size, 50 HP, 60k PSI, CNC Waterjet
2541 Cam/Alot Dispenser Head 642
2542 CAMALOT 3700 IN-LINE DISPENSING SYSTEM SET
2543 Camalot 635SD Pump Head Valve Cam/alot 635 Speedline FXD Xyflex Pro SMT PCB
2544 Camalot 642 Pump Head Valve Auger Camelot Speedline Dispenser Underfill PCB SMT
2545 Camalot 642 Pump Head Valve Auger Camelot Speedline Dispenser Underfill PCB SMT
2546 Camalot 642 Pump Head Valve Auger Camelot Speedline Dispenser Underfill PCB SMT
2547 Camalot 680 Pump Head Valve Camelot Speedline Dispenser PCB Xyflexpro + FXD
2548 Camalot Cartridge and Tube Assembly Feed FXD 8000 SMT PCB 680SD
2549 CAMALOT DISPENSER FEEDERS SET OF ONE 1130 AND ONE 1230 WITH LOADER AND UNLOADER
2550 Camalot Dispenser Pump. Heated Line DU Pump # 620-6
2551 Camalot FXD 8000-1 2010 Inline glue / solder paste dispenser 635SD, lift table
2552 Camalot FXD Adhesive/Glue Dispenser SMT/PCB Assembly – Speedline – used
2553 Camalot FXD Dispenser Underfill Glue Adhesive Solder PCB FX-D Dot Line 8000
2554 CAMALOT PRO PLUS Z ASSEMBLY,HI CAP-II P/N 1018578
2555 Camalot PZ Assembly PNZ 47070 Dispenser Xyflexpro 50947 Xyflexpro+ Dispenser
2556 Camalot Smart Stream Dispense Jet System Head Pump Xyflexpro + FXD SmartSteam
2557 Camalot Speedline 3800 Inline Dispenser, touch probe, vision, 635 valve #30020
2558 CAMALOT VORTEXX 3950 IN-LINE DISPENSING SYSTEM SET
2559 Camalot Xyflexpro+ 7200 Underfill Glue Dispenser Speedline Xyflex Pro SMT PCB
2560 Camalot Xyflexpro+ 7200 Underfill Glue Dispenser Speedline Xyflex Pro SMT PCB
2561 Camalot Z Head Lead Screw Coupling for FXD 8000 SMT PCB 680SD Leadscew Z-Head
2562 CAMALOT Z-AXIS ASSY ACTUATOR FOR PRODIGY P/N 1019499
2563 CAMALOT Z-AXIS ASSY,HI-CAP, DIRECT DRIVE HD-Z AXIS REFURBISHED P/N 47071R
2564 CAMBRIDGE INSTRUMENTS S100 SCANNING ELECTRON MICROSCOPE S/N 1141_FOR PARTS_$$$!_
2565 CAMBRIDGE INSTRUMENTS S100 SCANNING ELECTRON MICROSCOPE S/N 1141_FOR PARTS_$$$!_
2566 Cambridge Nanotech Model fiji F200
2567 Cammax Precema PPS60 Pulse Heat Controller
2568 Cammax Precima PPS60 Pulse Heat System Controller (2) Heated Workholders
2569 Cammax Precima PPS60 Pulse Heat System Controller (2) Heated Workholders
2570 Camtek Falcon PD 200mm Wafer Inspection System with Basler A201b Camera – As Is
2571 CANNON DCH37P101,019617-0021 itt cannon
2572 CANON 6191-0724 /ASSY PULSE MEASUREMENT BOARD/ CANON
2573 CANON 9103400374 / FAST PULSE CONVERTER /CANON
2574 Canon Anelva 1015i Sputtering System – 6″ -Plus Huge Quantity of Spares
2575 CANON BD4-3766 / CANON I3 1ST INPUT LENS WHOLE ASSEMBLY / CANON
2576 CANON BD4-7497 / CHUCK REMOVAL/CLEANING TOOL I 4 – I 5 / CANON
2577 CANON BG4-2035 / IPIF-III/ CANON USA INC
2578 CANON BG4-2102 / TTL-AF CPU / CANON USA INC
2579 CANON BG4-3835 / CPU20 II / CANON USA INC
2580 CANON BG4-4078 / AF CPU / CANON USA INC
2581 CANON BG4-5975-R00 / I1/I3 EXPOSURE LAMP POWER S. SB-15202AP / CANON
2582 CANON BG4-6463 / STAGE CD PCB, BH8-1752-02, BG8-2667 CONTROLLER ASSY / CANON
2583 CANON BG4-6728 / INTERMEDIATE LIBRARY UNIT 1, RS PCB / CANON
2584 CANON BG4-6732 / RETICLE CHANGE ELEVATOR W/PCB CANON BG4-8193 (BH8-1767-01)(BGB-2674) /CANON
2585 CANON BG4-6746 /RETICLE CHANGER CONTROL BOX, WF/RC IF PCB, P2 BACK PLANE PCB/ CANON
2586 CANON BG4-6943 / ALS CD PCB ASSY / CANON
2587 CANON BG5-0855-000 / ROBOT, ASSY, SCH THETA, 300MM / CANON
2588 Canon BG9-1827-000, IMF CPU Board, PCB, BG9-1827, BG8-2073, BH8-0210-01. 418403
2589 Canon BG9-1831-000, Board XY Stage I/F X, BG9-1831, PCB, BH8-0214-01. 418454
2590 Canon BG9-1831-000, Board XY Stage I/F X, BG9-1831, PCB, BH8-0214-01. 418455
2591 Canon BG9-1842-000, Board IME I/F, PCB, BG9-1842, BH8-0222-01. 418404
2592 Canon BG9-1842-000, Board IME I/F, PCB, BG9-1842, BH8-0222-01. 418405
2593 CANON BG94-2160 / RC IF PCB / CANON USA INC
2594 CANON BG94-3500 / ADP-11 / CANON USA INC
2595 CANON BG94-3502 /ACC-1/ CANON
2596 CANON BG94-3715 /TILT WZ I/F PCB/ CANON USA INC
2597 CANON BG94-3718 / XY STAGE IF PCB / CANON
2598 CANON BG94-3719 / IMF-IF PCB / CANON
2599 CANON BG94-3727 / X-Y CPU PCB / CANON
2600 CANON BG94-3730 / RC CPU PCB / CANON
2601 CANON BH8-1300-01 / CCD OPTF CAP/ CANON
2602 Canon EX3 / EX4 Stepper Beam Delivery System EX Used
2603 CANON EX-5 STAGE / CANON STEPPER STAGE GETSPARES ROCKS WHEN NICOLE STOCKS
2604 CANON FPA-1550MIV S / CANON STEPPER RETICLE LOADER FPA-2000I1 / CANON
2605 CANON FPA-2000 i1 WAFER STEPPER, 150 MM, CRATED
2606 CANON FPA6000 ES6A / FRONT RELAY UNIT STEPPER / CANON
2607 CANON FPA-6000ES6A / RETICLE LIBRARY WITH RETICLE ROBOT HANDLER / CANON
2608 CANON I3 / MASKING BLADE UNIT / CANON
2609 CANON PG-RR-B0D1 / ATM WAFER TRANSFER ROBOT / CANON
2610 Canon PLA-501 FA Mask Aligner w/ LOTS OF EXTRAS POWERS ON UNABLE TO TEST READ
2611 Canon PLA-521FA Parallel Light Mask Aligner
2612 CANON STEPPER LENS AND HOUSING ASSEMBLY
2613 Canon Stepper Lens Part Number KB-02 BG4-8886-R00
2614 CANON Y60-0941-000 / WAFER SEND UNIT (TYPE 4, LEFTHAND FEED) Y60-0941-R00 / CANON
2615 Carbolite CR/220 Clean Room Oven4
2616 CARESTREAM Bruker In-Vivo MS FX PRO X-Ray Imaging System IS4000MM PRO
2617 Carl Zeiss Microscope
2618 Cascade / Alessi REL 3200 Probe Station, 6″
2619 Cascade Ai Alessi Remote Series 5000 Probe Station
2620 CASCADE ALESSI REL-6100
2621 Cascade Alessi REL-6100 Manual Wafer Prober / Optem Microscope / New Wave Laser
2622 Cascade Microtech 11000 High Precision Manual Wafer Prober8
2623 Cascade Microtech Auto Prober Parametric Series PS21 with Full 4 Month Warranty
2624 Cascade Microtech Form Factor MPS150 6″ inch RF Prober **New** 1 YEAR Warranty
2625 Cascade Microtech probe card holder
2626 Cascade Microtech REL 4800 Manual 8 inch Prober MH DC Probes Mitutoyo MicroScope
2627 Cascade Microtech REL 6100 Manual 8 inch Prober MH DC & RF Probes Mitutoyo Scope
2628 Cascade Microtech REL-4800 Manual Probe Station Heated Summit 12K Compatible
2629 Cascade Microtech REL-6100 Manual Submicron Analytical Wafer Prober5
2630 Cascade Microtech RF-1 Microwave prober
2631 Cascade Microtech RHM-06 Probe Station with Olympus SZ60 Microscope
2632 Cascade Microtech Summit 11000 High Precision 200mm Manual Wafer Prober
2633 Cascade Microtech Summit 12000 REL 4800 6100 Dark Box Enclosure EMI Air Isolated
2634 Cascade Microtech Summit 12000 REL 4800 6100 Dark Box Enclosure University Disnt
2635 Cascade Microtech Summit 9000 Manual Analytical prober
2636 Cascade Microtech Summit 9000 Manual Analytical prober
2637 Cascade Microtech Wafer Chuck Prober Microscope Station Positioning Stage Base
2638 Cascade PS21
2639 Cascade PS21
2640 Castrol Tribol™ GR 215-2 – Low Friction, Anti-wear, Clean room Grade Grease, 14
2641 CC09C-P-F-HP / OVEN TEMP RANGE 250C TO 482F BOM# 455815-1 / BLUE M
2642 CDE Resistivity Resprobe Model 178
2643 CDP-050R0-8F / CDP-1/2/PUMP DIAPHRAGM PUMP / FURON
2644 CE10MHSK8YR entegris gatekeeper hydride gas purifier matheson nanochem NH3 ASH3
2645 CE440 /KLA COMPUTER, EV300/ KLA
2646 CEDARBERG Heavy Duty Rotary Phase Converter 8100-006
2647 CEDARBERG Heavy Duty Rotary Phase Converter 8100-007
2648 CEDARBERG Heavy Duty Rotary Phase Converter 8100-008
2649 CEDB-0011 / INDEXER BOARD ID – A/B/C 2-VC-15252 / DNS DAI NIPPON SCREEN
2650 CEE Hot Plate
2651 CEE OPAL 78312559000 / CEE SYSTEM POWER SUPPLY / OPAL
2652 CEHC-0011 / HEATER CONTROLLER BAKE UNIT DNS60A MAX 8 HP / DNS DAI NIPPON SCREEN
2653 CELERITY 115909 / MAGNIFLOW MOTOR, PUMP, CENTRIFUGAL BSM-4.2-30 / CELERITY
2654 CELERITY 115909 / MAGNIFLOW MOTOR, PUMP, CENTRIFUGAL BSM-4.2-30 / CELERITY
2655 CELERITY 387-00006-000 / PROBE, CONCEN, SOLIDS, ANALOG, 0.05-50 / CELERITY
2656 Cencorp 1000 VR PCB Depanelizer Circuit High Volume Board In-Line Router Robot
2657 Cencorp TR2100 PC Board Router SMT PCB Depaneler Top Spindle Saw PMJ TR1000 CNC
2658 Cencorp TR2100 Router
2659 Cencorp. 540 Series Printed Circuit Board PCB Profiler
2660 Centorr Vacuum Industries Furnace
2661 Centrotherm Clean Solutions Abatement System Model CT-BW OH300K4, LAM 9400
2662 Ceramic Puck for Surfscan 64X0 End Effector Surf 6420 6400 339830
2663 CERAMIC TECH INC  620T0065-03 / PADDLE ASM ENDEF RLR EDGEG WD / CERAMIC TECH INC
2664 CERB-0016 2-VC-152 / TRANSPORT BOARD PCB 80A SLOT 2 AP-1032C W/ EXCHANGE / DNS
2665 Cesar 1310 / CESAR 1310 RF GENERATOR / DRESSLER
2666 CHA 1000 Ebeam System
2667 CHA 600 Vacuum System
2668 CHA INDUSTRIES MARK 40
2669 CHA Industries SEC-1000 Dual Thermal Evaporator
2670 CHA Industries SR-10 Vacuum Deposition Chamber Power Supply
2671 CHA MARK 40
2672 CHA Mark 40 6 pocket Ebeam & Dual Thermal Evaporator / SR10 / CTI 10 /Excellent
2673 CHA SE-600 High Vacuum E-Beam Evaporation System
2674 CHA SE-600-RAP Single Pocket E-Beam Evaporator
2675 CHA SEC-600-RAP E-Beam Evaporator SR-10 Power Supply Leybold WAU251/D60A Vacuum
2676 Chamber Wide Body PVD, Shell, 0040-20195, Endura, IMP, 424163
2677 Chemwest 420503 Automated Slurry Filter Cabinet System for CMP Filtration
2678 Chemwest Systems Liquid Particle Bench with PMS Liquid Particle Sampler 420547
2679 Chiller Air-cooled scroll high efficiency 74 Ton
2680 Chroma 58173 Wafer LED Chip Level Tester Prober Software, Probes, ESD PXI 52962
2681 Chroma, LED Chip Level Tester, Model-58173, Manufactured Year 2014
2682 CI SYSTEMS, NTM 500-D, 27-258087-00
2683 CIC photonics IRGAS epitorr FTIR detect impurities in semi gas down <10 ppb amat
2684 Cincinnati 2001 Cincinnati CL707 Laser
2685 Cincinnati Milacron Air cooled chiller MCA-10A-RC w/Remote Heat Exchanger,10 Ton
2686 Citizen Automatic Pick and Place Machine A480 feeders, nozzles, parts
2687 CKD PMC32 Robot Assemby w/Arm, CKD UPS-1K
2688 CKD TEL VEC-VF8-X0001 2112-000119-11 / CKD SYSTEM VALVE CONT AND TUBE VACUUM / CKD TEL
2689 CKD VEC-VH9-X0329 Vacuum Pressure Proportional Control System
2690 CKD VP1000 Solder Paste Inspection PCB SMT Corporation PC Board Height Nice!
2691 Class 100 ISO 5 Modular Clean room 576 to 40K Sq feet ULPA MW Zander & Grid HEPA
2692 Class 1000 ISO 6 Modular Clean room 576 to 40K Sq feet ULPA MW Zander Grid HEPA
2693 Class A spectra physics newport solar simulator 91195A w/ 69920 68945 controller
2694 Clean Green Antifreeze / Chemical Evaporator
2695 Clean Room for sale Modular CleanRoom Class 100 to 100,000 / ISO 5 to ISO 8
2696 Clean Room Modular CleanRoom Class 10 to 100,000 / ISO4 to ISO9
2697 CLEANPART SET ANODIZED TYPE III WDO PLA 715-032012-116-0002
2698 CLEANPART SET ANODIZED TYPE III WDO PLA 715-032012-116-0005
2699 Cleanroom for sale clean room class 10 to100,000 / ISO 4 to ISO 9
2700 Cleanroom for sale clean room class 10 to100,000 / ISO4 to ISO9
2701 Cleanroom for sale hard wall clean room class 100 -100,000 / ISO 5 – ISO 8
2702 Cleanroom for sale modular clean room class 100 -100,000 / ISO 5 – ISO 8
2703 CMS PS-700 3~6 Micron Silicon Wafer Laser Marking System – Powers ON – As Is
2704 CMT Automation Bare Board Destacker BS-M-HC
2705 Coherent  10w raydiance ultrafast femtosecond fiber laser CPA system coherent onefive
2706 COHERENT 1080980 /LASER POWER SUPPLY WITH LASER HEAD SET/ COHERENT LASERS
2707 Coherent 2010 Coherent Omnibeam 500 Fabrication, Lasers Ref # 8038953
2708 COHERENT LASER K2K
2709 Coherent VITESSE Laser Diode 5W POWER SUPPLY Rudolph Metapulse MP MPII MPIII
2710 Coherent VITESSE Laser Diode Set 5W Rudolph Metapulse MP MPII MPIII
2711 COHU 2122-10000000 / COHU SOLID STATE CAMERA WITH EXCHANGE
2712 Cohu Delta Design Turbo-Flex FLEX-1010 2×8 Pick & Place IC Chip Test Handler
2713 Cole Parmer 77111-77 Masterflex Digital Drive Modular Washdown 11-321 rpm 230
2714 Collins Aerospace Macrolink Python Workstation P 700MHz Processor 256MB
2715 Columbia 0310 Shears Fabrication Ref # 8040039
2716 Comdel  CX-2500 Comdel FP3305R1 RF Generator 2.5kW @ 3.39MHz Used Tested Working
2717 Comdel  CX-2500 Comdel FP3305R1 RF Generator 3.39MHz New Surplus
2718 Comdel  CX-2500S Comdel FP3323R1 RF Generator 13.56Mhz Tested
2719 Comdel CB5000 Novellus 27-335415-00 5000W High Frequency RF Power Supply Unit 3U
2720 Comdel CLF-5000/400, RF Generator. CLF-5000 Low Frequency
2721 Comdel CLX2500 RF Generator FF1336R3 0190-09845, 1250 Watts *Used Tested Working
2722 Comdel CLX-2500 RF Generator, AMAT 0190-13837, 350 KHz-LF, 480V, 3 Phase,
2723 Comdel CLX-2500 RF Generator, AMAT 0190-13837, 350 KHz-LF, 480V, 3 Phase,
2724 COMDEL CPS1001 / COMDEL CPS 1001 / COMDEL
2725 Comdel CX1250AS Air Cooled RF Generator FP3217R2 CX1250S/A RF Power Supply 13.56
2726 Comdel CX-5000S RF Generator CPS-5000 Power Supply 13.56 MHZ (Tested Working)
2727 Comdel CX-5000S/CX-5000DC RF Generator/Power Supply 5kW, AMAT PN: 0190-18052
2728 Comdel FP7526RB Rf Generator CB3500, 27.12, DNET, 480V
2729 Comdel Inc. Model CPS-500AXM / 13.56 RF Power Source
2730 Commonwealth Scientific Ion Beam Miller Etcher, substrate mount tooling
2731 COMMONWEALTH SCIENTIFIC LOAD LOCKED ION MILLLING SYSTEM
2732 CompactPCI 6600-CPCI07TNI4 Backplane Board PCB PICMG2.0 R3.0 NSR-S620D Spare
2733 Complete Semitool 860 F/L Spin Rinse Dryer Rinser Unit w/ A110-10-0215 Rotor
2734 Complete Semitool 860 F/L Spin Rinse Dryer Rinser Unit w/ A182-39MLC-0215 Rotor
2735 Computer and Software Retrofit for PlasmaQuest RIE System Astex MKS
2736 CONCEPTRONIC HVC 102 Conveyor belt oven solder soldering circuit board assembly
2737 CONCEPTRONIC HVN 102 Conveyor belt OVEN solder soldering circuit board assembly
2738 Cone Plate Viscometer with Temperature Bath and Rheocalct computer software
2739 Contact Angle Measurement CON-01
2740 Contact System CS-400E Component Locator
2741 Contact Systems CS-400E Component Locator Cut and Clinch PCB SMT CS-201 Bins
2742 Contact Systems CS400E Ultra Clinch Component Locator CS201 Rotary Bin Carousel
2743 CONTACT SYSTEMS CS-740B D JIT Bin Component Storage Cabinet PCB Assembly Machine
2744 Contact Systems/Opti-Print 1616PD Benchtop Automatic Screen/Stencil Printer SMT
2745 Contact Systems/Versatech 2016 CS-400E Cut and Clinch Component Locator
2746 Control Micro 20W Fiber Laser 06036
2747 Convectron 25KW Convectron RD3 Chain Tunnel Oven 80 amp 208 3 phase LCD interface
2748 Conveyor Tech FMU-72I Magazine Handler
2749 Conveyor Technologies 2010 Conveyor Technologies CTI FM2Q-72L Magazine Loader/Unloader
2750 Conveyor Technologies 2017 CTI Systems Conveyor Technologies Slide Gate Conveyor MSG-63I-E-LT
2751 Conveyor Technologies 90 degree turn unit / rotation conveyor programmable inpu
2752 Conveyor Technologies Accumulator PB-1M-9
2753 Conveyor Technologies CTI FM2Q-72L Magazine unloader front of line 5 magazine
2754 Conveyor Technologies MDS-.6M-UL Bare Board Gravity Destacker (181001)
2755 Conveyor Technologies MDS-.6M-UL Bare Board Gravity Destacker (181001)
2756 Conveyor Technologies Shuttle Conveyor SHC-601-REF 2011 Vintage
2757 Cookson Speedline Technologies MPM Ultraprint 1500 Screen Printer
2758 Coorstek Norton Silicon Carbide Coated Process Tube, 8″ x 84″, 50 28 ball socket
2759 Coorstek Silicon Carbide Vertical Furnace Boat TEL KOKUSAI ASM, 200mm 220 Slot
2760 CORONA/8/E / PCI FRAME GRABBER PCB OUT OF (750-693047-002, IPC CE400) / MATROX
2761 Cosel SGYD7002-2 Power Supply PCB Card Nikon 4S001-142 NSR-S620D
2762 CPI 73154711 Cathode High Voltage Tank, 731547, 423786
2763 CPI CPW2870A8 – TYPE G19-0017500 – 460/480V – 60A 50/60Hz 3PH Power Supply
2764 CPI CPW2870B10 – TYPE 27-126103-00 REV 1 – 460/480V 58A 50/60Hz 3PH Power Supply
2765 CPI CPW2870B10 – TYPE 27-126103-00 REV 1 – 460/480V 58A 50/60Hz 3PH Power Supply
2766 CPI CPW2870B10 Power Supply, 27-251231-00, 460/480V, 58A, 50/60Hz, 3PH, 421032
2767 CPI VPW2870V6 – TYPE TFS 04-730636-01 REV B – 460/480V 40A 50/60Hz Power Supply
2768 CPI VPW2870W6-HC-M – TYPE TFS G190021900 460/480V 40A 50/60Hz 3PH Power Supply
2769 CPI VPW2870W6-HC-S – TYPE G190021900 – 460/480V – 20A 50/60Hz 3PH Power Supply
2770 CPI VPW2870W6-M – TYPE P/N G190021900-HC 460/480V 40A 50/60Hz 3PH Power Supply
2771 CPMX-2500 Comdel FP2330R1 Automatic RF Matching Network Matchpro New Surplus
2772 Creative Design Engineering Auto Cass. Load Four Point Probe Resistivity Mapping
2773 Crefco EB3000/10 Mechanical Shear Fabrication Ref # 7795842
2774 Cryco CVD Door Closure Assy 225/235mm, Used 150mm wafers Expertech furnace
2775 Cryo Elite
2776 Cryo Pump With Sumitomo Cryogenic Refrigerator Cold Head RD-130
2777 CryoGenics, CTI-8116081G006
2778 CRYO-T6EH/CRYOGENICS SUPER TRAP T SERIES WITH RS 10 REFRIG/ULVAC CRYOGENICS INC
2779 CRYO-TORR 10 CRYOPUMP 8018182G001R
2780 Crystalox Semiconductor Pulling Head Crystal Growth System/Vacuum Chamber
2781 CSZ Temperature Humidity Test Chamber CSZ ZPH-8-1.5H/AC
2782 CSZ ZPHS-16-3 5-3 5-SC/AC
2783 CTI 400 CRYO PUMP
2784 CTI 400 CRYO PUMP
2785 CTI 400 CT-400 Cryotorr Cryo Pump / p/n 8050059 / CVC Flange / Warranty
2786 CTI 400 CT-400 OnBoard Cryo Pump / p/n 8112430 / CVC Flange / Used w Wrty
2787 CTI 8031023G001 / 1020R AIR-COOLED COMPRESSOR 230V 60HZ 3Ph / CTI CRYOGENICS
2788 CTI 8033165 / CRYO-TORR 8ANSI FLANGE CUSTOM HELIUM LINES / CTI CRYOGENICS
2789 CTI 8033235 /CRYO TORR CTI 8R ANSI ROUGH LINE AND DIODE CONN/ CTI CRYOGENICS 8R PUMP
2790 CTI 8116015G001 / CRYO-TORR 8F CRYOPUMP 8F / CTI CRYOGENICS 8116015G001R
2791 CTI 8135901G001 / 9600 CYRO PUMP COMPRESSOR 380 TO 460VAC 3PH /CTI
2792 CTI 8300 Compressor
2793 Cti 8f Cryopump
2794 CTI 8F Cyro-Torr Cryogenics Pump New in box 6” ASA flange
2795 CTI Brooks, 8033264 Cryo Pump, Cryo-torr 8R, 414681
2796 CTI Conveyor Technologies 1 Meter Large Board 26″ Wide PCB Conveyor
2797 CTI Conveyor Technologies Aisle gate pass through conveyor
2798 CTI Cryogenics 9600 Compressor – in Singapore
2799 CTI Cryogenics 9600 Compressor 8135900G001 Brooks Cryo Pump Helium Gas
2800 CTI Cryogenics 9600 Helium Gas Compressor for Brooks Cryo Pump 8135900G001 #2
2801 CTI Cryogenics 9600 Helium Gas Compressor for Brooks Cryo Pump 8135900G001 #3
2802 CTI Cryogenics 9600 Helium Gas Compressor for Brooks Cryo Pump Model 8135900G001
2803 CTI CRYOGENICS CRYO TORR 8F CRYOPUMP System With ULVAC D-330DK Vacuum Pump
2804 CTI Cryogenics CT-10 Cryo Vacuum Pump Tested Clean Rebuilt ASC CP-10 Oxford
2805 CTI Cryogenics OB-10 CryoPump – In Singapore
2806 CTI Cryopump On board 8
2807 CTI CT-10 8018182 Cryo Pump ASA / ANSI Flange 10″ Cryo Pump / Pump Only
2808 CTI Cyrogenics 8510 Compressor – Model #8031315
2809 CTI Shuttle Gate Conveyor PCB SMT
2810 CTI/Brooks IS-1000 LV On-Board Helium Cryopump Compressor AMAT PN: 3620-00317
2811 CTI-Cryogenics 0190-12086 On-Board P300 Cryopump with Module 8113212G001 Working
2812 CTI-CRYOGENICS 0190-23043 ON-BOARD RET ROFIT INTERFACE
2813 CTI-CRYOGENICS 0190-23043 ON-BOARD RET ROFIT INTERFACE #2
2814 CTI-CRYOGENICS 0190-23043 ON-BOARD RET ROFIT INTERFACE #2
2815 CTI-Cryogenics 8113177G002 CPC Module Terminal Strip Brooks Automation
2816 CTI-Cryogenics 8116250G001 On-Board P300 Cryopump with Module 8113192G001 Spare
2817 CTI-Cryogenics 8120944G001 Helix On-Board Waterpump 3918059G002 W Module, 452691
2818 CTI-CRYOGENICS 8200 COMPRESSOR 8032550G001
2819 CTI-Cryogenics 9600 Compressor – Model# 8135900G001
2820 CTI-Cryogenics IS-8F P/N: 8185037G00? Cryopump Vacuum Pump
2821 CUSTOM PLASMA CHAMBER W/ CONTROLLER
2822 CUSTOM SPUTTERING SYSTEM W/ 5 SPUTTERING SOURCE & 1 EVAPORATION SOURCE
2823 CUTLER-HAMMER LV SWITCHGEAR0
2824 CVC 601 Sputtering Deposition System Sputter With Cryo Torr 8F High Vacuum Pump
2825 CVC FILAMENT THERMAL EVAPORATOR (18″ Diameter X 30″ Tall bell jar)
2826 CVC Products 2800 Load Lock Dual Process Chamber Sputtering System with Handler
2827 CVC Products thermal evaporator Hi vacuum coater resistance CV-18 Glass belljar
2828 CYBEQ 8000 PER4MER / SINGLE ARM WAFER ROBOT, 0125-7002, 30-010-01/ IDE
2829 Cybeq Systems, 6100 Robot, Wafer Robot, With Hama Labs DRM100 Laser, NOT TESTED
2830 CyberOptics KS50 PCB Inspection System w Conveyor and Manuals
2831 CyberOptics QX500-L 10-2011 Vintage AOI Automatic Inspection Machine #70064
2832 CyberOptics SE300 3D SPI – Solder Paste Inspection (2008)
2833 CyberOptics SE-300 Ultra 3D Solder Paste Inspection System
2834 CyberOptics SE-300 Ultra Anorad 102047-B 8012572 Assembly
2835 CyberOptics SE-300 Ultra Sensor Assembly 8008878R
2836 CyberOptics SE350 3D SPI – Solder Paste Inspection (2010)
2837 CyberOptics SE500 Ultra 3D SPI Solder Paste Inspection 3-2015 # 55075
2838 CyberOptics SE600 ULTRA 3D SPi Solder Paste Inspection 2014
2839 CYBOR PHOTORESIST PUMP MODEL: 5126 C , CONTROL MODULE MODEL: 505E3NR ,SEE DETAIL
2840 Cylindrical Auger Electron Optics PHI 10-155 S/N 155-6-087
2841 Cymer 248nm Lens, Pos A,B,C Set – New
2842 CYMER CHIP WAFER LASER STABILIZATION BAM MOD 172207 149363 W/EIS 193NM 6KHZ
2843 Cymer Inc 05-03151-07 Power Supply
2844 Cymer Inc Electro-optics Assembly 05-09005-05 05-09079-02
2845 Cymer S05-09005-05 Stabilization Module
2846 CYONICS – UNIPHASE 2111A-20SLAT / PWR SUP ARGON 21-010240 TWAVE / CYONICS – UNIPHASE
2847 DAEJIN SEMITECH 5132-05026-D /CHUCK DIECAST DAS-2000
2848 Dage 22 Microtester (Rebuilt) 1 year warranty on parts.
2849 Dage 22 Microtester (Rebuilt) 1 year warranty on parts.
2850 Dage 22 Microtester with 1 Year warranty on parts
2851 Dage 22 Microtester with warranty
2852 DAGE 22 TESTER With Computer Interface
2853 Dage 2400 Tester (Includes 2 KG ball/die shear load cell)
2854 Dage 2400 Wire Bond Pull & Ball Shear & Die Shear Tester
2855 Dage 2400PC Wire Bond Pull/Ball Shear/Die Shear Tester w/ WP100 Load Cell
2856 Dage 4000 Bondtester, BS250, TP5KG, BS5KG, Calibration Fixtures and more
2857 Dage 4000 PA Bond Tester DS100 BS5KG Wire Pull Shear Die Ball
2858 Dage 4000 PAXY Bond Tester
2859 Dage 4000P Modular Wire Pull/Die Shear Bond Tester with Nikon SMZ-2B Microscope
2860 Dage BT22 Microtester w/22 gram Pull Tester & Heated Workholder
2861 Dage BT28 Wire Bond Pull & Shear Tester +(1) Load Cell (20gm 100gm 10kg)
2862 Dage Microtester 22 BT22 Wire Bond Pull / Shear Tester w/ 5kg Load Cell
2863 Dage Microtester 22 Wire Bond Pull / Shear Tester w/ SZ4, 20gm Load Cell
2864 DAGE XD7500 TR Open Tube 160KVa PCB BGA X-Ray machine
2865 DAGE XD7600NT Diamond CT X-Ray NT100 HP 10W, 100nm feature
2866 Dai Nippon Screen (DNS) 2-VC-28690 CONTROLLER, HEATER
2867 DAIFUKU OPC-2603A / PCB OPC-2603A / DAIFUKU
2868 Daihen AGA-27C-V RF Generator HFA-30A-V TEL 3D80-000825-V3 Used Tested Working
2869 Daihen AMN-30F-V RF Auto Matcher TEL Tokyo Electron 3D80-000142-V6
2870 Daihen AMN-30F-V RF Auto Matcher TEL Tokyo Electron 3D80-000142-V8
2871 daihen atm15a 1.5 kw magnetron w/ power supply auto tuner & controller 2450 mhz
2872 Daihen OTC Corporation DRFS-5SA – 200VAC RF DC Power Generator Supply – New
2873 Daihen RGA-50C Daihen RGA-50C-V RF Power Generator Used Tested Working
2874 DAIHEN RGA-50C RF POWER GENERATOR
2875 Daihen RGA-50C-V RF Power Generator TEL 3D39-050099-V4 Used Tested Working
2876 Daihen RMN-20H RF Matching Network 13.56 MHz, 2 kW TEL PN: 3D80-001533-11
2877 Daihen RMN-50N6 RF Generator
2878 Daihen RMN-50P Refurbished w/ONE-YEAR Warranty
2879 Daihen SGP-15B, Analog RF Microwave Power Generator, 2450MHz, 1500W, 416900
2880 Daihen SGP-15B, Analog RF Microwave Power Generator, 2450MHz, 1500W, 416908
2881 Daihen SGP-15B, Analog RF Microwave Power Generator, 2450MHz, 1500W. 416909
2882 Daihen SMA-20B Microwave Waveguide Magnetron Assembly Hitachi M-712E Etcher Used
2883 Daihen WGA-50E-V RF Generator Stack TEL 3D80-001480-V1 Used Tested Working
2884 Daihen WGA-50E-V RF Power Generator Stack Tested As-Is
2885 Daihen WGA-50E-V RF Power Generator Stack Tested Not Working
2886 Daihen WGA-50E-V RF Power Generator Stack Tested Not Working Dew Fault As-Is
2887 DAIKIN  PUCX500C- DAIKIN AIR CONDITIONING UNIT SCREEN SK2000 DAIKIN PLANT PUCX500C
2888 Dainippon Screen 2-VC-28124 GEIA-001 D2480-1 6-AXIS Motor Driver MITSU MR-C10A1
2889 Dainippon Screen DNS 200W Track STEPPER (ASML) INTERFACE MODULE, 200 MM
2890 Dainippon Screen DNS 5-F2-D7533 Cover
2891 DAINIPPON SCREEN EEPH-002 CONTROLLER
2892 Dainippon Screen GEYH-003 controller with GEIC control card and cables
2893 Daitron WT-8000 Himec Wafer Sorter Transfer Module *, 90 day warrant
2894 DALSA HU-M0-1M230-04-L / GAS ANALYZER DALSA PCB’S WITH EXHAUST AND SUPPLY
2895 Data Technology DT9066
2896 Datacon Semiconductor Enclosure with Omron C20HB-MD212 C20HB-CPU01
2897 DDM Novastar 2000HT Conveyor Reflow Oven
2898 DDM Novastar ESS-500 Selective Soldering Machine
2899 DEGREES F INDUSTRIAL AIR HANDLER 2001 RANSCO COMBINATION AIR SUPPLY 68 – 122 DEGREES F INDUSTRIAL AIR HANDLER
2900 DEGREES F INDUSTRIAL AIR HANDLER 2001 RANSCO COMBINATION AIR SUPPLY 68 – 122 DEGREES F INDUSTRIAL AIR HANDLER
2901 DEK 02i stencil printer (2008) large board capable
2902 DEK 160117 Control Enclosure with Circuit Cards
2903 DEK 181073 Control Enclosure with Circuit Cards
2904 DEK 181073 Control Enclosure with Circuit Cards
2905 DEK 2011 DEK Photon Screen Printer with Hawkeye
2906 DEK 265 Horizon Screen Printer Fully Functional
2907 DEK ASM E 2018 Horizon Automatic Screen Printer Win7 #852546
2908 DEK ASM Galaxy Model 810 SMT Screen Printer hybrid, high end SMT , YR 2015
2909 Dek Europa Screen Printer
2910 DEK Horizon 01 Printer (2002) SMT
2911 DEK Horizon 01i Screen Printer (160825)
2912 DEK Horizon 01iX Screen Printer with Hawkeye
2913 DEK Horizon 02 SMT Screen Printer w/Green Camera, Blue Stencil Wipe
2914 DEK Horizon 02i Screen Printer
2915 DEK Horizon 02i Screen Printer
2916 DEK Horizon 02i Screen Printer
2917 DEK Horizon 03 Screen Printer (2005)
2918 DEK Horizon 03 Screen Printer with Blue USC and Graphite Camera Installed
2919 DEK Horizon 03i FP Plus Screen Printer- 2D Board Inspection – Blue USC – & More!
2920 DEK VISTA 01 Large board screen printer 610x620MM, vortex claner, 2003
2921 DEK-Heller PV1200 PV Metallization line
2922 Dektak 3030 upgraded to a 3ST Measuring Stylus Profiler. 1 Year Warranty.
2923 Dektak 3030 upgraded to a 3ST Measuring Stylus Profiler. 1 Year Warranty.
2924 Dektak 3ST Surface Profilometer / 6 month warranty / Refurbished / 6″ Capable
2925 Dektak 8 Stylus Profiler Profilometer Bruker Veeco
2926 DEKTAK Model 8000 Robotic Profilometer w/ Robot
2927 Delatech 859 CDO
2928 Delatech CDO 858 Exhaust Gas Scrubber / Oxidation System / Gas Burn Box, Used
2929 Delta 3 Vitronics Soltec
2930 DELTA PYRAMID 6200 / 1977400 TEST HANDLER 3PH GND SCCR 5KA RMS LOAD40A/40A / DELTA
2931 Densei-Lambda DPS2800 Power Supply TEL Tokyo Electron Lithius Working Spare
2932 Denso 593682-2010 Servo Driver PZTDriver Nikon 4S587-076 NSR
2933 DENTON 502 FILAMENT/THERMAL EVAPORATOR
2934 Denton 502A evaporator with Inficon XTC controller
2935 DENTON DV-502A VACUUM W/ DSM-5A COLD SPUTTER COATER MODULE SPUTTERING SYSTEM
2936 DENTON INFINITY 26 EBEAM EVAPORATOR DEPOSITION CHAMBER COATING SYSTEM
2937 Denton Vacuum Desk V w/JB Vacuum Pump and New Screen-EZ Panel & 2 Gasket-Bell
2938 Denton Vacuum DV-502A High Vacuum Oil Diffusion Pump Deposition System
2939 Denton Vacuum DV-502B Dual Source Thermal Evaporator w/ Crystal Deposition Cont.
2940 Denton Vacuum DV-602 Single target RF Sputtering System1
2941 Denton Vacuum W/Pfeiffer Vacuum,Turbo III W/ PTG28 040,Evaporator & Controller
2942 DEP 04-714413-01 6″ HEATER ASSEMBLY, DEP
2943 Deposition Source Effusion Cell with Power Supply1
2944 Deprag MC182 / 389074B Pick & Place Robot with Motion Controller Assembly
2945 Desktop Fluid Dispensing System
2946 Despatch 0367091 Magnetic Vacuum Annealing Furnace Magnetic Industrial Oven
2947 Despatch EC305 Ecosphere Environmental Chamber with 14 day warranty
2948 Despatch LCC1-51V-3 High Performance Clean Process Oven
2949 Despatch LEB1-69-1 LBB/LEB Forced Convection Bench-Top Oven
2950 DESPATCH MODEL PBC 2-24 BURN IN OVEN 2′ X 3′ X 4′ ID / 410 DEGREE FARENHEIT
2951 DESPATCH MODEL PBC 2-24 BURN IN OVEN 2′ X 3′ X 4′ ID / 410 DEGREE FARENHEIT
2952 Despatch Oven. Out of Intel. Looking for a swift sale, pls make offer, motivated
2953 Despatch PBC2-16 EG&G Wakefeild Oven *, 90 day warranty*
2954 Despatch SNB2-24-S Semiconductor Tool Clean Room Inert Gas Conditioning Oven
2955 Despatch Wafer Curing Oven- Type D40B
2956 Devprotek FTF-21P-RA4B Automated Matrix Tray Feeder for Universal Instruments
2957 DFK 2007 DEK Europa Screen Printer with Hawkeye (2 Available)
2958 DFL 7160 / FULLY AUTOMATIC LASER SAW MFG 2006 /DISCO
2959 Diahen AGA-50G-V RF Power Generator AGA-50G
2960 Diahen AGA-50G-V RF Power Generator AGA-50G
2961 Die-Sep TT-68-2-9 (11/2005) Die Set Mold Splitter Separator Capacity 20,000 LB
2962 Diffusion Furnace
2963 Digial Instruments Veeco Dimension 9000M Atomic Force Microscope AFM
2964 DIGITAL BA353-AJ / DESKTOP STORAGE EXPANSION / DIGITAL
2965 DIGITAL DYNAMICS, INC 62-288748-00 / I/O CONTROLLER / DIGITAL DYNAMICS, INC
2966 Digital Instruments Dimension 5000R // 5000R-1/NTSC With Micron-G Table
2967 Digital Instruments DUVX-200 VEECO DUV DUVX-200 Vx-200 Atomic Force Profiler
2968 Digital Instruments Nanoscope III AFM / LFM Microscope Atomic Force Microscope
2969 Digital Instruments Veeco Dimension 9000 TipX Stage Controller w 14 day warranty
2970 Digital Instruments Veeco NanoScope IVM with 14 day warranty
2971 Digital Instruments Veeco Stage Box, Jr. Dimension with 14 day warranty
2972 DIGITAL INSTRUMENTS/ VEECO DIMENSION 3000 ATOMIC FORCE MICROSCOPE AFM 8″
2973 Digital Instruments/Veeco Metrology Dimension VX210 Atomic Force Profiler
2974 DILAS Compact 400W OEM High Power Diode Laser Scriber w/Galvo & Pyrometer
2975 Disco Corp. VG256-15Z-D10 Vacuum Unit
2976 Disco DAD 2SP/6T Automatic Dicing Saw
2977 Disco DAD 2SP/6T Automatic Dicing Saw
2978 Disco DAD 321 Dicing Saw – Overall good condition – See Notes – Contact us.
2979 DISCO DAD-2H/6T Dicing Saw
2980 DISCO DAD-2H/6T Dicing Saw
2981 DISCO DAD695 / DICING SAW 200VAC 3PH 3WIRE W/GRND 50/60 HZ 22A / DISCO
2982 Disco DFM A150 DI – Wafer Mounter / Overall good condition-See Notes-Contact us.
2983 DISCO GF01-SD360-VS202-100 200X4WX4T-ST / DIAMOND GRINDING WHEEL
2984 DISCO MODEL DFD 620 DICING SAW_HARD-TO-FIND UNIT_AS-DESCRIBED-AS-AVAILABLE_FCFS~
2985 DISCO NCP00060–F / SYNCRO SPINDLE / DISCO
2986 DISCO NCP00060–G SYNCRO SPINDLE / DISCO
2987 Disco Surface Planer / Planarizer DAS8920 — Accepting Best Offer
2988 DIT-MCO FACT 7000 Relay, Diode, Wiring Analyzer / Advanced Measurement System
2989 DNS 12612G01/ BROOKS AUTOMATION SENTRY 1000 W/CD & WEIGHT KIT 100CFM, DNS PART
2990 DNS 2-VC-28124, GEIA-001/ 6-AXIS MOTOR DRIVER, D2480-1 DNS SK-200/ DNS
2991 DNS 30C05C-A004 / DNS ROBOT CONTROLLER – IFB2 / DNS
2992 DNS 30C05D-A002/ DNS ROBOT CONTROLLER-ID / DNS
2993 DNS 30C05D-A003/ DNS ROBOT CONTROLLER- IFB1 / DNS
2994 DNS 6-39-01733 / FAN FAN FILTER PCF-14SU38EA-S / DNS DAI NIPPON SCREEN
2995 DNS AS2000-MODEL/POWER DISTRIBUTION CENTER / DNS
2996 DNS BP-0011B GERC-0021 / DNS SLAVE BOARD WITH EXCHANGE / DNS DAI NIPPON SCREEN
2997 DNS DAI NIPPON ROB 3 ROBOT WET STATION / ROBOT FOR WET STATION PROCESS 820 DNS
2998 DNS DAI NIPPON SCREEN 1C-2106-002-0018 / QTZ CHUCK RIGHT SHORT COATED KDNS
2999 DNS DAI NIPPON SCREEN UA012-282A / MEIDEN MAIN CONTROLLER
3000 DNS DAI NIPPON SCREEN UA014/546A / HOST CONTROLLER SK2000 2-VC-41424? MEIDEN / DNS DAI NIPPON SCREEN
3001 DNS Dainippon Screen 2ARFH883 Bake Box Parts Set of 2 New Surplus
3002 DNS GEBM-101 / 200W-AH ADHESION HOT PLATE ASSY / DNS DAI NIPPON SCREEN SK-200
3003 DNS GEPH-001X7 / DNS SK-200 POWER CONTROL UNIT WITH EXCHANGE / DNS
3004 DNS POWER SUPPLY MR-2015A 2-39-45432 DAINIPPON SCREEN ENGINEERING (3ea) MR2015
3005 DNS PS8098, 2-39-66234 / DC POWER SUPPLY BOX DC1 SK-2000/ DNS
3006 DNS PS8102 / DC POWER SUPPLY BOX 2-39-66235 SK-2000 W/EXCHANGE / DNS
3007 DNS Screen DNS 80A Developer track tool, Developer Photoresist Chemical Cabinet
3008 DNS Screen FC-3000 Wafer Indexer Assembly 300mm
3009 DNS S-VC-80171-1, PMC I/O BOARD-A , PMC I/O 2 Original Box, DNS/ KDNS PART
3010 DNS TS311-C008S /DNS KAWASAKI ROBOT, SK2000 / DNS
3011 DNS TS312-C001S /DNS KAWASAKI ROBOT, SK2000 / DNS
3012 DNS TS520-C001S/ KAWASAKI ROBOT ASSY SK-2000 / DNS
3013 DNS WS-820L Clean Track, Dianippon Screen Porous Silicon Process w/BCDS included
3014 Donaldson P196160 Lithoguard Lithography CFS Carbon Filter System Filtration
3015 Dover Vitronics Soltec Lead Free Selective Soldering Machine Model 6749
3016 DPVEEN Model 1550 Ultrasonic Thermocompression Manual Wire Bonder System
3017 Dr. Schenk ISM.CD 208 ISM 5178 Optical Disc Defect Scanner
3018 Dr. Schenk Pollux with Manual
3019 Dr. Tresky 3002/3102 8″ Die Bonder w/Flip-Chip II
3020 Dressler Cesar 1312 RF Generator Power Supply,
3021 Dressler Cesar 136 FST RF Generator 600W 13.56MHz Advanced Energy AE ICP Tuning
3022 Dressler RF Generator, Cesar 136, 287-230 V, 6.3 A, 600 W, 13.56 MHz,
3023 Dry Room Oven and Vacuum.
3024 DRYDEN DE3496SPD QIII SURFACE PARTICLE DETECTOR*POWERS UP
3025 Dryden Pentagon QIII Surface Particle Detector/Counter DE3496PD
3026 Dryden Pentagon QIII Surface Particle Detector/Counter w/3″ Dia. Probe DE3496PD
3027 Drytek 2201658 Drytek 4″ 350 DEG OPTO Wafer Arm Assy
3028 Drytek MegaStrip6 Plasma Resist Stripper/Asher
3029 DSK SSB014FN516 / MEGATORQUE MOTOR NSK DD / NSK
3030 Du Pont  11KW Du Pont Riston PC Printer 130 Circuit Board Photo
3031 Dual Excellon Uniline 2000 Machine w/ UCS Controller
3032 Dual Head Automatic Pick and Place Machine QM1500
3033 Dual Head Automatic Pick and Place Machine QM3000
3034 Dual Track Coater And Bake SVG-8100 used, complete
3035 DUKANE 2220 Controller With a 210 DUNKANE Welder
3036 Dukane IQ ES power supply unit for ultrasonic welder
3037 Dukane Ultra Ultrasonic welder 43E25
3038 Dupont Riston HRL-24 Photo Resist Laminator on LC2400 Vacuum Base
3039 Dymax 25″ WideCure Curing Conveyor
3040 DYNABRADE 63209 Downdraft Table,33 x 60 In,1 HP,460V
3041 Dynamic 2008 Flow Mach 3 4020b Dynamic, 6.6′ x 13.1′ Table, 50 HP, 87k PSI, CNC Waterjet
3042 DYNAMOTION / ATI MODEL 421M 4 SPINDLE HIGH SPEED CNC ROUTER /CIRCUIT BOARD DRILL
3043 Dynapace Dyna3 C17630 Indexing flat belt conveyor accumulator – reflow / wash
3044 DYNAPERT- Royce Instruments DSL 10
3045 Dynatex International GST-150 DX-111 Scriber Breaker DX-3 ()
3046 DYNATRONIX 990-0229-410 Pro Series Power Supply Model PMC-104/1-5DC New
3047 DYNATRONIX 990-0229-410 Pro Series Power Supply, Model PMC-104/1-5 New
3048 Dynatronix PMC105/2-2-4/15-30 Pulse Power Supply 990-0298-151 New Surplus
3049 DZF 6210 VACUUM OVEN
3050 E.A. Fischione 1410 Plasma Cleaner 120V – LOW HOURS!!
3051 E.BRAUN frigofluid FWC 60//CW-LT 31 kW Glycol Chiller System #211363-Flo
3052 Eagle picher ARS-2
3053 Eastel Systems IT 180, Inverter, 03-JS-223, IT180
3054 EATON 110003 REV E / HVPS CONTROLLER HIGH VOLTAGE POWER SUPPLY / EATON
3055 EATON 1169052 / WAFER TRANSFER CONTROLLER / EATON
3056 EATON 1190032 / GYRO DRIVE DI / EATON
3057 EATON 1517090 / PCB POWER DISTRIBUTION DEVICE INTERFACE REVD3 / EATON
3058 EATON 300MM MODULE POWER DISTRIBUTION / EATON
3059 EATON 950-1005-03 / MODEL 2000, OPTICAL FIBER THERMOMETER, EATON H1101079 / SEKIDENKO
3060 EATON AXCELIS GLASSMAN AHV-PG-1-180P NOVA 180 KV
3061 EATON AXCELIS NOVA NV6200 STEPPER END STATION CONTROLLER 1027-0074-0001
3062 EATON E470739 / PCB; GSD ROTARY DRIVE,SERVO CTR PCB (1421640,152164) / EATON
3063 EATON GSD IMPLANTER AFT Part# 11019550
3064 EATON NOVA IMPLANTER LIFT ASSEMBLY WAFER TABLE
3065 EATON PCB LAMP PWR MOD REVA FUSION AXCELIS / EATON
3066 Eaton/Axcelis 200A 0342-0579-3001 Ion Implanter Column/Accelerator Tube
3067 Ebara 323-0015 Cryocompressor 2.1 w/ 323-0060 Cryopump, FS-8, 450694
3068 Ebara 80X25 Dry Turbo Control Vacuum Controller Pump Station – 3 Phase 208V 60Hz
3069 Ebara 80X25 Vacuum Pump Station
3070 Ebara A07V Dry Pump, A07V-S, 1.0/1.1 Pa, 8/6/8.4A, 423640
3071 Ebara A07V Dry Pump, A07V-S, 1.0/1.1 Pa, 8/6/8.4A, 423641
3072 Ebara A-1000-162-0001-001 Ebara Frex 200 vme computor rack and boards
3073 Ebara A10S Vacuum Pump Rebuilt
3074 Ebara A150W-M DRY VACUUM PUMP WORKING WITH DVP-REM1A CONTROL
3075 Ebara A150W-M Dry vacuum Pump-Refurbished with Warranty
3076 Ebara A150W-M High Volume Vacuum Dry Pump Package4
3077 Ebara A150W-T Dry Vacuum Pump
3078 Ebara A150W-T Dry Vacuum Pump (200-220 V)
3079 EBARA A30W / DRY PUMP VACUUM PUMP / EBARA A30W PUMP THIS IS A SET OF 4 UNITS
3080 EBARA A70W / DRY PUMP VACUUM PUMP / EBARA A70W PUMP
3081 EBARA A70W Vacuum Pump
3082 Ebara AA70W Vacuum Dry Pump
3083 Ebara c-5000-008-0001 Ebara Frex 200 Box PC
3084 EBARA EST300WN FACTORY REBUILT DRY PUMP
3085 EBARA ET600W / P/C2 TURBO MOLECULAR PUMP CONTROLLER / EBARA
3086 EBARA ET600WS / TURBO MOLECULAR PUMP ET600WS / EBARA
3087 EBARA Ozonizer OZC-18NC-A11, 528 g/H, 26 kW, AC200 V, 3-Phase, 50/60Hz
3088 Ebara Technologies MS45-10073, C-2134-094-0001 ROTARY JOINT, 2 PORT
3089 EBARA Technologies OZC-8 Ozonizer O3 Ozone Generator
3090 E-Beam Electron Beam Evaporator Vacuum Sputtering ~ Incomplete System ~ Warranty
3091 EBSO Novastar SPA 400 Lead free Selective solder 400x400mm, Spray fluxer
3092 ECD Electronic Control Design 9050 Turbo Dryer PCB Circuit Board Dryer
3093 ECI Quali-Line QLC-5100W Plating Control System
3094 ECI Technology Quali-Dose Chemical Dosing System
3095 ECLIPSE L150 / MICROSCOPE/ NIKON
3096 Ecosys Vector Scrubber, abatement system
3097 EDCO TECHNOLOGIES 2000370073 / MAIN CONTROLLER CPU AMAT UVISION 5 / EDCO TECHNOLOGIES
3098 EDWARDS  A40914977PXS / PUMP DRY EDWARDS, IPX500A 200V 50-60HZ / EDWARDS VACUUM
3099 Edwards  iH1000 BOC Edwards A533-83-945 Dry Vacuum Pump System Ready to use, plug & play!
3100 EDWARDS APPLIED MATERIALS AMAT IPUP 2 ASSEMBLY-IPUP2 A54057000
3101 Edwards Auto 306 Cryo Evaporator – Model / Order No: E09011000 / M529120
3102 EDWARDS E2M40 EH250 REBUILT
3103 EDWARDS E2M40 EH250 REBUILT
3104 Edwards E2M40 Rotary Vane vacuum pump EH250 mechanical Roots booster blower Air
3105 Edwards E306 Compact Thermal Deposition Evaporator
3106 Edwards E306A Compact Thermal Evaporation Coating System SET OF 2
3107 Edwards E306A Compact Thermal Evaporation Coating System1
3108 Edwards GXS750F HV MD RE CA Dry Screw Vacuum Pump – Never Used
3109 EDWARDS HIGH VACUUM PUMP IQDP80/QMB1200 WITH Q CONTROLLER
3110 EDWARDS iGX6/100M
3111 Edwards iGX600N Edwards A546-31-958 Dry Vacuum Pump iGX Series 1 Hour 200V New Surplus
3112 Edwards iGX600N Edwards A546-31-958 Dry Vacuum Pump iGX Series 200V New Surplus
3113 Edwards IH1800HTX Vacuum Pump
3114 Edwards iH600 Dry Vacuum Pump with Joystick Controller – Used/Refurbished
3115 Edwards iH600 Vacuum Pump
3116 Edwards IPX Dry Pump, IPX SPI, A40902977, 452545
3117 EDWARDS IPX500 / PUMP,DRY,60-135046-00 / NOVELLUS
3118 Edwards IQ System IQDP80 High Pressure Mechanical Water Cool Dry Vacuum Pump
3119 Edwards IQDP40 (REBUILT)
3120 Edwards iQDP40/QMB250 Dry Vacuum Pump Runs 12959
3121 Edwards iQDP80 Dry Vacuum Pump 56cfm 7.5mT 230V/15A/3-Phase
3122 Edwards IQDP80 Dry Vacuum Pump With QMB-1200 Booster Blower Fully Refurbished
3123 Edwards iQDP80 Dry Vacuum Pump, Rebuilt By Vac-Tech, Inc.
3124 Edwards IQDP80 Vacuum < REBUILT with Warranty >>
3125 Edwards IX455 5 axis mag-lev turbo vacuum pump w/ controller & supply
3126 EDWARDS IXH1220H SEMICONDUCTOR DRY PUMP; HARSH PROCESS
3127 EDWARDS M150-S GAS REACTOR COLUMN
3128 EDWARDS MECHANICAL PUMP W-ETCH SYSTEM WITH Q CONTROLLER
3129 Edwards NRB086000 Helios Gas Abatement Unit
3130 Edwards NXDS 10i vacuum pump, REFURBISHED
3131 EDWARDS PT66-16-050 / TURBO PUMP, MODEL STP-XA2703CV / EDWARDS
3132 EDWARDS PUMP IXL 120
3133 Edwards QDP40 Dry Vacuum Pump
3134 EDWARDS QDP40 DRY VACUUM PUMP WITH QMB-250 BOOSTER PUMP
3135 EDWARDS QDP80 QMB500 / ROUGHING PUMP QDP80 HIGH VACUUM W/ QMB500 BLOWER / EDWARDS
3136 Edwards SCU-1600 Turbo Pump Controller YT76-Z0-Z00 – *MINT*
3137 Edwards stp ixa4506C mag-lev turbopump w controller 4300 L/s $71k list
3138 EDWARDS STP-301 / PUMP TURBO PUMP TURBOMOLECULAR / EDWARDS
3139 EDWARDS TCU 40/80 W/ RS485 WATER COOLED CHILLER, Certified with 90 days warr.
3140 Edwards TMS Turbo Pump Connection Cable, 2 M, New by Provac Sales, Inc.
3141 Edwards TS75W1002 63033
3142 Edwards Turbo EXT255H 24 V Rebuilt
3143 Edwards Turbo Pump EPX 500NE EPX500NE All-In-One Atmosphere-to-HiVac Dry Vacuum
3144 Edwards Vacuum Pump Housing Cabinet with Control Box – 3-ph 380-415V – A36401935
3145 EDWARDS VACUUM RV8F / ROTARY VANE DUAL STAGE MECHANICAL VACUUM PUMP / EDWARDS VACUUM
3146 EDWARDS XDS 10 REBUILT
3147 Edwards XDS 10 scroll vacuum pump, REFURBISHED
3148 Edwards XDS 35i scroll vacuum pump, REFURBISHED
3149 Edwards/Temescal VES-2550 E-Beam Evaporator 3-Phase 208V CV-6SLX (6024-7110-0)
3150 EEJA Electroplating Tool. Looking for a swift sale, please make offer, motivated
3151 EEPH-002 / AC POWER DISTRIBUTION BOX / DNS DAI NIPPON SCREEN
3152 EESC-0012 / SPIN BOARD PCB 2-VC-19115 DNS 80B, SLOT 3/4/5, AP-1351A / DNS
3153 Effusion Deposition Source with Power Supply2
3154 Effusion Deposition Source with Power Supply7
3155 Effusion Deposition Source with Power Supply8
3156 EH1200 COHNTACTOR 1200AMP 480V COIL
3157 Eisenmann Incinerator RTO Regenerative Oxidation System
3158 EKRA E1 Semi-Automatic SMT Stencil Screen Printer w/ Vision
3159 EKRA E1 Semi-Automatic SMT Stencil Screen Printer w/ Vision
3160 EKRA E4 Solder Paste Screen Printer complete and operational
3161 Ekra E5 Solder Paste Printer
3162 Ekra model E1 Semi-Automatic Screen Printer (2005)
3163 EKRA X4 2006 Solder Paste Screen Printer with accessories
3164 EKRA X4 Screen Printer with Vacuum Tooling & Windows XP – Vintage 2010
3165 EKRA X5 Automatic Solder Paste Screen & Stencil Printer for Electronic Component
3166 EKRA X5 Automatic Solder Paste Screen Printer for Electronic Component DOM 2007
3167 Ekra XACT X4 Screen Printer
3168 EKRA XACT4 Screen Printer {ID: 6407} 2013 Automatic Screen Printer, 20×20″ board
3169 EKRA XACT4 X4 2012 Automatic Screen Printer, 20×20″ board
3170 EKRA XPRT 3 Screen Printer Package
3171 EKRA XPRT3 2011 Automatic Inline Screen Printer, 2D Inspect
3172 Elcom 01-08-0548 Rev.C, Wafter Transfer Assembly, Motor. 417383
3173 Elect 452-S-1109 Elect Assy Active Filter/For NTR-51C
3174 ELECTRICAL EQUIPMENT COMPANY RWA-105-4 TR-B / RIYE K FACTOR TRANSFORMER
3175 Electro Design EP775 1.5 Meter Lift-Gate Conveyor (2011)
3176 Electro Design for Mydata MB705 47″ Conveyor
3177 Electro Design for Mydata MB707 67″ Conveyor
3178 Electro Design for Mydata MB708 78.5″ Conveyor
3179 Electro Design for Mydata MB710 98.5″ Conveyor
3180 Electro Optical Systems NTM500-D, 27-258087-00, A670-100-7020
3181 Electro Optical Systems NTM500-D, 27-258087-00, A670-100-7020
3182 ELECTRO SCIENTIFIC INC 977709 / COMPUTER HP743I ESI 9275 / ELECTRO SCIENTIFIC INC
3183 ELECTRO SCIENTIFIC INC COM-PPC-330MHZ (MVME2604 712 I/O)
3184 ELECTRO SCIENTIFIC INDUSTRIES 110434 / CKA, X AND Y ZYGO MEASUREMENT BD 98XX
3185 Electro Scientific Industries ESI 4420 Micro Machining System
3186 Electro Scientific Industries ESI 5950 Laser Micro Machining System
3187 Electro Scientific Industries ESI Accuscribe w/2210 Feeder
3188 Electro Scientific Industries ESI LTX LX84 Laser Trimming Station
3189 Electro Scientific Industries ESI Microlase Wafer Processor Model 80
3190 ELECTROGLAS 2001CX PROBER
3191 ELECTROGLAS 2001CX PROBER
3192 Electroglas 2001CX Wafer Probe Station
3193 Electroglas 2001X P/S High-Performance Auto Wafer Prober
3194 Electroglas 2001X Prober *, Recently Serviced, 90 Day Warranty*
3195 ELECTROGLAS 4090 Prober Probe Station Tri-temp with TP3000 Chiller
3196 Electroglas DPS 2 CAMERA ASSY, 4090 P/N: 260810-001
3197 Electroglas DPS 2 CAMERA ASSY,4080/4090 P/N: 259360-001
3198 Electroglas DPS 3 CAMERA ASSY,4080/4090 P/N: 278148-001
3199 Electroglas EG 1034X-6A, 150 mm (6 in) Automatic Wafer Prober, Working
3200 Electroglas EG 1034XA, 100 mm (4 in) Automatic Wafer Prober, Working
3201 Electroglas EG1034X Automatic Prober 6″ Gold Chuck, Card Holders, Microscope
3202 Electroglas EG5/300 Cascade Mirotech PS300 Parametric 12″ Wafer Prober
3203 Electroglas ESD Probe Stations
3204 Electron Vision ElectronCure 30X E-Beam Photoresist Curing System – As Is
3205 ELECTRONIC MEASUREMENT INC, EG&G WAKEFIELD TCR 7.5S300-2-0394-0V Power Supply
3206 Electronics Assembly Line – Reflow Oven, Pick and Place, Solder Printer
3207 Electrovert Aquastorm 200
3208 Electrovert Econopak Plus Wave Solder System+Fire Ext.
3209 Electrovert EPK Plus Wave Solder Machine 208VAC
3210 Electrovert Omni Excel 10 Reflow Oven
3211 Electrovert Speedline 2012 OMNIES 10 zone lead free reflow oven
3212 Electrovert Speedline OmniFlex 10 Reflow oven, edge / CBS
3213 Electrovert Speedline OmniFlow 5/Omni 5 PCB Reflow Oven 5-Zone/1-Cool 280°C
3214 Electrovert VECTRA 450/F Wave Soldering System
3215 ELEKTRO-AUTOMATIK EA- PS 81000-30 Power Supply
3216 Elektronik Ion Source Controller, Brand New, with keys
3217 Ellipsometer Alpha SE
3218 Emcore D125 MOCVD System [Works Great]
3219 EMITECH K1250X CRYO PREPARATION UNIT
3220 Encon DE4C-A Evaporator 304 Stainless Steel 480V with Condenser & Feed Options
3221 Encon N33A-24 Thermal Evaporator 316L Stainless Steel 110V 1PH Natural Gas Auto
3222 ENDURA P036 PEDESTAL LIFT ASSY PRECLEAN II 0010-20754 AMAT APPLIED MATERIALS
3223 ENERGY RESEARCH ASSOCIATES PPS 7905
3224 Energy Technology Systems RSP 846 Solder Reflow Oven Pre-Heat ETS RSP846-2
3225 Engis Hyprez Lapping System 16SPCS115V-03C Dual Head with Computer & PLC Control
3226 ENI ACG-10T RF Generator, AGC-10, AGC 10 416298
3227 ENI ACG-5-01 RF Generator *tested working, 90 day warranty*
3228 ENI DCG-100 DC / DCG2D-A031100021I – 500v & 800v models Wrnty
3229 ENI DPGS-10-01 DP Generator
3230 ENI Genesis GEW3040MA-F2H00-01 RF GENERATOR with 14 day warranty
3231 ENI Genesis GMW-25Z GMW25 RF Generator P/N GMW25Z-2D0F2N0-001, 2500W 2MHz
3232 ENI GEW3040MA-F2H00-01/ 3D80-000192-18 GEW-30A RF GENERATOR/ ENI
3233 ENI GHWE-25 Genesis RF Generator, GEW3027MA-F1H00-10,3 KW, 200/208V, 423226
3234 ENI LPG-12A LPG12A-21051-50 Industrial Solid State RF Power Generator【Works!】
3235 ENI MH5002A RF Match and MHC-5002 Controller MKS RF Matching Network Autotuner
3236 ENI MW-25D-05M1 Matchwork-25D RF Match, 452582
3237 ENI MW-25D-05M1 Matchwork-25D RF Match, 452583
3238 ENI OEM-12A RF Power Generators * 2x OEM-12A-21041-51 & 1x OEM-12A-AM
3239 ENI OEM-12A-21041-51 RF Generator, 1250 Watts, 13.56 MHz ****
3240 ENI OEM-12A-21041-51 RF Generator, 1250 Watts, 13.56 MHz, 208 VAC **
3241 ENI OEM-12A-21041-51 RF Generator, 1250W, 13.56Hz, 208V *tested working*
3242 ENI OEM-12B-02 RF Generator / PN : 0190-70080 / Referbished W/ 60days Warranty
3243 ENI OEM-12B-06 RF Generator, 416294
3244 ENI OEM-12B-07 Refurbished w/3-month Warranty
3245 ENI OEM-12B-07 Refurbished w/6-month Warranty
3246 ENI OEM-12B-07 RF Generator, 1250W, 13.56hz, 208V *tested working*
3247 ENI OEM-12B3-08 / 0920-01061
3248 ENI OEM-2000 /GENERATOR,**REFURB**W/CERTS / ENI
3249 ENI OEM-25-01M5 Solid State Power Supply
3250 ENI OEM-25A-01M5 / RF GENERATOR / ENI
3251 ENI OEM-25A-21091-51 Rev. M Solid State Power Generator
3252 ENI OEM-25A-21091-51 Solid State Power Generator
3253 ENI OEM-25A-21091-51 Solid State Power Supply
3254 ENI OEM-6A-02 / OEM-6A RF GENERATOR XL / ENI
3255 ENI PL-2HF-11451-55 Plasmaloc 2-HF (Harmonics Filter)
3256 ENI Plasmaloc 2-HF ( Novellus P/N: 27-00073-00) Low Frequency Generator
3257 ENI Power Systems LPG-6AL-21321, Low Frequency RF Generator. 416346
3258 ENI RF Generator OEM-12A, OEM012A-21041-51, 1250 W at 13.56 MHz, Powers on
3259 ENI RF Generator OEM-12B-02 Water Cooled 1250 Watts AMAT 0190-70080 Rev. A
3260 ENI RFC-5MW / RF MATCH CONTROLLER REV B, W/ ACCESSORIES AND TEST RECORD / ENI
3261 ENI SPEC 11002-10235, LAM PN 660-080455R / SPECTRUM 110020 RF GENERATOR / ENI
3262 ENI/VERTEQ AP 400 CONTROLLABLE POWER AMPLIFIER
3263 ENTEGRIS 6500-T2-F03-D12-K-P1-U1 / INTEGRATED FLOW CONTROLLER / ENTEGRIS
3264 ENTEGRIS 6500-T3-F03-D12-K-P1-U1 / INTEGRATED FLOW CONTROLLER, CON: 3/8″ / ENTEGRIS
3265 ENTEGRIS A220-200B50M-0215 / CARRIER PFA LMC LMC-8-50-NS-0-PFA/ ENTEGRIS
3266 Entegris CE10MH18YR GateKeeper Inert Gas Purifier
3267 Entegris Flow Controller 6501T8F6D12KP1U1
3268 Entegris Gas Purification System. XCDA Gas Purification System Model EGPS82T
3269 Entegris Inc. 30nm QCV SULF Filter QCVYATE35
3270 Entegris Integrated Flow Controller 6500T6F4D12AP1U1
3271 Entegris NT Integrated Flow Control 6500T4F3D12KP1U1
3272 Entegris NT Integrated Flow Controller 6500T4F3D12KP7U3
3273 Entegris PIPW15C3S PROTEGO+ IPA Purifier 1500 15NM 3/8″ S300
3274 Entegris QCVZACE4S Quickchange ATE 0.05um Disposable Liquid Filter, 1″ T S300
3275 ENTEGRIS USFMPVDF2 / FILTER,MILLIPORE ULTRA STACK 3PLATS.0400 / ENTEGRIS
3276 ENVIROFLEX MODEL 1000X CLEAN ROOM ENVIRONMENTAL PURIFIER / AIR HANDLING SYSTEM
3277 ENVIROFLEX MODEL 2000X CLEAN ROOM ENVIRONMENTAL PURIFIER / AIR HANDLING SYSTEM
3278 ENVIROFLEX MODEL 2000X CLEAN ROOM ENVIRONMENTAL PURIFIER / AIR HANDLING SYSTEM
3279 ENVIRONMENTAL CHAMBER -75C-+200C TPS TUJR
3280 EO TECHNICS EM TECH CO LTD USM51 / SVL110 3PH AC220V
3281 EPI LPKF Multipress II 2005 OPEN BOX with accessories
3282 Equilasers EDWS-15 edw laser welder welding station workstation yag
3283 EQUILASERS INC. EDWS-15 DESKTOP LASER WELDER WELDING STATION WORKSTATION (READ)
3284 EQUIPE 950346 / AURIGA REFURBISHED ROBOT / EQUIPE TECHNOLOGIES
3285 Equipe ATM 2211 3 Axis Robot
3286 Equipe Brooks Automation ATM407-1-S Atmospheric Wafer Handling/Transfer Robot
3287 EQUIPE PRI BROOKS ROBOT ATM-107-1 WAFER HANDLER
3288 EQUIPE PRI BROOKS ROBOT HANDLER  ATM-105-1-2-S-RHS 6-0002-0398-SP STRASBAUGH
3289 EQUIPE TECHNOLOGIES ATM-105-1 / ROBOT / EQUIPE TECHNOLOGIES
3290 EQUIPE TECHNOLOGIES ATM-105-1 / ROBOT / EQUIPE TECHNOLOGIES
3291 EQUIPE TECHNOLOGIES ATM-105-1-S-CE WITH EXCHANGE / ROBOT / EQUIPE TECHNOLOGIES, INC
3292 EQUIPE TECHNOLOGIES ATM-107 / ATM107 ROBOT W/EXCHANGE KLA / EQUIPE TECHNOLOGIES, INC
3293 EQUIPE TECHNOLOGIES ATM-1284 ROBOT. 408313
3294 EQUIPE TECHNOLOGIES ATM-207-2-S-CE / ROBOT (6-0002-0660-SP) AIT WITH EXCHANGE / EQUIPE TECH
3295 EQUIPE TECHNOLOGIES ATM-407B-1-S-CE, Brooks Automation, Wafer Handling Robot, USED!
3296 Equipe Technologies ATM-5782 Robot, Brooks, 422719
3297 EQUIPE TECHNOLOGIES ESC-210 / CONTROLLER ESC210 / EQUIPE TECHNOLOGIES
3298 EQUIPE TECHNOLOGIES ESC-214BP-S293E / CONTROLLER, ROBOT ATM-105-A-S-CE-S293 / KLA TENCOR CORPORATION
3299 EQUIPE TECHNOLOGIES PRE-1548 / PREALIGNER, SIDE WIRED (PST), 7000-00303
3300 EQUIPE TECHNOLOGIES PRE-201-CE / PRE-ALIGNER, SIDE MOUNTED CONNECTIONS, BROOKS AUTOMATION / PRI
3301 EQUIPE TECHNOLOGIES PRI Alcatel Adixen A803H Dry Semiconductor Vacuum pump
3302 EQUIPE TECHNOLOGIES PRI ASM PN: 16-323970U01/16-323970D01 Chamber-Process RP 200MM
3303 EQUIPE TECHNOLOGIES PRI ASM PN: 83-125001U45, 83-125001A45 Controller-ASMI VME/PC W/DNET
3304 EQUIPE TECHNOLOGIES PRI ASM PN: 83-125001U45, 83-125001A45 Controller-ASMI VME/PC W/DNET
3305 EQUIPE TECHNOLOGIES PRI Automation ABM-507B-1-S-CE Robot
3306 EQUIPE TECHNOLOGIES PRI Automation Brooks BM39606 TR001PRI4 Controller used in great condition
3307 EQUIPE TECHNOLOGIES PRI BM30060L01/G / EXEC CONTROLLER PCB / PRI
3308 EQUIPE TECHNOLOGIES PRI CANON PLA-501F(A)(S) Mask Aligner
3309 EQUIPE TECHNOLOGIES PRI HIRAYAMA PC422R8 HAST Chamber Installed and Running
3310 EQUIPE TECHNOLOGIES PRI KLA-Tencor Surfscan 6200
3311 EQUIPE TECHNOLOGIES PRI KLA-Tencor Surfscan 6220 or with SMIF
3312 EQUIPE TECHNOLOGIES PRI LTRA030-SBI-RUD / ROBOT TRACK LEANER S300-112 / PRI
3313 EQUIPE TECHNOLOGIES PRI PRE-300BU-I-CG-CE-S2 Pre Aligner KLA Tencor 0025288-000 *tested working*
3314 EQUIPE TECHNOLOGIES PRI ROBOT UTXF5000
3315 EQUIPE TECHNOLOGIES PRI SYSTEM / ZV ZEN VOCE MANUFACTURING PTE LTD / ZEN VOCE
3316 EQUIPE TECHNOLOGIES PRI TRA-048-SBI EFEM Interface Datalux Touch Screen **
3317 EQUIPE TECHNOLOGIESPRE-201B-CE PRE-ALIGNER KLA Tencor UV 30-0004 BROOKS AUTOMATION PRI Prometrix
3318 Equipe, PRI, Brooks ATM-407 robot refurbishment
3319 ERSA IR/PL550A Highly Flexible IR Rework System With Precise Component Placement
3320 ERSA Selective Solder Versaflow 40/50
3321 ES1810-225149-11 / PLATE COOLING UPPER DOU / TOKYO ELECTRON TEL
3322 Esec 3006 F/X Type W-115 Wire Bonder w/ Olympus SZ30 Microscope
3323 Esec 3006 F/X Type W-115 Wire Bonder w/ Olympus SZ30 Microscope
3324 Esec 3088 Wire Bonder
3325 Esec 3088 Wire Bonder
3326 Esec 3088 Wirebonder Ball-Wedge Bonder
3327 ESEC 8003 DICING SAW
3328 ESEC MICRON 2
3329 ESI 9000/9250/9300/9350 Laser Repair System Power Supply/Air Handler/Controller
3330 ESI 92000 HT + Laser Memory Repair System
3331 ESI AccuScribe 2210 355nm Laser Wafer Scriber
3332 ESI AccuScribe 2210 355nm/Auto Laser Wafer Scriber
3333 ESI Accuscribe 2600 Laser Wafer Scriber w/ Panasonic Loader
3334 ESI AccuScribe AS 2000 FX Laser Wafer Scriber AS2000FX w/ Autoloader
3335 ESPEC CORP Thermal Chamber ETS04-3SW Test
3336 ESPEC PV-331 Vertical Temp Cycling Industrial Oven
3337 ESPEC RBC-m22x3RHHU BURN-IN CHAMBER OVEN with JEC PROFIT 1100ML Monitor System
3338 Espec, Model PV-331, Vertical Temp Industrial Cycling Industrial Oven
3339 ESSEC WIRE BONDER 3100 OPTIMA
3340 Essemtec CSM7000 Pick & Place Machine with Feeders
3341 Essemtec CSM7000 Plus Pick & Place Machine with Feeders
3342 Essemtec CSM7100 Pick and Place Machine Low hours PC board chip PCB Pick&Place
3343 Essemtec SMT feeders for pick and place machine
3344 Etec Systems High Voltage Electric Beam Power Supply 0778-2056-00 Rev B Rev-C
3345 Eton Axcelis 1181632C Variable Electrode Manipulator
3346 Europlacer 2004 Europlacer Flexs 8 Pick And Place Machine
3347 Europlacer Lzero3 SMT Storage Equipment Cabinet Holds 1700 Component Reel New 14
3348 Europlacer Progress 6 Pick and Place system, upgraded windows 7 SW
3349 Eutectic Die Attach Work Station w/ Heated Work Holder, West-Bond K1201D
3350 Eutectic Die Attach Work Station w/ Heated Workholder, West-Bond Control
3351 Eutectic Die Attach Work Station w/ Heated Workholder, West-Bond K-1200D
3352 EV300 COMPUTER /EV300 MAINFRAME COMPUTER KLA/ KLA
3353 EVEST CORP MSD-540D Automatic Pick and Place Machine GREAT CONDITION PC BOARD
3354 EVEST CORP OED-530E Automatic Pick and Place Machine GREAT CONDITION PC BOARD
3355 EVG | 4in/100mm Bond Chuck
3356 EVG | 5in/125mm Bond Chuck
3357 EVG | 6in BSA Loading Chuck
3358 EVG | 6in/150mm Bond Chuck
3359 EVG | 6in/150mm Bond Chuck
3360 EVG | 6in/150mm Bond Chuck
3361 EVG | 6in/150mm BSA Wafer Chuck
3362 EVG | 6in/150mm Ceramic Bond Chuck
3363 EVG | 7in Mask Load Frame
3364 EVG | CS50 Cooling Station
3365 EVG | CV6200933, 2in/50mm Proximity Wafer Chuck AUT-200
3366 EVG | Small Pieces Bond Chuck
3367 EVG 301 / EV GROUP 301 / EVG3010040 / RESIST STRIPPER
3368 EVG 520IS UV-NIL Bonder
3369 EVG Z160603 4″ Bond Chuck Top w/ Z160543 Field Electrode, Z160603125,
3370 EWALD 778A (AuTin Reflow) System
3371 EWALD 778A (AuTin Reflow) System
3372 EXCELLENT WORKING ANATECH PLASMA CLEANER SCE600 WITH ADIXEN ACP120 PUMP
3373 Excellon Century 2001 HVP Drilling Machine, CNC-7, PCB, Westwind,
3374 Excellon EX300 Circuit Board Drill w/4 Quiet-Drill 1010 Heads & CNC-6 Console
3375 EXCELLON MARK V 4 HEAD DRILLING MACHINE WITH CNC 6 CONTROL 110K RPM SPINDLES
3376 EXCELLON MARK V 4 HEAD DRILLING MACHINE WITH CNC 6 CONTROL 110K RPM SPINDLES
3377 EXCELLON MARK VI C 4 HEAD DRILLING MACHINE WITH CNC 6 CONTROL 110K RPM SPINDLES
3378 EXCELLON MARK VI C 4 HEAD DRILLING MACHINE WITH CNC 6 CONTROL 110K RPM SPINDLES
3379 Excellon MK VI Driller/Router
3380 EXCELTEQ INC 527-37715-03 / PCB DC SPIN MOTOR DRIVE BRUSHLESS/ EXCELTEQ INC
3381 EXPENSIVE AUTOCLAVE TYPE STAINLESS VACUUM DEPOSITION CHAMBER
3382 EXPENSIVE ESPEC MODEL EISS6-15WWL CIRCUIT BOARD ENVIRONMENTAL CHAMBER
3383 EXPENSIVE HUGHES MODEL 2460 AUTOMATIC HYBRID BONDER / BONDING MACHINE
3384 Expert International  2015 Expert International CutEXPERT Ecojet 9.5′ x 10.4′ Waterjet Fabrication
3385 Expertech Thermco Maxibrute 150-200 mm single stack oxidation furnace
3386 Express Test H.A.S.T. 1000 chamber (Express Test/Triotech)
3387 Extraction Systems Inc. TMBRTM-10, TOTAL MOLECULAR BASE REAL TIME MONITOR
3388 Extruder 44 mm. industrial Plastic Processing Equipment HDPE, PLA, POLY, PE..
3389 EYE Lighting/Iwasaki HSS0460 PV Solar Light Soaking Simulation System Chamber
3390 EYE Lighting/Iwasaki HSS0460 PV Solar Light Soaking Simulation System Chamber
3391 F&K Delvotek 6320 Automatic Gold/AI Wire Bonder AS-IS
3392 Fabreeka PAF971LC-1/PAL 9-6W Pneumatic Aire Leveling System Custom/OEM Isolators
3393 FACTS2 / C-SAM TRAY SCANNING SYSTEM / SONOSCAN INC
3394 FALIT System Control Laser (CLC), Laser Decapsulation System 9381500904
3395 Fancort Lead Straightening Press w/ 11 Dies CP7-2A
3396 Fancort VPD-500 Depaneler PCB De-panel (Lightly Used) comes w/ Extra blade
3397 Fancort VPD5A-1200 Depanelizer/ PCB Separator
3398 Fanuc A02B-0261-B502 150i-MA Control Serial. E00604001 used
3399 FANUC A05B-1219-B602,A05B-2462-8201/FANUC ROBOT,M-61B/6C,F-60993&SYSTEM R-J3ib/FANUC
3400 FARNELL 219790 / PS15 CONVERTER SERIES 900 CONVERTER UNIT / FARNELL
3401 FARNELL 219860 / PS15 PRE ACCEL POWER SUP WALLIS HI-VOLT 2A2 SERIES 900 C.U. / FARNELL
3402 Feeder Master Calibration Unit
3403 FeederMaster FTJ2 Feeder Test Jig with JUKI/Siemens Jigs and Manuals
3404 FEI 22253-C 26833-C 17250-F GIS Controller Chassis FEI XL-830
3405 FEI 300mm TLD Pre-Amp and Feed Thru
3406 FEI 610 FIB Focused Ion Beam
3407 FEI Company 100-019970 FIB Electronics Module CLM-3D Metrology New Surplus
3408 FEI Company 4022 262 26331 CLM Column SEM Assembly CLM-3D 4022 268 00581 Used
3409 FEI Company 4022 268 00588 SEM HTSU CLM Controller Column Electron CLM-3D Used
3410 FEI Company 4022 268 02165 AAM Elstar Column New Surplus
3411 FEI Company 4035 272 55591 System Control Rack Computer SBC 200mm CLM-3D Used
3412 FEI Company Source Column HEAD Focused Ion Beam FIB
3413 FEI FIB-611 Focused Ion Beam Imaging Workstation
3414 FEINFOCUS FVX 400.23 X-RAY POWER SUPPLY BLOCK TYP MSU 2420/05 5000VA
3415 FESTO ASML PNEUMATIC BLOCK FOR 2500/5000 INC. FUNCTIONS 8,9,10,17,18,19
3416 FESTO BV-50-100 Pneumatic Feed Unit Type 3646
3417 FI20685, 0920-00046 / MKS ASTEX ASTRON **WITH CERTS**EX FLORINE GENERATOR / AMAT
3418 FI80131 / ASTEX ASTRONEX REMOTE PLASMA SOURCE 0920-00131 / MKS
3419 Filtrine-PCP-200-33A-Refrigerated-Recirculating-Chiller
3420 Fine Semitech FST FSTC-OD1010L Temperature Control Unit
3421 Finnigan MAT GCQ Ion Trap Mass Spectrometer Coupled to a Gas Chromatograph
3422 FIRST TEN ANQSTROMS FTA 200 / MULTI-FLUID PROGRAMMABLE ANALYZER
3423 Fischione model 1020 SEM sample plasma cleaner electron microscope march tepla
3424 Fisnar F7900N Automated Dispensing Robot – Complete Set
3425 FK Delvotec 6320 Bonder System
3426 FK& Delvotec 6400 Wire Bonder Fully Automatic FK& Delvotec
3427 Flanders Bag-In Bag-Out Filter Housing/Blower Complete Stainless VFD fan drive
3428 Flexion MP3-3 Cryotest Station Chamber- Leybold Hereaus 150CSV Turbo Vacuum Pump
3429 FLEXLINK 1-IN Servo Inverter 180 degree / Flipper Conveyor #1_IN-870013
3430 Flexlink E1400 Magazine Loader (160911)
3431 FlexLink E1400P Magazine Loader
3432 FLEXTRAK SYSTEM / 208-230V 1PH 50/60HZ 14A, LKT /MARCH PLASMA SYSTEMS NORDSON
3433 FlowTrack wave exit decline conveyor 2012 vintage nutek
3434 FMI 930V3 Electrostatic Chuck 300mm Monopolar Ceramic TEL-930V3-R Cu Refurbished
3435 FOCUS AUTOMATION 61-CHA-00VS2-01 / SPLITTER(CHG’D TO F-SERIES PER B. FELT) / FOCUS AUTOMATION
3436 FOCUS AUTOMATION 61-CHA-00VS2-01 / SPLITTER(CHG’D TO F-SERIES PER B. FELT) / FOCUS AUTOMATION
3437 Fohrenbach PPY23077 Semi-Automatic Single Pin Insertion Machine (2014 Vintage)
3438 Fong Sample Dyeing Machine 60 KG with Controller/Add tank
3439 Force Strain Gauge Measurement Test Inspection System & Fixture Stage Computer
3440 FORCE SYS68K / FORCE 30 R2 COMPUTER, 5907092, 5907048,CPU-30ZBE 58ED3960 / FORCE
3441 FORCE SYS68K SIO-2/C2 CPU BOARD – VME CPU MODULE – 714-017302-002 Rev. B – New
3442 Foresight FP10-PVCC-1112 Acid Wet Bench
3443 FormFactor TK5561A PDFAST-F 180 1X32 Probe Card
3444 Fortrend Engineering 132-8110-01 Rev. B Sensor Connector Expansion
3445 Fortrix Loader & Unloader 100 slot capacity cassettes, transfer conveyor 1500WPH
3446 Four Dimensions Inc. Model 280 Automatic Four Point Probe Meter, MT 220, 424130
3447 FP EDGE Astrojet envelope printer.ColorMax. mjet
3448 Frencken Mechatronichs 1025077 Rotation Unit Probe Shaft FEI Company 1002428 New
3449 Frencken Mechatronics 4022 261 90802 SE Detector FEI Company 4022 268 02045 SEM
3450 FRIGOFLUID IMPIANTI S.R.L FWA 10 / COOLING SYSTEM
3451 Fritsch Pulverisette 5 Four Station Planetary Mill9
3452 Fronius DeltaSpot G2 X-gun Spot Welder Switch Box 250A 8,040,101,800 (New)
3453 FRONTIER SEMICONDUCTOR FSM 128C2C MAPPING AND FILM STRESS MEASUREMENT (#2831)
3454 FSI 926537-001 / FSI END EFFECTOR SC1 HOT PROCESS / FSI
3455 FSI International 306149-002 Air Handler SemiFAB CD-200-2 FSI
3456 FSI International Booster Pump
3457 FSI PHOTORESIST 5000A MF-26A MEGAPOSIT BULKFILL CABINET
3458 FTS AIR JET XE75
3459 FTS Kinetics RCD151ZLAM Chiller, Thermal System Refrigeration, LAM, 423635
3460 FTS RC100CL010 / MAXICOOL RECIRCULATING CHILLER / FTS SYSTEMS
3461 FTS RCD151ZLAM FTS Systems chiller for Lam. Very clean! Only 26 hours on run meter.
3462 FTS Systems Turbojet Temperature Forcing System
3463 FTS Systems XE752100 Air Jet XE Temperature Cycling System
3464 FUJI CP-6 CHIP SHOOTER WITH 8MM FEEDERS – EXCELLENT CONDITION LOW HOURS
3465 FUJI CP6-4000
3466 FUJI CP6E-4000
3467 Fuji H08 Placement Head (HK0A2)
3468 Fuji M3 Pallet Change Unit
3469 FUJI MTU IP3
3470 Fuji MTU-L Tray Unit
3471 Fuji NXT H12HS H12HSQ Placement Head Manufacturer Refurbished
3472 FUJI NXT III Scalable Placement Platforms parts and accessories SEE LIST
3473 Fuji XG-1 NDT Fuji CR-IR 346s Dynamix Industrial X-ray Series 4 Film Digitizer
3474 FUJI XP-143E Chip Shooter pick and place system # 1187
3475 FUJI XP-143E Chip Shooter pick and place system # 1187
3476 Fujifilm Dimatix DMP-3000 fluid deposition printer with 300mm x 300mm print area
3477 Fujikin Incorporated WVG-S2-Y-I35 Water Vapor Generator
3478 Fujikin Incorporated WVG-S2-Y-I35 Water Vapor Generator
3479 Fujikin Incorporated WVG-S2-Y-I37 Water Vapor Generator
3480 Fujikin Incorporated WVG-S2-Y-I37 Water Vapor Generator
3481 Fujikin Incorporated WVG-S2-Y-I38 Water Vapor Generator
3482 FUJIKIN LIQUID PROCESS AMPULE
3483 Fujikin WVG-S2-Y-IB4 Water Vapor Generator Controller TEL Tokyo Electron Used
3484 Fujikin WVG-S2-Y-IB7 Water Vapor Generator Controller TEL Tokyo Electron New
3485 Fujitsu FAS-360/14NP2 Amplifier 4T070-410 Nikon NSR-Series
3486 Fukuda FL-610 Master Less Leak Tester, Differential Pressure Tester
3487 Full Automatic Benchtop Pick and Place Machine with Vision Works to 0402, LED
3488 Furnace Controller
3489 Fusion M200PC PHOTOSTABILIZER
3490 Fusion Systems Curing System, Irradiator I126P, Power Supply P101
3491 Fusion Systems SC4528A Photo Resist Strip
3492 FXP WAFER HANDLING with MKS 649A-28052 PRESSURE CONTROLLER
3493 Gaertner Ellipsometer w/ Melles Griot Laser Light
3494 GAERTNER SCIENTIFIC ELLIPSOMETER Model L115 C
3495 Gas Automation GmbH SAR-1000-B-CL PCB Depaneling Routing Machine
3496 GASONICS 90-2590 / PCB, GASONICS I/O INTERFACE, NEW STYLE BOARD / GASONICS
3497 GaSonics 9104 Quartz Chamber Plasma Asher 15698-02, ENI OEM-12B-06 RF Generator
3498 GASONICS 95-2421 / LIGHT BOX ASSEMBLY / GASONICS
3499 GASONICS 95-3155 / CLUSTER MISC CONTROLLER GASONICS PEP3510 PEP-3510
3500 Gasonics Aura 1000 / Tested to power on controls / Complete / Limited Warranty
3501 GASONICS AURA 2000 LL STRIPPER HNL A93-021-05/D Magnetron Waveguide MODULE
3502 Gasonics PEP 4800DL – Parts Unit / Good Chamber / Astex / Some Controls
3503 GCA 6300B wafer stepper with environmental chamber
3504 GCA Mann Products 4800 DSW Direct Step on the Photoimaging Wafer System
3505 GCA Stepper Maximus 2k arch lamp power supply
3506 GEN II MBE SYSTEM / REACTOR
3507 GEN II MBE SYSTEM / REACTOR
3508 GEN II MOD MBE SYSTEM / REACTOR
3509 GEN X 00 8 STD / FRAME GRABBER BOARD GENESIS 720-04 LC MATROX 63039620244
3510 GENERAL ELECTRIC 372X473 HIGH VACUUM RESEARCH FURNACE
3511 General Production Devices, Radial Component Former C.F.-10
3512 Generators Low Hours M# 1-4634 (7) generator genset power supply
3513 Genesis 623-4202 ICP 200 Quick Regen Cryopump, 8″, Ebara, 60-125932-00. 423394
3514 Genetix ClonePix FL Automated Clone Screening Colony Picker w/ Jun-Air OF302-10S
3515 Genetix ClonePix FL Automated Clone Screening Colony Picker w/ Jun-Air OF302-10S
3516 Genmark “Gencobot 4 Classic” Wafer Handling Robot
3517 GENMARK 2LA0200970 GENCOBOT 4 / GENCOBOT 4 ARM IV / GENMARK
3518 GENMARK 2LV080018 / GENMARK ROBOT UNKNOWN MODEL IMPLANTER
3519 Genmark 4S0216314 Wafer Transfer Robot, 300mm *, 90 day warrrany*
3520 Genmark 4S0812025 3LA031268 Gencobot 4/3L Robot *new surplus*
3521 GENMARK AUTOMATION 9800106221 SMALL SYSTEM CONTROLLER
3522 GENMARK AUTOMATION ROBOT GPR SERIES GENCOBOT 7/2L 2L7089971
3523 GENMARK DR06301/ INTEGRATED REMOTE PRE-ALIGNER GENCOBOT 4, 7, 8, GPR-SM, DP2-20/ GENMARK
3524 GENMARK GB3 WAFER HANDLING ROBOT/ GENMARK
3525 GENMARK GB7-3L / GM-200-3031-356, 439949 ROBOT GENCOBOT 7 GPR SERIES/ GENMARK
3526 GENMARK GB7S/2L-8 /GENCOBOT 7S/2L-8/ GENMARK
3527 Genmark GB8 robot refurbishment
3528 GENMARK GB8-MT-80040226 / GENCOBOT 8/3L GB8 ROBOT ASSY / GENMARK
3529 GENMARK GB8-MT-80040670 / ROBOT, GENCOBOT 8/2L / GENMARK
3530 Genmark GB8-MT-98030074 Robot Wafer Assy, MGC 2234-MB3320 Motor Encoder, 423056
3531 Genmark Gencobot 4 CHR Wafer Robot GB4-CTR? ASM PN:2969571-01?
3532 Genmark Gencobot 4/3l Silicon Wafer Transfer Robot
3533 Genmark Gencobot 4/3l Silicon Wafer Transfer Robot With Controller & Cables
3534 Genmark Gencobot 4/3L Wafer Handling Robot, Automation, Ultratech 02-20-02123 IO
3535 Genmark Gencobot 4PS0206052, Arm 2LA040676 Wafer Handling Robot
3536 GENMARK GENCOBOT 7/2L-S W/ SMALL CONTROLLER 9800106611
3537 Genmark Gencobot 7S/3L Wafer Robot, 7S050009, 3L7S050005, 423055
3538 Genmark Gencobot 8/3L GB8-MT-80020106 990010658A1 Robot and Controller Set *used
3539 Genmark Gencobot GB7/2L Wafer Robot GPR Series 700999325 2L7059913 (200mm/300mm)
3540 Genmark PA4011559 Pre-Aligner *, 90 day warrrany*
3541 GENMARK PA4091004 / SCD,PREALIGNER,300MM / GENMARK
3542 Genmark PA4120003 PRE ALIGNER INCLUDES 15 FOOT pn: 910500013A CABLE
3543 Genmark Robot 4S00799360 with 14 day warranty
3544 GENMARK RPO060280 / PREALIGNER / GENMARK
3545 Genmark S08R4GP Controller, Cables (QTY 2) Included
3546 Genmark Wafer Transfer Robot Controller L86R/P VER.21 Gencobot Ultratech Stepper
3547 Genrad IPTE In-Circuit Test handler
3548 GENRAD SRT 1100 REWORK STATION WITH LEICA MS5
3549 GENUS  35334-00 / HEATER.ALN,HEATER ASSY / GENUS INCORPORATED
3550 GENUS 41777-01 / CONTROLLER, E500/E220 / GENUS
3551 GENUS 42333-00 REV D / GENUS POWER SUPPLY INJECTOR PD 2A8
3552 GENUS 42486-00 / INJECTOR VACUUM CONTROLLER / GENUS
3553 GENUS 42487-00 / 3A14 ACCELERATOR VACUUM CONTROLLER / GENUS INCORPORATED
3554 GENUS 42570-00 / ELECTRODE MANIPULATOR / GENUS
3555 GENUS 42635-00 / CONTROLLER, E500/E220 / GENUS
3556 GENUS MS14-2 / CURRENT INTEGRATOR / GENUS
3557 GENUS P150807-08 / ACCELERATOR DRIVER POWER UNIT / GENUS
3558 Geological Ultra High Pressure Rockland Research Press
3559 Georgia Oven MCV Hybrid High Temperature Vacuum Oven 18 cu. ft. 300°C
3560 Gespac Component Bundle. Over 190 Boards and other components.
3561 Getech Model ACI2000 Automatic Connector Insertion Machine 200-240V
3562 GETTERS MONO TORR GAS PURIFIER PS4-MT3-N-1
3563 Getters PS4-MT50-R-1 MonoTorr UHP Helium/Argon/Xenon Rare Gas Purifier
3564 Getters PS4-MT50-R-1 MonoTorr UHP Helium/Argon/Xenon Rare Gas Purifier
3565 GHW-12Z RF Generator
3566 GLASSMAN HIGH VOLTAGE PS WX20N50.0Y69 / HIGH VOLTAGE POWER SUPPLY, VISTA VISION
3567 Glassman High Voltage PS/ER06N25.0YZ4 Power Supply AMAT 9090-00473
3568 GLASSMAN HIGH VOLTAGE PS/EX200P010EDR E19287200 /3A38 ACCELERATION POWER SUPPLY/GLASSMAN HIGH VOLTAGE
3569 GLASSMAN HIGH VOLTAGE PS/LT040P050XX0 / HIGH VOLTAGE POWER SUPPLY 5980-0119-000/ GLASSMAN HIGH VOLTAGE
3570 GLASSMAN HIGH VOLTAGE PS/PG020R050-CT / HV POWER SUPPLY (VIISTA VISION) / GLASSMAN HIGH VOLTAGE
3571 GLASSMAN HIGH VOLTAGE PS-ER20N15 / ELECTRON SUPPRESSION
3572 Glassman PS/ER06N25.0YZ4 6kV Power Supply AMAT 9090-00473ITL
3573 Glassman PS/ER06N25.0YZA 6kV Power Supply AMAT 9090-01265ITL
3574 Glenbrook Jewel 2013 Glenbrook Jewel Box 70T Real Time X-ray Inspection System
3575 Glenbrook Technologies Jewel Box CS Real Time X-Ray Inspection System w/ Manual
3576 Glenbrook Technologies JewelBox 90C Real-time X-ray Inspection Systems
3577 Glenbrook Technologies RTX-113 X-Ray Inspection Station
3578 GLI, INTERNATIONAL GLI E63F1N1A3A1ENN / GLI COMPONENT SENSOR
3579 Global Advanced Technologies Parylene Coating System w/ Vacuum Vessel Tank
3580 Global Standard Technology GST K5915 M13 Electric Chiller
3581 Globe Double Flier Armature Winder MDFM-4000 with XR1 High Speed Rotator
3582 GOULDS PUMP G & L STAINLESS FSI POLARIS 7103890 EMERSON MOTOR
3583 GPD 2018 GPD Global Simple Coat TR Conformal Coater
3584 GPD CF-10 Loose Radial Lead Former General Production Devices CF10 Lead Forming
3585 GPD CF-8 Axial Component Lead Former w/ Component Counter Parts and extras.
3586 GPD General Production Devices CF-10 Bulk Loose Radial Lead Former – Guaranteed
3587 GPD Global CF-15 Axial Component Lead Former
3588 GPD Global CF-8 Lead Former – Through Hole PCB manufacturing
3589 GPD Global DS9100 Liquid Dispenser
3590 GPD Global SimpleCoat, automated Conformal Coating System
3591 GPD Precision Axial Component Lead Former CF-8
3592 GPR-MT-2000 GB7/2L-8 /GENCOBOT 7, GB7 / 2L-8, GPR SERIES/ GENMARK
3593 graco precision mix 2k plural component paint proportioner
3594 Grieve AB-500 Bake Oven 27 Cubic Foot 36x36x36 Solvent
3595 GSI LUMONICS CORPORATION 2015048 / 486X MOTHERBOARD
3596 GSI Lumonics JK 702 HCR ND Yag Laser Cutter Welder Drilling System
3597 GSI Lumonics JK 702 ND Yag Laser Cutter Welder Drilling System
3598 GSI Lumonics Lightwriter SPe Nd:YAG Laser Marker/Marking System LWSPe
3599 GSM C4 Head
3600 GSM Camera Lighting Calibration Kit
3601 GSM Flex Jet Spindle Head Flex Jet Head
3602 GULMAY UC226/11 MICROFOCUS X-RAY XRAY GENERATOR
3603 GUZIK S1701A MICRO POSITIONING SPINDSTAND
3604 GVSP30 / EDWARDS ESDP30A SCROLL PUMP / EDWARDS VACUUM
3605 HAKKO FR-1418 BGA Rework Station (2015)
3606 Hamamatsu C7041-01T CCD VRA Fine Camera Nikon S7961-1008 NSR-S205C Working Spare
3607 Hamamatsu C7041-02 CCD VRA Fine Camera S7961-1008 Nikon NSR-S306C System Used
3608 Hamamatsu C8779A CCD VRA Fine Camera S8769A Nikon NSR-S204B
3609 Hamamatsu C8779A CCD VRA Fine Camera S8769A Nikon NSR-S204B Used
3610 Hamamatsu C8779A CCD VRA Fine Camera S8769A Nikon NSR-S306 System
3611 Hamamatsu L9570-42 Wafer Stealth Laser Dicing L9571-42 SD Engine & L10349-42 AF
3612 HARMONIC DRIVE YASKAWA AC SERVO MOTOR SGMSV-50DPA-YA11 5KW CNC 7333795160 SPA-90
3613 HARPER MODEL HOU- 4D-30-T-28 INDUSTRIAL TUBE FURNACE 1500 DEGREES C 4 INCH DIA.
3614 HASETER CU200L4Z11 / THYRISTOR CONTROL UNIT ASSY SCR ALPHA 8 / HASETER
3615 HCT Applied Materials B5? Wire saw Wire guide rollers, set of 4
3616 HD SYSTEMS 01-9340-01/ UNIT R VCE4 ROTATE ASSY / HD SYSTEMS
3617 HDMI 40X-2000X 1080p HDMI Camera Infinity PLAN Trinocular Metallurgical Microscope
3618 Headway CB 15 Photo Resist Spinner
3619 HEADWAY PWM32 PHOTORESIST COATER
3620 HEADWAY Research LM22-PWM-103 LARGE AREA PHOTO RESIST SPINNER
3621 headway research spin coater for 200 mm and 300 mm wafers
3622 Headway Semiconductor Wafer Processing Spinner 1-PMD101-CB15
3623 Heat Exchange and Transfer SL500-12-WC-223 Fluid Heat Transfer System
3624 Heat Exchanger PROTO-ADV-CH1-2-009
3625 Heat flex Corp LH1-1-05-L-A03 new box INLINE HEATER / HEATFLEX CORP
3626 Heateflex AH6-1-05-C-11S In Line Fluid Heater Integrated Circuit
3627 HEATEFLEX CORP LHN-1-03-C-A00-P567 HEATER CHILLER COMBINATION 3KW ICD New
3628 Heateflex Corporation LHM-1-06-C-A20-110 In-Line Heater New
3629 Heateflex Corporation QHA-5.6-0 In-Line Heater
3630 HEATEFLEX LHN-1-09-Q-A21-14-25 PFA 9.0KW 240vac MEI Inline Heater New in Box
3631 HEATEFLEX ULTRA-PURE FLUID HEATING, LHM-1-03-C-A03-23-35, OEM NEW
3632 HEATER, ASSY, 8″ JHT .029 AMJ WXZ, 0010-03345M
3633 HEATFLEX CORP LH1-1-10-C-A01-P504 / INLINE HEATER
3634 Heatflex HPF16 Heated Filtered Process Bath1
3635 Heating Jacket
3636 Heinzinger TNQs 500 Power Supply, ASML 4022.430.0752 PAS 5000/2500
3637 Heller 1700EXL Reflow oven PCB SMT
3638 Heller 1706 EXL-S Lead-Free Small Footprint Reflow Oven 2008 Edge Rail Conveyor
3639 Heller 1707MKIII 2012 7 zone lead free reflow, N2, 480V, Edge/Mesh only 990 hou
3640 Heller 1800EXL Reflow Oven
3641 Heller 1800-EXL/1800EXL 8 Heat/2 Cool Zone (1808-EXL/1808EXL) PCB Reflow Oven
3642 Heller 1800SS Reflow Oven
3643 Heller 1809 EXL Reflow Oven (2008)
3644 Heller 1809 MK3 2011 9 zone lead free reflow oven #27163-02
3645 Heller 1809 MK3 2011 9 zone lead free reflow oven #87594-03
3646 Heller 1900W Reflow Oven
3647 Heller 1936MK5 24″ Wide IR Infrared High volume curing oven / reflow soldering c
3648 Heller 43KVA Heller 1500S PCB Reflow Solder Oven+ computer &SW
3649 Heller 932BH Reflow Oven
3650 Heller Reflow Oven, Heller 988, Convection Reflow, Efficient, Computer Included
3651 Henriksen CTS-8000-68LCC DIRCM Test Dewar.
3652 Henry Mann manix VP300 Vapor Phase Reflow Solder Sytem
3653 HEPA Filter Module, Cleanroom Ceiling with Blowers, Lights, Return Grills.
3654 Hepco 8000-1 Axial lead former #8040, taped and loose part feeders
3655 Hepco 8400-1L Axial Large Lead Cut and Form System – Axial Tape Fed Machine
3656 Heraeus 1029-632-01 QUARTZ PROCESS OUTER TUBE LPC/SST/CLASS 100, PN: 90158148
3657 Heraeus 2105-120898-51 VERTCARR 300MM QUARTZ BOAT, PN: 90163310
3658 HERAEUS 44798 PLATE, DISPERSION, QTZ RECIRC, SULFURIC, 9400
3659 HERAEUS 90163168 5105-100058-B1 QUARTZ VARIABLE FIN PEDESTAL
3660 HERAEUS QUARTZTECH, INC 2105-122158-B1 / TUBE FURNACE TEL FORMULA
3661 HERMES MICROVISION INC HMI 77-604-0400450-00 / WAFER-CHUCK/GROUNDER (PN WEGYA0-78131012)
3662 HERMES MICROVISION INC HMI 77-606-0800510-000 / MODULE CONTROLLER CHASSIS
3663 Hewlett Packard 6050A System DC Electronic Load, 6 Ch, 1800W, GPIB BUSS, 452418
3664 Hewlett Packard 6813A AC Power Source Analyzer, 300VRMS, 1750 VA, 452417
3665 HFK 15Z-TW1 / 1.5KW RF GENERATOR 1.5 OUTPUT 450 KHZ / KYOSAN ELECTRIC
3666 Hiden Analytical HAL IV PNIC Interface With HAL 101 S/2 Ion Counting RF Head
3667 HIGH PRESSURE VACUUM COATING SYSTEM
3668 High Purity 7Kgal Teflon Lined FRP Fiberglass Reinforced Plastic DI Water Tank
3669 High Vacuum Coating Sputtering Bell Jar Coater 2020A Pump Alcatel Turbo CRR 450
3670 HIGH VERTICAL TRAVEL GUIDED HEAT INSULATED 6 CHAMBER INJECTOR LABORATORY
3671 High Voltage HVPS/SC Power Supply for E-Beam Evaporator or Sputter
3672 HIGH YIELD TECHNOLOGY PM200-002 / PCB, CONTROLLER, PARTICLE MONITOR, HYT
3673 Hine Design 08063-001 / 08061-001 Cassette Platform PLC Module & Cables (NIB)
3674 HiPace 700 Pfeiffer Vacuum PM P03 933 Turbomolecular Pump TC 400 Turbo New
3675 HiPace 700 Pfeiffer Vacuum PM P03 933 Turbomolecular Pump TC 400 Turbo New Spare
3676 Hirata Teradyne Handler
3677 Hi-Red Operating Microelectronic Manufacturing Facility of Hi-Rel Components
3678 HITACHI 1-807875-J / PCB SBC09-2 / HITACHI
3679 HITACHI 1-823662-A / ELECTRODE,HEAD,M712,300MM / TSM
3680 HITACHI 1-A02220-95 / BAFFLE ASSY, EC1,SLOTTED
3681 HITACHI 1-A04824-01 / GROUNDED ELECTRODE, XT / TSM HITACHI
3682 Hitachi 1-A17202-90 Ground Electrode New
3683 Hitachi 200mm Wafer Transfer Robot with End Effector M-712E Etcher System Used
3684 HITACHI 2-817406-11 / DRIVER MOTOR SERVO SVAH2-A / HITACHI
3685 HITACHI 2-818410-A / PCB SPIN DRIVER HPTEC AG120A-S02 / HITACHI
3686 Hitachi 2M 121 A Hitachi IDX Microwave with power supply
3687 Hitachi 3-833535-1 Pearl Kogyo RF Generator RP-300 Rfrb
3688 HITACHI 3-A21343-B/RF POWER GENERATOR, XT NL05S400KT-01X
3689 HITACHI AS102S-B5 / SI[N(CH3)2]3 300SCCM +15VDC 75MA -15VDC 240MA / HITACHI
3690 HITACHI C5F8CAB-NSE-D1C1260 P858A-E02A / C5F8 GAS CABINET / HITACHI
3691 Hitachi CR-712 Series Wafer Handling Clean Robot with Cables FEM-312 EFEM Used
3692 Hitachi EC1 Transformer Unit M-712E
3693 Hitachi EC2 Transformer Unit M-712E
3694 Hitachi Electrostatic Chuck M-712E
3695 Hitachi ES7-2A Magnetron Power Supply ES7-llA, Hitachi M-712E ()
3696 Hitachi GXH/Sigma Series Pick and Place Machine’s Tray Tower Model: FP-G100R
3697 Hitachi High Technologies 1-A00893-90 Ground Electrode Single PC New
3698 Hitachi High Technologies 1-A00893-90/RCU Ground Electrode Copper CU Exposed New
3699 HITACHI KOKUSAI DENKI ENGINEERING UO1200PMCX / ULTRASONIC GENERATOR
3700 Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V
3701 Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V No Covers
3702 Hitachi Kokusai TZBCXL-00003A Wafer Cassette Handling Robot 300mm DD-1203V Used
3703 Hitachi Kokusai TZBCXL-00021A Wafer Cassette Handling Robot 300mm DD-1203V Used
3704 Hitachi L-8800 Amino Acid Analyzer
3705 Hitachi LP12-II Wafer Load Port Station FEM-312 EFEM
3706 Hitachi LP12-II Wafer Load Port Station FEM-312 EFEM No Sensor
3707 Hitachi M308 out of Intel. Motivated Seller, pls make offer.
3708 Hitachi MAG.P/G Assembly Microwave Magnatron Magnet 2M130 IDX M-712E Untested
3709 Hitachi Power Supply Unit 3 HFS-450-020 MU-712E System Working Spare
3710 Hitachi Robot M-712E Etch System, Factory Interface ()
3711 Hitachi S-570 Scanning Electron Microscope PGT Energy Dispersive Spectrometer
3712 Hitachi SEM-6000 Wafer System w/ S-6200 Microscope & Image Control STP Cabinets
3713 Hitachi Sigma G5 2011 Flexible / High Speed Chip Shooter, 65k CPH, 44x44mm
3714 Hitachi Sigma G5 2011 Multi-Function 15+3 45k CPH, Tray feeder
3715 Hitachi VME Micro Computer Assembly 7200 Etcher
3716 Hitachi VME Micro Computer MVME 162-263 M-712E Shallow Trench Etcher Working
3717 Hitachi VME Microcomputer MU-712E
3718 Hitachi Wafer Alignment Unit and Controller M-712E Shallow Trench Etcher Used
3719 Hitachi Wafer Cassette Load Port Station M-712E

PLEASE USE “Ctrl” + “F” KEYBOARDS TO SEARCH WHAT YOU WANT AT THIS PAGE.

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

 

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers