Description
The following items are in USA and are only for end user. Please contact us if you have any questions. Subject to prior sale without notice. Appreciate your time!
1 | 0010-29963 CONTROLLER CCM ENABLER CS AMAT 0010-29963 | |
2 | 1000KVA HAMMOND TRANSFORMER + $60,000 Panelboard with BREAKERS | |
3 | 1200a Forward 3600a Reverse Pulse Plating Elect DC Rectifier Power Supply PE8000 | |
4 | 2006 Integrated Dynamics Active Vibration Isolation Table Tru-Stone Granite Slab | |
5 | 200MM Aplied Materials Preventable Maintenance Parts Management: Wetclean PM Kit | |
6 | 200mm Wafer handling transfer robot. | |
7 | 2017 EDWARDS IXH4550HT SEMICONDUCTOR DRY PUMP HARSH PROCESS Solar LCD LED | |
8 | 2018 Unused EDWARDS IXH3030HV SEMICONDUCTOR DRY PUMP HARSH PROCESS Solar LCD LED | |
9 | 2018 Unused EDWARDS IXH4550HT SEMICONDUCTOR DRY PUMP HARSH PROCESS Solar LCD LED | |
10 | 214MF MRC Single Row Ball Bearing | |
11 | 3M filter Set 2097/07184** package sealed not expired | |
12 | 3M filter Set 2097/07184** package sealed not expired + 3m tape 5 rolls super 88 | |
13 | 3MM216WIDUL Fafnir Angular Contact Ball Bearing | |
14 | 43,000 Pairs of CR-39 Lenses | |
15 | 5500 PSI Torque of Hydraulic Pump | |
16 | ABB AUTOMATION Machine Terminal REF542PLUS | |
17 | ABB AUTOMATION Machine Terminal REM543CM214AAAB, REF542PLUS | |
18 | ABB AUTOMATION Machine Terminal REM543CM216AAAA | |
19 | ABB Excitation Control Terminal 3BHE017671R5000 for Unitrol 5000 NOS | |
20 | ABB REF542PLUS BASE UNIT 1VCF752000 | |
21 | AC BOX, P5000 | |
22 | AC RACK , 300mm producer SE | |
23 | AccuVein AV400 | |
24 | ACI LASER DPL NEXUS MARKER | |
25 | ACI Laser Marking Systems DPL NEXUS MARKER | |
26 | ADDOBIO EXCEL T | |
27 | ADEPT 07135-000, Adept Quattro s650, Robot, YoM: 2008, SN: 720-00205 | |
28 | ADIXEN 120917, MAGPOWER Turbomolecular Pump Controller | |
29 | ADIXEN 796-046752-003 MAGPOWER Turbo Molecular Pump Control Unit | |
30 | Adixen Alcatel ADS602H Dry Pump, Rebuilt by InterVac. Co. | |
31 | ADIXEN ATH 1600M, Turbomolecular Vacuum Pump | |
32 | Adixen MAG POWER 796-046752-003 Turbo Controller | |
33 | ADIXEN P2512100, ATH 1600M, Turbomolecular Vacuum Pump | |
34 | ADTEC AD-TEC AX 3000III RF Plasma Generator 13.56MHz 3Kw | |
35 | ADTEC AX-3000 lII-N 3kW 13.56MHz RF Plasma Generator | |
36 | AD-TEC AX-5000W RF Generator | |
37 | ADTEC Plasma Technology AMVG-1000-KE-1-UL Matching box | |
38 | ADVANCED APEX 5513 RF GENERATOR | |
39 | Advanced Energy / RF Generator RFPP RF-20R / MN 3150058-002 | |
40 | ADVANCED ENERGY 3155148-018 /3155148-016 13.56 MHz / 4MHz Dual RF MATCH | |
41 | Advanced Energy AE Cesar 1350 RF Power Generator | |
42 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156110-004A | |
43 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156110-005A | |
44 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156110-005C AMAT P/N 0190-19200-001 | |
45 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156110-005D AMAT P/N 0190-19022-001 | |
46 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156110-007A P/N 0920-00067 | |
47 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156110-008 / 660-032596-023 | |
48 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156110-013 / 660-032596-013 | |
49 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156110-014 / 660-032596-014 | |
50 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156110-213 / 660-032596-213 | |
51 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156111-004A | |
52 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156111-004B | |
53 | ADVANCED ENERGY Apex 1513 RF GENERATOR 3156111-006B | |
54 | ADVANCED ENERGY APEX 1513 RF GENERATOR P/N 660-900984-008 | |
55 | Advanced Energy APEX 3013 3156113-006 RF GENERATOR | |
56 | ADVANCED ENERGY Apex 3013 RF GENERATOR 3156113-011A | |
57 | ADVANCED ENERGY MDL-15 M/N 3152345-101D DC magnetron power supply | |
58 | Advanced energy Paramount 1513 RF Generator 3156310-006A | |
59 | ADVANCED ENERGY PDX 900-2V | |
60 | ADVANCED ENERGY PDX 900-2V RF GENERATOR 3156024-132 AMAT P/N 0190-08677-002 | |
61 | ADVANCED ENERGY PDX 900-2V RF GENERATOR 3156024-132 AMAT P/N 0190-08677-005 | |
62 | Advanced Energy RF Generator RFPP RF20R 3150058-002 / AMAT 0920-01070 | |
63 | Advanced Energy RF PARAMOUNT 3013 3156330-040 RF GENERATOR | |
64 | ADVANCED ENERGY RFG 1250 HALO P/SUPPLY P/N: 660-024637-013, MN: 3155027-005C | |
65 | ADVANCED ENERGY RFG 2000-2V M/N: 3155053-007A | |
66 | Advanced Energy RFG-1251 / 3155107-001 RF Generator | |
67 | Advanced Energy RFG-1251 / 3155107-101 RF Generator | |
68 | Advanced Energy XSTREAM 3151806-202 G | |
69 | Advanced Energy, APEX 1513, RF Generator, P/N 0190-19022-001, M/N 3156110-005 C | |
70 | Advanced Energy, APEX3013, RF Generator, P/N 0190-19021W, M/N 3156113-006 | |
71 | Advantech IPC-6908BP-30ZBE Industrial Computer AMAT P/N 0190-26514 | |
72 | ADVANTEST BIR-021588 | |
73 | ADVANTEST THS6000 Power Supply PN:ADSEQ-00840 (Get-power GP006.1_13 THS_VPIN) | |
74 | AE 3KW RF Generator Apex 3013 M/N 3156113-011A | |
75 | AE Advanced Energy / NAVIGATOR-5513 / RF MATCH, 3155169-002 | |
76 | AE Advanced Energy APEX 3013 31561143-011B 3KW 13.56MHz RF Generator | |
77 | AE Advanced Energy ICP-16L RF generator 1600W ,40Mhz | |
78 | AE Advanced Energy Ovation 35162 RF Generator | |
79 | AE Advanced Energy RFPP RF20M 2.5KW RF generator | |
80 | AE Advanced Energy RFPP RF30H 13.56Mhz 3KW RF generator | |
81 | AE ADVANCED ENERGY ULVAC MDL-15 dc power supply | |
82 | AE Advanced Energy, APEX3013, RF Generator, P/N 0190-19021W, M/N 3156113-006 | |
83 | AE APEX 3013 M/N 3156113-003 P/N 27-342099-00 | |
84 | AE Apex 5513 M/N 3156115-012A | |
85 | AE APEX 5513 P/N R27-284656-00 M/N 3156115-007 | |
86 | AE APEX10013 10KW/13 RF Generator M/N 3156117-006 | |
87 | AE APEX-10013 RF Generator, A3H2C200KA130E102E, | |
88 | AE AZX DPS DOME(Dome Match), M/N: 3155086-002 /ASIS | |
89 | AE AZX-72 RF MATCH M/N : 3155031-043 P/N : 0190-30486 | |
90 | AE MDL-15 M/N 3152345-101D | |
91 | AE MDX-15KM MAGNETRON DRIVE M/N 3152228-018 B | |
92 | AE MDX-L12M (3152344-100 B) | |
93 | AE MDX-L12M, M/N: 3152344-100, Condition | |
94 | AE NAVIGATOR 3013 M/N 3155132-004 DPS532 METAL RF MATCH | |
95 | AE NAVIGATOR 3013 M/N 3155132-004 P/N 0190-19023-001 RF MATCH | |
96 | AE NAVIGATOR 3013 M/N 3155132-004 P/N 0920-00004 RF MATCH | |
97 | AE NAVIGATOR 3155126-012 RF MATCH | |
98 | AE Navigator 3155169-009 RF Match AMAT 0190-23122-001 | |
99 | AE NAVIGATOR 5513 M/N 3155169-002 P/N 0190-15007 RF MATCH | |
100 | AE NAVIGATOR 5513 M/N 3155169-002 RF MATCH | |
101 | AE Navigator II M/N 3155301-019 RF Matcher | |
102 | AE NAVIGATOR II RF MATCH M/N 3155301-003 | |
103 | AE NAVIGATOR-10013 / 3155162-023B RF MATCH | |
104 | AE NAVIGATOR-10013 / 3155162-028B RF MATCH | |
105 | AE NAVIGATOR-10013 3155162-023 RF MATCH | |
106 | AE NAVIGATOR-1013 / 3155126-009 RF MATCH | |
107 | AE NAVIGATOR-1013 / 3155126-014 A RF MATCH | |
108 | AE NAVIGATOR-1013 / 3155126-017 B RF MATCH | |
109 | AE NAVIGATOR-1013 / 3155126-032 RF MATCH | |
110 | AE NAVIGATOR-1013 / 3155126-042 A RF MATCH | |
111 | AE NAVIGATOR-1013 3155126-009 RF MATCH | |
112 | AE NAVIGATOR-1013 M/N 3155126-009 AMAT P/N 0190-23623-001 RF Match | |
113 | AE NAVIGATOR-1013 M/N 3155126-009 RF MATCH | |
114 | AE NAVIGATOR-3013 / 3155132-004 RF MATCH | |
115 | AE NAVIGATOR-3013 / 3155132-004 RF MATCH AMAT P/N 0190-27579 | |
116 | AE NAVIGATOR-3013 / 3155132-004C RF MATCH | |
117 | AE NAVIGATOR-3013 / 3155132-008A RF MATCH | |
118 | AE NAVIGATOR-3013 M/N 3155132-004 RF MATCH | |
119 | AE NAVIGATOR-5513 M/N 3155169-002 AMAT e-MAX RF Match | |
120 | AE PARAMOUNT 3013 RF Generator M/N 3156330-122B | |
121 | AE Paramount VHF 3060 3kW, 60MHz +/- 3MHz RF Generator PN: 660-125706-004 E | |
122 | AE PDX-8000 RF Generator P/N: 27-250801-00 | |
123 | AE PINNACLE M/N 3152411-264 P/N 0190-34645-000 GENERATOR | |
124 | AE PINNACLE M/N 3152411-401 P/N 0190-34649-000 GENERATOR | |
125 | AE PINNACLE M/N 3152412-233 GENERATOR AMAT P/N 0190-25724-001 | |
126 | AE PINNACLE M/N 3152412-264 P/N 0190-25692-001-001 GENERATOR | |
127 | AE PINNACLE M/N 3152412-402 P/N 0190-25698-001 GENERATOR | |
128 | AE PINNACLE M/N 3152412-411 | |
129 | AE PINNACLE M/N 3152422-105 GENERATOR | |
130 | AE PN 3150295-011 Ovation 2560SF LAM 660-034419-011 | |
131 | AE RAPID-F RPS AMAT PN: 0190-13025 | |
132 | AE RFG 1251 RF Generator M/N 3155107-102 | |
133 | AE RFG 5500 (R27-115617-00) RF Generator | |
134 | AE RFG 5500 M/N 3155051-017 RF Generator | |
135 | AE RFPP RF5S M/N : 3150004-020 | |
136 | AE RFPP RF-5S RF Generator 500W,13.56Mhz | |
137 | AE SEKIDENKO OR400M Precision Optical IF Pyrometer 3.3um SEKI PN:956-1043-02 | |
138 | AE Sparc-leV Pulsing Power Supply 3152330-009 A | |
139 | AE VHF Ovation 2560SF M/N 3150295-010 P/N 660-034419-010 | |
140 | AEBUN (4x) UV550 , 15 Meters | |
141 | AEBUN (7x) UV400 , 15 Meters | |
142 | AEBUN (7x) UV400 , 15 Meters | |
143 | Aerotech AOM130M-9 Rotating Gimbal Mirror Mount w/ Motors | |
144 | Agilent 1290 1200 G4227A HPLC Flexcube Infinity Flexible Cube Demo Unit | |
145 | Agilent 53151A 10Hz-26.5GHz Counter | |
146 | Agilent 5DX X-RAY Control Panel Assy : Motion Control N7200-60072 TDP Servo | |
147 | Agilent 85070E Dielectric Probe Kit + Extra (10) Slim Form Probe | |
148 | Agilent 8753ES, 300KHz to 6GHz Network Analyzer | |
149 | Agilent Absolute Reflectance Accessory Dual VW Varian Cary 300/4000/5000/6000i | |
150 | Agilent ASYST AXYS 21 Robot Wafer/Plate/Solar Panel/Cell Handler w/end effector | |
151 | Agilent E4405B 9KHz-13.2GHz ESA-E Seriese Spectrum Analyzer | |
152 | Agilent E4438C 4GHz ESG Vector Signal Generator | |
153 | Agilent FieldFox N9923A 6GHz RF Vector Network Analyzer | |
154 | Agilent HP 3458A 8.5 Digit Multimeter | |
155 | Agilent HP 83732B Synthesized Signal Generator | |
156 | Agilent InfiniiVision MSO7054A 500MHz Oscilloscope | |
157 | Agilent J7211A DC to 6GHz Attenuation Control Unit | |
158 | Agilent Keysight N1265A Ultra High Current Expander Fixture | |
159 | Agilent N1225A / N1225-20003 Four-Channel High Resolution Laser Axis Board | |
160 | Agilent N1225A Four-Channel High Resolution Laser Axis Board for VME | |
161 | Agilent Nano Intender G200 UNIVERSAL TESTING SYSTEM | |
162 | Agilent TURBO-V550 CONTROLLER | |
163 | AGILENT Varian TriScroll 300, PTS03001UNIVEU, Dry Scroll Vacuum Pump | |
164 | Agilent Z4203B High Split Fiber Laser Source | |
165 | Air Compressor 5 hp | |
166 | Air Cooled NESLAB ThermoFlex 3500 LAM 34201940000001 | |
167 | AITEC AR-SV5G-100 Single Arm ROBOT / AI-2500D CONTROLLER | |
168 | AJEX MEDITECH V TYPE STAND UNIT | |
169 | Alarm Controls 600S Magnetic Door Lock | |
170 | ALCATEL ACT 2300M LON TURBO PUMP CONTROLLER LAM P/N 796-099223-003 | |
171 | Alcatel ACT 600M Turbo Pump Controller | |
172 | ALCATEL ACT1300M Turbo Pump Controller | |
173 | Alcatel Adixen ATH 500M Turbomolecular Pump Good condition. | |
174 | ALCATEL ADIXEN V8GACSFEBF, ACP 40 G / ACP40G, vacuum pump, SN:AC651623 | |
175 | ALCATEL ATS 200 Turbo Pump | |
176 | Alcatel Drytel 100 Turbo Drag Dry High Vacuum Pump | |
177 | ALCO 16-251F ENGINE Parts. | |
178 | Allen Bradley 1492-WBF424 ser.A There are 300 pieces available in lot | |
179 | Allen Bradley HPK-B1308E-MA42AA Series A Asynchronous HPK Servo Motor | |
180 | ALLTEC Laser Marking Systems LF100 | |
181 | Alpinion E-cube 15 | |
182 | AMAT 0010-01418 OUTSOURCED ASSY HEATER LIFT PRODUCER | |
183 | AMAT 0010-03487 ENDURA II 300mm Magnet | |
184 | AMAT 0010-03487 ENDURA II 300mm Magnet Assy | |
185 | AMAT 0010-03487 ENDURA II 300mm Magnet Assy | |
186 | AMAT 0010-10328M C-ESC C5200 MXP+OXIDE | |
187 | AMAT 0010-14796 ASSEMBLY, MAG DRIVER, 300MM EMAX AP | |
188 | AMAT 0010-17447 TEMP CONTROLLER | |
189 | AMAT 0010-19199 ASSY, BOSCH PVD/PC WAFER LIFT AND MTR AS | |
190 | AMAT 0010-20524 8′ 200mm Preclean RF Match | |
191 | AMAT 0010-22716 B101/CHILLER AC-H20 BOX ASSY | |
192 | AMAT 0010-23302 CONTROLLER ASSY, CHAMBER, EPI 300MM | |
193 | AMAT 0010-23684 TOP MATCH ASSY 300MM ULTIMA | |
194 | AMAT 0010-26180 RF MATCH | |
195 | AMAT 0010-27517 HEATER ASSY | |
196 | AMAT 0010-34832 REV001 Pedestal Integration Box RF INTLK CP81 | |
197 | AMAT 0010-39207 e-MAX RF Match | |
198 | AMAT 0010-44814 CONTROLLER CCM DPS232 | |
199 | AMAT 0010-71140 VME Chassis | |
200 | AMAT 0020-93869 BLOCKER DD3328 SACVD 300mm | |
201 | AMAT 0020-98112 Housing Spindle LK Reflexion Applied Materials | |
202 | AMAT 0021-01598, Face Plate, Nickel, Ti- xZ | |
203 | AMAT 0040-00876 ,0040-05592 E-Max 200mm ESC Chuck EMXP+ Dual Zone | |
204 | AMAT 0040-03661 300mm VHP Robot Arm | |
205 | AMAT 0040-07033 300mm Ceramic heater | |
206 | AMAT 0040-18208 ULTIMA LASED, ESC | |
207 | AMAT 0040-45164 , 0040-45206 ESC ASSY 200MM FLAT | |
208 | AMAT 0040-48594 ESC 300MM DUAL HE HDPCVD | |
209 | AMAT 0040-50657 300MM VHP Robot Pivot | |
210 | AMAT 0040-50657 VHP 300MM Robot Pivot | |
211 | AMAT 0040-63476 ESC Chuck | |
212 | AMAT 0040-70629 REFLECTOR PLATE, INJECT, 300MM RADIANCE PLUS | |
213 | AMAT 0040-83394 REV.004 SHOWER HEAD PLATE | |
214 | AMAT 0090-76109, ASSY, ELECT, SBC, SYNERGY 68040 CNTRL | |
215 | AMAT 0190-08715 PUCK 8″ PBN-ESC <NEW> | |
216 | AMAT 0190-12531 DUAL SWLL LAMP DEGAS DRIVER / 0242-30217 KIT ASSY | |
217 | AMAT 0190-23942 300MM Ultima DPS ESC | |
218 | AMAT 0190-28658 VERITY SD1024F-2-S Spectrometer 1007467 EyeD Controller | |
219 | AMAT 0200-02698 SINGLE RING QUARTZ | |
220 | AMAT 0200-02814 CERAMIC PUCK,2 HE ZONE,JMF,200MM, DPS+ | |
221 | AMAT 0200-03837 INSULATOR INTERNAL 300 MM <NEW> | |
222 | AMAT 0200-08346 CERAMIC BLADE TI DOPED CERAMIC BLADE (300087-425 REV03) | |
223 | AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials # | |
224 | AMAT 0200-39137 Ceramic Dome | |
225 | AMAT 0200-39361 ISOLATOR SILANE PUMPING RING PRODUCER | |
226 | AMAT 0270-02451 ASSY, CAL PLATE, MEG P | |
227 | AMAT 200mm 0020-34694 LINER, Full process PM kit avaialble | |
228 | AMAT 200mm ASP+ Lift Assy | |
229 | AMAT 300mm ENDURA II 0010-19854 – SHUTTER FEEDTHRU ASSY | |
230 | AMAT 300MM VHP+ Robot Pivot Set 0040-50657 | |
231 | Amat 5500 endura , 300mm Factory interface | |
232 | AMAT 9090-01047 Gas Panel Control Assembly | |
233 | AMAT APPLIED MATERIALS 0010-30686R RF MATCH, E | |
234 | AMAT ASSY, ROTATION UPPER AND LOWER BEARING 0020-39360 , 0020-39361 | |
235 | AMAT ASSY, VALVE W/INT DRIVE, 200MM PRODUCER | |
236 | Amat BLOCK 300MM MAGNET HOLDER AND MAGNET. 0010-07563-004 | |
237 | AMAT CHAMBER CONTROLLER | |
238 | AMAT C-II DC POWER SUPPLY(SP664) 0190-03358 | |
239 | AMAT controller producer se flex4 | |
240 | AMAT DPS 300mm CHAMBER UPPER Y2O3 300MM DPSII 0040-79200 | |
241 | AMAT DPS 300mm Common Mesa Source Retrofit Assembly SRCE RETRFT 0010-43816 | |
242 | AMAT DPS 300mm MESA SOURCE 5/15 COILS W/RAISED MATCH 0010-52539 | |
243 | AMAT ENDURA 0010-93146. ASSY, POWER SUPPLY 15V | |
244 | AMAT ENDURA 24V POWER SUPPLY ASSEMBLY 0010-20211 REV F | |
245 | Amat Endura 5500, 300mm Chamber 4 PVD system, | |
246 | Amat Endura 5500, 300mm Etch Chamber | |
247 | AMAT ENDURA Degas Chamber Assy | |
248 | AMAT Endura E5500 PVD VAT Gate Valve | |
249 | AMAT ENDURA II HY-11 MAGNET 300MM DS-TTN 0010-25739 | |
250 | AMAT EPD CONTROLLER , Monochromator x2 | |
251 | AMAT GAS PANEL SAFETY INTERLOCK | |
252 | AMAT Heat Exchanger AMAT-0 | |
253 | AMAT Heat Exchanger AMAT-1 | |
254 | AMAT MIRRA TITAN PROFILER 8″ HEADS 0010-24500 CMP Titan Profiler Polisher Head | |
255 | AMAT P/N 0010-10527 Description:PDSTL ASSY,200MM FLAT,IS,NI,LIFT 3,HV CE | |
256 | AMAT P/N 0040-03661/ 0040-03662 VHP Robot Arm Set 300MM | |
257 | AMAT P/N 9010-01612 BEAMSTOP ASSY QX | |
258 | AMAT Quantum X Source / Magnet / active Ion Gauge Controller | |
259 | AMAT RADIANCE PYROMETER EMISSO PROBE 0010-23715 | |
260 | Amat Remote AC Panel Model P5000 Platform SN: A304. With power and signal Cables | |
261 | AMAT RTP 0021-35163 REV C, Reflector Plate Chamber Bottom | |
262 | AMAT SEMI TOOL 610T0348-501R | |
263 | AMAT SEMVision G2 System User Interface Cabinet | |
264 | AMAT SIDE Match Assy 300mm ULTIMA 0010-23685 | |
265 | AMAT Spectra-Physics LASER W F.O CABLE, 0129-9535-23 USED | |
266 | AMAT ULTIMA Lid Ass’y 200mm | |
267 | AMAT UVision COHERENT Azure -200 Laser Head 3W / VERDI-5W | |
268 | AMAT, CWXZ chamber | |
269 | AMAT, P5000 RF generator ALT-100RA / LF5 | |
270 | AMAT, XP ROBOT Driver(0190-28822) for AMAT, ENDURA2 | |
271 | AMAT,40AMP POWER DISTRIBUTER | |
272 | AMAT,P5000 Hot Box, BPSG, PE-TEOS | |
273 | AMAT/ P5000 / Ass’y, Cassette stage | |
274 | AMAT/ P5000 / Ass’y, Cassette stage 2 | |
275 | AMAT/ P5000 / Ass’y, Cassette stage 3 | |
276 | AMAT/ PRODUCER / 12INCH / POWER DISTRIBUTER | |
277 | ANELVA SUMITOMO P-875CA CRYOPUMP COMPRESSOR UNIT | |
278 | ANITE 000012956, 9000 Mobile test accelerator Rev2.3, SN:TB13111, Option:CAT3 | |
279 | ANITE 000012956, 9000 Mobile test accelerator Rev2.4.1, SN:TB14188, Opt:02 | |
280 | ANKOM XT15i Extractor Solvent Extractor 220V-240V 50/ 60Hz | |
281 | Anorad XYZ 10″ Wafer Stage Linear Motors Glass Scales Controller 24″x14″ Travel | |
282 | ANRITSU MD8430A – DIN EN ISO 17025 CALIBRATED 02/2019 – signalling tester with L | |
283 | Anritsu MS9710B Optical Spectrum Analyzer-380 | |
284 | Anritsu Optical Spectrum MS9030A+MS9701C Optical Unit | |
285 | Anritsu Pulse Pattern Generator 0.05-12.5GHz MP1763B Fedex | |
286 | ANZ 2H-1 MAGNETIC FLUID SEAL | |
287 | APEX 1513 HALO 3156110-014 LAM P/N 660-032596-014 | |
288 | APEX 1513 HALO 3156110-214 LAM P/N 660-032596-214 | |
289 | APEX 2013 3156113-024 LAM P/N 660-063437-003 | |
290 | API 3200 LCMSMS and Nitro Generator | |
291 | Applied Materials (BACCINI) Metallisierungslinie – Solarwafermodule Soft Line | |
292 | APPLIED MATERIALS 0190-24686 HEATER AL SINGLE ZONE 300MM ENDURA2 AMAT | |
293 | Applied Materials 3 Laser Robot Characterization Fixture Assy, Case 0010-21999 | |
294 | APPLIED MATERIALS BACCINI MAC001000018, Oven YoM2011 – MINT CONDITION | |
295 | APPLIED MATERIALS BACCINI MAD003000035, Unloader YoM2011 – MINT CONDITION | |
296 | APPLIED MATERIALS BACCINI MAL002000019, Buffer YoM2011 – MINT CONDITION | |
297 | APPLIED MATERIALS BACCINI PMAH004000025, AOI Inspection 2011 – MINT CONDITION | |
298 | APPLIED MATERIALS BACCINI PMAH004000025, AOI, PVI-6, YoM2011 – MINT CONDITION | |
299 | APPLIED MATERIALS BACCINI Solar cell Buffer YoM2011 – MINT CONDITION | |
300 | APPLIED MATERIALS BACCINI Solar cell printer YoM2011 – MINT CONDITION | |
301 | APPLIED MATERIALS BACCINI Solar cell Tester-Sorter YoM2011 – MINT CONDITION | |
302 | Applied Materials Cassette Alignment Tool Wafer 200mm. CD+ AMAT LCAT200P-20001 | |
303 | APPLIED MATERIALS Rack PC 0090-A6202 / DAS200_2 , LAB-200/600 , CPCI-6910 | |
304 | Applied Materials Uvision DP CAGE P/N 4020569 | |
305 | Applied Materials Uvision IA PTM CAGE ASSY 0090-A1000 | |
306 | APS WB04, WB-07202, MWP Cr/Al wet bench housing PVC without switch cabinet | |
307 | Asclepion MelaStar | |
308 | ASCO NPL8316E35V 1″ FNPT 3 Way Solenoid Valve 125/DC with 20 foot Leads | |
309 | ASE AMV-8000Dual-APTC MATCH | |
310 | ASM 106813801 Rev C FE END EFFECTOR / EDGE GRIP/SLOPE/BP/NT/3.0H Surplus | |
311 | ASM AB559-IL08 ROTARY BOND HEAD ALUMINIUM WIRE BONDER | |
312 | ASM AD809-06 AUTOMATIC DIE BONDER FOR 211X, AD809-35 | |
313 | ASM AD809-06 AUTOMATIC EPOXY DIE BONDER FOR LED | |
314 |
|
|
315 | ASM AD809-06 FINAL ASSY, AD809-12 | |
316 | ASM SHOWER PLATE 1086-415-01 | |
317 | ASM Susceptor Heater Assy 1088-030-01 | |
318 | ASML 4022 455 0300.1 8122 389 3955.1 PPD-0250313-8 Particle Detector Unit | |
319 | ASML 4022.436.3351 End Effector / Wafer Handler | |
320 | ASML 4022.474.2602.1 | |
321 | ASML SERV.486.16652 WS TYPE 1 LSY-N SLIDE BODY – Y1/E+Y2/M | |
322 | ASML ZERO SENSOR LASER 4022.476.25451 | |
323 | ASML ZERO SENSOR LASER 4022.636.73571 | |
324 | ||
325 | ||
326 | ASTEX 0010-37735 RF Match | |
327 | ASTEX ASTRON 2L RPS FI20620 (P/N: 0920-01124) | |
328 | ASTeX AX2115 (3750-01056) Microwave Generator | |
329 | ASTEX AX3063 Microwave Smart Match /ASIS | |
330 | ASTeX AX8200D Ozone Generator | |
331 | ASTeX AX8200E Ozone Generator | |
332 | ASTEX FI20111 6.0KW MAG HEAD AX3152 | |
333 | ASTEX MKS ASTRON RPS, AX7651-2 | |
334 | ASTEX MKS ASTRONhf-S, AX7645PS & AX7645RH-01 | |
335 | ASTEX MKS remote plasma generator ASTRONhf-S, AX7645PS & AX7645H-10 | |
336 | ASTRO ELECTRONIC POWER 9005-32501-B03 | |
337 | ASYS A-608762, Jumbo-Robot Semiconductor handling + Controller | |
338 | Asyst Automation EG-300B-012 300mm Wafer Pre-aligner | |
339 | Asyst Spartan Sorters for Wafer Handling robot XPS-0147S | |
340 | ASYST, AXYS MODEL 21 Robot(12000-070) for AKRION | |
341 | ATAGO RX-5000α Digital Refractometer | |
342 | ATL Lasertechnik, ATLEX 300 I, 11065096, Laser | |
343 | Automatic Impedance Matching Unit AMVG-1000-GX | |
344 | AXYS 21 ROBOT, 12000-053 (S/N: 09-4105-132509) | |
345 | BECKER KVT3.80 / KVT 3.80, rotary vane vacuum pump, compressor – MINT CONDITION | |
346 | BELL 212, 405 , 205 , Auxiliary Fuel Tanks | |
347 | Benchman XT CNC Vertical Mill | |
348 | Best Cable Padlock as shown | |
349 | BETA-SENSORIK OU52501, SG2-30-030-OO-X | |
350 | ||
351 | Bird. Termaline Coaxial Resistor Model: 8921. | |
352 | BISON Dual Pulse Yellow Green Laser | |
353 | BLUE M DCC-146C / DCC 146C / DCC146C, Oven SN: DC-159 | |
354 | Blue M Electric 366 Clean-room, industrial oven | |
355 | BMG Spectrostar Nano plate reader | |
356 | BOC EDWARDS IPX100 DRY VACUUM PUMP PN:A409-02-977 | |
357 | BOC EDWARDS SCU-1500 Turbo Pump Controller | |
358 | BOC EDWARDS SCU-1500 Turbo Pump Controller | |
359 | BOC Edwards Seiko Seiki Turbo pump STP-A3003CV Turbopump | |
360 | BOC Edwards STP-301/451 Turbomolecular Pump control Unit | |
361 | BOC Edwards STP-301CVB3 Turbomolecular Pump control Unit | |
362 | BOC Edwards STP-A1603C Turbomolecular Pump control Unit | |
363 | BOC EDWARDS STP-H301C TURBO MOLECULAR PUMP | |
364 | BOC EDWARDS TCS-E, WRU-E, NRC715000, Y11010000, Gas Abatement System | |
365 | Boc Edwards TCU 40/80 Plus W_Lon SV1 | |
366 | BRANSON MWX 100, spot welder with universal Controller SBC | |
367 | Bridgeport Vertical Milling Machine Millpwr 2 Axis Acu-rite CNC + tooling/vise | |
368 | BROOKS 002-7200-21 | |
369 | Brooks 017-0483-01 Reliance Robot / 002-7391-08 Pre-Aligner / 105947 Controller | |
370 | BROOKS ATM RELIANCE ROBOT 017-0483-01 for Novellus C3 SPEED | |
371 | BROOKS ATM, ATR Robot, 017-0266-01, with cont.(002-9400-04) teach pendent, cable | |
372 | BROOKS ATR-7, 002-7090-05, controller, power supply set, from LAM 2300 star | |
373 | Brooks Automation 002-7800-03, SMIF 200mm | |
374 | BROOKS AUTOMATION 003-9010-03 | |
375 | Brooks Automation 121669 Wafer Handling Robot with NOVELLUS 02-273860-01 End Eff | |
376 | Brooks Automation Aquatran/Acutran7 wafer handling robot, 002-7090-08R | |
377 | Brooks Automation M/N 001-2976-11 | |
378 | Brooks Automation MTR-5 P/N 001-7600-02 Wafer Transfer Robot | |
379 | Brooks Automation MTR-5 Robot 001-7600-07 Wafer Transfer Robot | |
380 | Brooks Automation Reliance ATR8 119204 Wafer Transfer Robot | |
381 | Brooks Automation Robot Control Module 002-9401-01 | |
382 | BROOKS FIXLOAD 6M 013096-142-20 for Novellus C3 SPEED | |
383 | BROOKS Loadport, 002-7200-33, LAM2300 Versys poly used, ASIS | |
384 | BROOKS MAGNATRAN7 003-1600-07 for Novellus C3 SPEED | |
385 | Brooks MagnaTran7 300mm WAFER TRANSPORT ROBOT ARM 002-0016-34 MAG7 ARM | |
386 | Brooks MagnaTran7 300mm WAFER TRANSPORT ROBOT MAG7 ROBOT 003-1600-32 | |
387 | BROOKS PRI PRE 301B-CE 300mm PREALIGNER Pn: 6-0000-3669-PC | |
388 | BROOKS PRI, ABM407B-1-S-CE-S293(311780-002) for FSI | |
389 | BROOKS PRI, PRE-201-CE for MATTSON, AST-2900 | |
390 | Brooks Robot 152465 with Brooks Series 8 Robot Controller 146847 with cable | |
391 | Brooks Series8 Robot controller, 146836R | |
392 | BROOKS VTM Robot, MAGNATRAN7(003-1600-32), with ARM SET(002-0016-34) | |
393 | BROOKS VTM Robot, MTR5, 001-1951-06, ARM SET, Blade | |
394 | Brooks Wafer Handling Robot Reliance ATR8 121655 | |
395 | Brooks Wafer Pre-Aligner 002-7371-08 | |
396 | Bruker CRYOMAGNET BZH for NMR spectroscopy + Stablizing Legs included | |
397 | Bruker EMX EPR SPECTROMETER ( Resonator ER4119HS) | |
398 | BRUKER Matrix-F, Spectrophotometer | |
399 | Bruker Optics Matrix-F Duplex (I20100) FT-NIR Spectrophotometer + Wand, Cabinet+ | |
400 | BUMPING BONDER CONDITION KAIJO CORPORATION | |
401 | CANON SCANNER WAFER TRANSFER ROBOT w/CONTROLLER | |
402 | Cascade Microtech Summit 9000 Manual Analytical prober | |
403 | Caterpillar 189-4750 CONTROL GROUP-MONITOR (-ENGINE VISION) | |
404 | CDE Resmap 178 Resistivity measurement system | |
405 | CeramOptec (50x) UV145-UV159p , 3 Meters | |
406 | CHEMINJECTOR and Armstrong VMS Suction with 3450 RPM motors | |
407 | Chemwest Systems INC Mounted Slurry filter cabinet | |
408 | Chiller NESLAB TEL Tokyo Electron Water Cooled 99299999906 | |
409 | Chiller Orion Unit Cooler RKS600-VS-SP | |
410 | Chiller Water Cooled NESLAB HX-150 Navy 88216020202 | |
411 | CHRISTIE BOXER 4K30 Projector ( Lenses sold separately ) | |
412 | Christie Digital BOXER 4K30 | |
413 | Christie Digital BOXER 4K30 ,GREAT FOR DRIVE in MOVIE THEATER | |
414 | Christie DS+10K-J ( Can do 2048 x 1536 Resolution via VGA) | |
415 | CHRISTIE RODIE HD35K comes with 2 lenses | |
416 | Chroma 11300 bias current test system | |
417 | Chroma DC Power Supply 62150H-600S 600V 25A 15KW | |
418 | CI SYSTEMS, NTM DeLTA-R, A730-100-0011 | |
419 | CKD PRESSURE CONTROL VALVE SYSTEM VEC-VH8G-X0105 SELL | |
420 | Classys Shurink HIFU System | |
421 | CM120 Micropositioner Positioner Probe Tip Positioner Highly Precise | |
422 | Coffin Industrial Steam Turbine Centrifugal Turbo Pump | |
423 | COHERENT 1148930 PIEZO CONTROL UNIT | |
424 | COHERENT AVIA 355-3000 LASER HEAD & POWER SUPPLY | |
425 | Coherent Avia 355X High-Power Q-Switched UV Laser 355nm | |
426 | COHERENT INNOVA 300C Laser , Krypton Model I-302 | |
427 | coherent LabMax-TO & PM300F-50 Laser Power Meter | |
428 | COHERENT Laser System Vitesse Vitc1-NC15514 / Vitesse 1W DUO | |
429 | Coherent LPXpro 210 krF Excimer Laser | |
430 | COHERENT P/N 0178-127-50 8-WAY AMP | |
431 | COHERENT VECTOR 532-1000-20 Q-Switched IR & Green Lasers | |
432 | COMDEL CLF-5000 RF GENERATOR NOVELLUS P/N 27-049867-01 | |
433 | COMDEL CLX-2500 | |
434 | Comdel CX-1250 Digital Rack 13.56 MHz RF Generator Amplifier CXH 15K Controller | |
435 | COMDEL CX-2500S RF GENERATOR FP3319R1 13.56MHz | |
436 | Comdel CX-3500S 13.56MHz RF Generator,P/N FP3413R1 | |
437 | COMDEL FP2241R3 MATCH AMAT P/N 0190-11913 | |
438 | COMET P/N 20068907 RF Match Lam P/N R27-459007-00 | |
439 | ConBio REVLITE Laser | |
440 | CONTEC SPF14S9652-SC33/HJKLRW2C2P30/ROBO-8777VG2A 501 | |
441 | Cornwell ATC54113, 1/4″ – 3/4″ & 8mm – 19mm Bolt Extractor Set, 3/8″ Drive, 13pc | |
442 | CREAM OPTEC (35x) UV145-159 | |
443 | CREAM OPTEC UV145-159 | |
444 | CREAM OPTEC UV145-159 | |
445 | CREAM OPTEC UV550-600HT , 20 meters | |
446 | CREAM OPTEC UV550-600HT , 20 meters (Auction 1) | |
447 | CTI CRYOGENICS 8186083G007 179389 Cryo Pump | |
448 | CTI CRYOGENICS 9600 COMPRESSOR P/N 8135900G001 | |
449 | CTI Cryogenis, CT250F, 8039274, 350 CP, High Vacuum Pump | |
450 | CTI-CRYOGENICS On Board 8 Cryopump 8116014G006 | |
451 | Cybeq / Vacuum Wafer Robot, 6100 Robot & Robot Cont. | |
452 | CYMECHS INC DURAPORT | |
453 | CYMECHS INC DURAPORT | |
454 | CYMECHS INC DURAPORT-O | |
455 | CYNOSURE ACCOLADE | |
456 | CYNOSURE CYNERGY LASER | |
457 | DAEWOO D130E (Ø130) CNC Lathe | |
458 | DAIHEN AGA-27B 60MHZ 2700W RF POWER GENERATOR | |
459 | DAIHEN AMN-30C11 RF MATCH TEL P/N 1D80-004121-25 | |
460 | DAIHEN AMN-30F RF AUTO MATCHER, 3D80-000142-16 | |
461 | DAIHEN AMN-50H RF MATCHER | |
462 | Daihen ATP-10B 2450MHz 1Kw Microwave Power Supply | |
463 | DAIHEN FMM-200A1 RF AUTO MATCHER 2L39-000146-22 | |
464 | DAIHEN HRM-100A RF AUTO MATCHER 2L39-000144-13 | |
465 | DAIHEN NX-WMN-110A4 with SPELLMAN ESC5PN | |
466 | DAIHEN WGA-50E RF Power Generator 3D80-000602-12 | |
467 | Daikin Industries ACRO UBRP4CTH/ ACRO UBRP4CTL Brine chiller unit | |
468 | dalsa piranha3 line scan camera P3-12K40-01 W/DALSA VISION BOARD | |
469 | DCP208-24 DC power supply | |
470 | DELTA TAU DATA SYS TURBO PMAC2 MOTION CONTROLLER | |
471 | Dermadrop TDA | |
472 | DISPENSER EASYRO-441 | |
473 | DK Medical Systems MR-100-35/ELMA T3 | |
474 | DK Medical Systems R-500-125/ AccuRay D5 | |
475 | DMETEC Ultra Fat | |
476 | DONGBU ROBOT iM-SIG-2-62 (B) Multi Axes Contorller | |
477 | Doosan NX 5500II CNC Vertical Machining Center | |
478 | Doosan V850 CNC Lathe | |
479 | DOSIS QRAY | |
480 | DRESDEN ELEKTRONIK 21895, LSQ CA 5020 / CA5020, current amplifier : hose and cab | |
481 | DRESDEN ELEKTRONIK 21895, LSQ CA 5020 / CA5020, current amplifier | |
482 | Dressler LFGS 1250D RF Generator 300-500KHz LF Power Generator | |
483 | DYNATRONIX POWER SUPPLY PMC301/4-15-40XR | |
484 | EBARA 803H Turbo Molecular Pump CONTROLLER | |
485 | EBARA 806H-TF Turbo Molecular Pump CONTROLLER | |
486 | EBARA ET-300A Turbo Molecular Pump CONTROLLER | |
487 | EBARA ET300-UZ TURBO MOLECULAR PUMP | |
488 | EBARA ET-300W Turbo Molecular Pump CONTROLLER | |
489 | EBARA ET300WS TURBO MOLECULAR PUMP | |
490 | EBARA ET300WS-TP TURBO MOLECULAR PUMP | |
491 | Ebara ET801H Turbo Molecular Vacuum Pump with 803H controller | |
492 | EBRAIN BUS RACK 021919 | |
493 | EDWARD SCU-1600 TURBO PUMP CONTROLLER | |
494 | EDWARD SCU-800 TURBO PUMP CONTROLLER | |
495 | EDWARDS EPX180L HI VAC Dry Vacuum Pump | |
496 | EDWARDS EPX180NE HI VAC Dry Vacuum Pump | |
497 | EDWARDS EPX500LE HI VAC Dry Vacuum Pump | |
498 | EDWARDS EPX500NE HI VAC Dry Vacuum Pump | |
499 | EDWARDS iXH 4545 HT / iXH4545HT (96mm), ACGD1B123300, vacuum dry pump, | |
500 | EDWARDS iXH 6045 HT / iXH6045HT / iXH 6045 H/T / iXH6045H/T, vacuum dry pump | |
501 | EDWARDS iXH450H, ACAD0BG123000, vacuum pump SN: 096240087 – | |
502 | EDWARDS iXH6045, ACHD1B123390XS, HV MM96 Black EMS, vacuum dry pump SN: 10637674 | |
503 | EDWARDS iXH6045HT HV, ACHD1B123300, ACHD1B123390, SN: 119365504/119488238 | |
504 | EDWARDS iXL 500 Q / iXL500Q, ASE501123390XS, vacuum dry pump, SN.: 119493158 | |
505 | EDWARDS iXL500Q, iXL500QHV, vacuum dry pump, SN.: 119490330/119493162 | |
506 | EDWARDS PXH6000 BOOSTER HV 50/60, ACH000120300 SN: 129305784 – | |
507 | EDWARDS SCU-1500 Turbo Molecular Pump Control Unit | |
508 | EDWARDS SCU-1600 Turbo Molecular Pump Control Unit & Cable | |
509 | EDWARDS SCU-750 Turbo Molecular Pump Control Unit | |
510 | EDWARDS STP-301CVB TURBO PUMP, (3 Month Warranty) | |
511 | EDWARDS STP-A1603C / SCU-1603C TURBO PUMP SET, (3 Month Warranty) | |
512 | EDWARDS STP-A1603C turbo pump | |
513 | EDWARDS STP-H1000CV / SCU-H1000CV TURBO PUMP SET, (3 Month Warranty) | |
514 | Edwards STP-XA4503CV Turbo Pump / SCU-1500 Controller | |
515 | Edwards Turbo Pump EPX 500NE EPX500NE All-In-One Atmosphere-to-HiVac Dry Vacuum | |
516 | EDWARDS, SCU-800, Turbo Pump Controller | |
517 | EDWARDS, SCU-800, Turbo Pump Controller | |
518 | EDWARDS, STP-A803CV100, 3U80-000811-11 Turbo Molecular Pump | |
519 | EDWARDS,STP CONTROL UNIT,SCU-1600 | |
520 | EESYS appp020-02-01 puls plasma power supply | |
521 | EGGER TV 61-50 F0F4/7,5kW LB2 / TV61-50F0F4/7,5kWLB2, pump | |
522 | Eldim LCD Viewing Angle Measurement System EZContrast XL88 | |
523 | Electromagnetic Lock MM15 Securitron Assa Abloy brand | |
524 | ELESYS ALLIE Diode Laser | |
525 | EMCIS EA-300 EMI Analyzer 9KHz-300MHz EMI | |
526 | EMCIS Filter Analyzer FA-2100 9KHz-300MHz | |
527 | Emerson Rosemount Nuclear 1154HH6RC 1154HH-6153764 Pressure Transmitter NOS | |
528 | Emitech Cryopreparation System Model K-1250 | |
529 | ENDRESS+HAUSER 83I25-AD2WAKAAABVJ, Promass83I, flowmeter – MINT CONDITION | |
530 | Enerpac hydraulic pump ZU4 manual instruction sheet 182 pages | |
531 | ENERPAC RCH 603 HYDRAULIC HOLLOW CYLINDER 60 TONS CAPACITY 3″ STROKE | |
532 | Engis Hyprez Lapping System 16SPCS115V-03C Dual Head with Computer & PLC Control | |
533 | ENI B-5002 SPECTRUM RF GENERATOR | |
534 | ENI DCG-200Z OPTIMA(MASTER) | |
535 | ENI DOFBC2-083 27MHZ RF GENERATOR LAM P/N:660-002294R002 | |
536 | ENI GHW-50A-13DF3L0-006 5KW 13.56MHz RF GENERATOR | |
537 | ENI GMW-25Z RF Generator GMW25Z-2D0F2NT-001 2500W, 2MHz | |
538 | ENI MKS GEW-3540 RF generator | |
539 | ENI OB-2 Dual Match 2.27MHz 660-002296-002 / 000-1103-075 | |
540 | ENI oem1250 RF GENERATER | |
541 | ENI OEM-12B RF GENERATOR 1250 Watts | |
542 | ENI OEM-2000 Rev.C RF Generator 2000W 13.56MHz | |
543 | ENI OEM-50N SOLID STATE POWER RF GENERATOR | |
544 | EO TECHNICS Laser Marker SLD-402 / Lee Laser Power LPSD-10 / Advantech IPC-610P4 | |
545 | epd computer, Mattson 300mm | |
546 | Erchonia Lunula Laser | |
547 | ESCLEPION MCL30 | |
548 | ESI 9825 Laser Repair System Machine M9825 SELL | |
549 | ESI 9830 Act Technico Controller PC Rack TVS-ESI-FALCON | |
550 | ESI GENMARK Robot LARGE System Controller | |
551 | ESI Laser Repair Genmark Wafer 300mm RP Series Pre-Aligner RP Series | |
552 | ESI Laser Spectra Physics Power J40-8S40-18K-09 / Laser BL6E10-106Q-09 | |
553 | ESI Light Wave Diode Laser SPW 1047nm & Power Supply 110M-PS | |
554 | esi LIGHTWAVE 110M-PS Diode Laser with SERIES 110 | |
555 | ESPEC EHS-211M Highly Accelerated Stress Test System | |
556 | Espec SH-241 Temperature & Humidity Chamber | |
557 | Estimated Delivery Wed, Oct. 7 | |
558 | ETCH LAM FLEX DL MB LAM 853-040482-502 | |
559 | Extron JMP 9600 2K – JPEG 2000 2K Media Player ( Sync 2 for 4K media) | |
560 | FAGOR FM7 , AC Spindle Servo Motor | |
561 | FAGOR MOTORS ( Bulk Sale for 12 Motors) | |
562 | FAS nSpire, Extrusion Deposition System, 110/220V AC, SN:67217001 | |
563 | FEINMESS DRESDEN DHS 1 / DHS1, Display Handling System SN:020701 | |
564 | FEINMESS DRESDEN DHS 1-G / DHS1G, Display Handling System SN:020702 | |
565 | FINE NOBLEX | |
566 | FISH EYE LENS , for High End 2K, 4K projectors fits many models / Brands. | |
567 | FISH EYE LENS , for High End projectors fits many models / Brands. | |
568 | FISH EYE LENS , High End 2K, 4K projectors fits many models / Brands. | |
569 | FISH EYE Projector Lens , originally installed on a Sony T110 4K projectors. | |
570 | FOSS Soxtec 2050 Automatic System | |
571 | FRIGIDAIRE KNOBS , model 318017110 | |
572 | FRITSCH Pulverisette 14 Variable Speed Rotor Mill | |
573 | FuelCon EVALUATOR-C TEST STATIONS , Type 4200110 | |
574 | Fuji NXT H12HS H12HSQ Placement Head Manufacturer | |
575 | FUJIFILM DRI-CHEM 3000 COLORIMETRIC ANALYZER | |
576 | FUJIKIN ASSY ZFIH-059 | |
577 | FUJIKIN ASSY ZSHI-230 | |
578 | Fusion Microwave UV Curing System I300MB | |
579 | Gas Automation GmbH SAR-1000-B-CL PCB Depaneling Routing Machine | |
580 | GE FANUC 0372-B542008 | |
581 | GE Healthcare Dash 4000 Patient Monitor | |
582 | GE Logiq P5 Ultrasound Machine | |
583 | GE Logiq P5 Ultrasound Machine | |
584 | GE Logiq P6 Pro Ultrasound Machine | |
585 | GENERAL ELECTRIC SEDA36AT0100 100A 600VAC NSFS | |
586 | Genmark 9800106661 ROBOT SYSTEMS CONTROLLER | |
587 | GENMARK GENCOBOT 4/3L Wafer Handling Robot | |
588 | Genmark Gencobot 4S0500457 Wafer Handling Robot & S08P4.R Controller | |
589 | Genmark Gencobot 4S0703167 Wafer Handling Robot | |
590 | Genmark Gencobot 7s/3L (3L7S010454) ROBOT GENMARK Robot | |
591 | Genmark Gencobot GPR Series 8/3L wafer handling robot | |
592 | GENMARK GPR Series 700901018, Gencobot 7/3L, with Small controller, cable | |
593 | Genmark LARGE Robot Controller P/N 9800106811A | |
594 | Genmark RP060002 Wafer Pre-Aligner Robot | |
595 | GENMARK Wafer 300mm RP Series Pre-Aligner | |
596 | Giddings & Lewis Executive Control 486 Rev – R4 9/94 503-26116-20 | |
597 | Giddings & Lewis Mother 486 Servo Control Computer/PC Board, 503-25209-01 | |
598 | Goldfinger XP RF Amplifier KA2026 & Controller 230069-001 & Backside Transducer | |
599 | Goldfinger XP RF Amplifier KA2026 & RF Source 230069-001 & Cable & Transducer | |
600 | GTGWellness LeShape LES-200 | |
601 | GULCO FLUX OVEN (600Lbs each) | |
602 | GUNTERMANN & DRUNCK A2300032, Matrix switch CATCenter NEO8 – | |
603 | HAIMER Tool Dynamic TD 2009 Comfort balancing machine | |
604 | HALM cetisPV-Cellsorter simulator xenon flasher PV-CTL1, PV-XF2 – MINT CONDITION | |
605 | HAMAMATSU C8454 Laser Scan Controller C8170-11 MAX.370mW/CW 1300nm | |
606 | Hamamatsu C9300-124 10-Megapixel High Resolution Digital CCD Camera | |
607 | HANKOOK DYNATURN-II CNC Lathe | |
608 | Hanning & Kahl Hydraulic Hydro Unit 34046012 NOS NIB | |
609 | Hanning & Kahl Locking Device EDP-30011001 NOS NIB | |
610 | HASETEC THYRUSTOR CONTROL UNIT CU162U6Z3FA(TEL) | |
611 | HASETEC THYRUSTOR CONTROL UNIT CU250U5Z11A-2(TTLS) | |
612 | HDP CVD 300mm Ultima Plus Dome, Top Feed , PT Number; 911-02712-A | |
613 | Heat Exchanger NESLAB STEELHEAD-1 CHX 20000000005 | |
614 | Heater 12inch,PRODUCER,SACVD,0040-53558 rev.ool | |
615 | HEIDENHAIN 526 974-22 / 52697422, LS 187 C / LS187C, linear encoder | |
616 | HIDEN HPR20 Quadruple Mass Spectrometer | |
617 | HIOKI 8842 Memory Hicorder | |
618 | HIRATA AR-W150VCL-3-S-350, with Controller(HNC-D580), teach pendant(H-3335) | |
619 | Hitachi P/N 2-A09804-A ELECTRODE HEAD | |
620 | Hitachi Robot. CR-8V MFG No: 99XAA3701 | |
621 | Hitachi SII SEA1000A II XRF | |
622 | HITEK POWER G303-51A | |
623 | Hollaender NU-RAIL 70-7 COUPLING SPEED RAIL Factory package if available | |
624 | HONDA ELECTRON WAFER TRANSFER ROBOT RB670-TI-R Dual Arm Robot with controller | |
625 | Honeywell 51401996-100 E CARD Honeywell EAMR card | |
626 | HORIBA CS-131-15-AA(BS) CHEMICAL SOLUTION MONITOR | |
627 | HORIBA CS-180 series Chemical Solution Monitor CS-189Y-070707B | |
628 | HORIBA XGT1000WR X-RAY Analytical Microscope XRF | |
629 | HOYA Conbio Revlite Laser | |
630 | HP / Agilent 4156C 04155-66545 | |
631 | HP / Agilent 4156C 04155-66571 | |
632 | HP / Agilent 4156C 04155-66575 | |
633 | HP / Agilent 4156C 04156-66543 | |
634 | HP AGILENT 5517D-C19 260UW 3.73MHZ LASER HEAD ASML | |
635 | HP Network Analyzer 8510C, Monitor 8510C, S-Parameter Test Set | |
636 | HP/KEYSIGHT 8563E SPECTRUM ANALYZER | |
637 | HU-BRAIN HU-3120 industrial computer system | |
638 | HUTTINGER Elektronik RF- DRIVER- AMP 2000W 13.56Mhz Output Generator Amplifier | |
639 | HYUMEDI KM-SINESON-001 | |
640 | IBM Systems Storage DCS9900 with 2.5PB HDD installed (3x) 45U Racks | |
641 | IDX PH1117a HW Generator | |
642 | IKEGAI ANC-46A (1350×7050) | |
643 | INA F-238222.YRT, 011505346-0000-02, 0687269, rotary table bearing – | |
644 | Inficon Transpector XPR3 | |
645 | Inficon XPRTX100 XPR3 Transpector | |
646 | Inficon, CYG2-2311000, 70068150, Deposition Controller Cygnus2 | |
647 | Inficon, CYG2-2311000, 70082589, Deposition Controller Cygnus2 | |
648 | Inficon, IC6-121101, 70032060, Deposition Controller Cygnus2 | |
649 | Inficon, IC6-231100, 70028947, Deposition Controller Cygnus2 | |
650 | Ingersoll Rand Genuine OEM Part 22459937 Cooler 3/AAIR CV1 S2 w/Accessories | |
651 | innco systems: controller CO2000 | |
652 | INNOLUTION ULTRAFOCUS | |
653 | InPhotote Portable Raman System Rapid Chemical Spectrometer Analyzer +Probe | |
654 | INR-496-003D SMC CHILLER | |
655 | INR-497-100-X048 SMC CHILLER | |
656 | INR-498-012C SMC Chiller | |
657 | INR-498-012C-X007 SMC CHILLER | |
658 | INSTRON 5943 | |
659 | INSTRUMENT SYSTEMS LumiCam 1300, Imaging Photometer/Colorimeter with 50mm lens | |
660 | Interface Rack PC MPC4000IB CPU Pen M Type C2 Flush8GB / 020-0262905 | |
661 | INTRAcel Frachional RF Microneedling | |
662 | IPG Laser Gmbh YLD-600-1065-LP | |
663 | Ipitek Lumitherm LT2000-110-8, 03-3077-0001 AMAT 0190-35780 Pyrometer Controller | |
664 | IR-820 4-Axis SCARA High Throughput Wafer Handling Robot + Controller/Teach Pend | |
665 | ISOPORT ASYST 9700-9129-01 | |
666 | IZT S2000 Satellite Radio, DVB-T DVD-H 9Khz-3GHz Signal Generator | |
667 | JDS Uniphase M112M Diode Pumped Laser / Power Supply | |
668 | JDSU MAP-200 mTBF-A1 1520-1630nm Tunable Bandpass Filter Module BERT FC/APC OSNR | |
669 | Jeisys P-Nain SYSTEM | |
670 | JEISYS TRI-BEAM K | |
671 | JEL Corporation WAFER TRANSFER ROBOT C4442S-00530 Dual Arm Robot with controller | |
672 | JEL WAFER TRANSFER ROBOT GHR4206-320-PM-03236 & C4430-00501 CONTROL SET | |
673 | JEOL JXA-733 , X-ray microanalysis ,electron microprobe | |
674 | JEOL SM-09020CP Cross Section Polisher | |
675 | JEOL The AccuTOF LC-Plus JMS-T100LP Mass Spectrometer | |
676 | JOEUN MEDICAL JESL-2000 | |
677 | JOVYATLAS JOVYSTAR mega 160kVA, JST mega 160kVA par. red. Master, F-Nr.:06/2773 | |
678 | JOVYATLAS JOVYSTAR mega 160kVA, JST mega 160kVA par. red. Slave, F-Nr.:06/2773 | |
679 | JOYMG evaⅡ | |
680 | Jumbo Bags Reloc Zippit 18×24 Clear 4Mil Reclosable 25 Large Thick Bags 18 x 24″ | |
681 | KAWASAKI 30D60E-A006 ROBOT CONTROLLER | |
682 | KAWASAKI 30D60F-A228 Robot Controller | |
683 | KAWASAKI 30D60F-A239 Robot Controller | |
684 | KAWASAKI 3NS002S-L001 Wafer Pre Aligner | |
685 | Kawasaki robot 3NS004S-C005 Aligner | |
686 | Kawasaki Robot Controller. Model: C62C-A002 | |
687 | KAWASAKI SD1H-5200G CNC Drilling | |
688 | KAWASAKI, 30C65F-A007(0098975-000) for KLA-Tencor | |
689 | KAWASAKI, 30C65F-A007(0098975-000) for KLA-Tencor | |
690 | KAWASAKI, 3NS001S-L002(0190-05661) for AMAT, ULTIMA | |
691 | KAWASAKI, 3NS001S-L002(0190-07261) for AMAT, ULTIMA | |
692 | Keithley 2420 Source Meter | |
693 | KEITHLEY 9174 HSM 8X12 LOW CURRENT MATRIX CARD | |
694 | KEITHLEY 9174 HSMI 8X12 LOW CURRENT MATRIX CARD | |
695 | Keithley, 3706 + 5x 3730, 1301168, System Switch/Multimeter LXI + 5xMatrix | |
696 | Keithley, 3706 + 5x 3730A-S, 4350234, System Switch/Multimeter LXI + 5xMatrix | |
697 | Keithley, 3706 + 5x 3730-S, 1355453, System Switch/Multimeter LXI + 5xMatrix | |
698 | Keithley, 3706A, 4124768, System Switch/Multimeter LXI + 2 x 3730 | |
699 | KEYENCE IMSeries IM-6020 IMAGE DIMENSION MEASURING SYSTEM | |
700 | KEYENCE MD Series 3-Axis Fiber/YVO4 laser marker MD-V9900WA | |
701 | KEYENCE VK-9510 VK-9500 3D profile LASER microscope | |
702 | Keysight N8957A DC Power Supply 1500V 30A 15KW | |
703 | KeyTek E502A | |
704 | KeyTek ECAT E501A | |
705 | KeyTek ECAT E551 | |
706 | KeyTek ECAT E571 | |
707 | KIKUSUI ELECTRONIC LOAD PLZ664WA 600W 0~150V 0~133A | |
708 | Kirtas APT 2400 Robotic Book Scanner | |
709 | KLA TENCOR 2810 TDI MODULE | |
710 | KLA TENCOR ASSY SWITCHER,24V,PS2 P/N.770-773260-001 | |
711 | KLA TENCOR ASSY, SWITCHER, 24V, PS2 770-773260-001 | |
712 | KLA TENCOR Mercury Computer Systems ImpactRT 3100 ,Rear Transition I/O Module | |
713 | KLA TENCOR Mercury Computer Systems ImpactRT 3200 ,Rear Transition I/O Module | |
714 | KLA Tencor P10 P-10 surface profiler w/M2 XR Extended range MicroHead 200mm | |
715 | KLA Tencor VNGD1000-HM355V-01# | |
716 | KOKUSAI CQ 1720 CPU, CQ 1710 TC | |
717 | KOKUYO TCT-2004 CURVE TRACER | |
718 | KOMATSU AIC-7-6-UC Temperature Controller / AIH-63KS-UC | |
719 | Komatsu AIH-124QS-T5 TEMP CONTROLLER | |
720 | KOMATSU ELECTRONICS PWH-14420 SUGAI HOT DIW UNIT | |
721 | KOMATSU FRV-0005-6-R-90 20000373 3U13-000001-11 | |
722 | Komatsu GR-63-UL CONTROLLER / NES-333C-7-UC Heating Exchanger | |
723 | KOMATSU GRS-612 THERMOSTAT CONTROLLER / NES-3123-7 Heating Exchanger | |
724 | KOMATSU Heating Exchanger NES-3123-7 / Thermostat Control GRS-612 | |
725 | KOMATSU Heating Exchanger NES-3123-7 / Thermostat Control GRT-612-R-UL | |
726 | KOMATSU NE-333C-7-SA COOLING/HEATING CIRCULATOR | |
727 | KOMATSU NE-333C-7-UC COOLING/HEATING CIRCULATOR | |
728 | Komatsu Temp Controller AIH-65QS-LM4 | |
729 | KONTRON 400-H531 Industrial computer | |
730 | Krüss MobileDrop GH11 angle measurement | |
731 | KURAKI KBT-13EBA CNC Lathe | |
732 | Kurz 1361D7024 Power Supply Model 191RM 191RM-12 Rack Mount for 155ADAM | |
733 | KYOSAI ELECTRIC HCC-002-A 3380-000703-11 Chuck Top Temp Controller | |
734 | Kyosan 150-KT1 AUTO MATCH 15kW | |
735 | KYOSAN 20KW RF GENERATOR,RFK200ZDPS2 20KW 13.56MHz | |
736 | KYOSAN BJK2515MTE1 AUTO MATCHING BOX | |
737 | KYOSAN BJK3030RM-TF1 AUTO MATCHING BOX | |
738 | KYOSAN CFK200ZD 20K 4MHZ RF /DC GENERATOR RACK | |
739 | KYOSAN CMK200-PS1 AUTO MATCHING BOX | |
740 | KYOSAN HPK6R3ZI-TE8-PULSE RF Generator 2L39-00046-25 | |
741 | KYOSAN JMK50T-TE10B AUTO MATCHING BOX | |
742 | KYOSAN MBK100-TE17B AUTO MATCHING BOX | |
743 | KYOSAN MBK50-TE3 AUTO MATCHING BOX | |
744 | Kyosan RFK150FH KT1 15kW RF GENERATOR | |
745 | LAM 02-168108-00 SESIOC1 .BATH MODULE | |
746 | LAM 02-358285-00 | |
747 | LAM 02-380738-00 ASM E-HDSIOC ALTUS 2 CMPLT | |
748 | LAM 2300 FLEX FX PROCESS MODULE | |
749 | LAM 2300 KIYO E SERIES PROCESS MODULE P/N 853-044013-334 | |
750 | LAM 571-065780-702 ALL IN 1 GAS BOX | |
751 | Lam 65048-PH52-ADR2 TGV | |
752 | LAM 685-258941-002 GE SBC COMPUTER | |
753 | LAM 715-050839-401 HSG CHAMBER | |
754 | LAM 715-050839-701 HSG CHAMBER | |
755 | LAM 715-059638-803 | |
756 | Lam 839-019080-611 ESC | |
757 | Lam 839-019090-374 ESC | |
758 | Lam 839-021113-402. ELECTRODE, SILICON COMMON | |
759 | LAM 839-2448897-401 | |
760 | LAM 839-800327-432 ESC Chuck | |
761 | Lam 853-019102-005 ASSY, ENCL, AC CONT, 2300 PM | |
762 | LAM 853-040482-301 RF MATCH | |
763 | LAM 853-040482-600 RF AUTOMATCH | |
764 | LAM 853-043759-006 RF Matcher | |
765 | Lam 853-051190-624 | |
766 | LAM 853-065312-102 ETCH ENCL, HELIUM/RF PRE-BUILT | |
767 | Lam 853-067076-011 ESC RF | |
768 | Lam 853-800083-314 | |
769 | LAM ENHANCED DIGITAL GAS BOX, P/N: 571-004400-001/002/003/004 | |
770 | LAM Kiyo F Series PROCESS MODULE | |
771 | LAM Kiyo F Series PROCESS MODULE | |
772 | LAM LOADPORT P/N: 853-810522-005, (LAM EXELAN2300) | |
773 | LAM P/N 715-102250-003 REV.A BLK VENT AIRLOCK N2 2300E | |
774 | LAM P/N 839-800332-012 | |
775 | Lam Research 16-397087-00 | |
776 | Lam Research 300MM ESC 839-019090-577/B | |
777 | LAM Research 715-102001-530 ESC Electrostatic Chuck | |
778 | Lam Research 810-073479-005 Jetstream Gas Box MB | |
779 | LAM RESEARCH 810-102361-222 CHAMBER MUX BOARD | |
780 | Lam RESEARCH ESC 715-102001-518 | |
781 | Lam Research P/N 846-077848-858 JETSTREAM OPT/MSTGi GAS BOX | |
782 | Lam Research P/N 853-155577-001 | |
783 | Lam Research P/N 853-800083-313 | |
784 | LAM, ASSY, PCB, VME 68030, 810-017034-004 | |
785 | LAMBDA EMI ESS 200-50-2-D ESS POWER SUPPLY | |
786 | LARGE BUCKLE BEAN BAGS ( NO FILLING INCLUDED) | |
787 | Laserline LDM300-200 Diode Laser / Scanner control Unit | |
788 | LASEROPTEK HELIOS II | |
789 | LAUDA LSI51903, TR400K/DN40, secondary circuit system | |
790 | Laybold MAG 2000 CT Turbomolecular Pump | |
791 | Laybold MAG3200CT Turbomolecular Pump | |
792 | Lecroy WaveRunner 64Xi 600MHz 10GS Oscilloscope | |
793 | LEICA WILD HEERBRUGG M420 , MC170 HD, Macroscope with macro zoom lens and HD mic | |
794 | Leitz Ergolux AMC Inspection Microscope | |
795 | LEYBOLD MAG2000 Turbo Molecular Pump | |
796 | LEYBOLD MAG2000CT for Novellus C3 SPEED | |
797 | LEYBOLD MAGDRIVE DIGITAL 400035V0011 Controller | |
798 | LEYBOLD MAGDRIVE Digital Pump Controller Kat.Nr 400035V0011 | |
799 | LEYBOLD TURBO PUMP MAG2000 w/cable+MAG DRIVE digital | |
800 | Leybold TW 701 800051V0025 Turbo Pump | |
801 | LICHTZEN LZ-UV-H652-CMD(H)N2 | |
802 | LIGHTWAVE SERIES 110 LASER 211-20-05 / 110A-PS CONTROLLER POWER ON TEST | |
803 | LOCTITE 51050 part 1852755 12oz Anti-Seize molly paste spray old stock | |
804 | LOGITEX LH-2010 HF FLOW IPA HEATER | |
805 | Lot of 1000!! Itron ERT ERW-0771-301 Pulser for Badger RTR Sensus PMM C700 V100T | |
806 | Lots of 11 Rupprecht Patashnick,1400 Sensor and TEOM PARTICULATE MASS MONITOR | |
807 | LPG ENDERMOLOGIE | |
808 | LTRA MIIN LASER ND-YAG | |
809 | Lumenis Resur FX | |
810 | LUTRONIC CLARITY | |
811 | LUTRONIC SPECTRA VRM3 LASER | |
812 | LUTRONIC SPECTRA VRM3 LASER | |
813 | MATSSON XPS-0046S ATM End Effector | |
814 | MATTSON ILC3 | |
815 | MATTSON MODULE CONTROLLER PPC-D | |
816 | MECHATRONICS SHER 10 9000, Sheet Resistance Scanner, SN:R&R041335 – MINT CONDITI | |
817 | MECS ROBOT UTX1110P | |
818 | MECS UTXN1310 with Controller | |
819 | MedicalPark Bexcore | |
820 | MEDICAMAT Punch Hair Matic S.A.F.E.R.[] | |
821 | MEDICORE IRIS-950 | |
822 | MEDISON AcuuvixXQ | |
823 | MEDITECH Up-3000 Co2 Laser System | |
824 | MEGA MEDICAL NET-600A, NET-1500E, RS-3000, RS-1000, RS-2100 | |
825 | MEGAMEDICAL cell-q cool carbo | |
826 | MEGAPLUS II EC11000 Full 35mm 11 megapixel CCD VISION CAMERA SYSTEM | |
827 | MEISHO MS 8000 | |
828 | Metcal Apr 5000 XL latest model rework station APR-5000-XL ser.001534 | |
829 | Metrolux LSD1630 LASER SYNCHRON DEVICE / 2 x ML3743 | |
830 | Meyer Burger (Roth & Rau) CALiPSO® Heat Treatment Furnace | |
831 | Meyer Burger (Roth & Rau) CAMiNI® Firing furnace with integrated dryer | |
832 | Meyer Burger (Roth & Rau) SiNA® PECVD System | |
833 | MF IO motion ctrl | |
834 | MICRO EPSILON ILD2220-200, optoNCDT 2220, Laser Triangulation sensor system | |
835 | MINDRAY BS-220 Chemistry Analyzer | |
836 | Mitsubishi FA Controller L61CPUH2J / L6J71AP21 / L6HD / L6FD / A61P | |
837 | Mitsubishi Loresta-GX MCP-t700 | |
838 | Mitsubishi Melsec A4UCPU With A4UMCA-128 | |
839 | Mitsubishi RH-15UHC-SA04 Wafer Transfer Robot with controller | |
840 | Mitsubishi RV-E14NHC-SA06 Wafer Transfer Robot with controller | |
841 | Mitutoyo FS70L Semiconductor Microscope | |
842 | MITUTOYO LH-600E Linear Height Gauge 518-352E-21 | |
843 | MKS 1005501-001 Mole Delivery Device | |
844 | MKS ASTeX Astron HF+ / AX7635 RPS | |
845 | MKS ASTeX ASTRONex / AX7685-01 RPS | |
846 | MKS ASTeX ASTRONex FI80131 CPN: 0920-00057 REV:B | |
847 | MKS ASTex AX3071.8 SMART MATCH | |
848 | MKS ASTex AX8500 OZONE DELIVERY SYSTEM | |
849 | MKS ASTeX AX8560-3020 ZONE Generator | |
850 | MKS ASTeX AX8560-7100 Ozone Generator | |
851 | MKS ASTeX FI20110 6.0kW Generator | |
852 | MKS ASTEX FI20111 6.0KW Mag Head REV.F | |
853 | MKS ASTeX FI20160-1, 3.0kW Generator, | |
854 | MKS ASTex FI20161-1 1.8KW GENNERATOR | |
855 | MKS ASTex FI20162-1 3.0KW Mag Head | |
856 | MKS ASTeX FI20162-1, 3.0kW Mag Head, | |
857 | MKS ASTeX FI20634 Rev.H Smart Match | |
858 | MKS ASTEX REVOLUTION MKS P/N AX7690LAM-23 LAM P/N 685-045803R023 | |
859 | MKS ASTex Smart Match AX3076 | |
860 | MKS ASTRON 2L RPS FI20620-1 AMT PN: 0920-00013 | |
861 | MKS ASTRON RPS AX7670-16, P/N: 0190-00100 | |
862 | MKS AX8407 compact Ozone Generator | |
863 | MKS C5002 RF OUTPUT 5KW 2.0MHz | |
864 | MKS EDGE 400KHZ GENERATOR P/N EDGE210R40A-17008 REV.001 | |
865 | MKS ENI B-5002 SPECTRUM RF GENERATOR | |
866 | MKS ENI LVF-3527A 27Mhz RF GENERATOR / LAM P/N660-072825R200 | |
867 | MKS ENI Spectrum 5303-00 RF Generator 5.3Kw, 3.2MHz | |
868 | MKS ENI Spectrum B-5002 RF generator | |
869 | MKS OVS 4 ASTEX GMBH 15-8036-00 | |
870 | MKS PF2-TRPL-AG76-6 | |
871 | MKS SPECTRUM 3013-08 RF GENERATOR | |
872 | MKS/ENI QL3513 Surepower RF GENERATOR P/N QL3513A-0F01 | |
873 | MKS/ENI SurePower QL5513 RF Generator | |
874 | Modify NESLAB HX-150A Chiller Air Cooled 04216060203 | |
875 | Motorola MVME 5100 (01-W3518F-12D) | |
876 | MOTOROLA MVME162 P244LE BOARD | |
877 | MPlan APO HL 100X Objective Lens | |
878 | MTS 810 Material Testing System Tensile Tester 25KN/5.5 KIPS EnduraTec Controls | |
879 | MTS 858 Mini Bionix II 25 kN 5.5 kip UniAxial Torsion Compression Fatigue Tester | |
880 | MTS AERO-90 , DSSC and MDAC , 5 Cabinets, Cables, Controllers | |
881 | MUEGGE MX6000X-110KL / MX6000X 110KL, microwave power supply Rev.: 7 | |
882 | MUSASHI MT-310 PRECISION DISPENSING MACHINE | |
883 | Mutech microcoater digital Spin Coater with vacuum chuck, adapters and programs | |
884 | Mutech microlaser direct laser lithography system photomask writer | |
885 | Mykrolis IntelliGen Mini Pump TelTrack For Wafer Coating Instrument | |
886 | NANOENTEK JuLI smart fluorescent cell analyzer | |
887 | NANOSCOPE Systems 3D Measurement Microscope NS-3800 | |
888 | National Instruments NI PXI-1045 PXI-8196/ PXI-4472(9)/ PXI-4220(6)/ PXI-6070E | |
889 | National Instruments NI PXI-1045 PXI-8330/ PXI-4472(8)/ PXI-4220(3) | |
890 | National Instruments PXI-1042Q | |
891 | NEC LASER Assembly Power Supply S1892BS & Laser head S1892BS | |
892 | Neptune Mixer JG-4.2 Dual….. Gear Driven Clamp Mount Really nice low usage | |
893 | NESLAB CFT-75 Recirculating Chiller 95106041503 | |
894 | NESLAB CFT-75 Recirculating Chiller 95104041501 | |
895 | NESLAB CHX-150 Water Cooled 88216061604 | |
896 | Neslab EK90/GE 3295205 | |
897 | NESLAB HX-150(EATON) Recirculating Chiller 183740 | |
898 | NESLAB HX-150W Water Cooled 04216060203 | |
899 | NESLAB HX-300W , Water Cooled 90299071603 | |
900 | NESLAB HX-300W Navy Water Cooled 90216070203 | |
901 | NESLAB Instruments HX+300W/C Recirculating Chiller | |
902 | NESLAB RTE-740 274163200000 Digital One Refrigerated Bath | |
903 | NESLAB STEELHEAD-0 Recirculating, Heat Exchanger 20000000015 | |
904 | NESLAB STEELHEAD-1 Heat Exchanger 20000000005 | |
905 | NESLAB SYSTEM-4 Water to Water Heat Exchanger 02005300103 | |
906 | NESLAB ThermoFlex3500 HT LAM 34201940000001 | |
907 | NESLAB ThermoFlex900 Recirculating Chiller 01121110000000 | |
908 | NESLAB ThermoFlex900 Recirculating Chiller 01121110000007 | |
909 | NESLAB Water Cooled MX-500 93299991601 | |
910 | NETZSCH BE-20M/3NDP10 / BE20M/3NDP10, Barrel Emptying Pumps – MINT CONDITION | |
911 | NEWPORT 4000D Digital Motion Controller p/n 15-0000-0025-00 | |
912 | Newport ASSY SWITCHER,PS3,COBRA NO.0081392-000 | |
913 | NEWPORT PULSEO SPECTRA PHYSICS H20-355QW + J200-8SSSS42, 355nm UV 20W | |
914 | NF 4520A Precision Power Amplifier | |
915 | NF P-station ES ES36000T 36KW AC DC Power Source | |
916 | NF PV Simulator NF 4520A Precision Power Amplifier | |
917 | Nihon Kohden Neuropack M1 | |
918 | NIIGATA 20/40 CNC Lathe | |
919 | Nikon 4S015-173-B Processor Control Board PCB Card 4S015-205-C304-BT-31 NSR | |
920 | Nikon eclipse 80i Microscope | |
921 | NIKON ECLIPSE L200 Microscope Wafer Inspection with Stage/Nickon LUPlan 50×0.80 | |
922 | NIKON L104001 LINE SCAN CAMERA | |
923 | NIKON MODEL: NLCS-248A | |
924 | NIKON NSR-S307E ADE1 H Active Temperature Control Unit 4S587-598 | |
925 | NIKON NVC6B-U CAMERA CONTROL UNIT | |
926 | Nikon Rayfact MJ90mmF4 OVM05042MN | |
927 | NIMBUS LASER FOR CD/DVD , LBR 51 + DCA Server and (2x) Cabinet | |
928 | Nitto Denko SF-13ILS DEGAS MODULE For Chemicals & Solvents | |
929 | Noiseken ESD Simulator ESS-2000, TC-815P | |
930 | Noiseken FNS-AXII B50 Fast transient/Burst Simulator, Generator | |
931 | Nordiko 8000/8550 Electrode Shutter Drive Actuator HFUC-32 Spinea Heason | |
932 | Northrop Grumman Scimitar 50W LD YAG Laser Diode-Pumped Nd:YAG Laser | |
933 | NOVELLUS 02-101176-00 MEASURMENT PROBE | |
934 | NOVELLUS 02-261086-00 SPEED-S ESC | |
935 | NOVELLUS 02-281323-00 | |
936 | Novellus 03-299425-00 Backside Wafer handling Interface xPRS PCB | |
937 | NOVELLUS P/N 02-279391-00 CHASE COMPUTER | |
938 | NOVELLUS SRN2 P/N 02-255013-00 FIXED RF MATCH | |
939 | NSK Megatorque Controller EE0810C56-25 /RS0810FN003 Motor | |
940 | OERLIKON LEYBOLD 12000, TURBOSTREAM S2700G, Vacuum pump – Revision 2006 AF | |
941 | OERLIKON LEYBOLD 12000, TURBOSTREAM S2700G, Vacuum pump – Revision 2007 AF | |
942 | OERLIKON LEYBOLD 230116, CM52PB, COMBIVAC evaluation module – MINT CONDITION | |
943 | Oerlikon Leybold 252009, Radio Transmitter RT100 /St1 + RT 100 /St2 – MINT CONDI | |
944 | OERLIKON LEYBOLD 882003, MAG TURBOSTREAM S 5000, vacuum pump | |
945 | Oerlikon Leybold, 844250V0016, COOLVAC 2.000 CL-H, Cryopump with attachments | |
946 | Oerlikon Leybold, 844250V0016, COOLVAC 2.000 CL-H, Cryopump with valve – REFURBI | |
947 | Oerlikon Leybold, 844250V0016, COOLVAC 2.000 CL-H, Cryopump without attachments | |
948 | OLYMPUS CV-150 Video Endoscopy | |
949 | OLYMPUS MM6C-KMAS Microscope/LMPlanFL N 50x,20x,10x,5x,2.5x | |
950 | Olympus MX61L Inspection Microscope | |
951 | OM TDC-12N(4APC) CNC Lathe | |
952 | OMRON FZ2-550 VISION SYSTEM With FZ-SC2M COLOR CCD CAMERA | |
953 | OMRON FZ3-300 VISION SYSTEM With FZ-SC2M COLOR CCD CAMERA | |
954 | OMRON FZ3-750 VISION SYSTEM With FZ-SC5M2 2CAMERA/CABLE | |
955 | OMRON Vision System Controller FZ3-300 | |
956 | OMRON Vision System Controller FZ3-700 | |
957 | OPHIR POWER AMPLIFIER MODEL XRF373-001 AMAT PWR AMPLIFIER RF 20W 0500-A0181 | |
958 | ORC MANUFACTURING BAE-702B-4 | |
959 | OSAKA TG1100FCAB-60 PUMP/ TC1103 CONTROLLER | |
960 | OSAKA VACUUM, Compound Molecular Pump TG410MVA-01, Only Pump | |
961 | OZ OPTICS FIBER OPTIC CABLE | |
962 | P/N 0040-03661 / 0040-03662 AMAT Emax 300mm VHP Robot Arm Set | |
963 | P/N 0040-50657 AMAT Emax VHP 300mm Robot Pivot Set | |
964 | P5000 CVD chamber | |
965 | Panametrics MTS6 OEM Moisture Analyzer | |
966 | Panasonic Arbitrator full HD Model WV-VC30 USPS shipping included. | |
967 | Panasonic Arbitrator Remote Control Panel AG-RCP30P | |
968 | Panasonic Plasma Model TH85PF12U (85 inch) | |
969 | Panasonic Super Dynamic 6 Weather Resistant Dome Camera WV-CW594, out of box | |
970 | Panasonic Toughbook Arbitrator CCR24PNA Wireless Mic Transmitter/Receiver | |
971 | PANASONIC WV-CW964 PTZ dome camera | |
972 | PANASONIC WV-CW974 ADVANCED AutoTracking ptz camera | |
973 | Parker P10643-10-10 Hydraulic Fitting | |
974 | PEARL KOGYO RP-3000-100MK-PS | |
975 | PEARL KOGYO RP-3000-100MK-PS RF GENERATOR | |
976 | PEARL KOGYO RP-8000-2M RF GENERATOR | |
977 | Perfaction enerjet ultra | |
978 | Perkin Elmer AxION 2 TOF Time of Flight Mass Spectrometer +Software w/Dongle | |
979 | PFEIFFER BALZERS TPU 170 Turbo Pump | |
980 | Pfeiffer TMH 260 turbo pump w/ TCP 380 controller,cable | |
981 | PFEIFFER TMH520 SG IS DN160 ISO-K,2P Turbo Pump | |
982 | Pfeiffer TPH-170 , TCP-310 and Valves. | |
983 | PFEIFFER VACUUM COMBILINE WU 1900 WKP 2000 ROOTS PUMP UNO 400 | |
984 | Pfeiffer vacuum pump station used a little taken care of alot | |
985 | PFEIFFER VACUUM TURBO PUMP TMH 521 P X / TC600 / TP300 Power Supply | |
986 | Pfeiffer Vacuum Turbopump TMH 071 P DN 63 ISO-K.3P | |
987 | PHILIPS HD15 | |
988 | PHILIPS IU22 | |
989 | Photron FASTCAM 1024PCI | |
990 | Power Generator unit, RF Gen unit.. | |
991 | POWER PLASMA NPG4000D RF GENERATOR | |
992 | POWER PLASMA NPG5000D RF GENERATOR | |
993 | POWER PLASMA NPG-8000H VER05 | |
994 | POWER PLASMA NPG-8000H VER06 | |
995 | Power Plasma NPR-0604 Remote Source | |
996 | POWER TAB | |
997 | PRCBE Lab Series Probe Station Wafer Prober Semiconductor Lab Equipment | |
998 | PRCBE Mini Series Probe Station | |
999 | PRCBE Mini Series Probe Station with a MOTIC Stereo Microscope | |
1000 | PRCBE S Series Probe Station Wafer Prober Semiconductor Lab Equipment | |
1001 | PRI ATM-105-1-S-CE ROBOT | |
1002 | PRI BROOKS ROBOT CONTROLLER ESC-218BT-S293 (PN:600021223SP) | |
1003 | Prodigit 34310 DC Electronic Load 1000A 100A | |
1004 | PT200 Micropositioner Positioner Probe Tip Positioner | |
1005 | PT300 Micropositioner Positioner Probe Tip Positioner | |
1006 | Qty1000 PARKER 8CBTX-S , AN822-8 Steel , NSN 4730-00-231-3013 | |
1007 | QUANTEQ CORAGE CELL FITNESS | |
1008 | Quawell Q6000 UV-Vis Spectrophotpmeter | |
1009 | Queensgate NS2300/A Position Sensor Unit Nikon 4S587-005 | |
1010 | RAD-ELEC RADON TEXT KITS (Huge Lots of 2000 ) | |
1011 | RADITECH D8 SR, D/8 Integrating Sphere Spectroscopic Reflectometer – MINT CONDIT | |
1012 | Recirculating Chiller NESLAB HX-75A 86199061501 | |
1013 | RECTUSON 8CH Acoustic Emission AE-300 System | |
1014 | RELIANCE UVZ3455 VZ3000-DASR-1/RIPS-2 DRIVE | |
1015 | REMED OPTIMUS PRO | |
1016 | REMED optimus-pro | |
1017 | Remote AC rack,Mattson 300mm | |
1018 | RENA InDop HT Inline doper with soft rollers | |
1019 | RENA InOxSide+®, Inline junction isolation and rear side polishing | |
1020 | RENA InTex® Inline acidic saw damage etching and texturing | |
1021 | Rexroth Power Supply Module HMV01.1R-W0065-A-07-NNNN | |
1022 | REXROTH R911317072, MAF 180C-0100 FQ-N0-FQ0-35-N1 Induction Motor 62,8kW – | |
1023 | RF GENERATOR,TR3000 | |
1024 | RF generator-tx10-f090-09-j,ad-tec | |
1025 | RF POWER 7621482040 RF Match | |
1026 | RF50 Micropositioner Positioner Probe Tip Positioner RF Test | |
1027 | RF50-mmW Micropositioner Positioner Probe Tip Positioner RF Test mmW Test | |
1028 | RFG 1251 AE 3155107-101A P/N27-156759-00 Tested | |
1029 | RFPP RF30S M/N RF30SWC 7520758010 SE094 | |
1030 | RICH SEIFERT & CO ID 3003 HV Power Supply | |
1031 | Rigaku FR-E SuperBright High-Brilliance Rotating Anode X-Ray Generator 2006 +++ | |
1032 | Rigaku Saturn 944 X-Ray CCD Detector Crystallography Camera + Cryo Cooler MORE++ | |
1033 | Risshi Co.,Ltd. CS-600AH | |
1034 | RISSHI EX-2004 S/N:9911124, CHILLER | |
1035 | ROBOT DAIHEN SPR-101-X1119 MANIPULATOR SET | |
1036 | ROBOT,PRODUER SE 300MM | |
1037 | ROBOT,PRODUER SE 300MM Within controller | |
1038 | ROBOTS AND DESIGN WTR-D300E-W WAFER TRANSFER ROBOT / CONTROLLER | |
1039 | ROFIN 40147366, PowerLine E-10 Laser | |
1040 | Rohde Schwarz RTM2032 OSCILLOSCOPE | |
1041 | Rohde Schwarz SMU200A 6.0GHz Vector Signal Generator | |
1042 | RORZE / RR716L Robot+RA410 Aligner+CURR-4426 Controller+RAE-01786 Power | |
1043 | RORZE / RR716L1523-3A6-211-1 Robot & CURR-4426-2 Controller & Cable | |
1044 | RORZE / Wafer Aligner, RA410-812-101-1 | |
1045 | RORZE EFEM CONTROL COMPUTER | |
1046 | RORZE RAE-01213-A3 | |
1047 | RORZE ROBOT RR304L90-136-C01 | |
1048 | Rorze RR700L120 single Arm Robot | |
1049 | RORZE RR717L1521-376-V11-1 ROBOT/ CURR-6022-0 Controller | |
1050 | RORZE RR732L2722-372-101-2 wafer handler robot | |
1051 | RORZE RR732L2732-452-101-0 wafer handler robot | |
1052 | Rorze Single Handling Robot RR700L90-Z20-011 / Controller CURR-0609-2 | |
1053 | RORZE wafer handler robot RR732L2732-452-101-1 | |
1054 | RORZE WAFER TRANSFER, OCRR8150-001-001 | |
1055 | Rosemount Nuclear Pressure Transmitter 1152DP4N92BP w/ 1152DP 6735898 2000 PSIG | |
1056 | Rosemount Nuclear Pressure Transmitter 1154DP5RCN0037 01154-0060-0050 NOS | |
1057 | Rotating Beam Testing Machines | |
1058 | ROTEC VME10_01 CONTROLLER | |
1059 | ROTEC VME10-01 CONTROLLER | |
1060 | ROTEC VMEBUS CONTROL 200565 | |
1061 | RPS 2L MKS FI20620-1 (P/N: 0920-00013) | |
1062 | RPS,MKS f180131 | |
1063 | RPS22L AKT ASTRONhf+ (0190-73470), P/N: AX7635-03 | |
1064 | RPS22L MKS ASTRONhf+ (0190-72346), P/N: AX7635-02 | |
1065 | SAEIK MEDICAL U-Cell | |
1066 | SAIREM 6KW – 2.45GHz Microwave Plasma Unit | |
1067 | SAMSON 2423, 2823-100221515010, JS1024, valve DN150, PN25, Kvs280 | |
1068 | SAMSUNG FARA SRC-31DB03 ROBOT CONTROLLER | |
1069 | SAMSUNG MEDISON ACCUVIX XG | |
1070 | SAMSUNG WTR-AS300 | |
1071 | SANKYO SC3150 / SR8602-0002AAQ ROBOT CONTROLLER | |
1072 | SANKYO SC3200 ROBOT CONTROLLER / TYPE SC3200-300 | |
1073 | SANKYO SC5000 ROBOT CONTROLLER | |
1074 | SANKYO SC5000 ROBOT CONTROLLER / OP5000 PENDANT | |
1075 | SANKYO SC5000 ROBOT CONTROLLER / TP5000-0001 PENDANT | |
1076 | Sankyo SR8601 Wafer Transfer Robot | |
1077 | Sankyo SR8602-0002AAR Wafer Transfer Robot | |
1078 | SANSEI SS-501 Horizontal Weel-Head Rotary-Table Surface Grinder | |
1079 | Santa clara-1-4510-0019,rog-mat4 rev e02,Mattson 300mm | |
1080 | SCHNEIDER 1200A Breaker PJA36120U64AE1 with Micrologic 6.0p + I-LINE PanelBoard | |
1081 | SCREEN WORKS (REAR Projection ScreeN) 15ft x 45ft | |
1082 | SEFAR LDS 1330 Screen Printer and G-Wash 165 | |
1083 | SEFAR LDS 1330 Screen Printer with G-Wash 165 | |
1084 | SEIFERT DX-Cu8x0.4-L / DXCu8x0.4L / DX Cu8x0.4 L, analytical-X-Ray – | |
1085 | SEIKO EPSON Robot RC420 DRIVE controller UNIT | |
1086 | SEIKO SEIKI STP-A2203C Turbo Molecular Pump Control Unit | |
1087 | SEIKO SEIKI STP-A2203W1 Turbo Molecular Pump Control Unit | |
1088 | SEIKO SEIKI STP-H803WA Turbo Pump controller | |
1089 | SEIWA FCR-1 1064nm YAG Laser Fiber Focusing Head W/TELI CS5111 COLOR CCD CAMERA | |
1090 | SEMITOOL 610T0348-01 | |
1091 | SENTEC LSU-8D LS-1600 8-CHANNEL Displacement sensor Power supply Unit | |
1092 | SENTECH SE400adv-PV, SE400-adv-C, laser ellipsometer SN:34/298 – MINT CONDITION | |
1093 | SEREN R1001 13.56Hz 1Kw RF GENERATOR PN:9600620010 | |
1094 | SEREN R3001 27.12MHz 3Kw RF GENERATOR PN:9600690013 | |
1095 | SETRA DATUM 2000 METER (Qty 6) | |
1096 | SGI ORIGIN 3400 | |
1097 | SGI TP400GB-DE-S , REVB , 5.6TB drives installed. | |
1098 | SGI TP9500-MAXBASE | |
1099 | SHIBAURA BED-1 MODEL3 | |
1100 | SHIBAURA BT-8D CNC Lathe | |
1101 | SHIBAURA SMP-0803-S RF POWER SUPPLY | |
1102 | SHIBAURA SMP-1203-S RF POWER SUPPLY | |
1103 | SHIMADZU EI-4203MZ-1 TMP Power Unit | |
1104 | SHIMADZU EI-D1003M TURBO MOLECULAR PUMP CONTROLLER | |
1105 | SHIMADZU EI-D1303M TURBO MOLECULAR PUMP CONTROLLER | |
1106 | SHIMADZU EI-D203M TURBO MOLECULAR PUMP CONTROLLER | |
1107 | SHIMADZU EI-D3403M TURBO MOLECULAR PUMP CONTROLLER | |
1108 | SHIMADZU EI-D4203M TURBO MOLECULAR PUMP CONTROLLER | |
1109 | SHIMADZU EI-D4203MT TMP Power Unit | |
1110 | SHIMADZU EI-R04M TMP Power Unit | |
1111 | SHIMADZU EI-R04MT TMP Power Unit | |
1112 | SHIMADZU EI-R04MT Turbo Controller(Tested) | |
1113 | SHIMADZU TMP POWER UNIT EI-D4203MT(SP65) | |
1114 | SHIMADZU TMP-1003LM TURBO MOLECULAR PUMP | |
1115 | SHIMADZU TMP-1303LMC-G1 TURBO MOLECULAR PUMP | |
1116 | SHIMADZU TMP-203M-G1 TURBO MOLECULAR PUMP & EI-D203 CONTROLLER | |
1117 | Shimadzu TMP-2804LMTC (L2) Turbo molecular Pump | |
1118 | SHIMADZU TMP-3413LMTC, with EI-R04MT and cable | |
1119 | SHIMADZU TMP-403LM TURBO MOLECULAR PUMP | |
1120 | SHIMADZU TMP-4203LMC-T1 Turbo Molecular Pump | |
1121 | SHIMADZU TMP-4203LMC-T1 Turbo Pump / VAT 65051-JH52-APS1 Pendulm valve | |
1122 | SHIMADZU TMP-4203LMTC Turbo Molecular Pump | |
1123 | SHIMADZU TMP-4304LMTC Turbo Molecular Pump | |
1124 | SHIMADZU TMP-803LM TURBO MOLECULAR PUMP | |
1125 | Shimadzu UV-3101(PC) UV-VIS-NIR Spectrophotometer + SW + LSIR Integrating Sphere | |
1126 | Shimadzu Vacuum Turbopump TMP-1303LMC,EI-D1303M | |
1127 | SHIMADZU, TMP-1303LMC-G1 and EI-D1303M, USED | |
1128 | SHINKO ATM Robot, SBX92302778-3, SELVAC12SL184Z90, M015781001 | |
1129 | SHINKO BX80-070954-11 LM-ARM-CONT2 | |
1130 | SHINKO BX80-070954-12 LM-ARM-CONT2 | |
1131 | SHINKO ELECTRIC INDUSTRIES. JUSUNG ENGNEERING. JS1207-109N P38101014 | |
1132 | SHINKO P/N BX80-070280-11 .TYPE SBX92100217 WAFER TRANSFER ROBOT | |
1133 | SHINKO SELOP 12F25 Load Port | |
1134 | SHINKO SELOP12F25-301 | |
1135 | SHINKO VTM Robot, SBX92103237, K018821001, with Controller(SBX92302312) Cable | |
1136 | SIEMENS 1FT6086-8WH71-4EG1, SIMOTICS S Synchronous servomotor – MINT CONDITION | |
1137 | SIEMENS 6SY7000-0AE64, SINAMICS SIMOVERT Master drives ZK buffer module 132MF – | |
1138 | SIEMENS 7ME5711-1LA11-0AB0 + 7ME5033-0AA12-1AA0, SITRANS FM – MINT CONDITION | |
1139 | SIEMENS ACUSON P300 | |
1140 | SIEMENS Acuson X-300 Healthcare Ultrasound System | |
1141 | Siemens s2000 | |
1142 | SIEMENS SIMATIC S5 155U 6ES5 948-3UA11 948CPU | |
1143 | SIEMENS SIMATIC S5 155U 6ES5 948-3UA13 948U CPU | |
1144 | Siemens SMP16-CPU066 | |
1145 | Siemens X300 | |
1146 | SINOSURE PINPOINT | |
1147 | SMC ACT8 / ACT12 Thermo-con Unit TCU INR-244-253-6400, Used, INR 244 253 6400 | |
1148 | SMC Chiller HRS024-WN-20-T | |
1149 | SMC Chiller HRS050-AN-20 | |
1150 | SMC CHILLER HRZ004-L-X002 | |
1151 | SMC CHILLER INR-341-60C HRE6060Z Initial operation warranty with | |
1152 | SMC CHILLER INR-498-012C-X007 with 3 Months Warranty | |
1153 | SMC CHILLER INR-498-012D | |
1154 | SMC Chiller INR-498-016C | |
1155 | SMC CONTROLLER INR-244-218 Thermo-con, with 3 Months Warranty | |
1156 | SMC INR-341-59A Initial operation warranty with | |
1157 | SMC INR-498-007 & PCW Chiller Full Set with 6 Months Warranty | |
1158 | SMC INR-498-012D THERMO CHILLER | |
1159 | SMC Thermo Chiller HRZ008-W-CNZ AC200 23A 3Ph 3 Wire+G Line | |
1160 | SMC Thermo Chiller INR-496-002D (=INR-496-002D-X007) 3 Months Warranty | |
1161 | SMC Thermo Chiller INR-498-007B with 6 Months Warranty | |
1162 | SMC THERMO CHILLER INR-498-012D-X007 with 3 Months Warranty | |
1163 | SMC THERMO CHILLER INR-498-050 with 3 Months Warranty | |
1164 | SMD Assembly line | |
1165 | SMT Squeegee Yamaha, DEK, MPM, ERKA | |
1166 | SMT Stencil Printer Unique 18inch x 18 Printing System with Precision Adjustment | |
1167 | SMT Stencil Printer Unique Large Area Printing System with Precision Adjustment | |
1168 | SNJ SNJ1000U LASER SYSTEM | |
1169 | SNK HF-3M 2APC CNC Bridge Machining Center | |
1170 | SNK RB-3 CNC Bridge Machining Center | |
1171 | Solartron SI 1260A Impedance Gain Phase Analyzer | |
1172 | SONIX QUANTUM 350 Scanning Acoustic Microscopes | |
1173 | Sony DQC-50 CD Analyzer Vintage CD Analyzer Machine RARE!!! | |
1174 | SPEAD ANGIO LAB4 | |
1175 | Specrta-Physics J40-8S40 LASER POWER SUPPLY | |
1176 | Spectra physics J40-BL6S-355Q-43 Laser Head BL6S-106QH-43 | |
1177 | Spectra Physics Laser Diode Module PDMJ-18W / 0135-0782 | |
1178 | Spectra-Physics 0129-9535-23S Lazer with Cable Old Stock Unused | |
1179 | SPECTRA-PHYSICS J-SERIES LASER POWER SUPPLY J20I-8S40-18K-TRI-NSI | |
1180 | Spectra-physics TRISTAR1000 Laser Head | |
1181 | Spectron SL Series SL1302Q 200W Nd:YAG Laser with CW600 | |
1182 | Starting price is for all 12 | |
1183 | Staubli RX130 CR 6 axis industrial robot (Multiple Staubli units available) | |
1184 | STEPTEC 6019005A10g, HVC170-U-20-9/16-1FD HSK-A63 + OTT 9525002130 | |
1185 | STP-XA3203CV Edwards Turbomolecular Pump Set, (3 Month Warranty) | |
1186 | Stryker 1288HD | |
1187 | Sumitomo X148D21002 Nikon NSR Linear Motor Driver | |
1188 | Super Rare NASA Flown!!! Set of 4 Forward Reaction Control System Radiant Panels | |
1189 | SVG Silicon Valley Group 99-80315-01 Exhaust Flow Controller PCB Rev. C QTY. 26 | |
1190 | SYNRAD CO2 Laser Model J48-2S , complete with power, Controller | |
1191 | System controller pm2,Mattson 300mm | |
1192 | System controller sc,Mattson 300mm | |
1193 | T&H-SCA Temperature & Humidity Control Unit | |
1194 | TAKANO MP-Series / MP-165 5 05 | |
1195 | TAKASAGO HX01000-12M2FI DC Power Supply 1000V 12A | |
1196 | TAZMO Pre-Aligner, MAF-AAP(S00321060035), Tested Asis | |
1197 | TAZMO SW024780003 TRANSFER UNIT | |
1198 | TDK TAS300 Load Port TYPE E4A | |
1199 | TDK TAS300 Load Port TYPE J1 LAM P/N 799-188869-001 | |
1200 | Tektronix 370B Programmable Curve Tracer | |
1201 | Tektronix DPO3054 500MHz Digital Phosphor Oscilloscope | |
1202 | Tektronix DPO4034 350MHz Digital Phosphor Oscilloscope | |
1203 | Tektronix DPO70404 4GHz 25GS Phosphor Oscilloscope | |
1204 | Tektronix DPO7104 1GHz Digital Phosphor Oscilloscope | |
1205 | TEL 3381-000136-12 LOAD BASE BOX | |
1206 | TEL 3381-000142-12 P-12XLM Loader Base Box | |
1207 | TEL 3D10-100280-11 TE-12″ Silicon Cathode (H836) Etch TEL-SCCM TELIUS Shin | |
1208 | TEL 3D10-101201-11 Plate Cooling Inner (TE-SP-L2) | |
1209 | TEL A-3799-500 P12XL Loader Dual/Bolts Base I/F | |
1210 | TEL ACT8 / ACT12 Cabinet-EX (COX-7EN), Automatic Fire Extinguishing System, Used | |
1211 | TEL ECC2 CONTROLLER EC RACK 2L80-000210-12 | |
1212 | TEL EPD Controller 3D80-001570-16 | |
1213 | TEL F-CPCI-V1 3880-200146-17 3887-224283 /COMPACTPCI SC2410 E2B023/ECU,E2B105 | |
1214 | TEL F-CPCI-V1 3880-200146-18 3887-224283 /COMPACTPCI SC2410 E2B023/ECU,E2B105/MC | |
1215 | TEL P-12XL CHUCK ASSY’S | |
1216 | TEL P12XL VME BOX | |
1217 | TEL P-CPCI-V1 COMPACTPCI SC2410 E2B023/ECU E2B105/MCF | |
1218 | TEL Tokyo Electron D204 Thermo Generator D204 | |
1219 | TEL TOKYO ELECTRON TEB162PA ETCHER MC CONTROLLER 3M81-026766-13 | |
1220 | TEL TRIAS STD, Module Controller, 3M87-024252-21 | |
1221 | TEL Unity-2 855DD Power Distribution Cabinet, AC Dist Box CE | |
1222 | TELEDYNE 042000000, 400E, Photometric O3 Analyzer + Options, SN:464, 115V | |
1223 | TELEMECANIQUE ATV18U29N4, ALTIVAR 18, No Box | |
1224 | Telescope Mirror Large (26″ x 5′) | |
1225 | Telescope Mirrors assorted | |
1226 | TERAVANT THERACLEAR | |
1227 | TESTONE TO-100-IC Universal Test Machine | |
1228 | Thermal Evaporation System Chamber Glass Bell-jar,Pyrex 14″ D x 18″ High US | |
1229 | THERMO CHILLER SMC INR-498-007A with 3 Months Warranty | |
1230 | THERMO SHANDON CRYOTOME FSE. | |
1231 | Thermo Spectra Nicolet 380 FTIR Spectrometer + Centaurus Infra-Red Microscope+++ | |
1232 | Thermotron AST-8 RS-16 HASS Chamber | |
1233 | THORLABS ITC4005 LASER DIODE TEMPERATURE CONTROLLER | |
1234 | TMEIC OZONE GENERATOR UNIT P N OGU | |
1235 | TOKYO ELECTRON 3M87-024252-16 | |
1236 | TOKYO ELECTRON 3M87-039529-13 | |
1237 | TOKYO ELECTRON LIMITED (TEL) 3S87-002338 Stepper Motor Assy | |
1238 | TOKYO ELECTRON TEL CONTROLLER F-CPCI-V1 / SC2410 / E2B117-11/RIFS | |
1239 | TOKYO ELECTRON TEL CONTROLLER F-CPCI-V1 / SC2410 | |
1240 | TOKYO ELECTRON TEL CONTROLLER F-CPCI-V1 / SC2720 | |
1241 | Tokyo Electron TEL PCB RACK for CERTAS | |
1242 | TOKYO ELECTRON3887-202713-13_1 FORMULA HTL PW CTRL | |
1243 | TOPCON CT-800 Computerized Tonometer | |
1244 | TOPCON TRC-NW8 LASER ENERGY METER | |
1245 | TORK E101B 24 Hour Digital Time Switch | |
1246 | Toshiba FA3100A model 7110 type UF7A4M Industrial Computer | |
1247 | Toyoseiki Loop Film Stiffniss Tester DA | |
1248 | Toyoseiki TR-2 Friction Tester | |
1249 | Toyota EC100L V2.1 Dry Vacuum Pump – Excellent condition.B-NIB PN:0190-27268 | |
1250 | Trazar AMU10E-2 RF match Novellus P/N 27-110072-00 | |
1251 | Trazar AMU10E-2 RF match Novellus P/N 27-118072-00 | |
1252 | TRAZAR RF MATCHER 3502-002 AMU10E-2 (P/N: 27-118072-00) | |
1253 | TREBOR 2472-BA Heater | |
1254 | TRENEW ELECTRONiC AG CPCI-SYSTEM P/N 102096361 | |
1255 | TRUMPF / HUETTINGER 1548657, TruPlasma DC 3020 / TruPlasmaDC3020, DC Generator : | |
1256 | trumpf Trudisk 1000 Laser | |
1257 | Trumpf VectorMark VMc 5 30W Compact Laser Marking System +Controller Chiller PC! | |
1258 | TS220-D511S Kawasaki Robot and controller assembly multiple available (6) | |
1259 | Two Assa Snowman cylinders for 70.00 | |
1260 | Two Panasonic Arbitrator full HD Model WV-VC30 Vehicle network Cameras | |
1261 | U-bolt size 3/8 appx 35 pieces more or less or exact.Nuts are in the bag | |
1262 | Ulthera Ultherapy | |
1263 | ULVAC A2KH-25C Abnormal electrical discharge protector | |
1264 | ULVAC CRTM-9000G Deposition Controller | |
1265 | Ulvac Cryogenics C 30 MVE Cyro heatexchanger. | |
1266 | Ulvac Dry Vacuum Pump PDR-090CLN | |
1267 | ULVAC KEYTRAN-III vacuum transfer Robot | |
1268 | ULVAC PACV-1 Ver 1.2 Position Alignment Controller | |
1269 | UNION MEDICAL DEORO DUAL LASER | |
1270 | Unused Applied Materials 300 mm PVD complete | |
1271 | US 12 in Cubic Vacuum Chamber, Preconfigured with ISO and KF Flanges ,SS | |
1272 | US Compact Vacuum Film Coating Machine with Dryer for Battery Electrode | |
1273 | US Vacuum Chamber Stainless Steel 12 in Conflat (CF) Flange Configured Cubic | |
1274 | USA 6Typle Nichwell Composite Molecular Pump | |
1275 | USA 7Typle NICHWELL NVD Corrosion-Resist Commercial Grade 2-Stage Vacuum Pump | |
1276 | USED GIDDINGS & LEWIS 502-03416-10 MACHINE CONTROL BOARD 501-04302-00 5020341610 | |
1277 | Used Semiconductor Ceramic Plate | |
1278 | USHIO LAMP POWER SUPPLY HB-50202AP-A | |
1279 | USHIO TYPE B0192-02 POWER SUPPLY | |
1280 | USHIO TYPE MB-1730211 LAMP Power supply | |
1281 | USI P350-2-270 / P350 2 270 / P3502270, PRISM, 220V AC, SN: 201023200001 | |
1282 | Valleylab FORCE EZ | |
1283 | Varian E19007300 AMPLIFIER, DEFLECTOR / TREK Model 683A Rev:E | |
1284 | VARIAN ion implant sys. PULSE POWER SUPPLY | |
1285 | VARIAN MULTI-RANGE DC SPUTTERING POWER SUPPLY VPW2870P5-M, VPW2870P5-S, SET | |
1286 | VARIAN TV701 SEM TURBO PUMP 8698938R001 | |
1287 | VAT 0190-02855 209933 Actuator Kit, P5000 Slit Valve | |
1288 | VAT 04212-AA48-ADO7 Transfer Door Gate | |
1289 | VAT 16852-JA24-AJN1 gate valve | |
1290 | VAT 61234-KEAP-AQN1 Butterfly Pressure Control valve VAT Series 612 DN 50 | |
1291 | VAT 65048-JH52-AEM1 Pendulum Valve | |
1292 | VAT 65048-PH52-ADR1 Pendulum Valve ( conditions!) | |
1293 | VAT 65048-PH52-ADR2 Pendulum Valve | |
1294 | VAT 65048-PH52-BMK1 Pendulum Valve | |
1295 | VAT 65051-JACG-AFA1 Pendulum Valve | |
1296 | VAT 65146-PAHQ-BPR1/0011 Control Valve | |
1297 | VAT 9400X-XA11-ACU1/0694 | |
1298 | VAT GATE,SLIT VALVE 243786/0072 A-476969 | |
1299 | VAT P/N 448290 / 0001 | |
1300 | VAT P/N 448290 / 0002 | |
1301 | VAT Pendulum Gate Valve 65048-PH52-ADR1 “Test Conditions” | |
1302 | VAT Pendulum Gate Valve 65048-PH52-ADR2 “Test Conditions” | |
1303 | VAT Pendulum Gate Valve 65048-PH52-BCQ2 “Test Conditions” | |
1304 | VAT Pendulum Gate Valve 65048-PH52-BMK1 “Test Conditions” | |
1305 | VAT Pendulum valve 65040-PHCP-AZT1 | |
1306 | VAT Pendulum Valve 65048-PH52-0002 | |
1307 | VAT Pendulum Valve 65048-PH52-AFA1 | |
1308 | VAT Pendulum Valve 65048-PHCG-0001 | |
1309 | VAT Pendulum Valve 65050-PA52-0002 w/ PM-6 CONTROLLER | |
1310 | VAT PM7 ADAPTIVE PRESSURE CONTROLLER 650PM-16BH-0001 | |
1311 | VAT, 12148-PA44-ANQ1/0008, Locks vacuum ISO-F DN250 – | |
1312 | VAT, 12148-PA44-ANQ1/0037, Locks vacuum ISO-F DN250 – | |
1313 | VEECO OPTICAL PROFILER , Model NT8000 | |
1314 | VEM K21R 200L 2 TWS HW, 090926, Three Phase Motor 30-36kW – | |
1315 | VERAMIS AK-V4000L | |
1316 | VIDEOJET 1520, X-Y Kodierstation, 200-240VAC, YoM:2016 SN: SAR4916036 | |
1317 | VISCOTEK Malvern TDA TRIPLE DETECTOR ARRAY Differential Refractometer GPC/SEC | |
1318 | VivevINC VIVEVE Console S |
ID-SS380EB
S