Main Maker

Equipment

Category:

Description

The following “Semiconductor Equipment Parts” are only for end user. Please contact us if you have any questions. Subject to prior sale without notice. Appreciate your time!

2 AMAT CENTURA DXL CVD
3 ASM DRAGON PECVD
4 CAFFINITY FWD-022K-CE25CBD
5 CANON (AG Associates) HP-8108 Anneal
6 CANON (AG Associates) HP-8108 Anneal
7 CanonAnelva COSMOS I-1201 II/META
8 CanonAnelva COSMOS I-1201 II/META
9 CanonAnelva COSMOS I-1201 II/META
10 CKD RD-9889
11 CKD RD-9889
12 CKD RD-9889
13 CTI-CRYO GENICS 8510 COMPRESSOR
14 CTI-CRYO GENICS 8510 COMPRESSOR
15 Daito Elec. BN3-S54 LITHO
16 Dantakuma DT-1600 LITHO
17 Dantakuma DT-1600 LITHO
18 Dantakuma SNOS 302FX PI CURE OVEN
19 Denken DKH-2300KM Reading  machine
20 DENSEI LAMBDA MUF3031-BLUBD2
21 Disco DAD521 Automatic Dicing Saw
22 DNS SS-W60A-A Wet Station
23 DNS FC-821L Wet Station
24 DNS FC-821L Wet Station
25 EAGLE12 101615015-070
26 EBARA EAC300bi-T Grinding, Lapping & Polishing
27 EBARA EAC300bi-T Grinding, Lapping & Polishing
28 EBARA GDS500SA Scrubber
29 EBARA GDS250SA Scrubber
30 EBARA GDC250SA Scrubber
31 EBARA GDC250A Scrubber
32 EBARA AA10NV-H
33 EBARA AAS200WN
34 EBARA A30W
35 EBARA AAS100WN
36 EBARA AA10NV1-H
37 EBARA AA10NV1-H
38 EBARA AA40W
39 EBARA A10S
40 EBARA AA10V1
41 EBARA AAS100WN
42 EBARA AA70WN
43 EBARA AA40WNV1-H
44 EBARA AA70WNV1-H
45 EBARA AA40WNV1-H
46 EBARA AAS200WN
47 EDWARDS QDP80
48 EDWARDS IL-70
49 EDWARDS IL-70
50 EDWARDS IL-S70
51 EDWARDS QDP40
52 EDWARDS QDP80
53 EDWARDS QDP40
54 EDWARDS QDP80
55 EDWARDS QDP40
56 EDWARDS IL-70
57 EDWARDS QDP80
58 EDWARDS QDP80
59 EDWARDS IL-S70
60 EDWARDS QDP40
61 EDWARDS IL-70
62 EDWARDS IL-70
63 EDWARDS IL-70
64 EDWARDS QDP80
65 EDWARDS IL-S70
66 EDWARDS QDP80
67 EDWARDS QDP40
68 EDWARDS IL-70
69 EDWARDS IL-70
70 EDWARDS IL-70
71 EDWARDS QMB1200
72 EDWARDS QMB1200
73 EDWARDS QDP40+QMB250
74 EDWARDS E2M40FF
75 EDWARDS E2M40FF
76 EDWARDS E2M40FF
77 ESPEC PHH-200 OVEN
78 ESPEC PHH-201M OVEN
79 FRONTIER FSM128LC2C HOT/CVD
80 Hitach High tec. RS-3000 SEM(IS004)
81 Hitach High tec. I-5320N SEM
82 HITACHI W-5010T
83 HITACHI W-5010T
84 HITACHI W-5010T
85 HITACHI W-5010T
86 HITACHI W-5010T
87 HITACHI W-5010T
88 Hitachi Construction Machine WA-200 Inspection Equip.
89 Hitachi DECO TS-3700 Inspection Equip.
90 HUGLE ELECTRONICS UPC12000R WET
91 INFICON 14115 UL200 DRY Inspection Equip.
92 K&S 8060 Wedge Bonding System
93 KASHIYAMA MU300-002
94 KASHIYAMA MU300-002
95 KASHIYAMA MU300-002
96 KASHIYAMA MU300-002
97 KASHIYAMA RD900-012
98 KASHIYAMA RD900-012
99 KASHIYAMA
RDE603A
100 KASHIYAMA RDE303A
101 KASHIYAMA RDE303A
102 KASHIYAMA RDE603A
103 KASHIYAMA RDE303A
104 KASHIYAMA RDE303A
105 KASHIYAMA MU300-002
106 KASHIYAMA MU300-002
107 KASHIYAMA RD1203A
108 KASHIYAMA S021200
109 KASHIYAMA RDE603A
110 KASHIYAMA RD900-012
111 KASHIYAMA SD60VⅡ
112 KASHIYAMA RDE303A
113 KASHIYAMA RDE303A
114 KASHIYAMA RD90V-012
115 KLA es31 Inspection Equip.
116 LAM(Yokogawa Elec.) Rainbow4500 Etcher
117 LAM(Yokogawa Elec.) Rainbow4500 Etcher
118 Lion.Mimus KH-02 Inspection Equip.
119 Lion.Mimus KZ-36U Inspection Equip.
120 Lion.Mimus KZ-30U Inspection Equip.
121 Lyon KL-25 Inspection Equip.
122 Lyon KL-22 Inspection Equip.
123 Miwa Opto L115C 1520AK Metrology
124 NIDEK IM-15 Microscope
125 NIDEK IM-15 Microscope
126 NIDEK IM-15 Microscope
127 NIDEK IM-15 Microscope
128 NIDEK IM-15 Microscope
129 NIDEK IM-15 Microscope
130 NIDEK IM-15 Microscope
131 NIKON SF120 LITHO
132 NIKON NSR – I11D Photo /Stepper
133 OLYMPUS BH2 Microscope
134 OLYMPUS BH2 Microscope
135 OLYMPUS BH2 Microscope
136 OLYMPUS INSPB01 Microscope
137 PLUS 不明 Vacuum Anodic Bonder
138 RAMCO RAM8500 Asher
139 RAMCO RAM8500 Asher
140 RAMCO RAM8500 Asher
141 RAMCO RAM8500 Asher
142 RIGAKU GXR300 Inspection Equip.
143 Rothse RR6141 Inspection Equip.
144 S.E.Tenno VRC-200T WET ( Evaporator )
145 SEVERN SCIENCE Heraeus Vacutherm
VT-6130M
Vacuum Oven
146 SHIBA TEC ICE300 ASHER
147 SHIBA TEC ICE300 ASHER
148 SHIBA TEC ICE300 ASHER
149 SHIBA TEC ICE300 ASHER
150 Shinko Seiki SPR-30MLP2G DRY
151 SOKUDO SK-3000 LITHO
152 SOKUDO SK-3000 LITHO
153 SOKUDO SK-3000 LITHO
154 SOKUDO SK-3000 LITHO
155 SOKUDO SK-3000 LITHO
156 SOKUDO SK-3000 LITHO
157 SONY IRG-12 INSPECTION
158 STS MULTIPLEX ICP Silicon Etcher
159 Syouryokuka SVT-2000 Taping machine
160 TAITEC LH-602B
161 Takaki ETM-3010S Emboss taping machine
162 TECHNOS TREX610 Inspection Equip.
163 TEL ACT8 Coater/Developer
164 TEL MARK8_3C Coater/Developer
165 TEL MARK8-_2D Coater/Developer
166 TEL TE8500ATC Etcher
167 TEL UW8000 Wet Station
168 TEL UW8000 Wet Station
169 TEL Telius SP-Vesta Dry Etcher
170 TEL UNITY2e-855SS Dry Etcher
171 TEL UNITY2e-855DD Dry Etcher
172 TEL Tactras SCCM DRY
173 TEL 80S Inspection/Metrology
174 TEL P-8XL Prober
175 TKK RETICLE TRANSFER LITHO
176 TOPCON VI-3200 intray chip
177 Toray Engineering INSPECTRA 100EX Inspection Equip.
178 TOYOTA INDERSTRIAL T1000
179 TRIKON SIGMA200 Sputter
180 TYK NEL-HR8500 Wet
181 ULVAC PHOENIX Ⅱ Etchers / Ashers
182 ULVAC UNA2200 Asher
183 Veeco DEK-TAK 8000 Surface Profiler
184 YOKOGAWA TS600 TESTER
185 NC-80E-12 Metrology
186 PRECIS 3D Inspection Equip.
187 PRECIS 3D Inspection Equip.
188 PRECIS 3D Inspection Equip.
189 Bond tester
190 X-ray visual inspection Equip.
191 PD-3000 Inspection Equip.

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

ID-SS7493-1-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers