Main Maker

Used Semiconductor Equipment-8 inch

Category:

Description

Please contact us for the availability of the used semiconductor equipment.

[Pls use “CTRL+F  “key button to search the model/key word you are interested in]

The items are subject to prior sale without notice. These items are only for end users.

Module Manufacturer Model W. Size (mm)
Cleaning Aachen Plastic Eqpt Customized 200
Bake Aachen Plastic Eqpt CLO-D6 200
Cleaning Aachen Plastic Eqpt Customized 200
Cleaning Aachen Plastic Eqpt Customized 200
Test Advantest Corporation T5371
Reclaim Akrion 200
Cleaning AOC Techno Co AOC 200/300
Thin Film Applied Materials CENTURA 200
Thin Film Applied Materials iECP 200
Thin Film Applied Materials P5000 200
Thin Film Applied Materials P5000 200
Thin Film Applied Materials P5000 200
Thin Film Applied Materials P5000 200
Thin Film Applied Materials P5000 200
Thin Film Applied Materials CENTURA 5200 IPS 200
Implant Applied Materials PI 9500 200
Metrology Applied Materials Excite 200
Metrology Applied Materials WF-736XS 200
Metrology Applied Materials WF-736XS 200
DIFF ASAHI 200
PHOTO ASML ASML100 200
PHOTO ASML ASML200 200
Bonding ASM- Pacific Tech AB559 200
Diffusion AVIZA-SPTS AVP8000 200
Diffusion AVIZA-SPTS AVP8000 200
Strip AXCELIS Fusion MCU 200 200
Strip AXCELIS Fusion MCU 200
Strip AXCELIS Fusion MCU 200
Strip AXCELIS Fusion MCU 200 200
Etch Boscien 200
Bake Boscien CLO-D-B6-TIN 200
Bake Boscien CLO-D-B6-TIN 200
Cleaning Boscien DIW+DIW 200
Cleaning Boscien U/S 200
Metrology BRUCKER Nano defectivity 200/300
Metrology CAMITEK FALCON 200 200
Thermal CELTU (Youtec) HPK03Z
TF Dainippon Screen SP-W813-AS 200
TF Dainippon Screen SP-W813-AS 200
TF Dainippon Screen SP-W813-AS 200
TF Dainippon Screen SP-W813-AS 200
Cleaning Dainippon Screen AS 2000 200
Cleaning Dainippon Screen AS 2000 200
CMP Clean Dainippon Screen SPW813-AS 200
CMP Clean Dainippon Screen SPW813-AS 200
CMP Clean Dainippon Screen SPW813-AS 200
Litho Dainippon Screen SD-W80A-AVQ 200
Litho Dainippon Screen SD-W80A-AVQ 200
Metrology Dainippon Screen VM1210 100~200
CMP EBARA EPO222 200
Metrology ESI 9820 200/300
Grinding EMTEC WBM210 100~200
Bake FUSION M200PC 200
Fuser GSI M40 200
Cleaning Hamatech HMR900 N/A
Bake HERAEUS TUF-50/60-230 200
Metrology Hilevel Dragon 200/300
Metrology Hilevel Dragon 200/300
Metrology Hilevel Dragon 200/300
Metrology Hilevel Dragon 200/300
Metrology Hilevel Dragon 200/300
Metrology Hilevel Dragon 200/300
Metrology Keller Technology (KTC) BT-30
Metrology Keithley Keithley 82-WIN Simult 200
Metrology KLA Tencor CRS 1010
Metrology KLA Tencor CRS 1010
Metrology KLA Tencor eS20 XP 200
Metrology KLA-Tencor eV300 200
Metrology KLA-Tencor Surface 6220 200
Metrology KLA-Tencor Surface 6220 200
Metrology KLA Tencor ALERIS HX 200 & 300
Metrology KLA Tencor AIT Model 200
Metrology KLA-Tencor KLA5200 200
Metrology KLA-Tencor KLA5200 200
Metrology KLA Tencor KLA 5200 XP 200
Metrology KLA Tencor KLA 5200 XP 200
Metrology KLA Tencor KLA 5200 XP 200
Metrology KLA Tencor KLA 5200 XP 200
Metrology KLA-Tencor UV-1250SE 200
LP-CVD Kokusai SEC DJ-833V 200
LP-CVD Kokusai SEC DJ-833V 200
Diffusion Koyo Thermo Systems VF-5300B V35X 200
Diffusion Koyo Thermo Systems VF-5300B V35X 200
Strip Lam Research 2300 200
Etch Lam Research LAM4520 200
Etch Lam Research LAM4520 200
Etch Lam Research LAM4520 200
Etch Lam Research R-4400 200
Etch LAM LAM4520 XL 200
Etch LAM LAM4520 XL 200
Cleaning Lumax VTC201P-T2 200
DIFF Mattson Technology RTP/AST2800 200
Machinery Makino V-99
Metrology MOSAID MS 3490 200
Metrology Nikon Eclipse L200 Series/N W     200
Metrology Nikon Eclipse L200 Series/N W     200
Metrology Nikon Eclipse L200 Series/N W     200
Litho Nikon NSR-S204B 200
Litho Nikon S306C 200
Litho Nikon S203B 200
Litho Nikon NSR-2205 i12D 200
Litho Nikon i-12 Stepper 200
Litho Nikon i-12 Stepper 200
Litho Nikon i-12 Stepper 200
Laminator NITTO DR8500-11 200
Thin Film Novellus Speed 200
Thin Film Novellus SABRE CLASSIC 200
Thin Film Novellus Altus 200
Thin Film Novellus Concept III Inova xT 200
Machinery NTC (Komatsu ?) TLV-40B
Machinery Okamoto PSG125
Grinding Okamoto VG202MKII-8 200/300
Others Olympus SZH-ILLC-2 200
Metrology Olympus MX50, AL110 Microsco 150/200
Metrology PMS TURBO110 200
Metrology PMS TURBO110 200
Litho PRI 7000 200
Litho PRI GUARDIAN 200
Fab. PRI Brooks Aerotrack, 1/4”, model
AT200
N/A
Metrology RIGAKU SYSTEM3630 200
Metrology Rudolph S200 200
Metrology SEMILAB MCV 200
Cleaning SemiTool Millennium 200
Cleaning SemiTool Millennium 200
Litho SemiTool MAGNUM HF/EG 200
Litho SemiTool MAGNUM HF/EG 200
Deposition Showa CGT-10SA
Cleaning SJTC HF Bath+DI Bath 200
Cleaning SJTC HF Bath+DI Bath 200
Cleaning SJTC HF Bath+DI Bath 200
Cleaning SJTC DIW Ringse+H2O2 200
Cleaning SJTC HF+DIW 200
Metrology SJTC Aceton Cup Cleaning 200
Cleaning SJTC Customized 200
Cleaning SJTC Customized 200
Metrology Sonix AutoWafer 200
METROLOGY SUSS DSM 200
WET SVG SVG90 200
Diffusion SVG VTR 7000 200
Diffusion SVG VTR 7000 200
Diffusion SVG VTR 7000 200
Diffusion SVG VTR 7000+ 200
Diffusion SVG VTR 7000+ 200
Diffusion SVG VTR 7000+ 200
Diffusion SVG VTR 7000+ 200
Diffusion SVG VTR 7000+ 200
Diffusion SVG VTR 7000+ 200
TF Strausbaugh MODEL-6DS-SP 200
TF Strausbaugh MODEL-6DS-SP 200
TF Strausbaugh MODEL-6DS-SP 200
TF Strausbaugh MODEL-6DS-SP 200
Polishing Strausbaugh 6DSSP 200
TAKATORI ATRM-2100 200
MEMS TECHNOVEN TECHNOVEN 200TC 200
MEMS TECHNOVEN TECHNOVEN 200TC 200
MEMS TECHNOVEN TECHNOVEN 200TC 200
Metrology Teradyne A563-HS
Litho Tokyo Electron Ltd. MARK7 200
Litho Tokyo Electron Ltd. MARK7 200
Litho Tokyo Electron Ltd. MARK7 200
Litho Tokyo Electron Ltd. ALPHA 8S CVF 200
Litho Tokyo Electron Ltd. Clean Track MARK-8 200
Litho Tokyo Electron Ltd. Clean Track MARK-8 200
Litho Tokyo Electron Ltd. Clean Track MARK-8 200
Litho Tokyo Electron Ltd. Clean Track MARK-8 200
Litho Tokyo Electron Ltd. Clean Track MARK-8 200
Litho Tokyo Electron Ltd. Clean Track MARK-8 200
Litho Tokyo Electron Ltd. Clean Track MARK-8 200
Litho Tokyo Electron Ltd. Clean Track MARK-8 200
Litho Tokyo Electron Ltd. Clean Track MARK-8 200
Litho Tokyo Electron Ltd. Clean Track MARK-8 200
ETCH Tokyo Electron Ltd. Clean Track MARK-8 200
Diffusion Tokyo Electron Ltd. Alpha 801D 200
Diffusion Tokyo Electron Ltd. Alpha 801D 200
Diffusion Tokyo Electron Ltd. MB2-730 200
Metrology Veeco VX 200
Implant VARIAN E500 HP 200
Metrology Viscom IR Ctrl System 200
Metrology VTT VTT 777 200/300
Metrology VTT VTT 777 200/300
Metrology VTT VTT 777 200/300
Metrology VTT VTT 777 200/300
Metrology VTT VTT 777 200/300
Metrology VTT VTT 777 200/300
Metrology VTT VTT 777 200/300
Metrology VTT VTT 777 200/300
Metrology VTT VTT 777 200/300
Metrology VTT VTT 777 200/300
Metrology VTT VTT 777 200/300
Metrology VTT VTT 777 200/300
Diffusion WaferMasters SAO-200LP 200
CMP Westech 372M 200
CMP Westech 372M 200
CMP Westech 372M 200
Tester parts Yokogawa
Metrology Yokogawa TS1000
Metrology Zeiss AXIOSPRINT 200
Metrology Zeiss AXIOSPRINT 200
Metrology Zeiss AXIOSPRINT 200
R&D OEM Thermal Evaporation 200

The items are only for end users. The items are subject to prior sale without notice.

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5582

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers