Main Maker

Semiconductor equipment parts

Category:

Description

Semiconductor equipment parts in Asia. Valid Time: Subject to prior sale without notice. These items are only for end user.

Used Semicondutor Equipment,Scanner,Stepper,Aligner,Coat and Develop,Dry Etch,Asher,Furnace,RTP,Epitaxy,CVD,PVD,Ion Implant,Wet Etch,CMPC,lean and Dry,Plating,Lapping,Polishing,Slicer,Wire Saw,Ingot generation,ASSY (Back), DicerAssembley(Cut/Bend), Taping,WelderMachine Tool, Others, Anlysis/Measurement,CD Measure(CD-SEM),SEM(Exclude CD-SEM),Microscope,Inspection/Review tools,(Ion)ose , monitor, Measurement(Thickness/Resistivity),Electrical Test,Measurement,Common,Inspection,Test,Measurement.

1 45inst CXS-2150E cle: Inq.
2 45inst SFQZ-404HLSX cle: Inq.
3 ACCENT PN4300PC ELE:ECV profiling
4 ACTIVE ACT-0LS Microscope
5 ADC R6144 Ele: Programmable DC voltage current generator
6 ADC R6144 Ele: Programmable DC voltage current generator
7 ADC R6144 Ele: Programmable DC voltage current generator
8 ADC R6243 Ele: DC voltage generator
9 ADC R6452A Ele: Multi meter
10 ADC TR6141 Ele: Programmable DC voltage current generator
11 ADC TR6143 Ele: DC voltage generator
12 ADC TR6143 Ele: DC voltage generator
13 ADC TR6150 Ele: DC voltage generator
14 ADC TR6150 Ele: DC voltage generator
15 ADE 6034 Measuring Instrument/Thickness
16 ADEX AX-170A Measure/Rs
17 Adixen ASM182TD other: 4Helium Leak Detector/4in
18 Adixen ASM GRAPH D+ OTH:Leak Detector
19 Advantest R3132 Ins: Spectrum Analyzer
20 ADVANTEST R5361A Elec: Freq. Counter
21 Advantest R6144 32V/160 A Ele: Voltage current generator
22 ADVANTEST R6441A Elec: Digital Multimeter
23 ADVANTEST R6441A Elec: Digital meter
24 ADVANTEST TR5821 Elec: Universal Counter
25 ADVANTEST TR6845 ELE:Digital Multimeters
26 ADVANTEST TR6552 ELE:Digital Multimeters
27 ADVANTEST TR6848 ELE:DIGITAL MULTIMETER
28 Advantest TR6824 Ele: Multi meter
29 ADVANTEST TR-6845 Elec: Digital meter
30 AG HEATPULSE8108 RTP/RTO 8in.
31 Agilent 7500 series insp: ICP-MS
32 Agilent 1671G Elec: Logic Analysis
33 Agilent 16702B Elec: Logic Analysis
34 Agilent 1670G Elec: Logic Analysis
35 Agilent 89605B Elec: Module(VXI)
36 Agilent E1439C Elec: Module(VXI)
37 Agilent E8408A VXI mainframe 4slot Elec: Signal Analysis
38 Agilent E8491B Elec: Module(VXI)
39 Agilent N4220 Elec: Analysis Prober
40 Agilent N8973A Elec: Noize Anaiyzer
41 Aixtron AIX2800G4HT CVD: MOCVD/2in./4in./6in.
42 Aixtron CRIUS II CVD: MOCVD
43 Aixtron 2000/2400HT CVD: MOCVD
44 AIXTRON 2400G3 CVD: MOCVD
45 AIXTRON 2400G3HT CVD: MOCVD
46 AIXTRON 2400G3 CVD: MOCVD
47 Aixtron 2400G3 HT CVD: MOCVD
48 Aixtron 2600G3 CVD: MOCVD(GaAs)/2in.
49 Aixtron 2600G3 CVD: MOCVD/4in.
50 Aixtron 2600G3 CVD: MOCVD/4in.
51 AIXTRON 2600G3 CVD: MOCVD
52 Aixtron 2800G4 CVD: MOCVD/4in.
53 Aixtron 2800G4 CVD: MOCVD
54 Aixtron AIX2800G4 CVD: MOCVD/6in
55 AIXTRON CIRCUSⅡ CVD: MOCVD/4in.
56 AIXTRON CRIUS II X-L CVD: MOCVD/4in.
57 AIXTRON CriusII CVD: MOCVD
58 AIXTRON R6 CVD: MOCVD
59 AIXTRON SAM CVD: MOCVD
60 Aixtron TS Crius CVD: MOCVD/2in.
61 AIXTRON AG VP508GFR Hot-Wall CVD Reactor CVD: MOCVD
62 AIXTRON AG VP508GFR Hot-Wall CVD Second Cell CVD: MOCVD
63 ALLIED HIGH TECH TECHPREP Polisher
64 AMA SLM-20T ELE:integrating sphere
65 AMA SLM-20T ELE:integrating sphere
66 AMA Optoelectronics SLM-75T Ele: Integrating sphere
67 AMAT AMC-7810 EPI Reactors
68 AMAT Centura-W CVD
69 AMAT Centris dry: Etcher
70 AMAT Enabler Dry Etch
71 AMAT Produce S CVD/12in.
72 AMAT Semvision 200CX Insp: SEM Defect Inspection
73 AMAT(DNS) MIRRA3400/AS-2000 CMP(with scrubber)
74 AMAT(Varian) VSBD302 OTH:Leak Detector
75 AMAYA AEC2250SP-296 CVD: APCVD
76 AMAYA AMAX200 CVD: APCVD
77 American Scientific L1800B Microscope/Trinocular
78 AMI SC-8900 Cleaner: Boxes&Cassette
79 AMT ASL-36B4RM-F Polisher
80 AMT ASP-36B4R Polisher
81 Anelva ILC-1012 PVD: Sputter
82 ANELVA ILC-1012 PVD: Sputter/5in.
83 Anelva ILC-1012 PVD: Sputter/5in.
84 ANELVA MIG-921 ele: Ion gauge
85 Anritsu M-215C Ele: Attenuator
86 Anritsu MF1604A elec: Frequency counter
87 Anritsu MG44A Elec: Oscillator
88 Anritsu MG545B Elec: ynthesizer
89 Anritsu ML2438A Elec: Power Meter
90 Anritsu ML69A Elec. Voltmeter
91 Anritsu MS2691A Elec: Spectrum Analyzer
92 Anritsu MS420B Elec: Network Analyzer
93 Anritsu MT9810A Elec: Optical Test Set
94 Anritsu MT9810A Elec: Optical Test Set
95 Anritsu MG545A Other: Synthesizer
96 Apollo Precision KMA6-R Microscope: Laser
97 Applied Material P5000 CVD:Dry Etcher
98 AS ONE DA1-180M Microscope
99 AS ONE HS-50D Other: Magnetic Stirrer
100 ASIC EL-A OTH: ELECTROLUMINESCENCE TESTER
101 ASIC SHANGHAI SCSS-EL02 Plus OTH:EL Tester
102 ASM PE-CVD PXJ-100LH CVD: PECVD/5in.
103 ASM E2000 Epitaxial furnace
104 ASM Epsilon 2000+ Epitaxial furnace
105 ASM ASM Calibration kit other: Tester Calibration Kit
106 ASM WS896 ste: Wafer Stepper
107 ASML eScan310 Inspection: Wafer
108 ASSI NA CLE:Spin Rinse Dryer/4in
109 ASSI SH-801 Clean: Spin Dryer
110 AST Peva-600E pvd: Evaporator
111 AST PEVA-600I PVD:Evaporator
112 AST Peva-600E pvd: Evaporator
113 AST Peva-600T pvd: Evaporator
114 ASTEC BH-10RV-02 PVD:automatcher
115 ASTRO VG-828D Elec:Signal Generator
116 ASTRO VG-845 Elec:Signal Generator
117 ATEM CS-114 Elec: Switcher
118 ATM CMP-910 Polisher
119 Avionics TVS-8500 Meas: Infrared thermography
120 Avionics NRW100 Elec: High-freq. Induction Heating
121 Aviza Celsior Furnace/12in.
122 AVIZA Celsior fur: Atomic Layer Deposition (ALD) systems
123 Axcelis for GSD implanter ion: Disk/5in.
124 Ayumi ES-30DL Other: Vacuum Sealer
125 B&W TEK inc + Sigma BWR-20E/55869 OTH:1064 nm laser
126 Bausch & Lomb StereoZoom4 Microscope/Stereo Zoom
127 BCT E600 pvd: Evaporator
128 Beijing Beiyi Innovation Vacuum Technology Inq. CVD: MOCVD
129 Beijing Beiyi Innovation Vacuum Technology Inq. CVD/Plasma
130 BIO-RAD Q8 Measurement/Overlay
131 Brookfield LVDV-2+PRO-CP other: Viscometer
132 BSC Filters SH4457 oth: High pass filter
133 BSC Filters SH4458 oth: High pass filter
134 BSC Filters XN4455 oth: Notch filter
135 BSC Filters XN4456 oth: Notch filter
136 BTU Inq. Furnace/4in
137 B-Well SV-250 Furnace: vacuum
138 Canon PLA-501FA Aligner/3in./5in.
139 Canon FPA-1550MK-IV Stepper/6in.
140 Canon FPA-1550MK-IV Stepper/6in.
141 Canon FPA-1550MK-IV Stepper/6in.
142 Canon MPA-500FAB Aligner/5in
143 Canon MPA-600FA Aligner/5in
144 Canon PLA501FA Aligner/5in.
145 Canon PLA-500F Aligner:Mask/4in
146 Canon PLA-501 Aligner:Mask/4in
147 Canon PLA-501F Aligner
148 Canon CDS-630 COA:developer
149 Canon MC-2 Cle: Mound crusher
150 Canon G-LINE STEPPER FPA-1550M2 Stepper
151 Canon G-LINE STEPPER FPA-1550M3 Stepper
152 CANON I-1230 PVD/12in.
153 Canon 850670H-0312 Other: LABVIEWUPGRADE
154 CANON ANELVA ANELVA1051 PVD: Sputter
155 CANON ANELVA ILC-1051 PVD:Sputter/6in
156 Canon-anelva I-4100SV Dry etcher
157 Canon-anelva I-4100WR Dry etcher
158 Canon-anelva I-4100SV Dry: SiN/Plasma etcher
159 CATC USB TRAFFIC GENERETOR Ele: USB TRAFFIC GENERETOR
160 CATC Advisor Oth: USB 2.0 Bus & Protocol Analyzer
161 CBC VM-10A-M other: Viscometer
162 CDS Epitaxy EpiPro 5000 EPI: Epitaxy Reactors/6in
163 Cho-onpa UJ-246-1C other: Wire pulltester
164 Chroma 19073 Inspection: Pressure tester
165 Chroma Inq. Inspection: Pressure tester
166 ChromTech JA-5103N OTH:Electronic Balance
167 CMIt SAPPAS -V5-plus Insp: PSS AOI
168 CMIt SAPPAS-V7 Insp: PSS AOI
169 COMPUTEX 32M41 Oth: In-circuit debugger
170 Corial 300IL Dry: ICP
171 Corial Corial 300IL DRY:ICP Etcher
172 DAGE 2400A OTH:WIRE PULL TEST MACHINE
173 DAGE 3000 oth: Wire pull Tester
174 DAGE BT-24 Other: Bond Tester
175 Dage/Nordson SERIES 4000 Other: Bond tester
176 Daitron WBM-210 POL:chamfering machine
177 DAITRON TECHNOLOGY Custom order Coater/5in.
178 DALTON Inq. Cleaning draft: SPM
179 Data Systems ALP-7012LA Other: LD DRIVER
180 DELATECH I CDO 858IV-2 C/W oth: Scrubber
181 Ding Jing VM-200 Inspection/Precision two-dimensional visual
182 Disco DFG-83H/6 CMP: Grinder/5in.
183 Disco DCS141 oth: Cleaning machine/Wafer
184 DISCO DAS8920 LAP:Surface Planer
185 Ditect RDF-D3 Other: Hi-speed camera
186 DKL RU-700 Inspection: Review/Defect
187 DNK MA4201 Aligner
188 DNS SKW-636-BV Coater/Developer/5in
189 DNS SS-W60A-AVR oth: Wafer Scrubber
190 DNS SSW-629_B other: Wafer scrubber
191 DNS CW-1500 cle: Wet Station
192 DNS FC-821L Cle: Wet Station/8in
193 DNS FC-821L Cle: Wet Station(PFC)/8in
194 DNS FC-821L Cle: Wet Station(PFC)/8in
195 DNS FC-821L Cle: Wet Station(PFC)/8in
196 DNS FC-821L Cle: Wet Station(PFC)/8in
197 DNS FS820 Cle: Wet Station(UTC) /8in
198 DNS MP3000 Cle: Wet Station/12in
199 DNS VL-M6000 Measurement/Thickness /6in.
200 DNS VL-M6000 Measurement/Thickness /6in.
201 EBARA FREX300 CMPsystem
202 Ecomott サーモロイドPro Ver1 OTH:Body temperature screening solution Thermoroid pro
203 ECOSYS ECOSYS/Vector OTH:Scrubber
204 EDWARDS EDWARDS/GRCD OTH:Scrubber
205 EIKO007/909 L0011Lamp.115V.PTC Insp: EpimetⅡ lamp/125V 250W
206 Eisco Inq. Microscope/Vernier
207 ELDIM S.A. XL88 Ins: Contrast Machine
208 EMCORE E400 CVD: MOCVD
209 ENDO/Iwatsu TT-506 ele: Curve Tracer
210 ENI OEM Series Ele: RFgenerator
211 ESPEC TSA-71H-W OTH:Thermal Shock Testing
212 ESPEC TSA-201S-W OTH:Thermal Shock Testing
213 Espec TSA-71H-W other: Thermal shock tester
214 ESPEC TSB-2 Other: Thermal Shock Chamber
215 ETAC NT510 mea: Temperature cycle tester
216 ETAC NT2031W Other: Temperature Cycle Chamber
217 ETE ETD-62DF Clean: Spin Dryer
218 EV Group Europe & Asia/Pacific EVG40NT Measurement/Semi-Automated
219 Everfine KF-2 Other: Switching Transistor Selector
220 Everfine KF-2 Other: Switching Transistor Selector
221 EVG EV620 Aligner/Mask/6in.
222 EVG EVG620 Aligner/4in.
223 EVG EVG6200594 Aligner: Semi-Automated Bottom Sude Mask Aligner
224 FEI DB235 sem: Focused Ion Beam System
225 FEI FIB 800 sem: Focused Ion Beam System
226 FEI V460L SEM (Scanning Electron Microscope)
227 FEI Verios 460L SEM/Scanning Electron Microscope
228 FISCHER XMDVM-T7.1-W Measurement/Thickness
229 Fluke 51 Meas: thermometer
230 Fluke 83 SERIESⅢ Ele: Multi meter
231 Force Precision S8 Microscope: AFM
232 FSE FU-16PEB pvd: Evaporator
233 FSE FU-20CE pvd: Evaporator/4in
234 FSE FU-20PEP pvd: Evaporator/4in
235 FSE FU-20PEB-1200 pvd: Evaporator/4in
236 FSE FU-20PEB-1250 pvd: Evaporator/4in
237 FSE FU-12CE pvd: Evaporator/4in
238 FSE FU-12CE pvd: Evaporator/4in
239 FSE FU-16CE pvd: Evaporator/4in
240 FSE FU-20PEB-RH-1200 pvd: Evaporator/4in
241 FSE FU-20PEB pvd: Evaporator/4in
242 FSE FU-20PEB-1300 pvd: Evaporator
243 FSE FU-16PEB pvd: Evaporator
244 FSE VTI-16C pvd: E-Beam Evaporator System
245 FSE VTI-16C pvd: Evaporator
246 FSI K120 Clean: Rinser Dryer/2.5in.
247 FTD 0.8*0.8 TR test socket and socket board other: PCB Components and Test Station Socket
248 FU LIN TECH FU-16PEB-ITO PVD: Evaporator
249 FUJI ADVANCED Corp FA-7SR CLE:spin dryer
250 Fujita APE904Ⅱ Ele: Diode DC energizer
251 fujita APE-PWIGBT OTH:Bias tester
252 Fujita FTH-100 other: Tharmalfet tester
253 FUKUDA MSX-5086 Other: Leak Detector
254 GEMINI 3E EPI reactor/4″-6″
255 Gentec-EO/thorlabs CL-25/MVL50M23 INS:Beam profiler/Beamage-4M
256 Giant Force Instrument Enterprise Inq. Other: High / low temperature test equipment
257 GIGA TRONICS 80301A Ele: Power sensor
258 GIGA TRONICS 8542B elec: Power meter/dual
259 GIGAMAT 3806 Polisher
260 GW GDM-8145 Elec: Digital meter
261 GW GDM-8145 Elec: Digital meter
262 GW GDM-8045 Elec: Digital meter
263 GW GDM-8145 Elec: Digital meter
264 HACH 2100P OTH:Turbidimeter
265 HAMAI 3BF2 Lapping
266 Hamamatsu C7103 Polisher: IC back surface
267 Hamamatsu C9334-01etc Ins: FFP measurement unit
268 Hayashi-Repic LAA-150UE other: Light Source
269 Hayashi-Repic Luminar Ace other: Light Source
270 HelmutFischer XDL-B MEA:film thick test instrument
271 HILA CHT-6A Other: Thermometer
272 HIOKI 3531 Z HiTESTER ELE: Impedance analyzer
273 Hioki 3127 Other: Clamp on tester
274 HIOKI 3158 OTH:Voltage HiTester
275 Hioki 3805 other: High Tester
276 HIOKI LR8431 OTH:Memory High Logger
277 Hirayama PC-204RⅢ other: Pressurecooker
278 Hitachi M308 Dry Etch/6in
279 Hitachi M318 DRY:Gate etch
280 Hitachi M-308A Dry etcher/5in
281 HITACHI UA3150A Asher: U/V OZONE
282 HITACHI UA3150A Asher: U/V OZONE
283 HITACHI UA3150A Asher: (UV&O3)without Wafer
284 HITACHI UA3150 Asher/Stripper
285 Hitachi UA-5200A Asher: O3/8in.
286 Hitachi UV-7200 Asher: O3/8in.
287 HITACHI FITZ Waf: Film and Sheet Forming
288 Hitachi S-6100 CD-SEM/6in.
289 HITACHI S-6200H CD-SEM
290 HITACHI S-6200H CD-SEM
291 HITACHI S-6200H CD-SEM
292 Hitachi S-2500C SEM
293 Hitachi S-2300 SEM:Scanning electron microscope
294 Hitachi S-2600N SEM/Scanning electron microscope
295 HITACHI S-3000H SEM
296 HITACHI S-4500 SEM/5in
297 Hitachi IS3000 Inspection: Dark field
298 Hitachi LS6800 Inspection: Wafer surface
299 Hitachi PD-2000 Inspection: Reticle Surfscan
300 Hitachi U-2000 Insp: spectrophotometer
301 Hitachi U-2010 Insp: spectrophotometer
302 HITACHI WI-890 Inspection/Wafer Visual /6in.
303 Hitachi Z-5310 Insp: spectrophotometer/flame
304 Hitachi Z-5010 Insp: spectrophotometer/Zeeman
305 HITACHI VC-6023 Elec: Oscilloscope
306 Hitachi EC-45MHPS OTH:temperature and humidity Testing Chamber
307 Hitachi ES-76LH other: Thermal shock tester
308 Hitachi I-20 MI-SCOPE-120 Other: Ultrasonic scan analyzer
309 Hitachi Power Solutions mi-scopeMI-25 OTH:Ultrasonic imaging equipment
310 Hi-Tech Furnace H4-7074 Furnace: Horizontal oxidation
311 HM 9010 Measure/Rs
312 HMI eScan310 Inspection: Wafer
313 HMT MFS-630 MEA:Distributed Bragg Reflector
314 Hoe ie HIME8048 Cleaner/Parts
315 Horiba EMAX-5770 Inspection: X-ray Microanalyxer
316 HORIBA LB-500 Insp.: Size Analyzer
317 HOZAN L-50 Microscope/Stereo
318 Hozan DT-510 Meas: thermometer
319 HP 4062UX Insp: Parametric Tester
320 HP 8153A Meas: Optical Power Meter
321 HP 16500C Elec: Logic Analyzer
322 HP 3488A Elec:Frame controller
323 HP 4140B ele: pA METER/DC VOLTAGE SOURCE
324 HP 4279A Elec: CV Meter
325 HP 437B Elec: Power Meter
326 HP 8405A Elec: Voltmeter
327 HP 8508A Elec: Vector Voltmeter
328 HP 8594E Elec: Spectrum Analyzer
329 HP 87510A Elec: Grain phase analyzer
330 HP 8752C Ele: Network analyzer
331 HP E4425B Ele: signal generator
332 Humo A-QB-210 Other: Blank Frequency Sorter
333 HUMO Laboratory A-QB-150B Elec: Crystal Blank Frequency Auto-Sorter
334 Hyoukaken MK-USL-3S Polisher/3B
335 Hyoukaken MK-USL-3S Polisher/3B
336 IMADA SVF-500NA-SL OTH:Tensile and Compression Testing
337 INFICON UL1000 other: 4Helium Leak Detector/4in
338 inq. TM06ASI10 PVD:sputter gun/6in
339 Inq. Inq. Microscope / Protect cover
340 Inq. Inq. Microscope / Protect cover
341 Inq. OM Loader Spot Light Microscope
342 Inq. Inq. Inspection: Wafer/4in.
343 Inq. LE12520RNN Meas: Temperature recorder
344 Inq. TVS-110 Meas: Handy thermo
345 Inq. AE043-01 Elec: Resistance Measurement
346 Inq. Inq. Ele: Socket resistance meter
347 inq. EL140 OTH:EL Tester
348 Inq. Inq. Other: RPT
349 Inq. Inq. Other: Cleaning device
350 Inq. Inq. Other: Storage facility
351 Inq. Inq. other: Depth Gauge
352 Inq. Inq. Other: Performance Board
353 Inq. JTXBJ-075 Other: Inq.
354 Inq. LTA-330A Other: Wafer Lifetime Measuring/5in.
355 IPEC/WESTECH 472 Polisher:CMP
356 IPI IPI/ESC OTH:Scrubber
357 Isothermal VENUS 125B Other: Thermometer Calibrator
358 iTEC AP-20-3S-OP/AP-20-3S-BT OTH:OP tester
359 IWATSU DS-8623 ELE:DIGITAL STORAGESCOPE
360 Iwatsu FC-8841 Elec: Freq. Counter
361 IWATSU OS-8608 ELE:DIGITAL STORAGESCOPE
362 IWATSU SC-7202 ELE:UNIVERSAL COUNTER
363 IWATSU SS-7821 Elec: Oscilloscope
364 Iwatsu VOAC757 Elec: Digital Multimeter
365 Iwatsu VOAC7513 Ele: Multi meter
366 Iwatsu VOAC83 Ele: Multi meter
367 JADEVER LPWN-1530 Other: Elec. Balance For Analysis
368 JAI JHS-100 Insp.: Purge & Trap Sampler
369 Japan Barrel Industry C-3 Polisher
370 JDSU RM3750 Ins: Optical Back Reflection Meter
371 JEOL JWS-7555S SEM
372 JEOL JXA-8800R ELE:EPMA
373 JOEL JEM-2100F sem: TEM
374 JOEL JEM-2100F sem: TEM
375 JOEL JEM-2100F sem: TEM
376 JTK JTK-DIG32 other: Leakage tester
377 JTK JTK-DIG32 other: Leakage tester
378 JTK JTK-DIG32 other: Leakage tester
379 JTK JTK-DIG32 other: Leakage tester
380 JTK JTK-DIG32 other: Leakage tester
381 JTK JTK-DIG32 other: Leakage tester
382 JTK JTK-DIG32 other: Leakage tester
383 Jusung JSA-1111-02 CVD: MOCVD
384 Jusung Eureka 2000 CVD: Low Thermal/8in
385 K & W (KARL SUSS) MA-1006 Aligner: Mask
386 KANKEN KT/F5 OTH:Scrubber
387 KE DD-825V-6L Furnace
388 KE DJ-825V-6L CVD
389 Keithley 7001 Meas: Switch Control Unit
390 Keithley 7001 Meas: Switch Control Unit
391 KEITHLEY KEITHLEY236 ELE:SMU
392 Ken-A-Vision T-19541C-230 Mic: Digital comprehensive Scope
393 Kenwood G8D-380HB Elec: Signal generator
394 Keyence VH-5000 Microscope
395 KEYENCE VK-8510 Microscope
396 Keyence CV-X290A Insp: vision sensor
397 KEYENCE LS-9500 Measuring:high-precision dimensional
398 Keyence LC-2101 OTH:laser displacement meter
399 Keyence LC-2210 OTH:laser displacement meter
400 Keysight 89441A ele: Vector signal analyzer
401 Keysight E2373A Ele: Multi meter
402 Keysight E2378A Ele: Multi meter
403 Keysight N5302A/N5343A ele: DigRF Exerciser
404 Kikusui DME1500 Ele: Multi meter
405 Kikusui TOS8700(10kV[AC/DC]) ele: Hipot Tester
406 KINGSEMI KS-S150-3C Coater/Developer
407 KLA 7500 Inspection: Surfscan
408 KLA Candela CS10R Inspection equipment
409 KLA ES-32 Inspection: Surface/12in.
410 KLA SURFSCAN 7700 Inspection: Particle Analyzer
411 KLA ZETA-300 Insp: Optical profiler/3D
412 KLA ZETA-200 Insp: Optical profiler/3D
413 KLA / TENCOR eS32 Inspection: Electron-beam/ Wafer
414 KLA Tencor Candela CS10R Inspection/Defect
415 KLA Tencor CS920 Inspection device
416 KLA Tencor KLA2135 Inspection/Defect
417 KLA Tencor KLA-HRP-P350 INS:Profile meter
418 KLA/ICOS CI-T620 Inspector
419 KLA-TENCOR 7600 Inspection: Surfscan
420 KLA-TENCOR KLA-5500 Inspection system
421 KLA-Tencor P-20H Insp.: Profiler/Depth Measurement 8in
422 KLA-Tencor SFS 6420 insp: Particle inspection/ 8in.
423 KLA-Tencor SFS 7700 insp: Particle inspection system
424 KLA-Tencor SFS6200 Inspection: Defect
425 KLA-TENCOR 5011 MEA:Washing
426 KLA-Tencor FT750 Meas./Thickness/8in.
427 KLA-Tencor FT-750 PRMX01 Meas./film thickness
428 K-Mac STCM-7392AGL Meas: Optical film thickness/Rs Meter+Color
429 Kohzu K2-200 OTH:Flatness measuring
430 KOKUSAI RAM61000 Asher
431 KOKUSAI CX1000 fur: Controller for DD802V
432 Kokusai electric CX1204 oth: Exhaust Controller
433 KOKUYO ELECTRIC SCT-5T ELE:curve tracer
434 Konica Minolta CS-2000A Insp: Spectroradiometer
435 KOYO SC9-1000-3 Furnace/5in.
436 KOYO VF5300B Furnace: Alloy/8in.
437 Kurashiki kako MICRO-g Other: surface plate
438 Kyowa riken K-705RS elec: Four-probe measuring instrument
439 LAM 490 Dry etcher/5in
440 Lam 490 dry: Etcher/6in.
441 LAM 590 Dry etcher/5in
442 LAM NITRIDE AUTOETCH490 Dry Etcher
443 LAM GEMINI2 EPI Reactors
444 LAM LAM2300 Dry: Etching system/12in.
445 Lam Research Rainbow 4428 Dry: Poly Nitride Etcher
446 LASERTEC VH2000 CD-SEM/5in.
447 LEAD 1MHz Elec: Freq. Reference
448 Leader LDC-822A Elec: Freq. Counter
449 Lecroy 9344C Elec: Oscilloscope
450 Lecroy Bus doctor USB1.1 and 2.0 Adaptor USB BOX Elec: Analyzer
451 Lecroy CATC3 Bus doctor DR-108-FIT-USB Elec: Analyzer
452 LeCroy LC574AL ELE:Oscilloscope
453 Leica Reichert Division Microscope
454 Leica Stereo Zoom 4 Microscope
455 Leica MIS-200 Inspection/Defect
456 Lintech M2220-46 Cleaning system: IPA CARRIER
457 Logitech LP70(1WBS7) CMP
458 LUCAS LABS IB420 Elec: Mass Spectrum Analyzer
459 LUCAS LABS IB420 Elec: Mass Spectrum Analyzer
460 Lumonics WAFERMARK 345 other: Wafer marker/5in
461 LY-MI Inq. Other: Blank Sorter
462 LY-MI Inq. Other: Blank Sorter
463 M.SETEK MS-132 Coater: Spin/SOG
464 Macoho W8MN-P052(マルチサフェースJr.) WET blast processing cell equipment
465 Materials Research(MRC) METAL ECL360 SP01 pvd: Sputter
466 Matsunaga TA-1010 Elec: Constant-voltage Power Supply
467 Matsuzawa MMT-X7A Other: Hardness Tester
468 MATTSON AST3000 RTP/12in.
469 MATTSON Helios RTP/12in.
470 MAURY MICROWAVE 1819B Oth: Stub tuner
471 MAURY MICROWAVE 8045C Oth: Slide screw tuner
472 Meiji techno EMZ Microscope
473 MEISHO MS-9000GTIR Other: BGA Rework Station
474 Metron HTC-8010 Cleaner: Boxes&Cassette
475 Mettler Toledo TMA SDTA 1 LF/1100 Meas: Thermo-Mechanical Analyser
476 Michinokumachinery Inq. Other: strength tester
477 Micro Modular System MERA-VT-A.A-MIMu other: Semi-Automated Panel Test Machine
478 Micronix MSA338 Elec: Spectrum Analyzer
479 Micronix MMD850 oth: Microwave detector
480 Microtrac MT3100II/SDC OTH:Particle size distribution analyzer
481 Mimasu MSE-2000F2 dry: Si etcher
482 Mimasu MSE-2000FC Cleaner: SC1
483 MINI-CIRCUITS ZHL-4240 Oth: High frequency amplifier
484 MIRUC Inq. Microscope
485 MISUMI MSND2.3-30 OTH:Temperature Sensor
486 MISUMI MSND2.3-30 OTH:Temperature Sensor
487 Mitsutoyo QV404 Microscope: Quick Vision System
488 Mitutoyo MF-シリーズ Microscope
489 MITUTOYO MF-UD1010B Microscope
490 MITUTOYO TM-250 Microscope/Tool
491 Mitutoyo M-Plan Apo 5X INS:microscope objective lens
492 Mitutoyo M-Plan Apo 10X INS:microscope objective lens
493 Mitutoyo M-Plan Apo 20X INS:microscope objective lens
494 Mitutoyo M-Plan Apo SL50X INS:microscope objective lens
495 Mitutoyo CD-45C OTH:vernier calipers
496 MITUTOYO ID-C112C Other: Digimatic Indicator
497 Mitutoyo Inq. Other: Cage Block
498 MITUTOYO inq. OTH:CNC VISION MEASURING SYSTEM
499 Mitutoyo LEGEX574 Other: CNC Image measurement /3D
500 Mitutoyo PJ300 302-926 Other: Projector
501 Molecular Analytics 2427052-8 other: Multiopoint Sampling System
502 Motic SMZ-140 Microscope: General
503 MSETEK VRD-8000 COA:Developer
504 MT/Hitachi-hitech Inq. Other: EEPROM meas. board
505 MTK CL61D102 Cleaning equipment/4-6in
506 Multitest BGA1.6*1.6 test kit Other: Kit
507 Multitest TEST KIT for 0.8*0.8 Other: Kit
508 Murakamishikisaigijutsu HM-150 OTH:haze meter
509 NANOMETRICS NANOSPEC VT-210 Meas./film thickness
510 NANXUANKEJI Inq. Clean tool
511 NAPSON RT-70V Mea:Resistivity meter
512 Napson NC-80M Ele: resistance meter
513 Napson RG-80 Ele: resistance meter
514 NAPSON RT-70 MEA:resistivity meter
515 NARDA 3752 Oth: PHASE SHIFTER
516 NBS TECHNOLOGIES WPC EVO lap: Wafer Packing/12in.
517 NEC NK-1 Ele: Static electricity measurement
518 NEC QB-78F1026-ZZZ Ele: In-circuit emulator
519 Newport 708 8-Channel Ins: Butterfly Fixture
520 Newport 2832C Ele: Power Meter
521 NF WF1946B Elec:Signal Generator
522 NF 1650 Oth: Pattarn synthesizer
523 NF CK1620 Oth: Clock synthesizer
524 NF CK1615 Oth: Clock synthesizer
525 NF ES2000B Oth: Power environment simulator
526 NF ES2000S Oth: Power environment simulator
527 NF ELECTRONIC INSTRUMENTS M-177 ELE:VOLTMETER
528 NF Electronics Instruments 5020 ELE:Frequency Response Analyzer
529 Nicolet ECO-8S INS:SPECTROMETERS
530 Nidec R-5520ix Elec: Insulation inspection
531 Nihon Koshuha RW-1000E Other: High-freq. Induction Heating
532 Nikka GP-1-T INS:Goniophotometer
533 Nikon NSR-1755i7 Stepper/6in
534 Nikon NSR1505G3A Stepper/5in
535 Nikon NSR-1505G4D Stepper/5in
536 NIKON NSR 1505G4D Stepper
537 Nikon NSR-1755i7 Stepper
538 Nikon NSR-2205EX12B Stepper/KrF
539 Nikon NSR-2205i11D Stepper
540 Nikon NSR2005i8A Stepper/8in
541 Nikon NSR-S208D Stepper:Lithograophy
542 Nikon ECLIPSE l200 Microscope
543 Nikon Inq. Microscope
544 Nikon Inq. Microscope
545 Nikon M33 Microscope
546 Nikon ME600 Microscope
547 Nikon ME600 Microscope
548 Nikon MICROPHOT-FXL Microscope
549 Nikon MM60 Microscope
550 Nikon OPTIPHOT 300 Microscope
551 Nikon OPTIPHOT-88 Microscope/8in.
552 Nikon SM5 Microscope
553 Nikon SMZ800 Microscope
554 Nikon SMZ800 Microscope
555 Nikon SMZ-U Microscope
556 Nikon NWL-860 Inspection: wafer
557 NIKON OPTISTATION-3A Inspection: Wafer
558 NIKON OST-3-2FM Inspection: wafer
559 NIKON OST-3-2F Inspection: wafer
560 Nikon 6D Other: Autocollimator
561 Nikon V-12 OTH:projector
562 Nikon V-128 other: Profile projector
563 Nikon V-12 Other: Projector
564 Nikon VMR-H3030 Other: CNC measurement
565 Nikon VMZ-R3020 Other: CNC Image measurement /QV
566 NIPPON SANSO CORPORATION BMC-311 CVD:MOCVD/4in
567 Nissin NH-20SR Ion: Implanter(M/C)/5in
568 NMC(North Microelectronics) ELEDE 380 Dry: ICP Etcher
569 NODAX AM-342 Elec: Voltmeter
570 Noiseken ESS-603003 18KG Ele: ESD tester
571 Nomura PSM70 Slicer/Multi Blade
572 Nordson X2.5 Insp: Auto X-Ray Inspection System
573 Nordson XNC-S600 Insp: Auto X-Ray Inspection System
574 Nordson XNC-V600 Insp: Auto X-Ray Inspection System
575 Nordson YTX-X2 Insp: Auto X-Ray Inspection System
576 Nordson DAGE4000 OTH:BOND TESTER
577 North Sutton NH LMS-050CM Meas: Labsphere
578 NSK HM-90 other: Micron Depth Tester
579 NTS NSB-1050 Wafer: waxing machine
580 NTS NSL-2024 Wafer: Polisher
581 Ogasawara Precision GRT-04 OTH:Gear Meshing Tester
582 OHAUS EX2202G oth: Electronic scale
583 Okamoto ASM-1HB Slicer
584 Okamoto ASM-1HB Slicer
585 OLYMPUS AL100n Microscope
586 Olympus BH2-RFL-T2 microscope
587 Olympus BH2-MJL microscope/Metallurgical
588 Olympus BH2-UMA.SZ40 Microscope
589 Olympus BH2-MJLT Microscope
590 Olympus BH2-UMA Microscope
591 OLYMPUS BH3-MJLT4 Microscope
592 Olympus BH3-MJL Microscope
593 Olympus BHMJL Microscope
594 Olympus BHM-MD Microscope
595 Olympus BX51 Microscope
596 Olympus BX60 Microscope
597 Olympus Inq. Microscope
598 Olympus Inq. Microscope
599 OLYMPUS MX50L-TF Microscope
600 Olympus MX50 Microscope
601 Olympus MX50L-TF Microscope: Metallographic
602 Olympus MX63-F Microscope
603 Olympus MXN-6R Microscope
604 Olympus MXN-6R Microscope
605 Olympus STM microscope/Single-lens
606 OLYMPUS STM-UM Microscope: Measuring
607 Olympus STM6-F10-3 Microscope
608 Olympus STM-UM-BDZ Microscope
609 OLYMPUS STM-UM Microscope/Tool
610 Olympus SZ4045 Microscope
611 Olympus SZ4045 Microscope
612 Olympus SZ4045 Microscope
613 Olympus SZ61 Microscope
614 Olympus SZ61 Microscope
615 Olympus SZ6045 Microscope
616 Olympus AR2060 Measure: Line width/MCD
617 Optical Gaging Smartscope ZIP 250 Measuring system: 3D multisensor dimensional
618 OPTORUN OTFG-1000C pvd: Sputter/4in.
619 ORSA AOS303-445-30 OTH:Single-mode fiber blue light source
620 ORSA HPB455-3 OTH:Multimode Fiber Blue Light Source
621 OSI METRA 2100m Inspection: Overlay/6″
622 OSI METRA II Inspection: Overlay/6″
623 Otsuka QE2100 OTH:Quantum Effect Measurement System
624 Otsuka RETS-1100ML other: GAP measuring machine
625 OXFORD Plasmalab 800Plus CVD: PECVD/4in
626 oxford Aztec X-Max 80T Insp: EDS for TEM
627 OYO AITOS OTH:Light Source
628 OYO AW1050 OTH:light source
629 OYO AW1050 other: Light Source
630 Panasonic E600L NM-EFE2AA Dry Etcher
631 Panasonic VP-7725B Elec: Audio Analyzer
632 Panasonic VP-8311A Ele: signal generator
633 Panasonic Panadac944A-210 Other: Coplanarity check system
634 Park Systems XE15 Microscope: AFM(Atomic force microscope)
635 PARMI 3D-XCEED Inspection: AOI
636 Pearl AC-140F Other: Autocollimator
637 Peide EL-1.4MD-AS OTH:EL Tester
638 Perkin Elmer Lambda 900 Ins: Spectrometer
639 Perkin Elmer D88647 Elec: Cooling Tool
640 Perkin Elmer SIMAA6000 Elec:Atomic absorption spectrometer
641 Perkinelmer Spectrum One Microscope: Infrared
642 PerkinElmer PinAAcle 900F Insp: Spectrometer/Atomic absorption
643 PerkinElmer SIMAA6000 Ins: Atomic absorption spectrometer
644 PerkinElmer AANALYST 200 Elec: Spectrometer
645 Philips IR3100 Ins: Infrared depth measuring systems/12in.
646 Philips IR3100 Other: Wafer Infrared Depth Measuring Instrument/12in.
647 Plasma Sciences RIE-600W DRY:REACTIVE ION ETCHER
648 Plasma systems DES-206 Asher
649 Production Technology Center Kyushu Inq. Other: Substrate strength tester
650 PROTEC MTG-08A OTH:Metal mask tension gauge
651 PSK PSC354 ESA405 Dry Etcher
652 PSK PSC354 ESA407 Asher
653 QES DIS-8000 Inspection: Optical
654 Qingdao Jing Cheng Inq. Dry : Eching machine
655 Quicksun 820A OTH:Power Simulation Test
656 Quintel Q4000-4 Aligner
657 Quintel Q4000-4TL Aligner/4in
658 Quintel Q4000-4 Aligner: Exposurer
659 R&K AA160-RS Other: RF Power Amplifier
660 REVERA RVX1000 Meas./film thickness
661 Rheometric Scientific RDA III Elec: Dynamic Analyzer
662 RHESCA PTR-10 OTH:Bond tester
663 Rhesca PTR-1000 oth: Bonding tester
664 ROBERT RO-27 Other: Aging machine
665 Rohde & Schwarz Inq. Oth: Radio tester
666 RSVI Inspection WS-3800 Inspection: wafer
667 Rudolph NSX320 INS:AOI
668 RUDOLPH NSX100 Inspection/Defect/6in
669 RUDOLPH metapulse@200 mm Measuring instrument/Metal film thickness
670 Rudolph MP300 Meas./Thickness
671 Rudolph MP300 Meas./film thickness 12in.
672 S.E.Techno BHF oxide remove cle: Auto oxide remove/8in
673 S.E.Techno RCA clean Clean system: Auto/8in
674 SAMCO RIE-200IPC Dry: ICP Etcher
675 SAMCO RIE-200ip Dry etcher
676 Samco RIE-10N Dry etching:RIE equipment
677 Samco PC-300 Ash: Stripper
678 SAMCO PD-3800 CVD: PECVD
679 SAMCO PD-4800 CVD: PECVD
680 Sanders 140A Elec: CI Meter
681 Sanders 150C Elec: CI Meter
682 Sanders 200VHF Elec: CI Meter
683 Sansei AD-8405B Elec: Phase Meter Adapter
684 Sansei Inq. Elec: STD Freq. Distributer
685 Sansei SCI-308A Elec: Oscillator
686 Sansei SF-87B Elec: Freq. Counter
687 Sansei SF-65LA Elec: Freq. Counter
688 Sansei SDC-1040 Other: Digital comparator
689 Sansei SS-868E Other: Universal Crossing Counter
690 Sanseidenshi SX-5187 Inspection/Temperature /SMD Quartz
691 Sanseidenshi ABS-28GF Other: Blank Frequency Sorter
692 Sanseidenshi AT-24AS Other: Frequency Sorter
693 Sanseidenshi AT-24AS Other: Frequency Sorter
694 SANWA MI-476 Inspection: Oxide Film Evaluation Tool
695 Sanwa CD110 Ele: Multi meter
696 Sanwa CD770 Ele: Multi meter
697 Sanwa PC510 Ele: Multi meter
698 SANWA Inq. Other: TDDB Probe Station
699 SANYU SC-701C Other: Carbon Coater
700 Sartorius CP224S OTH:electronic balance
701 SCI FilmTek 1000 MEA:Filmtek
702 SCIENCE PLUS inq. PVD:SPUTTER/6in
703 Scitec instruments 300CD Insp: Optical chopper
704 Scitec instruments 420 Insp: lock-in amplifier
705 SEC X-EYE 3000A Inspection machine
706 SEIKO SAI 9600S INSPECTION:WAFER
707 Seiko SEA1000A Inspection: XRF
708 SEMI TOOL WSST-608AG Wet cleaning
709 Semiconductor Diagnostics Inc FAaST 230-SPV other: SPV measuring
710 SEMILAB WT-85 Other: Life time measuring
711 Semitool Equinox Wet: GaAs Etch/6in.
712 SEMITOOL 870S Clean: Spin Dryer/5in
713 SEMITOOL PSC-101 Clean: Spin Dryer/5in
714 SEMITooL SST-8 wet: Solvent tool
715 Semitool Inq. cle: SRD/ 8in.
716 Semsysco Triton DRY:chamber spin etcher + chemical delivery unit
717 Sensofar Plu 2300 Insp: Optical surface profiler/4in
718 SHAW MODEL SHA meas: Dew point meter
719 Shibaura CDE-7-4 Dry Etching: CDE
720 Shimadzu UV-2400 INS:spectrophotometer
721 Shimadzu MSE-4000 Other: Leak Detector/He
722 SHIMADZU MST-I other: Micro Autograph
723 SHIMADZU SMX-1000 Other: X-Ray system
724 Shimazu EDX-800HS2 Inspection: EDX
725 SHIMAZU SMX-100 Inspection: X-ray
726 Shimazu EHF-FB10KN-10LA Other: Servo Parsa
727 SHINDAIGO UV225 OTH:vacuum packaging machine
728 SHINKO SEIKI AAMF-C2280SPB PVD: Sputter/5in.
729 SIGMA KOKI inq OTH:Auto-rotating stages and controllers
730 Sigma Koki SGSP20-20+SHOT202 OTH:Autostage+ stage controller
731 SII SPA465Le Microscope: AFM
732 SIMCO FMX-003 Electrostatic tester
733 SJ KL-120-20DD Furnace/6in
734 SJ Lindberg A1200-2 Furnace
735 skSATO SK-1100/SK-K010 Meas: thermometer
736 skSATO SK-1250MCⅢ Meas: thermometer
737 SOFTEX SFX-90 Inspection:X-RAY
738 Songjaan Inq. Cleaner:Wafer/6in.
739 SONOSCAN P300 Microscope: Scanning Acoustic
740 SONY Inq. oth: DD digital photo camera
741 Sony Tektronix AFG2020 ELE:function generator
742 Speedfam 12B-5L Lapping
743 Speedfam 24SWM Lap: Semi-automatic waxing machine
744 SPEEDFAM 24DPAW-T0393 Polisher
745 SPEEDFAM 32BAW Polisher
746 SPEEDFAM 36DPAW Polisher
747 Speedfam 36GPAW-TD Polisher
748 SPEEDFAM 36GPAW Polishing: Single Side
749 SPEEDFAM 36GPAW Polishing: Single Side
750 Speedfam 36GPAW-TD Polishing Machine:Single Side
751 SPEEDFAM 36SWM Wafer: Semi-waxing machine
752 Spirent For SMA-2000 Parts Elec: Parts
753 Spirent For SMB-2000 Parts Elec: Module-For ML7710
754 Spirent For SMB-2000 Parts Elec: Module-For ML7710
755 Spirent For SMB-2000 Parts Elec: Module-For GX1421A
756 SPTS inq. INS:APM process module
757 SSC BPE2708SP Plating/Gold/8in.
758 STS Multiple Dry: ICP
759 Sumitomo NV-GSD-80 ION implanter
760 Sumitomo NV-GSD3-90 Ion implant
761 Sunglory Inq. Cleaning: Cassette
762 Sunny optical technology SZ45-ST2 Microscope: General
763 Sunny optical technology SZ45-ST2 Microscope: General
764 Sunred SRD-TH-091127 RTP/6in.
765 SUSS MA100E Aligner:Automatic MASK(2/3/4/6in)
766 SUSS MA150E Aligner/Mask
767 SUSS MA200CC Aligner/Mask
768 SUSS MA-100E Aligner
769 SUSS MJB4 Aligner:Manual MASK/4in
770 SUSS MicroTec GAMMA 4M Coater/Developer for 6in/Si
771 SVG 860 coa: Developer/5in
772 SVS MSX1000 Coater/Developer
773 SVS MSX-1000 Coater/Developer
774 TA Instruments DSC-Q20 Other: Differential Scanning Calorimeter
775 TA Instruments DSC-Q10 Other: Differential Scanning Calorimeter
776 Taiwan Zhengen BW-310FA Waf: Splitter
777 Takatori MWS 612-DN Wire saw
778 Takatori MWS-610SD Wire Saw/ Multi
779 Takatori MWS-610SD Wire Saw/ Multi
780 Takeda TR-5142 Elec: Freq. Counter
781 Takeda TR-5142SN Elec: Freq. Counter
782 Takeda TR4120 Other: Tracking Scope
783 Taylor-Hobson CCI6000 Measure fine shape
784 Taylor-Hobson PGI-1240A Measure shape roughness
785 TDK GEN8-400-D Other: Programmable Power Supplies/DC
786 TEAMS CORPORATION ITO Sputter PVD:Sputter
787 TechnoPro Marugen MDS606-F Polisher/Brush
788 TEGAL 6000 DRY:Plasma etcher
789 TEGAL 901e DRY:Plasma etcher
790 TEKNOLOGUE LX4670B Meas: Control Unit
791 Teknologue ER8940A Other: Tester
792 TEKSCAN I-Scan System other: Pressure Mapping System
793 Tektronix 577 ele: Curve Tracer
794 Tektronix DS3012B Elec: Oscilloscope
795 Tektronix P7225 ELE:active probe
796 Tektronix TDS 3014B Elec: Oscilloscope
797 Tektronix TDS3014 Elec: Oscilloscope
798 Tektronix TEK576 Elec: Curve Tracer
799 Tektronix TEK176 Elec: Curve Tracer
800 Tektronix STC399X-99158-7 Other: GPIB controller
801 TEL CLEAN TRACK Coat: wafer edge exclusion track/6in
802 TEL Mark Vz coa: Developer
803 TEL TE_5000S ETO408 Dry Etcher
804 TEL TE_5000S ETO409 Dry Etcher
805 TEL TE-8400PE Dry etcher/5in
806 TEL TE-5000 Dry etcher/5in
807 TEL 615 FUR:LPCVD/6in
808 TEL IW-6D Furnace(O2/N2)
809 TEL TE5000ATC Wet Oxide Etcher
810 TEL MARK Vz Coater developer
811 TEL TE8500 Dry: Contact Etch
812 TEL Unity-85DI Dry etcher: SiN E/B
813 TEL ALPHA-8 Furnace: Vertical/8in.
814 TEL ALPHA-8S Furnace: Vertical/8in.
815 TEL ALPHA-8SE Furnace: Vertical/8in.
816 TEL FTPS(SiN) Furnace(FTPS)/8in.
817 TEL Lithius I Coat/Develop
818 TEL Lithius i Coat and Develop
819 TEL (Inq.) Dry Etch
820 TEL 308SCCM Dry Etch
821 TEL 308SCCM Dry Etch
822 TEL Telius SPSCCMII Poly Dry: Plasma Etching system/12in.
823 TEL Trais CVD/12in.
824 TEL Trias (SFD TIN ) CVD: Chamber/12in
825 TEL TRIAS CVD/12in.
826 TEL Trias CVD/12in.
827 TEL Trias (SFD TIN ) CVD/12in.
828 TEL Trias (SFD TIN ) CVD/12in.
829 TEL Trias TIN chamber CVD/12in.
830 TEL Trias TIN chamber CVD/12in.
831 TEL Trias TIN chamber CVD/12in.
832 TEL Trias SFD TIN chamber CVD/12in.
833 TEL Trias SFD TIN chamber CVD/12in.
834 TEL Trias TIN chamber CVD/12in.
835 TEL-varian 350D Ion implanter
836 Tempress TS81254 Furnace/8in
837 Texio DL-2050 Ele: Multi meter
838 Texio DL-2051 Ele: Multi meter
839 Texio LSG-1050 Electronic load device
840 Therma-wave TP-500 other: Therma probe
841 Thermco TMX-9000 Furnace: Annealing/5in
842 Thermo Fisher DX600 Other: Metrology system
843 TOA Elec. FS-1131 Elec: Synthesizer
844 TOA Elec. SR-2 Elec: STD Resistor
845 Tok ASP-LE(N) Coater/5in
846 Tok TCE2400 Dry etcher
847 Tok TCE3822 Dry etcher
848 TOK TSE-306W dry: TiN etcher
849 TOK TSE-306W dry: TiN etcher
850 Tokyo Cathode 5100 Elec: Probe card inspection
851 Tokyo Cathode 5150 Elec: Probe card inspection
852 Tokyo Cathode 5200 Elec: Probe card inspection
853 Tokyo Electron U2e-655II DRY:UNITY-DI
854 Tokyo Electron MB2-730 BLK-W CVD:MB2
855 TOKYO OHKA KOGYO OAPM-SH406 Dry-etcher/6in
856 Tokyo Riko Riko slidetrance RSA-1 ELE:transformer
857 Tokyo Steel VWS350 Wire Saw
858 TOP 20-A Other: Thermometer
859 TOPCON CORP. SM-200 SEM (Scanning Electron Microscope)
860 TOPWARD 1330 Elec: Digital meter
861 TOSHIBA SK-110 Elec: Voltage Regulator
862 Toshiba itc TOSMICRON 6130FP Inspection:X-ray
863 Tropel FM200 OTH:Flatness measuring
864 TRUE PING TECHNOLOGY MD-40 Coater: HMDS
865 TSK W-SL-500 Wire saw 200mm/300mm
866 TSK W-SL-500 Wire saw 200mm/300mm
867 TSK EM-21 other: Tester
868 TYSTAR TYTAN II Furnace
869 UIC UIC/UIC30 OTH:Scrubber
870 Ultratech sapphire 100 Stepper
871 Ultratech UT1500 Stepper/4in.
872 Ultron UH-101C Other: Curing/UV irradiation
873 Ulvac NE-5700 dry etch: ICP/6in
874 Ulvac CME-200J cvd: Plasma CVD System/6in
875 Ulvac for SIH-450 Sputter pvd: NiO Target/4in.
876 ULVAC SRH820 PVD: Sputter
877 ULVAC SV-9040-T14 PVD: Sputter
878 Ulvac IDZ8001 ION:Implanter/6in
879 Ulvac IDZ8000 Ion implant
880 Ulvac IH-860DSIC Ion implanter
881 ULVAC VA2000 Dry Etch/8in.
882 ULVAC ENTRON W300 EX PVD/12in.
883 ULVAC ENTRON PVD/12in.
884 ULVAC GI-PRAY Other: Vacuum Meter
885 Union NCL-2 KLA-150TX Mic: Light unit for microscope
886 Union Optical Excamet Microscope
887 UNISEM UN 2000A-FS oth: Scrubber
888 USHIO UMA-802-HC551MU OTH:UV curing/6in
889 USHIO UMA-802-H6 other: Exposure: UV/5in
890 USHIO UMA-1002-HC82TT OTH:UV Cure
891 Varian 120XP Ion: Implanter(H/C)/5in
892 Varian 120/10 Ion: Implanter(H/C)/5in
893 Varian 200-CF5 Ion: Implanter(M/C)/5in
894 Varian 300Xp Ion:Implanter/6in
895 Varian 350D Ion implant /5in
896 Varian 350D ION:Implanter/5in
897 Varian 350D ION:Implanter/6in
898 Varian 350D Ion Implanter
899 Varian 80/10 Ion: Implanter(H/C)/5in
900 Varian 80XP Ion implanter
901 Varian E220 Ion implanter
902 Varian EHP500 ion: Implanter/8in
903 Veeco K465i CVD:MOCVD
904 VEECO D300GaN CVD: MOCVD
905 Veeco E300 CVD: MOCVD
906 Veeco K465 CVD: MOCVD
907 Veeco K465 CVD: MOCVD
908 Vistec LDS3300M Inspection/Defect
909 Vistec LDS3300M Inspection: Surface/12in.
910 VJ Electronix Vertex II Model V90 Inspection system: X-Ray
911 Watkins Johnson WJ999R CVD:APCVD/6in
912 Watkins-Johnson WJ1000T CVD: APCVD/6in
913 WaveCrest SIA-3000 Ele: Signal Integrity Analyzer
914 WeiHai SHK-18A Polisher/Centerless
915 Werth Messtechnik Scope Check 200 3D CNC Insp: 3D-CNC multisensor CMM
916 WESTECH MRV-Ⅱ-VAS Aligner
917 World digital NSZ-405 Microscope: Binocular solid
918 XYZTEC CONDOR 70 OTH:Wire pull Tester
919 XYZTEC CONDOR 100 OTH:Wire pull Tester
920 YEW Inq. Elec: Voltmeter(DC)
921 YEW PV-13 Elec: Vacuumtube Voltmeter
922 Yokogawa 4720 DRY:Rainbow
923 Yokogawa AQ2105 Ins: Multi meter
924 Yokogawa 706012-1-D Ele: FG120 Function Generator
925 Yokogawa 7544 01 Ele: Multi meter
926 Yokogawa AX100 Ele: Memory Stick Protocol Analyzer
927 Yokogawa AX220 Ele: SD card protocol analyzer
928 Yokogawa 4177-100-11 Oth: μR100 RBI recorder
929 Yokogawa AX220 Oth: SD card protocol analyzer
930 Yokogawa CM500 Other: Gas monitor
931 YOKOGAWA UR100 other: Chart Recorder
932 YSC HTC-2 Other: Thermometer
933 Ysystems YWAFER Mapper GS2 Meas: PL Mapper/2-4in
934 Yxlon Cheetah Inspection:X-RAY
935 Z.C. AUTO TC-485 other: Ceramic disc appearance inspection
936 ZEISS A300 INS:Optical Equipment
937 Zyves KZ100 Other: NANO probe
938 日本神岡 USC-6(Si3N4) Furnace
939 日本神岡 USC-6(SIPOS) Furnace

 

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5304-2-1-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers