Description
List of semiconductor equipment we have for sale. They are in USA . They are subject to prior sale without notice. They are only for end users. Pls contact us if you are interested in any of them. Appreciate your time.
10001 | Applied Materials AMAT | 3860-01084 | 49 AMAT 3860-01084, Violet, Heat Shrink Polyolefin FIT221-18-VLT, 600V. | ||
10002 | Applied Materials AMAT | 3860-01105 | AMAT 3860-01105 TBG Plastic 1/4OD x 1/8ID Duro 85, Transluscent Orange 3′ | ||
10003 | Applied Materials AMAT | 3860-01117 | 99 AMAT 3860-01117 Plastic 1/4 OD Tygon, | ||
10004 | Applied Materials AMAT | 3860-01146 | AMAT 3860-01146 TBG Plastic 1/4 ID 5/16OD 1/32 WALL, | ||
10005 | Applied Materials AMAT | 3860-01376 | NEW AMAT 3860-01376 TBG POLYURETHANE 4 MMOD X 2.5 MMID, 2 TUBE BLK 33′ | ||
10006 | Applied Materials AMAT | 3860-01474 | AMAT 3860-01474, T8G PLSTC 5/3200 3/32ID, 95 DURO, Urethane CLR (200′), | ||
10007 | Applied Materials AMAT | 3860-01648 | NEW AMAT 3860-01648 Handler Pneumatic Tube, Spare for 0190-77171 | ||
10008 | Applied Materials AMAT | 3860-01648 | AMAT 3860-01648 HANDLER PNEUMATIC Tube (Spare for 0190-77171), | ||
10009 | Applied Materials AMAT | 3860-01648 | 210-15230-00 | AMAT 3860-01648 Handler Pneumatic Tube Assy (Spare For 0190-77171), | |
10010 | Applied Materials AMAT | 3860-01648 | AMAT 3860-01648 Handler Pneumatic Tube (Spare for 0190-77171) | ||
10011 | Applied Materials AMAT | 3860-01669 | AMAT 3860-01669 TBG Coiled 3/8OD x 8’EL PFA, | ||
10012 | Applied Materials AMAT | 3860-01669 | 2 AMAT 3860-01669 TBG COiled 3/8OD x 8′ EL PFA | ||
10013 | Applied Materials AMAT | 3860-01669 | AMAT 3860-01669 TBG Coiled 3/8OD x 8’EL PFA, 1/32 Wall, | ||
10014 | Applied Materials AMAT | 3860-01669 | AMAT 3860-01669 TBG Coiled 3/80D X 8′ EL PFA, | ||
10015 | Applied Materials AMAT | 3860-01841 | AMAT 3860-01841, Cable, Harness, TBG, .25ID, .380D. Phar-Med, HP. | ||
10016 | Applied Materials AMAT | 3860-01957 | AMAT 3860-01957 Screw, Nut | ||
10017 | Applied Materials AMAT | 3870-00161 | NEW AMAT 3870-00161 Parker diaphragm manifold valve, 944MSFS8FFLK26 | ||
10018 | Applied Materials AMAT | 3870-00300 | AMAT 3870-00300 Valve, Pneu, Diaphram, Compact, N/C, 1/2 Flare, PFA | ||
10019 | Applied Materials AMAT | 3870-00300 | NEW AMAT 3870-00300 VALVE, PNEUMATIC, DIAPHRAGM, COMPACT, N/C, 1/2 FLARE, PFA | ||
10020 | Applied Materials AMAT | 3870-00300 | NEW AMAT 3870-00300 Pneumatic diaphragm valve, compact, N/C, 1/2 Flare | ||
10021 | Applied Materials AMAT | 3870-00300 | NEW AMAT 3870-00300 Pneumatic diaphragm valve, compact, N/C, 1/2 Flare, PFA | ||
10022 | Applied Materials AMAT | 3870-00342 | SMC | NVM130-N01-33 | 2 AMAT 3870-00342 Mechanical Valve, Multicolor Switch, SMC NVM130-N01-33, |
10023 | Applied Materials AMAT | 3870-00346 | AMAT 3870-00346 Kit, Spare Parts, Chem Cabinet, IECP | ||
10024 | Applied Materials AMAT | 3870-00408 | AMAT 3870-00408 VALVE PNEU AIR PILOTED 4 WAY W/SPRING | ||
10025 | Applied Materials AMAT | 3870-00601 | AMAT 3870-00601 Solenoid H181E1-24VDC | ||
10026 | Applied Materials AMAT | 3870-00681 | Veriflo | SM930LPNCS102 | Veriflo93 SM930LPNCS102, AMAT 3870-00681 Valve Assembly, Pneumatic Diaph |
10027 | Applied Materials AMAT | 3870-00685 | 2 AMAT 3870-00685 Veriflo SM955LPNCS11 Surface Mount Diaphragm Valve | ||
10028 | Applied Materials AMAT | 3870-00690 | AMAT 3870-00690, Valve Pneumatic Diaphragm 3-Way, Entegris. | ||
10029 | Applied Materials AMAT | 3870-00693 | AMAT 3870-00693 Manf Valve 6 Station Venture | ||
10030 | Applied Materials AMAT | 3870-01132 | MDC | KIV-I00-P | AMAT 3870-01132 Electro Valve Vacuum Assembly, Pneumatic, MDC KIV-I00-P |
10031 | Applied Materials AMAT | 3870-01150 | 2 AMAT 3870-01150, 3 Way N/C Solenoid Valves | ||
10032 | Applied Materials AMAT | 3870-01161 | AMAT 3870-01161, Nor-Cal Products, Isolation Valve. , | ||
10033 | Applied Materials AMAT | 3870-01172 | AMAT 3870-01172 Hose Adapter | ||
10034 | Applied Materials AMAT | 3870-01212 | 3 AMAT 3870-01212 Norcal Isolation valve, 3 way KFbuilt | ||
10035 | Applied Materials AMAT | 3870-01212 | 3 AMAT 3870-01212 Norcal Isolation valve, 3 way TEE KFbuilt, S1221 | ||
10036 | Applied Materials AMAT | 3870-01212 | 2 AMAT 3870-01212 Norcal Isolation valve, 3 way KFbuilt, S45778 | ||
10037 | Applied Materials AMAT | 3870-01281 | Lot of 2 AMAT 3870-01281 Valve Blank Plate Assy For SMC P/N NVJ114 | ||
10038 | Applied Materials AMAT | 3870-01284 | SMC | NVJ3023 | 3 AMAT 3870-01284 Valve Blank Plate Assembly For SMC NVJ3023 |
10039 | Applied Materials AMAT | 3870-01288 | AMAT 3870-01288 2-Way Solenoid Valve, NO24, VDC, 1/4 NPT, Parker | ||
10040 | Applied Materials AMAT | 3870-01288 | Honeywell | 71295 | AMAT 3870-01288 Valve, Sol, 2-Way, NO24, VDC, 1/4 NPT |
10041 | Applied Materials AMAT | 3870-01288 | AMAT 3870-01288 Valve Sol, 2 Way, N024, VDC, 1/4 NPT | ||
10042 | Applied Materials AMAT | 3870-01288 | AMAT 3870-01288 Valve Solenoid 2Way NO24 Honeywell 71295SN2KNK1N0L11C2 | ||
10043 | Applied Materials AMAT | 3870-01288 | AMAT 3870-01288 Valve Sol 2-Way NO24, VDC, 1/4 NPT | ||
10044 | Applied Materials AMAT | 3870-01331 | TWO AMAT 3870-01331 Nor-cal angle valve KF50, looks new | ||
10045 | Applied Materials AMAT | 3870-01385 | AMAT 3870-01385 Valve Blank Plate Assy for SMC VV5Z3 Series | ||
10046 | Applied Materials AMAT | 3870-01458 | MDC | AVG-IVG-150M | AMAT 3870-01458 Valve Rebuild Kit, MDC AVG-IVG-150M |
10047 | Applied Materials AMAT | 3870-01516 | AMAT 3870-01516 Valve Gate 7″ Series 14 VAT Reverse, DN 63-400 | ||
10048 | Applied Materials AMAT | 3870-01549 | 2 AMAT 3870-01549 Valve Injection Control Retrofit With heat | ||
10049 | Applied Materials AMAT | 3870-01584 | APPLIED MATERIALS VALVE AIR ACTUATEO DIAPH | ||
10050 | Applied Materials AMAT | 3870-01608 | AMAT 3870-01608 Valve BLW AIR OP | ||
10051 | Applied Materials AMAT | 3870-01623 | AMAT 3870-01623 VALVE PNEU BLWS N/C 1/4F VCR SST 10RA SC | ||
10052 | Applied Materials AMAT | 3870-01702 | 18 AMAT 3870-01702 Valve Ball 2Way 1/2FNPT X .500D | ||
10053 | Applied Materials AMAT | 3870-01732 | AMAT 3870-01732 Isolation Valve, Pneumatic, Shut off, Vertiflo | ||
10054 | Applied Materials AMAT | 3870-01735 | AMAT 3870-01735 Valve MNL Diaph 145 PSI 1/4 VCR | ||
10055 | Applied Materials AMAT | 3870-01881 | NEW Fujikin AMAT 3870-01881 Pneumatic bellows valve N?C 145PSI, 1/2 VCR-F/F PI | ||
10056 | Applied Materials AMAT | 3870-01974 | AMAT 3870-01974, VAT A-263137, VALVE GATE 8″PNEU ACTR SPECIAL FLANGE. | ||
10057 | Applied Materials AMAT | 3870-02053 | AMAT 3870-02053 Regulator Press 1/4 Port Size with bracket | ||
10058 | Applied Materials AMAT | 3870-02053 | SMC | IT2020-N32B1 | AMAT 3870-02053 Regulator Press 1/4 Port Size With Bracket, SMC IT2020-N32B1 |
10059 | Applied Materials AMAT | 3870-02126 | AMAT 3870-02126, Isolation Valve, Pneumatic Hi Vac, KF25, N/C Viton Std MKS KF25 | ||
10060 | Applied Materials AMAT | 3870-02224 | Furon 1103893 Furon Fluid Handling, AMAT 3870-02224, NVX3-144 | ||
10061 | Applied Materials AMAT | 3870-02224 | Furon 1103893 Furon Fluid Handling, AMAT 3870-02224, NVX3-144 | ||
10062 | Applied Materials AMAT | 3870-02241 | Swagelok | 6LV-DAFR4-P-BL | AMAT 3870-02241, 6LV-DAFR4-P-BL, Valve MNL DIAPH 145PSIG 1/4VCR-F/F. |
10063 | Applied Materials AMAT | 3870-02338 | Parker | 944A0PLPNCS4337 | AMAT 3870-02338 Pressure Regulator, Parker Veriflo 944A0PLPNCS4337 |
10064 | Applied Materials AMAT | 3870-02345 | MKS | 253B-14110 | AMAT 3870-02345 Throttling Valve, BTFL Face Seal W/KFKS |
10065 | Applied Materials AMAT | 3870-02407 | Veriflo | 955MS5196 | AMAT 3870-02407 Veriflo 955MS5196 Valve |
10066 | Applied Materials AMAT | 3870-02410 | Parker | 944A0PLPNCS5144 | AMAT 3870-02410 Pressure Regulator, Parker Veriflo 945A0PLPNCS5144 |
10067 | Applied Materials AMAT | 3870-02496 | NEW AMAT 3870-02496 Pneumatic Diaphragm valve 145PSIG, N/C 1/4 VCR, F/F | ||
10068 | Applied Materials AMAT | 3870-02501 | 5 AMAT 3870-02501 Valve Ball 2 Way Pneumatic | ||
10069 | Applied Materials AMAT | 3870-02649 | AMAT 3870-02649 Valve Pneu Diaph 3way 125PSI NC 1/4VCR-F | ||
10070 | Applied Materials AMAT | 3870-02803 | AMAT 3870-02803 Check Valve | ||
10071 | Applied Materials AMAT | 3870-02961 | AMAT 3870-02961 VALVE SOL 3 PORT 1/8 NPTF 22-100P | ||
10072 | Applied Materials AMAT | 3870-03255 | AMAT 3870-03255, 2-Way HPV Valve, Multi-Turn, 3/8″, Furon HPV2-F46-NC. | ||
10073 | Applied Materials AMAT | 3870-03326 | 2 NEW AMAT 3870-03326 MV4 manual diaphragm valve | ||
10074 | Applied Materials AMAT | 3870-03334 | Pneumatic NC | 2 AMAT 3870-03334 Pneumatic NC Valve Pneumatic Diaphragm Nickel Co burn Alloy | |
10075 | Applied Materials AMAT | 3870-03341 | Ham-Let | HMS20-4VKLQ-LD | AMAT 3870-03341 Ham-Let HMS20-4VKLQ-LD Valve Assy Mnl Diaphragm 2port |
10076 | Applied Materials AMAT | 3870-03460 | NEW AMAT 3870-03460 Pneumatic Valve No 2-way 1/4I 10-32 Pneumatic | ||
10077 | Applied Materials AMAT | 3870-03636 | AMAT 3870-03636 PNEUMATIC AIR VALVE PFA HIGH PURITY SMC LVC320-S11-1 | ||
10078 | Applied Materials AMAT | 3870-03848 | AMAT 3870-03848, Valve Pneu NC 3 Port M5X.8 Base Mount | ||
10079 | Applied Materials AMAT | 3870-03884 | AMAT 3870-03884 Slit Valve, Gate Compl | ||
10080 | Applied Materials AMAT | 3870-03884 | AMAT 3870-03884 Slit Valve, Gate Compl | ||
10081 | Applied Materials AMAT | 3870-03902 | NEW AMAT 3870-03902 Valve MNL Needle 2Way, 1/2T 1.8 | ||
10082 | Applied Materials AMAT | 3870-03961 | AMAT 3870-03961 Valve, Pressure Relief, 1/4 flare, Teflow, Teqcom | ||
10083 | Applied Materials AMAT | 3870-04001 | AMAT 3870-04001 Slit Valve Door | ||
10084 | Applied Materials AMAT | 3870-04001 | AMAT 3870-04001 Valve Gate36 mm, Seal w/ Short PLA, VAT 229643 | ||
10085 | Applied Materials AMAT | 3870-04028 | NEW AMAT 3870-04028 HAM-LET UCV, HM20-4VKLC-GF4 diaphram valve | ||
10086 | Applied Materials AMAT | 3870-04085 | Parker Veriflo | XV502SS-16 | NEW AMAT 3870-04085 Parker Ball Valve XV502SS-16 |
10087 | Applied Materials AMAT | 3870-04320 | AMAT 3870-04320 Valve, NC, 1/2″ S300, PFA, 1/8″ NPT Pilot Air | ||
10088 | Applied Materials AMAT | 3870-04440 | CKD | MMGD-20V-AT2-L1 | AMAT 3870-04440 Manual Valve, CKD valve MMGD-2OV-AT2-L1 |
10089 | Applied Materials AMAT | 3870-04760 | AMAT 3870-04760 Rev.00, Valve Pneumatic 3-Way 1/4S300PNEI. | ||
10090 | Applied Materials AMAT | 3870-04859 | 5 AMAT 3870-04859 Valve MNL Diaphragm 2Way 3000 PSI 1/4 Swagelok 1/4 HDL SST | ||
10091 | Applied Materials AMAT | 3870-04957 | AMAT 3870-04957 Ball Valve | ||
10092 | Applied Materials AMAT | 3870-04958 | Swagelok | NXT-DRP41AFDFD-0 | AMAT 3870-04958, Swagelok NXT-DRP41AFDFD-0, 2-Way Valve, Flare 3/8″. |
10093 | Applied Materials AMAT | 3870-05306 | Ham-Let | HMSC20-4VKLQ | AMAT 3870-05306 Ham-Let Diaphragm Valve HMSC20-4VKLQ |
10094 | Applied Materials AMAT | 3870-05451 | AMAT 3870-05451 Valve, Ball, Manual, NW-50, Kalreztem Seal | ||
10095 | Applied Materials AMAT | 3870-05476 | 45 AMAT 3870-05476 CPLG, Alignment Rod End | ||
10096 | Applied Materials AMAT | 3870-05663 | AMAT 3870-05663 VIPER VALVE AIR SMC LVQ21-Z07R | ||
10097 | Applied Materials AMAT | 3870-05663 | NEW AMAT 3870-05663 Viper Valve air, AMC LVQ21-Z07R valve | ||
10098 | Applied Materials AMAT | 3870-05671 | AMAT 3870-05671, SMC AxT1028-29A, Valve, Mixing, PFA4647 | ||
10099 | Applied Materials AMAT | 3870-05744 | Ham-Let | HM20-4VKLO-GF4 | 2 AMAT 3870-05744 Ham-Let HM20-4VKLO-GF4 Diaphragm Valve |
10100 | Applied Materials AMAT | 3870-05744 | Ham-Let | HM20-4VKLO-GF4 | 2 AMAT 3870-05744 Ham-Let HM20-4VKLO-GF4 Diaphragm Valve |
10101 | Applied Materials AMAT | 3870-05751 | 2 AMAT 3870-05751 HAM-LET Diaphragm Valve | ||
10102 | Applied Materials AMAT | 3870-05751 | NEW AMAT 3870-05751 Ham-Let EV8O-V-EP Diaphragm Valve | ||
10103 | Applied Materials AMAT | 3870-05751 | AMAT 3870-05751 Pneumatic Diaphragm valve, 1/2 body size, M/M, EV8C-FV-L83-EP | ||
10104 | Applied Materials AMAT | 3870-06006 | NEW AMAT 3870-06006 Ham-Let UCV Series Diaphragm Valve | ||
10105 | Applied Materials AMAT | 3870-06006 | Ham-Let | EV80-FV-L83-EP | AMAT 3870-06006 Ham-Let EV80-FV-L83-EP Diaphragm Valve |
10106 | Applied Materials AMAT | 3870-06063 | NEW AMAT 3870-06063 Valve Assembely, Pneumatic Diaphram N/O 3 Port, 1-1/8 inch | ||
10107 | Applied Materials AMAT | 3870-06063 | Ham-Let | HMS30-4VKO-RND | AMAT 3870-06063 Ham-Let HMS30-4VKO-RND Valve |
10108 | Applied Materials AMAT | 3870-06063 | 2AMAT 3870-06063 Valve Assy, Pneumatic Diaphragm N/O 3 Port 1-1/8″ Surface Mount | ||
10109 | Applied Materials AMAT | 3870-06152 | NEW AMAT 3870-06152 Diaphragm 2-Way Valve | ||
10110 | Applied Materials AMAT | 3870-06258 | Ham-Let | 2 AMAT 3870-06258 Ham-Let Valve, 3-Port, Pneu/Man, 1-1/8 SM, Loto, | |
10111 | Applied Materials AMAT | 3870-06351 | 6 AMAT 3870-06351 Solenoid Valve | ||
10112 | Applied Materials AMAT | 3870-06374 | VAT | 414517/26 | AMAT 3870-06374, Gate, Anodized Aluminum With Kalrez, VAT/26 |
10113 | Applied Materials AMAT | 3870-07626 | AMAT 3870-07626 Valve Pneumatic K1H PI Seat-High Temperature, 3 Port, N0 | ||
10114 | Applied Materials AMAT | 3870-90415 | AMAT 3870-90415 Valve Silencer U-1/8 | ||
10115 | Applied Materials AMAT | 3870-90418 | AMAT 3870-90418 tube insert | ||
10116 | Applied Materials AMAT | 3870-90477 | NEW AMAT 3870-90477 PNEUMATIC PILOT VALVE | ||
10117 | Applied Materials AMAT | 3880-00450 | AMAT 3880-00450 Valve, Dymatrix Avsmvpv | ||
10118 | Applied Materials AMAT | 3880-00506 | AMAT 3880-00506, SMC E/P Electro Pneumatic Regulator & Teflon Chemical Valve | ||
10119 | Applied Materials AMAT | 3880-01007 | 4 NEW AMAT 3880-01007 Washer Flat #8 .3750D x .174ID x .031THK SST | ||
10120 | Applied Materials AMAT | 3880-01007 | 4 AMAT 3880-01007 Washer Flat #8 .375OD x .174ID x .031THK SST | ||
10121 | Applied Materials AMAT | 3880-01008 | 16 NEW 3880-01008 washer FLAT #10 .438OD x .219ID x .49THK SST | ||
10122 | Applied Materials AMAT | 3880-01008 | AMAT 3880-01008 Washer Flat #10 .4380D x .2191D x.049THK 88T | ||
10123 | Applied Materials AMAT | 3880-01024 | 22 NEW AMAT 3880-01024 Washer LKG SPLIT #8 .2930D x .174ID x .040THK SST | ||
10124 | Applied Materials AMAT | 3880-01024 | 22 AMAT 3880-01024 Washer LKG Split #8 .293OD x .1741D x .040THK SST | ||
10125 | Applied Materials AMAT | 3880-01025 | 16 NEW AMAT 3880-01025 Washer LKG SPLIT # 10 .334OD x .200ID x .047THK SST | ||
10126 | Applied Materials AMAT | 3880-01026 | 4 NEW AMAT 3880-01026 Washer LKG Split #1/4 .487OD x .260ID x .062THK SST | ||
10127 | Applied Materials AMAT | 3880-01045 | 4 NEW AMAT 3880-01045 Washer Flat # 1/4 .500OD x .265ID x .063THK SST | ||
10128 | Applied Materials AMAT | 3880-01072 | AMAT 3880-01072 Washer Wave SPRG .4900D, Qty 52 | ||
10129 | Applied Materials AMAT | 3880-01085 | AMAT 3880-01085 Washer BLVL .3750D x .1901D | ||
10130 | Applied Materials AMAT | 3880-01115 | 2 NEW AMAT 3880-01115 washer flat #6 .312OD x .142ID x .024THK SST | ||
10131 | Applied Materials AMAT | 3880-01116 | AMAT 3880-01116 8 Waser LKG Split #2 .1660D x .0931D x .020TH | ||
10132 | Applied Materials AMAT | 3880-01130 | 33 New AMAT 3880-01130 CURVED WASHER | ||
10133 | Applied Materials AMAT | 3880-01192 | 90 new wave spring washer | ||
10134 | Applied Materials AMAT | 3880-01215 | 4 AMAT 3880-01215 Washer Flat .375OD X .161ID X .062THK | ||
10135 | Applied Materials AMAT | 3880-01304 | 4 AMAT 3880-01304 Washer Flat 1/4ID X 1/2OD, 0.045THK STL ZINC PLT, | ||
10136 | Applied Materials AMAT | 3880-01358 | 106 AMAT 3880-01358 WSHR #4 .005 Kapton | ||
10137 | Applied Materials AMAT | 3880-01379 | 10 new teflon washers, PTFE washer | ||
10138 | Applied Materials AMAT | 3880-01644 | AMAT 3880-01644 Washer Sealing 5/16 .301ID, Lot of 5 | ||
10139 | Applied Materials AMAT | 3880-01762 | 28 AMAT 3880-01762 Wave Spring Washers | ||
10140 | Applied Materials AMAT | 3880-01780 | 6 new AMAT 3880-01780 WSHR FLAT #3/4 1.505OD x .590ID x .062T | ||
10141 | Applied Materials AMAT | 3890-00020 | 2 NEW AMAT 3890-00020 Mobilizer Wheel Jack Left for Reflexion | ||
10142 | Applied Materials AMAT | 3910-01118 | 5 AMAT1118 Mechanical Label, Warning, Pinch Point | ||
10143 | Applied Materials AMAT | 3920-00249 | AMAT0249 Sensor, Dry Nova, Xenon Illumination 510-20000-03 Assy, | ||
10144 | Applied Materials AMAT | 3920-00691 | 12 AMAT0691 XAMPER-RESISTANT HEX L-KEY, 1/8″ HEX, 2 | ||
10145 | Applied Materials AMAT | 3920-01428 | Tooolside, drive steel, Translation stage | ||
10146 | Applied Materials AMAT | 3920-01429 | Toolgoniometer | ||
10147 | Applied Materials AMAT | 3930-00149 | AMAT0149 CNTRL Temp HI-LIMIT K SPDT 24VDC 725 DEG | ||
10148 | Applied Materials AMAT | 3930-01005 | AMAT1005, Speed Control, M5(NOM 10/32). | ||
10149 | Applied Materials AMAT | 3930-01115 | AMAT | 810-17815 | AMAT1115 Cntrl Temp 3 – Channel 208V Type – K TC Mini, 810-17815, |
10150 | Applied Materials AMAT | 3940-01013 | 39 AMAT1013 Fastener Flush Spring Latch | ||
10151 | Applied Materials AMAT | 3950-01015 | 42 AMAT1015 Nozzel, Flat Spray, 60 Deg | ||
10152 | Applied Materials AMAT | 3960-00100 | AMAT0100 Index Plunger + Stop M12X1.5; | ||
10153 | Applied Materials AMAT | 3960-02101 | AMAT2101 SCR Mach PAN HD 4-40 x 3/8L PH | ||
10154 | Applied Materials AMAT | 3970-05315 | NEW AMAT 3870-05315 Fujikin Diaphram Valve | ||
10155 | Applied Materials AMAT | 3980-01046 | 12 new AMAT1046 ring pedestal 150mm alum tss pkg mec/st | ||
10156 | Applied Materials AMAT | 3GK9K | Oriental Motor 3GK9K, Gear Head, | ||
10157 | Applied Materials AMAT | 400-01002 | AMAT 3400-01002 Hose GAS/LIQ .250ID X .500OD Synth-RBR BLL (12′) | ||
10158 | Applied Materials AMAT | 4010-00039 | 7200-015870 | AMAT0039 Assembly, Aperture Stop, Nano p/n 7200-015870 | |
10159 | Applied Materials AMAT | 4020-00230 | Parker Veriflo | 54017395 | NEW AMAT0230 Regulator, Parker veriflo, 54017395, SQ420E1002PFSBFM |
10160 | Applied Materials AMAT | 4020-00230 | Parker Veriflo | 54017395 | Parker Veriflo 54017395, AMAT0230 Pressure Regulator |
10161 | Applied Materials AMAT | 4020-01032 | AMAT1032 Filter Air 15x24x1/4 | ||
10162 | Applied Materials AMAT | 4020-01032 | AMAT1032 Filter Air 12×24 4/40 3PLY EFF | ||
10163 | Applied Materials AMAT | 4020-01061 | Pall | GLFPF6101VXM4AM | AMAT1061 Filter IN-LN GAS 6101PSIG, Pall Gaskleen GLFPF6101VXM4AM |
10164 | Applied Materials AMAT | 4020-01104 | Barnstead International | D8901 | AMAT1104 High Capacity Filter Cartridge DI Water, Barnstead D8901 |
10165 | Applied Materials AMAT | 4020-01146 | PALL | GLF6101SM6S | AMAT1146 PALL PALL GLF6101SM6S Gas Filter, 3/8 Swagelok in-line gas |
10166 | Applied Materials AMAT | 4020-01343 | AMAT1343 Filter Inline pleated mesh 15 Micron 1/4VCR | ||
10167 | Applied Materials AMAT | 4020-01416 | 6 NEW AMAT4020-01416 filtr AIR/WTR 1000 PSIG vacuseal | ||
10168 | Applied Materials AMAT | 4040-90000 | AMAT0000 Plunger, Spring | ||
10169 | Applied Materials AMAT | 4060-00023 | SMC | W5Q11-ULB99051 | AMAT0023 Pneumatic Gas Manifold 16 Station, SMC VV5Q11-ULB990251, |
10170 | Applied Materials AMAT | 4060-00023 | SMC | NP420-DN1 | AMAT0023 MANF 16STATION W/DNET 21 I/O SMC VV5Q11-ULB990251, |
10171 | Applied Materials AMAT | 4060-00066 | AMAT0066 Manifold 3, 3/8″ Flaretek, Outgoing, | ||
10172 | Applied Materials AMAT | 4060-00110 | AMAT0110 MANF #3 Valve Assembly Chem delivery box | ||
10173 | Applied Materials AMAT | 4060-00110 | AMAT0110 MANF #3 Valve Assembly Chem Delivery Box | ||
10174 | Applied Materials AMAT | 4060-00115 | AMAT0115 MANF VALVE 12Station M5 port type S41 | ||
10175 | Applied Materials AMAT | 4060-00364 | AMAT0364 Pneumatic Gas Valvetion Manifold | ||
10176 | Applied Materials AMAT | 4060-00414 | 2 AMAT0414 MANF STA PNEU ACTUATORS, INLETS:1/4 & 3/ | ||
10177 | Applied Materials AMAT | 4060-00483 | AMAT0483 Manifold HPV W/S300 NP, LCDS, FC, Outlet | ||
10178 | Applied Materials AMAT | 4060-01097 | AMAT1097, FESTO, MANF Gas Panel, Pneumatic 1/8T FTG DNET. | ||
10179 | Applied Materials AMAT | 4060-01097 | FESTO | CPV10-VI-P8-M7-AMA-SA | AMAT1097, FESTO, MANF GAS Panel Pneumatic 1/T8 FTG DNET. |
10180 | Applied Materials AMAT | 4060-01097 | FESTO | CPV10-DNET8-AMA-SA | AMAT1097, FESTO, MANF GAS Panel Pneumatic 1/8T FTG DNET. |
10181 | Applied Materials AMAT | 4060-01126 | AMAT1126 Pneumatic Center Assembly | ||
10182 | Applied Materials AMAT | 4060-90016 | AMAT0016 MANIFOLD FR-3/8 | ||
10183 | Applied Materials AMAT | 4100-90058 | AMAT0058 Light Curtain, | ||
10184 | Applied Materials AMAT | 4400-02-F03-B12-A | NT International Model 4400 Electronic Flowmeter 4400-02-F03-B12-A | ||
10185 | Applied Materials AMAT | 492-17147-00 | 2 AMAT 492-17147-00 Valve, needle, 1/2″ Fnpt | ||
10186 | Applied Materials AMAT | 492-17259-00 | AMAT 492-17259-00 Valve, Check, True union, 1/2″ SOC, PVC SCH80 | ||
10187 | Applied Materials AMAT | 492-17298-00 | AMAT 492-17298-00 Valve, SOl, Base MT (DC) | ||
10188 | Applied Materials AMAT | 492-17298-00 | SMC | SYJ314R-5LZ | AMAT 492-17298-00 VALVE, SOL, BASE MT (DC), SMC SYJ314R-5LZ |
10189 | Applied Materials AMAT | 5030-47650 | AMAT65000 Elbow F/BY – Pass EDX300MM Option | ||
10190 | Applied Materials AMAT | 525-04-034 | Swagelok | 316BLK | 2 AMAT 525-04-034 Tee, 1/4″ Union, Swagelok 316 BLK |
10191 | Applied Materials AMAT | 531796-2 9005 | 0100-09020 REV.E | APPLIED MATERIALS, 531796-2 9005, 0100-09020 REV.E, FUSE BOARD | |
10192 | Applied Materials AMAT | 531796-2 9005 | 0100-09020 REV.E | APPLIED MATERIALS, 531796-2 9005, 0100-09020 REV.E, FUSE BOARD | |
10193 | Applied Materials AMAT | 531796-2 9005 | 0100-09020 REV.E | APPLIED MATERIALS, 531796-2 9005, 0100-09020 REV.E, FUSE BOARD | |
10194 | Applied Materials AMAT | 531796-2 9005 | 0100-09020 REV.E | APPLIED MATERIALS, 531796-2 9005, 0100-09020 REV.E, FUSE BOARD | |
10195 | Applied Materials AMAT | 575-00040-00 | AMAT 575-00040-00 FLOAT LEVEL SWITCH, LS-7 SERIES | ||
10196 | Applied Materials AMAT | 595-06-008 | 2 AMAT 595-06-008 Fitting, Mod, 3/8T X, 1/2NPTM, Inconel, Male Connector | ||
10197 | Applied Materials AMAT | 6000-00012 | AMAT 6000-00012 3 Way Disphragm Valve 1/4″, Furon 1102841, UPM3-688 | ||
10198 | Applied Materials AMAT | 64-55171-00 | AMAT 64-55171-00 PCB. Rev. E | ||
10199 | Applied Materials AMAT | 64-81793-00 | AMAT FAB STK 64-81793-00, System Interface Board, PCB, 8100, 8115, Etch Tool | ||
10200 | Applied Materials AMAT | 6820-37234 | AMAT 6820-37431, Spacer Slit | ||
10201 | Applied Materials AMAT | 6820-37431 | AMAT 6820-37431 BLANKOFF | ||
10202 | Applied Materials AMAT | 69-50033-00 | AMAT 69-50033-00 Lamp, 120VAC Red, | ||
10203 | Applied Materials AMAT | 7300-027077 | AMAT 7300-027077 Chuck, AN AL, 300mm | ||
10204 | Applied Materials AMAT | 7300-027077 | AMAT 7300-027077 Chuck, 300mm, An AI | ||
10205 | Applied Materials AMAT | 7300-027077 | AMAT 7300-027077 Chuck, 300mm, An AI, Metron | ||
10206 | Applied Materials AMAT | 7300-031569 | AMAT 7300-031569 Focus Lens | ||
10207 | Applied Materials AMAT | 75-50056-00 | 42 AMAT 72-50056-00 O-RING VIT 1.176IDX.070 | ||
10208 | Applied Materials AMAT | 768LOB | NEW AMAT HAM-LET valve fitting, 768LOB SS, 3/4 x 1 1/16 – 12 | ||
10209 | Applied Materials AMAT | 7794-00493 | AMAT 7794-00493 PLC, D4-EX Local Expansion Unit | ||
10210 | Applied Materials AMAT | 7794-00494 | AMAT 7794-00494 PLC, Automation Direct, D4-32ND3-1Input Module | ||
10211 | Applied Materials AMAT | 793713-ECOSYS | 6 NEW AMAT CONNECTOR 793713-ECOSYS 525-04-006, 1/4 T x 1/2 | ||
10212 | Applied Materials AMAT | 8100 K | Novellus | 01-81912-00 | AMAT 8100 K Analog Interface Unit, Novellus 01-81912-00 |
10213 | Applied Materials AMAT | 8100 M | AMAT 8100 M, 0220-04482 REV XI, RF Matching Network ASSEMBLY | ||
10214 | Applied Materials AMAT | 8100 M | AMAT 8100 M, 0220-04482 REV XI, RF Matching Network ASSEMBLY | ||
10215 | Applied Materials AMAT | 811-00420 | 2 AMAT 811-00420 Lift Finger Ceramic ID | ||
10216 | Applied Materials AMAT | 8310 A | AMAT 8310 A Assembly, 0100-00056 Pneumatic Panel Interconnect | ||
10217 | Applied Materials AMAT | 8310A | AMAT 8310A Oxide Etcher, 8310, 8300, w/ Pump RF Rack and Controller | ||
10218 | Applied Materials AMAT | 9010-00505 | AMAT 9010-00505 Blade Drive Assembly +/-15, | ||
10219 | Applied Materials AMAT | 9010-00581 ITL | AMAT 9010-00581ITL Clamp, Assy:Exch Arm transit | ||
10220 | Applied Materials AMAT | 9010-00672 | 1080-00099 | AMAT 9010-00672 Left + Right Exchange Arm Assy, Quantum Leap Process0099 | |
10221 | Applied Materials AMAT | 9010-00739 | AMAT 9010-00739 Focus Clamping Box Assy, 9010-00739ITL, 0090-91422ITL, | ||
10222 | Applied Materials AMAT | 9090-00202 ITL | AMAT 9090-00202ITL PRE-AYAMG CONTROL CHASS LEAP2 | ||
10223 | Applied Materials AMAT | 9090-00202 ITL | AMAT 9090-00202ITL PRE-AYAMG CONTROL CHASS LEAP2 | ||
10224 | Applied Materials AMAT | 9090-00365 | AMAT 9090-00365 3X30J Connection Box Assembly, 0020-20042 | ||
10225 | Applied Materials AMAT | 91-00596 | AMAT 91-00596, Ring Focus Quartz 150mm Poly/WSI WPRND | ||
10226 | Applied Materials AMAT | 9150-00964 | AMAT 9150-00964 CA 3X27M.CB1/3X27A.K1 | ||
10227 | Applied Materials AMAT | 9150-00967 | AMAT 9150-00967 CA Gas Exhaust Switches | ||
10228 | Applied Materials AMAT | 9150-01778 | NEW AMAT 9150-01778 G2 Protection PCB earth Q86 | ||
10229 | Applied Materials AMAT | 9150-03925 | AMAT 9150-03925, Focus Supply Cable. | ||
10230 | Applied Materials AMAT | 920-7577-7 | AMAT 920-7577-7 MASS STORAGE MODULE 9701 SONY MPF920-1 10835373 | ||
10231 | Applied Materials AMAT | 9240-02370 ITL | AMAT 9240-02370 ITL, Kit, Flight Tube End Covers Q2 | ||
10232 | Applied Materials AMAT | 944A0PLPNC/NCS6925 | Veriflo | 944A0PLPNC/NCS6925 | AMAT Gas Distribution Assy, Veriflo 944A0PLPNC/NCS6925, Valve, Isolation, |
10233 | Applied Materials AMAT | 9700-8946-01 | Asyst 9700-8946-01 Water Mapper Connector, | ||
10234 | Applied Materials AMAT | 9701-2143 | AMAT 9701-2143-01 Wafer Mapper Assembly | ||
10235 | Applied Materials AMAT | 9754-07008 | NEW AMAT 9754-07008 VPC2000 Adapter Assy | ||
10236 | Applied Materials AMAT | AE10 | AMAT 3620-01188 PUMP FTG UNION CRYO HELIUM LINE FEM/FEM | ||
10237 | Applied Materials AMAT | AFC 550 | AFC 550 | AMAT AFC 550, H2, 30 SLPM used | |
10238 | Applied Materials AMAT | AFC-550E | AMAT AFC-550E Mass Flow Controller, N2, 5 SLPM | ||
10239 | Applied Materials AMAT | Cable | AMAT 0150-35894 Cable Assembly INTCN Contol.PCB EBARA | ||
10240 | Applied Materials AMAT | Chamber | AMAT Wafer Chuck Holder, Pedestal | ||
10241 | Applied Materials AMAT | Chamber | AMAT PVD Chamber Liner | ||
10242 | Applied Materials AMAT | Chamber | AMAT PVD Chamber Liner | ||
10243 | Applied Materials AMAT | Chamber | AMAT Loadlock Door | ||
10244 | Applied Materials AMAT | Chamber | AMAT Chamber Lid | ||
10245 | Applied Materials AMAT | Chamber | AMAT Chamber Lid | ||
10246 | Applied Materials AMAT | Chamber | AMAT P5000 Etch Chamber Lid Cover, Temperature Control, Gas Distribution | ||
10247 | Applied Materials AMAT | Chamber | AMAT P5000 Etch Chamber Lid Cover, Gas Distribution | ||
10248 | Applied Materials AMAT | chamber | AMAT Endura PVD Pre Clean Chamber Preclean Base Assembly RF match, Lift Chuck | ||
10249 | Applied Materials AMAT | Chamber | 2 AMAT, PVD Chamber Liner. | ||
10250 | Applied Materials AMAT | Chamber Insert | AMAT Slit Valve Door Insert Buffer Transfer Chamber PVD Centura Endura, | ||
10251 | Applied Materials AMAT | FC-7820C | Aera FC-7820C Mass Flow Controller, MFC, N2M | ||
10252 | Applied Materials AMAT | FI20638 | AMAT HDP CVD Ultima Mount With Hinge for Astex Magnetron | ||
10253 | Applied Materials AMAT | Gas | AMAT Chamber Gas Hole Spacer Ring | ||
10254 | Applied Materials AMAT | Gas | AMAT Chamber Gas Hole Spacer Ring | ||
10255 | Applied Materials AMAT | Gas | AMAT Chamber Gas Hole Spacer Ring | ||
10256 | Applied Materials AMAT | HDPCVD | AMAT Local RF Match Assy, HDPCVD | ||
10257 | Applied Materials AMAT | IILES4DI-16C | AMAT IILES4DI-16C Valve, Diaphragm, Manifold, 1/4SWL | ||
10258 | Applied Materials AMAT | KAV-100 | AMAT 3870-02742 MDC KAV-100 Angle Valve Manual Operated Isolation valve 05-65577 | ||
10259 | Applied Materials AMAT | LEO-A-412 | AMAT LEO-A-412, PVD Chamber Wafer Holder. | ||
10260 | Applied Materials AMAT | LF-410A-EVD | STEC | LF-410A-EVD TEOS | AMAT, STEC LF-410A-EVD TEOS 4GPM, YAMAICHI D-009-MC07 |
10261 | Applied Materials AMAT | LF-410A-EVD | STEC | LF-410A-EVD TEOS | AMAT, STEC LF-410A-EVD TEOS 4GPM, YAMAICHI D-009-MC07 |
10262 | Applied Materials AMAT | Lid | AMAT Chamber Lid, CVD, Gas Box, Shower Head | ||
10263 | Applied Materials AMAT | LJE B 291 | AMAT LJE B 291, Oriente Chamber Lid, Water Notch Finder. | ||
10264 | Applied Materials AMAT | Magnet | AMAT Magnet Assembly, PVD, Sputter | ||
10265 | Applied Materials AMAT | Magnet | AMAT Magnet, PVD, | ||
10266 | Applied Materials AMAT | Manifold | Water Manifold with flow switch | ||
10267 | Applied Materials AMAT | NA | 4 AMAT Catch Mag Snap-in 2.24 x .081 x 0.3 | ||
10268 | Applied Materials AMAT | NCDQ2B80-U1A990939 | 0020-22412 | AMAT Endura Centura Wafer Transfer Module Chamber Slit Valve Assembly | |
10269 | Applied Materials AMAT | NDM D 444 | AMAT NDM D 444, Chamber Hinge Attachment. | ||
10270 | Applied Materials AMAT | NDM-C-495 | AMAT NDM-C-495, Oventer Water Lift Heep Notch Finder. | ||
10271 | Applied Materials AMAT | P5000 | Norcal | Norcal, AMAT P5000, Isolation, Hinge Valve Assembly, | |
10272 | Applied Materials AMAT | P5000 | AMAT P5000 Chamber lid base | ||
10273 | Applied Materials AMAT | P5000 | AMAT P5000 Slit Valve Door | ||
10274 | Applied Materials AMAT | P5000 | AMAT P5000, CVD, 6 Inch Chamber Susceptor Lift Pin Hoop | ||
10275 | Applied Materials AMAT | P5000 | AMAT P5000 Assy, Robot Drive, 4′.5′, 0010-35990 | ||
10276 | Applied Materials AMAT | P5000 | AMAT CHAMBER P5000 CVD Chamber, Lid, Wafer lift Hoop, Susp Assy, | ||
10277 | Applied Materials AMAT | P5000 | AMAT CHAMBER P5000 CVD Chamber, Lid, End Point, Wafer & Susp Lift Assy, wfr Hoop | ||
10278 | Applied Materials AMAT | P5000 | AMAT Applied Materials Precisionitride PARC PECVD System P5000 SiN | ||
10279 | Applied Materials AMAT | P5000 | AMAT P5000 Etch/CVD Hot Gas Box | ||
10280 | Applied Materials AMAT | P5000 | AMAT Precisionark II PECVD System, P5000, Chamber, 200mm | ||
10281 | Applied Materials AMAT | P5000 | AMAT P5000 DPS RF Match Phase 4, PUD Pre-clean Chamber | ||
10282 | Applied Materials AMAT | P544 | AMAT HEAT EXCHANGER AMAT 0 ENDURA SYSTEM P544 | ||
10283 | Applied Materials AMAT | P773 | AMAT P773 Precision Locking Nut | ||
10284 | Applied Materials AMAT | PGS1DVF3000E | Data Instruments PGS1DVF3000E | ||
10285 | Applied Materials AMAT | PVD | AMAT PVD Chamber Lift Assembly, Endura Sputter Chamber, SMC NCDQ2WB63-01-0193US | ||
10286 | Applied Materials AMAT | Quartz | AMAT Quartz Dome, Preclean Chamber, Endura, | ||
10287 | Applied Materials AMAT | Quartz | AMAT 5″ Quartz Esc Cover | ||
10288 | Applied Materials AMAT | RF Match | AMAT ENI RF Match Filter Box | ||
10289 | Applied Materials AMAT | RF Match | AMAT RF Match DPS | ||
10290 | Applied Materials AMAT | RF Match | AMAT High Efficiency RF Match, MXP, MXP+, Etch Super E. | ||
10291 | Applied Materials AMAT | Robot | 2 AMAT, Robot Arm, Endura, Centura. | ||
10292 | Applied Materials AMAT | Robot | AMAT Robot Alignment Tool Fixture, Deg Leg | ||
10293 | Applied Materials AMAT | Robot | AMAT SMIF Pedestal Assembly, Applied Materials | ||
10294 | Applied Materials AMAT | Robot | Blade, 300mm Robot End Effector, Producer, AMAT | ||
10295 | Applied Materials AMAT | S12263-15668-01 | AMAT S12263-15668-01 Vacuum Pump Valve, 1539-S-N, S12263-15259-01 | ||
10296 | Applied Materials AMAT | SEC-4600MC-SUC | STEC SEC-4600MC-SUC Mass Flow Controller, MFC, N2, 100LM | ||
10297 | Applied Materials AMAT | SEC-4600MC-SUC | STEC SEC-4600MC-SUC Mass Flow Controller, MFC, N2, 100LM | ||
10298 | Applied Materials AMAT | SEC-4600MC-SUC | STEC SEC-4600MC-SUC Mass Flow Controller, MFC, N2, 100LM | ||
10299 | Applied Materials AMAT | Sensor | AMAT Temperature Sensor, AMAT 8300 | ||
10300 | Applied Materials AMAT | SLFG025LS | Cap, Univ. Bot. 38mm STD. ALL TE SLFG025LS | ||
10301 | Applied Materials AMAT | TEM-8711-6 | AMAT In line Gas filter TEM-8711-6 | ||
10302 | Applied Materials AMAT | Throttle Valve | AMAT Etch Chamber Throttle Valve Housing, MXPT, Supere, MXP | ||
10303 | Applied Materials AMAT | Unknown | 3 used AMAT PVD Endura sputtering chamber clamp ring | ||
10304 | Applied Materials AMAT | Unknown | AMAT Module interface, plug outlet | ||
10305 | Applied Materials AMAT | Unknown | AMAT DXZ Chamber shower head with Chemraz oring seal | ||
10306 | Applied Materials AMAT | Unknown | 3 AMAT Gas Manifold Assembly with Pneumatic valve, VCR fitting | ||
10307 | Applied Materials AMAT | Unknown | AMAT Dual Ribbon cable w/ mesh and shield. – 37 pin 14 ft. | ||
10308 | Applied Materials AMAT | Unknown | AMAT New Parts for TXZ Chamber Lid | ||
10309 | Applied Materials AMAT | Vacuum | AMAT , Vacuum Manifold, Chamber Copper Flange. | ||
10310 | Applied Materials AMAT | Vacuum | MDC Bellows Assembly | ||
10311 | Applied Materials AMAT | VME | AMAT VME Rack Slot Cart w/ 6 or 7 Slot, 2 DI/O 0100-76124 PCB, Ebrain 008131 | ||
10312 | Applied Materials AMAT | Wafer | AMAT Lift Hoop, | ||
10313 | Applied Materials AMAT | WV-CL110 | CCD | AMAT 0140-12729 HARNESS PNEUMATIC, 200MM DESICA, DRYER LOW | |
10314 | Applied Materials AMAT | SMC | NCDQ2B63-45D-XB 13 | AMAT Lift Assy, SMC NCDQ2B63-45D-XB 13 Cylinder, Bellows | |
10315 | Applied Materials AMAT | SMC | NCDQ2B63-45D-XB 13 | AMAT Lift Assy, SMC NCDQ2B63-45D-XB 13 Cylinder, Bellows | |
10316 | Applied Materials AMAT | SMC | NCDQ2B63-45D-XB 13 | AMAT Lift Assy, SMC NCDQ2B63-45D-XB 13 Cylinder, Bellows | |
10317 | Applied Materials AMAT | AMAT Cover, Insulator, Source | |||
10318 | Applied Materials AMAT | Shadow Ring, 200mm ESC Chuck Quartz, AMAT Etch Chamber | |||
10319 | Applied Materials AMAT | Sputter Chamber 12″ Target, PVD, Wafer Processing, 943-2, 302401, Backing Plate | |||
10320 | Applied Materials AMAT | Sputter Chamber 12″ Target, PVD, Wafer Processing, 943-2, 302401, Backing Plate | |||
10321 | Applied Materials AMAT | AMAT Dual Spring Throttle Valve Assy, P5000, Isolation, Hinge, Universal Chamber | |||
10322 | Applied Materials AMAT | AMAT Dual Spring Throttle Valve Assy, P5000, Isolation, Hinge, Universal Chamber | |||
10323 | Applied Materials AMAT | AMAT Dual Spring Throttle Valve Assy, P5000, Isolation, Hinge, Universal Chamber | |||
10324 | Applied Materials AMAT | AMAT Dual Spring Throttle Valve Assy, P5000, Isolation, Hinge, Universal Chamber | |||
10325 | Applied Materials AMAT | AMAT Dual Spring Throttle Valve Assy, P5000, Isolation, Hinge, Universal Chamber | |||
10326 | Applied Materials AMAT | AMAT Wafer Notch Finder, RDT-A-1494 | |||
10327 | Applied Materials AMAT | AMAT Wafer Notch Finder, RDT-A-3391 | |||
10328 | Applied Materials AMAT | AMAT Standard Body Pillow Block | |||
10329 | Applied Materials AMAT | AMAT 0910-01115 Fuse Fast Act 300V 15A CT=1 F | |||
10330 | Applied Materials AMAT | AMAT 3300-02121 FTG TBG CAP 1/2T SST 1/2VCR | |||
10331 | Applied Materials AMAT | AMAT Cryo/Gate Valve Process Chamber Interface Stud, Centura Endura PVD | |||
10332 | Applied Materials AMAT | AMAT Chamber Interface | |||
10333 | Applied Materials AMAT | AMAT Endura 3000mm PVD Chamber Assembly, Chamber 4 | |||
10334 | Applied Materials AMAT | AMAT In-Situ Particle Monitor | |||
10335 | Applied Materials AMAT | AMAT In-Situ Particle Monitor | |||
10336 | Applied Materials AMAT | T-32194-01-3375 | AMAT | 0010-70403 | Endura Assy, G-12 AFS Lid, T-32194-01-3375, AMAT 0010-70403 |
10337 | Applied Materials AMAT | 3870-05323 | AMAT 3870-05323, Fujikin FP-ND-71-6.35-2-316LP-ALC Diaphragm Valve | ||
10338 | Applied Materials AMAT | 0200-09428 | AMAT Susceptor Assy, 0200-09428, Ceramic | ||
10339 | Applied Materials AMAT | 0200-35065 | AMAT 0200-35065 Chamber Liner | ||
10340 | Applied Materials AMAT | 3870-00898 | 3 AMAT 3870-00898 Pneumatic N.C. Diaphragm Valve, SUS316L | ||
10341 | Applied Materials AMAT | 0051-07887 | Nupro | 6LV-DLBW4 | AMAT 0051-07087 Gasline Assy w/ 2 Nupro 6LV-DLBW4 Valves, Isolation |
10342 | Applied Materials AMAT | 0051-01713 | Fujikin | 2 AMAT 0051-01713 Gas Manifold Assy w/ Fujikin and Tescom Valves | |
10343 | Applied Materials AMAT | 0041-25973 | Fujikin | ALAMX000 | AMAT 0041-25973 Gas Manifold Assy w/ 5 Fujikin ALAMX000 Diaphragm Valves |
10344 | Applied Materials AMAT | 0050-52717 | Parker Veriflo | SM930LP1NCS102 | AMAT 6 Valve Gas Manifold Assy Block, Parker Veriflo, Setra, Mykrolis |
10345 | Applied Materials AMAT | 1400-00127 | Entegris | AMAT 1400-00127 Sensor, Level, Daytank, Cable, Entegris | |
10346 | Applied Materials AMAT | 3310-01261 | McDaniel Controls Inc. | 2 AMAT 3310-01261 Gauge Press1.5″ DIA 0-15 PSI 1/8NPT CTR | |
10347 | Applied Materials AMAT | 3310-01261 | McDaniel Controls Inc. | 5 AMAT 3310-01261 Gauge Press1.5″ DIA 0-15 PSI 1/8NPT CTR | |
10348 | Applied Materials AMAT | 3700-01578 | 4 AMAT 3700-01578 O-Ring, .484 ID x .139 CSD, Kalrez5 Duro | ||
10349 | Applied Materials AMAT | 0021-09780 | AMAT 0021-09780 Foil, Thermal, Base-To-Pedestal, Poly | ||
10350 | Applied Materials AMAT | 1150-01043 | AMAT 1150-01043 Probe Temp RTD 1/8DIA 90Deg Bend 3Wire T, 110114 | ||
10351 | Applied Materials AMAT | 3700-90065 | AMAT 3700-90065 BS383 Oring, Viton, 5,33D, 110115 | ||
10352 | Applied Materials AMAT | 0140-01474 | AMAT 0140-01474 Harness Assembly, ESC, HV MOD EMAX 300mm, 110116 | ||
10353 | Applied Materials AMAT | 0090-36216 | AMAT 0090-36216 Elect Assy, RF Calib Intlk, DPS Chamber, 110117 | ||
10354 | Applied Materials AMAT | 1270-01290 | AMAT 1270-01290 Mushroom Head Guard/B 800T Serie, 110118 | ||
10355 | Applied Materials AMAT | 0150-10396 | AMAT 0150-10396 Cable Assy PWR 24VDC H2O Flow SW PCB, 110119 | ||
10356 | Applied Materials AMAT | 0140-02512 | AMAT 0140-02512 Harness Assy, Anafaze RS-232 Control, TE | ||
10357 | Applied Materials AMAT | 0020-18382 | AMAT 0020-18382 Bracket Two Final Valves Gas Panel Low K HD | ||
10358 | Applied Materials AMAT | 0680-01108 | AMAT 0680-01108 CB MAG SER 1P 75A SLOWINRT WH | ||
10359 | Applied Materials AMAT | 0620-02756 | AMAT 0620-02756 Harness Assy, Cable, Connector | ||
10360 | Applied Materials AMAT | 3300-08977 | AMAT 3300-08977 FTG TBG UNION RDCR BULKHD 1/2T X 1/4T | ||
10361 | Applied Materials AMAT | 26-82039-00 | AMAT 26-82039-00 Housing Depressor | ||
10362 | Applied Materials AMAT | 0020-21901 | 2 AMAT 0020-21901 Bracket Shield | ||
10363 | Applied Materials AMAT | 3800-00147 | SMC | IR1010-N01 | AMAT 3800-00147, RGLTR PRESS 7-125PSI 1/4NPT W/BRKT |
10364 | Applied Materials AMAT | 3800-01159 | AMAT 3800-01159 Regulator Gas Press 0-30 PSI 2 Port 1/4 VCR M/M (RTP) | ||
10365 | Applied Materials AMAT | 3700-01712 | 2 AMAT 3700-01712 Oring, ID: .101 CSD: .070 CZ SC513 80 Duro White | ||
10366 | Applied Materials AMAT | 0190-35975 | CB663B | AMAT 0190-35975 Endpoint Fiber Light, Pipe, Cable, Etch, Chamber, CB663B | |
10367 | Applied Materials AMAT | 0620-01194 | AMAT 0620-01194 Cable Assy SNSR .062D-Bundle 24″L Interlk-Flex-SS | ||
10368 | Applied Materials AMAT | 0190-09134 | AMAT 0190-09134 Cable Assy Fiber Optic, Etch | ||
10369 | Applied Materials AMAT | 0620-01194 | 0010-39324 | AMAT 0620-01194 Cable Assy SNSR .062D-Bundle 24″L Interlk-Flex-SS | |
10370 | Applied Materials AMAT | 4060-01088 | BES 6098-01 | AMAT1088tion Pneumatic Manifold, BES 6098-01, HA010E1 | |
10371 | Applied Materials AMAT | 0050-34811 | AMAT 0050-34811 VCR Gas Line Tee Connector | ||
10372 | Applied Materials AMAT | 0050-34811 | 2 AMAT 0050-34811 VCR Gas Line Tee Connector | ||
10373 | Applied Materials AMAT | 1120-01080 | AMAT 1120-01080 Cable, Fiber Optic | ||
10374 | Applied Materials AMAT | 1120-01080 | AMAT 1120-01080 Cable, Fiber Optic | ||
10375 | Applied Materials AMAT | 1120-01117 | AMAT 1120-01117 Cable Fiberoptic 600UM 4 Meter, SMA-Conn | ||
10376 | Applied Materials AMAT | 0270-20053 | 2 AMAT 0270-20053 T-Handles (Adapter – Target) | ||
10377 | Applied Materials AMAT | 0270-09559 | AMAT 0270-09559 Assembly, 0022-2737401 | ||
10378 | Applied Materials AMAT | 0021-22731 | AMAT 0021-22731 Clamp, Home Flag Dispense Arm, Wet Clean | ||
10379 | Applied Materials AMAT | 0020-09972 | 2 AMAT 0020-09972 Bracket Connector Gas Panel | ||
10380 | Applied Materials AMAT | 0010-14127 | AMAT 0010-14127 Door Spring with Bearings | ||
10381 | Applied Materials AMAT | 0040-09575 | AMAT 0040-09575 Hoop, Basket Wafer Support, ASP, Lift, P5000 | ||
10382 | Applied Materials AMAT | 0040-09575 | Omega | AMAT 0040-09575 Hoop w/ Omega Thermocouple , Basket Wafer Support, ASP | |
10383 | Applied Materials AMAT | 0242-87930 | AMAT 0242-87930 5 PH To 5PH Plus Retrofit Kit WXZ, 0010-38899, 0100-09380 | ||
10384 | Applied Materials AMAT | 3700-01709 | AMAT 3700-01709 Oring, ID .351 CSD .072, Chemraz, SC513, 80, Duro White | ||
10385 | Applied Materials AMAT | 0230-36478 | AMAT 0230-36478 System Manual, Centura, MW Clean, Cleanroom | ||
10386 | Applied Materials AMAT | 3870-01424 | Swagelok | SS-BN3847-C | AMAT 3870-01424 Valve Nupro BN Bellows 3 Port, Swagelok SS-BN3847-C |
10387 | Applied Materials AMAT | 492-17304-00 | LAM | AMAT 492-17304-00 Manifold, Solenoid, M6, 793713-ECOSYS, SMC, LAM | |
10388 | Applied Materials AMAT | 0040-90186 | AMAT 0040-90186 Adapt Mass Spectrometer, Flange | ||
10389 | Applied Materials AMAT | 0020-04351 | 3 AMAT 0020-04351 Clamp, Coupling, Shuttle Position | ||
10390 | Applied Materials AMAT | 3700-01288 | 2 AMAT 3700-01288 GSKT VCR 1/4 NI Contoured RTNR | ||
10391 | Applied Materials AMAT | 0040-40810 | AMAT 0040-40810 Liner, Lid, 300MM IBC, IECP | ||
10392 | Applied Materials AMAT | 3300-02937 | Parker | 4-6 V1H-Z-SSV | 2 AMAT 3300-02937 FTG TBG CONN 3.8T OD SST VCR 9500 PSIG |
10393 | Applied Materials AMAT | NA | Applied Materials AMAT Slit Valv Door, Vacuum | ||
10394 | Applied Materials AMAT | 0020-20511 | AMAT 0020-20511 HVB HTR Insulator, 0020-20511-D-LEO | ||
10395 | Applied Materials AMAT | 0140-09076 | 3 AMAT 0140-09076 Harness Assy, Heating Element | ||
10396 | Applied Materials AMAT | 0190-09134 | AMAT 0190-09134 Cable Assy Fiber Optic, Etch | ||
10397 | Applied Materials AMAT | 0190-35511 | AMAT 0190-35511 Assy, Thermocouple, TC, TXZ, MCVD, Type K | ||
10398 | Applied Materials AMAT | 0190-35511 | AMAT 0190-35511 Assy, Thermocouple, TC, TXZ, MCVD, Type K | ||
10399 | Applied Materials AMAT | 0190-35511 | AMAT 0190-35511 Assy, Thermocouple, TC, TXZ, MCVD, Type K | ||
10400 | Applied Materials AMAT | 0190-35511 | AMAT 0190-35511 Assy, Thermocouple, TC, TXZ, MCVD, Type K | ||
10401 | Applied Materials AMAT | 0150-66322 | AMAT 0150-66322 Thermocouple Cable Assy, Type K | ||
10402 | Applied Materials AMAT | 0150-66323 | AMAT 0150-66323 Thermocouple Cable Assy, Type K | ||
10403 | Applied Materials AMAT | 0150-66324 | AMAT 0150-66324 Thermocouple Cable Assy, Type K | ||
10404 | Applied Materials AMAT | 0150-66325 | AMAT 0150-66325 Thermocouple Cable Assy, Type K | ||
10405 | Applied Materials AMAT | 0720-90270 | AMAT 0720-90270 Conn Plug 9 Way Ribbon | ||
10406 | Applied Materials AMAT | 0020-71314 | AMAT 0020-71314 Gear Clamp Ring | ||
10407 | Applied Materials AMAT | 3920-01079 | AMAT1079 Tool Hook Spanner DIAHK 3.5MM LE | ||
10408 | Applied Materials AMAT | 0150-75013 | AMAT 0150-75013 Cable Assy, PROC Interface Pump,Precision 5000 | ||
10409 | Applied Materials AMAT | 0150-75013 | AMAT 0150-75013 Cable Assy, PROC Interface Pump,Precision 5000 | ||
10410 | Applied Materials AMAT | 0150-75013 | AMAT 0150-75013 Cable Assy, PROC Interface Pump,Precision 5000 | ||
10411 | Applied Materials AMAT | 0150-75013 | AMAT 0150-75013 Cable Assy, PROC Interface Pump,Precision 5000 | ||
10412 | Applied Materials AMAT | 0150-76166 | AMAT 0150-76166 EMC Comp., Assy Cable Remote Analog, 50 FT | ||
10413 | Applied Materials AMAT | 0150-76167 | AMAT 0150-76167 EMC Comp., Assy Cable Remote Digital, 50 FT | ||
10414 | Applied Materials AMAT | 0150-75012 | AMAT 0150-75012 EMC Comp, Cable LLC Interface, Pump Intergrat, 50FT | ||
10415 | Applied Materials AMAT | 0150-09708 | AMAT 0150-09708 Cable Assy Remote Video,CRT, Precision 5000 | ||
10416 | Applied Materials AMAT | 0150-76169 | AMAT 0150-76169 Cable Assy, Final Valve INTLK,Precision 5000 | ||
10417 | Applied Materials AMAT | 0150-76168 | AMAT 0150-76168 Harness Assembly DC Power,PrecisionP5000 | ||
10418 | Applied Materials AMAT | 0010-32854 | Galaxy Data Storage Inc. GDSI | G144SFW35E | AMAT 0010-32854 Assy 3.5 Microfloppy 1.4MB, SCSI Floppy Disk Drive |
10419 | Applied Materials AMAT | 0010-32854 | Galaxy Data Storage Inc. GDSI | G144SFW35E | AMAT 0010-32854 Assy 3.5 Microfloppy 1.4MB, SCSI Floppy Disk Drive |
10420 | Applied Materials AMAT | 0010-32854 | Galaxy Data Storage Inc. GDSI | G144SFW35E | AMAT 0010-32854 Assy 3.5 Microfloppy 1.4MB, SCSI Floppy Disk Drive |
10421 | Applied Materials AMAT | 0140-70173 | AMAT 0140-70173 Harness Assy, WB Chamber Interconnect | ||
10422 | Applied Materials AMAT | 0140-70173 | AMAT 0140-70173 Harness Assy, WB Chamber Interconnect | ||
10423 | Applied Materials AMAT | NA | AMAT Lift Hoop, Ring, Wafer | ||
10424 | Applied Materials AMAT | 0140-09076 | AMAT 0140-09076 Harness Assy, Heating Element | ||
10425 | Applied Materials AMAT | 0040-40810 | AMAT 0040-40810 Liner, Lid, 300MM IBC, IECP | ||
10426 | Applied Materials AMAT | 0040-40810 | AMAT 0040-40810 Liner, Lid, 300MM IBC, IECP | ||
10427 | Applied Materials AMAT | 0140-09076 | AMAT 0140-09076 Harness Assy, Heating Element | ||
10428 | Applied Materials AMAT | 0150-76192 | AMAT 0150-76192 EMC Comp., Assy Cable Remote, Precision 5000 | ||
10429 | Applied Materials AMAT | 0150-16169 | AMAT 0150-16169 Cable Assy, EMC Comp., Heat Exchanger Interface, 50FT | ||
10430 | Applied Materials AMAT | 0020-13873 | AMAT 0020-13873 Ball Stud LWR Gas Spring | ||
10431 | Applied Materials AMAT | 0140-00576 | AMAT 0140-00576 Harness Assy, Interconnect PVD, LTESC | ||
10432 | Applied Materials AMAT | 0240-05308 | AMAT 0240-05308 Kit, DC Bias, w/ Modified Flex Conductor | ||
10433 | Applied Materials AMAT | 3080-01178 | AMAT 3080-01178, Belt, 5MM Pitch, 9MM Width, 900GROOV | ||
10434 | Applied Materials AMAT | 0020-06085 | AMAT 0020-06085 Bracket, Crt Bezel Sys Elect | ||
10435 | Applied Materials AMAT | 0020-06085 | AMAT 0020-06085 Bracket, Crt Bezel Sys Elect | ||
10436 | Applied Materials AMAT | 0021-24593 | AMAT 0021-24593, Gas Block, Face Seal, 300MM IA | ||
10437 | Applied Materials AMAT | 0720-91655 | AMAT 0720-91655 20KV Plug, Panel Mount | ||
10438 | Applied Materials AMAT | 0020-32182 | AMAT 0020-32182 Pedestal AL, Flat, Finger | ||
10439 | Applied Materials AMAT | 0050-60884 | Precision Sensors Inc. | E36W-H16 | AMAT 0050-60884 Valve Assy w/ E36W-H16 Absolute Pressure Switch, 640 Torr |
10440 | Applied Materials AMAT | 0010-13150 | AMAT 0010-13150 Gasline Assy, MKS 1159B-13197—S, 122A-11063 | ||
10441 | Applied Materials AMAT | 0226-97904 | AMAT 0226-97904 Throttle Valve Kit, Complete, | ||
10442 | Applied Materials AMAT | 0226-97904 | AMAT 0226-97904 Throttle Valve Kit, Incomplete, | ||
10443 | Applied Materials AMAT | 0226-97904 | AMAT 0226-97904 Throttle Valve Kit, Complete, | ||
10444 | Applied Materials AMAT | 0226-97904 | AMAT 0226-97904 Throttle Valve Kit, Complete, | ||
10445 | Applied Materials AMAT | 0226-97904 | AMAT 0226-97904 Throttle Valve Kit, Incomplete, | ||
10446 | Applied Materials AMAT | 0226-97904 | AMAT 0226-97904 Throttle Valve Kit, Complete, | ||
10447 | Applied Materials AMAT | 0226-97904 | AMAT 0226-97904 Throttle Valve Kit, Complete, | ||
10448 | Applied Materials AMAT | 0226-97904 | AMAT 0226-97904 Throttle Valve Kit, Incomplete, | ||
10449 | Applied Materials AMAT | 0226-97904 | AMAT 0226-97904 Throttle Valve Kit, Incomplete, | ||
10450 | Applied Materials AMAT | 0226-97904 | AMAT 0226-97904 Throttle Valve Kit, Complete, | ||
10451 | Applied Materials AMAT | 0226-97904 | AMAT 0226-97904 Throttle Valve, 0015-09077, | ||
10452 | Applied Materials AMAT | 0020-23157 | AMAT 0020-23157 Plate, Mounting, Laser | ||
10453 | Applied Materials AMAT | 0020-08055 | AMAT Bracket, Door Interlock Switch | ||
10454 | Applied Materials AMAT | 0020-01046 | AMAT 0020-01046 Shim Gear Box Long | ||
10455 | Applied Materials AMAT | 0040-75862 | SMC | NCDQ2B125-UIA970691 | AMAT 0040-75862 Pneumatic Cylinder Assy, SMC NCDQ2B125-UIA970691 |
10456 | Applied Materials AMAT | 0040-75862 | SMC | NCDQ2B125-UIA970691 | AMAT 0040-75862 Pneumatic Cylinder Assy, SMC NCDQ2B125-UIA970691 |
10457 | Applied Materials AMAT | 0040-75862 | SMC | NCDQ2B125-UIA970691 | AMAT 0040-75862 Pneumatic Cylinder Assy, SMC NCDQ2B125-UIA970691 |
10458 | Applied Materials AMAT | 3300-03264 | 23 AMAT 3300-03264 FTG TBG RDCR PLUG-IN 1/2T X 3/8T ONE | ||
10459 | Applied Materials AMAT | 0140-09015 | AMAT 0140-09015 Harness Assy Remote DC Power Supply | ||
10460 | Applied Materials AMAT | 0020-23064 | AMAT 0020-23064 Reflectot, Sensor, Flag | ||
10461 | Applied Materials AMAT | 0020-23064 | AMAT 0020-23064 Reflectot, Sensor, Flag | ||
10462 | Applied Materials AMAT | 0150-20061 | AMAT 0150-20061 Cable Assy Supply Control | ||
10463 | Applied Materials AMAT | 0200-09338 | AMAT 0200-09338 Cap, Top, Gas Dist, 200MM, PRSP | ||
10464 | Applied Materials AMAT | 0140-01218 | 4 AMAT 0140-01218 Harness Assy RS232 Link Ower Wafer Loader | ||
10465 | Applied Materials AMAT | 0140-01218 | AMAT 0140-01218 Harness Assy RS232 Link Ower Wafer Loader | ||
10466 | Applied Materials AMAT | 0720-91264 | 3 AMAT 0720-91264 Conn HSG Molex 6 Way 0.156″ | ||
10467 | Applied Materials AMAT | 0020-10459 | AMAT 0020-10459 Rib Enclosure | ||
10468 | Applied Materials AMAT | 0020-05781 | AMAT 0020-05781 Lock Rod, Lower, Pump Door | ||
10469 | Applied Materials AMAT | 0840-01165 | 1 Lot of 225 AMAT 0840-01165 Diode Zener 12V 5% DO-35 P K G | ||
10470 | Applied Materials AMAT | 0010-21751 | AMAT 0010-21751 Resonator, 0040-23784 RF Capacitor Endura Preclean 300mm | ||
10471 | Applied Materials AMAT | 0010-21751 | AMAT 0010-21751 Resonator, 0040-23784 RF Capacitor Endura Preclean 300mm | ||
10472 | Applied Materials AMAT | 0010-21751 | AMAT 0010-21751 Resonator, 0040-23784 RF Capacitor Endura Preclean 300mm | ||
10473 | Applied Materials AMAT | 0190-21051 | AMAT 0190-21051 RF Resonator Assy PC II 98-008 R-1359-B Preclean 200mm | ||
10474 | Applied Materials AMAT | 0040-81156 | 0021-18830 | AMAT 0040-81156 Liner Upper, Chamber, DPS, 0021-18830, Plate, Blankoff, Tetra | |
10475 | Applied Materials AMAT | 0200-09716 | 4 AMAT 0200-09716 Left Pin, Heater | ||
10476 | Applied Materials AMAT | N/A | AMAT Etch Chamber Magnet Cover, MXP, MXP+ | ||
10477 | Applied Materials AMAT | N/A | AMAT Etch Chamber Magnet Cover, MXP, MXP+ | ||
10478 | Applied Materials AMAT | N/A | AMAT Etch Chamber Magnet Cover, MXP, MXP+ | ||
10479 | Applied Materials AMAT | N/A | AMAT Etch Chamber Magnet Cover, MXP, MXP+ | ||
10480 | Applied Materials AMAT | N/A | AMAT Etch Chamber Magnet Cover, MXP, MXP+ | ||
10481 | Applied Materials AMAT | N/A | AMAT Etch Chamber Magnet Cover, MXP, MXP+ | ||
10482 | Applied Materials AMAT | N/A | AMAT Etch Chamber Magnet Cover, MXP, MXP+ | ||
10483 | Applied Materials AMAT | N/A | AMAT Etch Chamber Magnet Cover, MXP, MXP+ | ||
10484 | Applied Materials AMAT | N/A | AMAT Etch Chamber Magnet Cover, MXP, MXP+ | ||
10485 | Applied Materials AMAT | N/A | AMAT Etch Chamber Magnet Cover, MXP, MXP+ | ||
10486 | Applied Materials AMAT | N/A | AMAT Etch Chamber Magnet Cover, MXP, MXP+ | ||
10487 | Applied Materials AMAT | 3700-01709 | AMAT 3700-01709 Oring, ID .351 CSD .072, Chemraz, SC513, 80, Duro White | ||
10488 | Applied Materials AMAT | 3700-01653 | 20 AMAT 3700-01653 Oring ID 1.10 CSD .210 Silicone 70 Duro | ||
10489 | Applied Materials AMAT | 3700-01370 | 10 AMAT 3700-01370 Oring, 5.359 X 0.139W | ||
10490 | Applied Materials AMAT | 3700-01556 | 5 AMAT 3700-01556 Oring ID 6.626 CSD .137 Duro | ||
10491 | Applied Materials AMAT | 3700-01370 | 10 AMAT 3700-01370 Oring, 5.359 X .139W | ||
10492 | Applied Materials AMAT | 0050-82264 | AMAT 0050-82264 Weldment THD Flange 1/4F X 1.56D K1S | ||
10493 | Applied Materials AMAT | 0100-20263 | AMAT 0100-20263 PCB, MCA + RF AC Box Interlock | ||
10494 | Applied Materials AMAT | 0020-12292 | 5 AMAT 0020-12292 STUD, Flux Transmission | ||
10495 | Applied Materials AMAT | 0020-01164 | AMAT 0020-01164 Inlet, Gas Supply | ||
10496 | Applied Materials AMAT | 0022-77014 | AMAT 0022-77014 Cover, Clear, Lower CU | ||
10497 | Applied Materials AMAT | NA | AMAT Endura Insulator Ring | ||
10498 | Applied Materials AMAT | NA | AMAT Endura Insulator Ring | ||
10499 | Applied Materials AMAT | 3190-90004 | AMAT 3190-90004 | ||
10500 | Applied Materials AMAT | 0020-02313 | AMAT 0020-02313 Bracket 1, ESC N2 Purge , 0.25″ Gasline | ||
10501 | Applied Materials AMAT | 0020-03538 | AMAT 0020-03538 Plate MNTG Gripper 100MM | ||
10502 | Applied Materials AMAT | 0150-20720 | AMAT 0150-20720 Cable Assy CH C/D Pneumatic Interconnect | ||
10503 | Applied Materials AMAT | 0140-78195 | AMAT 0140-78195 C/A, Bypass, Light Curtain, REV P1 | ||
10504 | Applied Materials AMAT | 0020-01469 | AMAT 0020-01469 Orifice, Astron, 300MM Ultima | ||
10505 | Applied Materials AMAT | 0020-09167 | AMAT 0020-09167 Cap | ||
10506 | Applied Materials AMAT | 0020-06713 | AMAT 0020-06713 Suspension Lower | ||
10507 | Applied Materials AMAT | 3550-00086 | 2 AMAT0086 Pin Ball Lock Ring – Grip QK – REL 3/8 DIA X | ||
10508 | Applied Materials AMAT | 0140-75148 | AMAT 0140-75148 Harness, Cable, Smif PLC Interconnect | ||
10509 | Applied Materials AMAT | 0040-61106 | AMAT 0040-61106 Bracket Holder | ||
10510 | Applied Materials AMAT | 3700-90015 | 4 AMAT 3700-90015 O Ring Viton #346 | ||
10511 | Applied Materials AMAT | 3300-08091 | AMAT 3300-08091 Connector, Male, Hex Soket, 8T | ||
10512 | Applied Materials AMAT | 3550-00028 | Jergens | 135-801086 | 2 AMAT0028 Pin, T-Handle, 1/2DIA 2.CLG, Jergens 135-801086 |
10513 | Applied Materials AMAT | 0720-91181 | 2 AMAT 0720-91181 Conn SKT Way | ||
10514 | Applied Materials AMAT | 0140-78069 | AMAT 0140-78069 Cable REV P5 DCA 0322 | ||
10515 | Applied Materials AMAT | 0910-00270 | AMAT 0910-00270 Fuselink Mounting Base, Terminal, Bussmann 170H1013 | ||
10516 | Applied Materials AMAT | 0035-00016 | AMAT 0035-00016 Support-Stand Tubing | ||
10517 | Applied Materials AMAT | 0020-02604 | 2 AMAT 0020-02604 Space Collar.Positionable Elbow Fitting | ||
10518 | Applied Materials AMAT | 3790-01291 | 1 Lot of 200 AMAT 3790-01291 Standoffs Male/Female 1/4 Hex X 3/8L 6-3 | ||
10519 | Applied Materials AMAT | 26-81656-00 | AMAT 26-81656-00 Sensor Mount | ||
10520 | Applied Materials AMAT | 9150-03926 | AMAT 9150-03926 Focus Feedback Cable | ||
10521 | Applied Materials AMAT | 26-82039-00 | 2 AMAT 26-82039-00 Housing, Depressor | ||
10522 | Applied Materials AMAT | 0720-01214 | 1 Lot of 92 AMAT 0720-01214 Contskt 20-14AWG | ||
10523 | Applied Materials AMAT | 3300-05763 | 2 AMAT 3300-05763 FTG TBG Male Conn 1/2T X 1/2NPT | ||
10524 | Applied Materials AMAT | 1290-01242 | AMAT 1290-01242 Term Strip 4Term 16AWG 15AMP | ||
10525 | Applied Materials AMAT | 0020-14030 | 4 AMAT 0020-14030 Coupling End Effector | ||
10526 | Applied Materials AMAT | 0150-08726 | AMAT 0150-08726 Cable Assy, PWR Supply Sense, LDM Interf, REV 001 | ||
10527 | Applied Materials AMAT | 1370-01063 | 3 AMAT 1370-01063 XSTR PN3643 AMPL & SW NPN To-92 Case | ||
10528 | Applied Materials AMAT | 0020-02037 | 2 AMAT 0020-02037 Bracket Spring | ||
10529 | Applied Materials AMAT | 3200-01182 | 4 AMAT1182 Cover, Map Dev. Front | ||
10530 | Applied Materials AMAT | 3080-51000 | AMAT 3080-51000 Belt | ||
10531 | Applied Materials AMAT | 3060-00119 | AMAT 3060-00119 Bearing 8x22x7 Deep Groove | ||
10532 | Applied Materials AMAT | 0010-00651 | 2 AMAT 0010-00651 Assy, Mount, Fiber Optics, REV D | ||
10533 | Applied Materials AMAT | 1290-01232 | 3 AMAT 1290-01232 Term Lug CPRSN 5/16Bolt 1/0AWG Pink 600 | ||
10534 | Applied Materials AMAT | 0010-00651 | AMAT 0010-00651 Assy, Mount, Fiber Optics | ||
10535 | Applied Materials AMAT | NA | AMAT Endura Insulator Ring | ||
10536 | Applied Materials AMAT | NA | AMAT Endura Insulator Ring | ||
10537 | Applied Materials AMAT | 1270-00886 | 3 AMAT 1270-00886 SW Reed 24 VDC, 3M Lead Wire, W/Out Indic | ||
10538 | Applied Materials AMAT | 0720-00703 | AMAT 0720-00703 Conn Plug Ca-End Circ 4Pin Crimp Weather | ||
10539 | Applied Materials AMAT | 1270-00301 | 3 AMAT 1270-00301 SW Press SPST .1-.5″ H20 Teflon Diaph | ||
10540 | Applied Materials AMAT | 0720-90222 | AMAT 0720-90222 Conn. C16 SKT Free 3+ | ||
10541 | Applied Materials AMAT | 0720-90172 | AMAT 0720-90172 Connector Socket 32 | ||
10542 | Applied Materials AMAT | 0020-15944 | AMAT 0020-15944 Roller BTM 300mm +/-15 | ||
10543 | Applied Materials AMAT | 3300-03515 | AMAT 3300-03515 FTG TBG Conn BLKHD 1/4T X 1/4MNPT | ||
10544 | Applied Materials AMAT | 0020-21035 | AMAT 0020-21035 Bracket Source Water Line Assy w/ Hose | ||
10545 | Applied Materials AMAT | NA | AMAT Chamber Heater Assy, Endura, 0020-20483, 0150-20250 | ||
10546 | Applied Materials AMAT | 0010-20155 | AMAT 0010-20155 w/ Assy 8″ Heater VCR, CH 1, 0010-20138, 0020-20914 | ||
10547 | Applied Materials AMAT | 0290-20007 | AMAT 0290-20007 Bracket, Pillow Block Source, Endura, CH 2, 0020-20156 | ||
10548 | Applied Materials AMAT | 0020-20156 | AMAT 0020-20156 Bracket, Pillow Block Source, Endura | ||
10549 | Applied Materials AMAT | 0015-20214 | 4 AMAT 0015-20214 Pully Motor VOR IMP | ||
10550 | Applied Materials AMAT | 0020-01610 | 3 AMAT 0020-01610 Block, Pivot, Spout Gate | ||
10551 | Applied Materials AMAT | 3500-01027 | 2 AMAT1027 NUT Keps 6-32 9/64THK STL CAD-PLT | ||
10552 | Applied Materials AMAT | 0020-06465 | 2 AMAT 0020-06465 Pad Springs | ||
10553 | Applied Materials AMAT | 0020-34290 | AMAT 0020-34290 Bracket, Switch, MXP, Chamber | ||
10554 | Applied Materials AMAT | 3790-01069 | 10 AMAT 3790-01069 Washer Throttle Valve Rebuild | ||
10555 | Applied Materials AMAT | 3700-01147 | 4 AMAT 3700-01147 O-Ring, DI Resistivity Sensor | ||
10556 | Applied Materials AMAT | 0620-01162 | 3 AMAT 0620-01162 Cable Assy, .094in Dianylonsst 6in LG 3/16i | ||
10557 | Applied Materials AMAT | 28-81556-00 | 2 AMAT 28-81556-00 Sensor Post | ||
10558 | Applied Materials AMAT | 53-14035-00 | AMAT 53-14035-00 Transistor-8189 Motorola | ||
10559 | Applied Materials AMAT | 26-81598-00 | 1 Lot of 15 26-81598-00 Rod Lateral Wafer Guide | ||
10560 | Applied Materials AMAT | 3790-01049 | 8 AMAT 3790-01049 Stand-Off 2″ Auto-loader | ||
10561 | Applied Materials AMAT | 3420-90045 | 1 Lot of 20 AMAT 3420-90045 Insulator BSHNG Type SF3 | ||
10562 | Applied Materials AMAT | 0020-21070 | AMAT 0020-21070 Slip-Hinge, Female, R, 2-10968000-440 | ||
10563 | Applied Materials AMAT | 0021-09922 | AMAT 0021-09922 Door, Slit Valve, Anodized, Centura, REV A | ||
10564 | Applied Materials AMAT | 3700-01709 | 2 AMAT 3700-01709 Oring, ID .351 CSD .072, Chemraz, SC513, 80, Duro White | ||
10565 | Applied Materials AMAT | 3700-01756 | Tokyo Electron TEL | SR00283 | AMAT 3700-01756 Oring ID .234 CSD .139 CZ SC513 80 Duro White, TEL SR00283 |
10566 | Applied Materials AMAT | 0680-90070 | AMAT 0680-90070 MTG Kit, CTT Breaker, 1 PO | ||
10567 | Applied Materials AMAT | 3060-01806 | AMAT 3060-01806 RLR, Pinch Drive Assymetric | ||
10568 | Applied Materials AMAT | 3060-01806 | AMAT 3060-01806 RLR, Pinch Drive Assymetric | ||
10569 | Applied Materials AMAT | 3060-01806 | 3 AMAT 3060-01806 RLR, Pinch Drive Assymetric | ||
10570 | Applied Materials AMAT | 3060-01806 | AMAT 3060-01806 RLR, Pinch Drive Assymetric | ||
10571 | Applied Materials AMAT | 0020-33012 | AMAT 0020-33012 Bearing Support REV A | ||
10572 | Applied Materials AMAT | 0020-04477 | 2 AMAT 0020 04477 Stop, Front Panel Upper Retainer PIK | ||
10573 | Applied Materials AMAT | 0020-23157 | AMAT 0020-23157 Plate Mounting, Large | ||
10574 | Applied Materials AMAT | 3300-05419 | 2 AMAT 3300-05419 FTG Union BLKHD 3/8″ | ||
10575 | Applied Materials AMAT | 0190-08858 | AMAT 0190-08858 Specification Assy, Cable, Adapter, PWR SPL | ||
10576 | Applied Materials AMAT | 0020-01568 | 4 AMAT 0020-01568 Block, Mag Stop | ||
10577 | Applied Materials AMAT | 0020-01568 | 36 AMAT 0020-01568 Block, Mag Stop | ||
10578 | Applied Materials AMAT | 1120-90032 | AMAT 1120-90032 Fiber Optic Sensor, FT-FM2, Optical | ||
10579 | Applied Materials AMAT | 0020-28789 | AMAT 0020-28789 Spacer Feedthru Seal 4.1 | ||
10580 | Applied Materials AMAT | 0021-07601 | AMAT 0021-07601 Holder, Frit Assembly | ||
10581 | Applied Materials AMAT | 0020-15684 | AMAT 0020-15684 Spanner Nut Vacuum LH | ||
10582 | Applied Materials AMAT | 0150-20536 | AMAT 0150-20536 Cable Assy I/F Board Edwards Dry Pump, Rev PC | ||
10583 | Applied Materials AMAT | 0020-91063 | AMAT 0020-91063, Bracket, MTG, LH. | ||
10584 | Applied Materials AMAT | 3690-02800 | AMAT 3690-02800 SCR Mach HD 6-32 X 1.25L Slotted N | ||
10585 | Applied Materials AMAT | 0060-09029 | AMAT 0060-09029 Label ADT Patent Rev A | ||
10586 | Applied Materials AMAT | 0015-09328 | 4 AMAT 0015-09328 Screw Mach BTN HD 4–40 X 1/2 HEX SKT SST NI Plated | ||
10587 | Applied Materials AMAT | 0010-70282 | AMAT 0010-70282 Blade Shipping Bracket Assy Rev A | ||
10588 | Applied Materials AMAT | 3700-01059 | AMAT 3700-01059 Oring ID 5.771 CSD .104 Viton 75 Duro BL | ||
10589 | Applied Materials AMAT | 0020-03543 | AMAT 0020-03543 Gripper Flag 8330 | ||
10590 | Applied Materials AMAT | 3790-01204 | AMAT 3790-01204 Spacer, Flat, .0238 | ||
10591 | Applied Materials AMAT | 0060-09090 | 10 AMAT 0060-09090 Tag Blank Red | ||
10592 | Applied Materials AMAT | 3080-01035 | 1 Lot of 17 AMAT 3080-01035 Belt, Timing, .082P, 1/8W, 90G, Alum | ||
10593 | Applied Materials AMAT | 3700-01120 | AMAT 3700-01120 O-Ring, Load Vent Feed | ||
10594 | Applied Materials AMAT | 0015-00052 | AMAT 0015-00052 Screw Shoulder, Cap Head | ||
10595 | Applied Materials AMAT | 0020-76242 | 2 AMAT 0020-76242 Rot. Stop LL Cover Lifter | ||
10596 | Applied Materials AMAT | 0690-01038 | AMAT 0690-01038 Clamp, NW16, AL, MKS HPS | ||
10597 | Applied Materials AMAT | 3300-01146 | 2 AMAT 3300-01146 FTG TBG 1/4T SST | ||
10598 | Applied Materials AMAT | 0021-04905 | AMAT 0021-04905 Shield Shaft ECP SRD | ||
10599 | Applied Materials AMAT | 0150-22372 | AMAT 0150-22372 Cable Adaptor, Interlock Status, 300MM | ||
10600 | Applied Materials AMAT | 0015-00426 | AMAT 0015-00426 Lock Pawl, Handle, Pump Door, Southco | ||
10601 | Applied Materials AMAT | 0020-24863 | AMAT 0020-24863 Bracket Mounting Water Manifold | ||
10602 | Applied Materials AMAT | 0100-00034 | AMAT 0100-00034 Power Supply Status PCB | ||
10603 | Applied Materials AMAT | 0100-00034 | AMAT 0100-00034 Power Supply Status PCB | ||
10604 | Applied Materials AMAT | 0100-20313 | 0130-20313 | AMAT 0100-20313 Chamber Interconnect PCB, 0130-20313, FAB 0110-20313 | |
10605 | Applied Materials AMAT | 0100-20313 | 0130-20313 | AMAT 0100-20313 Chamber Interconnect PCB, 0130-20313, FAB 0110-20313 | |
10606 | Applied Materials AMAT | 0010-21810 | 0040-22710 | AMAT 0010-21810 Magnet Assembly, G-12, Encapsulated, Durasource, PVD | |
10607 | Applied Materials AMAT | 0190-45322 | 30004800 | AMAT 0190-45322 Ceramic Heater, 300mm, 30004800, Dual Zone | |
10608 | Applied Materials AMAT | 0190-45322 | 30004800 | AMAT 0190-45322 Ceramic Heater, 300mm, 30004800, Dual Zone, PEALD | |
10609 | Applied Materials AMAT | 0050-09789 | Nupro | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Nupro 6LV-BNBW4 |
10610 | Applied Materials AMAT | 0050-09789 | Nupro | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Nupro 6LV-BNBW4 |
10611 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10612 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10613 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4- 102477 |
10614 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4- 102478 |
10615 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4- 102479 |
10616 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4- 102480 |
10617 | Applied Materials AMAT | 0050-09789 | Nupro | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Nupro 6LV-BNBW4 |
10618 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10619 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10620 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10621 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10622 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10623 | Applied Materials AMAT | 0050-09789 | Nupro | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Nupro 6LV-BNBW4 |
10624 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10625 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10626 | Applied Materials AMAT | 0050-09789 | Nupro | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Nupro 6LV-BNBW4 |
10627 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10628 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10629 | Applied Materials AMAT | 0050-09789 | Swagelok | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4 |
10630 | Applied Materials AMAT | 0050-09789 | Nupro | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Nupro 6LV-BNBW4 |
10631 | Applied Materials AMAT | 0050-09789 | Nupro | 6LV-BNBW4-C | AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Nupro 6LV-BNBW4 |
10632 | Applied Materials AMAT | 0020-32291 | 2 AMAT 0020-32291 Bellow-Seal, Sleeve, WSIX-DCS PEEK | ||
10633 | Applied Materials AMAT | 0020-31903 | AMAT 0020-31903 Plate RF Match | ||
10634 | Applied Materials AMAT | 0100-20313 | 0130-20313 | AMAT 0100-20313 Chamber Interconnect PCB, 0130-20313, FAB 0110-20313 | |
10635 | Applied Materials AMAT | 0020-33012 | AMAT 0020-33012 Bearing Support, Primary Link Coupler, P5000 | ||
10636 | Applied Materials AMAT | 3300-05418 | 2 AMAT 3300-05418 FTG Barb Tee Kynar | ||
10637 | Applied Materials AMAT | 3300-01401 | 1 Lot of 9 AMAT 3300-01401 FTGPIPEADAPT1/4T X 1/8PPOLYTITE BRS | ||
10638 | Applied Materials AMAT | 3300-05057 | 2 AMAT 3300-05057 FTG TBG Branch-Y 4MMT X 4 MMT X 4MMT | ||
10639 | Applied Materials AMAT | 1290-02133 | AMAT 1290-02133 Jumper J4 Assy | ||
10640 | Applied Materials AMAT | 0720-90377 | AMAT 0720-90377 Connector 24 & 7 Way Male | ||
10641 | Applied Materials AMAT | 3550-01077 | 7 AMAT1077 Pin Spiral 1/8DIA X 9/16 SST 302 | ||
10642 | Applied Materials AMAT | 3300-04288 | AMAT 3300-04288 Connector | ||
10643 | Applied Materials AMAT | 0720-90754 | AMAT 0720-90754 Conn Free Plug 11-8 Pin | ||
10644 | Applied Materials AMAT | 3360-01117 | 2 AMAT 3360-01117 GROM RND 1/2IDX3/4GRV-0DX3/32GRV-WDX9/3 | ||
10645 | Applied Materials AMAT | 3300-05099 | 1 Lot of 10 AMAT 3300-05099 FTG TBG EL 1/8T X 1/8MNPT NKL-PLT | ||
10646 | Applied Materials AMAT | 1000-00012 | 6 AMAT 1000-00012 Knob Plastic 1-1/20D For 5/16 Bolt | ||
10647 | Applied Materials AMAT | 0720-90274 | 2 AMAT 0720-90274 Conn Plug 25 Way Ribbon | ||
10648 | Applied Materials AMAT | 3690-90571 | 1 Lot of 14 AMAT 3690-90571 Screw Hex HD Brass M6 X 25MM LG | ||
10649 | Applied Materials, AMAT | 0040-20561 | AMAT 0040-20561 Belljar, Preclean II, Endura, Sputtering Plate | ||
10650 | Applied Materials, AMAT | 0040-20561 | AMAT 0040-20561 Belljar, Preclean II, Endura, Sputtering Plate | ||
10651 | Applied Materials, AMAT | 0040-20561 | AMAT 0040-20561 Belljar, Preclean II, Endura, Sputtering Plate | ||
10652 | Applied Materials, AMAT | 5000 | AMATtandard Interface, Block, Cover, Chamber | ||
10653 | Applied Materials, AMAT | 0010-09750 | 0020-09357 | AMAT 0010-09750 RF Match, CVD, 0020-09357 | |
10654 | Applied Materials, AMAT | 0020-44261 | AMAT 0020-44261 Clamp 2, Nova Tubing Bottom, Reflexion | ||
10655 | Applied Materials, AMAT | 0020-03511 | 3 AMAT 0020-03511 Robot Drive Post Pully | ||
10656 | Applied Materials, AMAT | 0020-03510 | AMAT 0020-03510 Shaft, Motor, Robot Drive | ||
10657 | Applied Materials, AMAT | 0020-23033 | AMAT 0020-23033 Safety Bar | ||
10658 | Applied Materials, AMAT | 3880-01006 | 20 AMAT 3880-01006 Washer Flat #6 0.375OD x 0.149ID x 0.31THK SST | ||
10659 | Applied Materials, AMAT | 0760-01004 | AMAT 0760-01004 Fitting, NIP QDISC, 1/2Body x 1/2-12FP, 1.971 | ||
10660 | Applied Materials, AMAT | 0020-34021 | AMAT 0020-34021 Bracket Temp Sensor | ||
10661 | Applied Materials, AMAT | 0020-03513 | AMAT 0020-03513 Clamp, Belt | ||
10662 | Applied Materials, AMAT | 3690-02383 | AMAT 3690-02383 Screw Mach SKT HD | ||
10663 | Applied Materials, AMAT | 0230-09243 | 2 AMAT 0230-09243 Teflon T | ||
10664 | Applied Materials, AMAT | 3690-02800 | AMAT 3690-02800 Screw PAN, HD 6-32 X 1.25L Slotted N | ||
10665 | Applied Materials, AMAT | 3880-01011 | 3 AMAT 3880-01011 Washer Flat 0.817OD X 0X 0.060THK SST | ||
10666 | Applied Materials, AMAT | 0040-95790 | AMAT 0040-95790 Shoe, Pick-Up, 150mm | ||
10667 | Applied Materials, AMAT | 0150-20578 | Ebara | AMAT 0150-20578 CBL Ebara Jumper Plug, A-Series | |
10668 | Applied Materials, AMAT | 0150-70164 | AMAT 0150-70164 Cable Assembly, Endura Status Lamp, F/L/P | ||
10669 | Applied Materials, AMAT | 3700-61593 | AMAT 3700-61593 O-ring | ||
10670 | Applied Materials, AMAT | 3790-01234 | 6 AMAT 3790-01234 Connector Screwlock Assembly, FEM, 0720-01177 | ||
10671 | Applied Materials, AMAT | 0020-06049 | 8330 | AMAT 0020-06049 Shaft-Throttle Valve 8330 | |
10672 | Applied Materials, AMAT | 26-83547-00 | 4 AMAT 26-83547-00 Screw Leadscrew Indexer | ||
10673 | Applied Materials, AMAT | 0020-76242 | 2 AMAT 0020-76242 Rot, Stop LL Cover Lifter | ||
10674 | Applied Materials, AMAT | 0020-010047 | AMAT 0020-010047 Stand Off | ||
10675 | Applied Materials, AMAT | 3220-01028 | 6 AMAT1028 Clamp, Gas Tube Collar | ||
10676 | Applied Materials, AMAT | 3840-01054 | AMAT 3840-01054 Tape Teflon, 0.25W, 0.002 THK | ||
10677 | Applied Materials, AMAT | 3060-01643 | 17 AMAT 3060-01643 Bearing Ball, 0.750 ID, 1.625 OD, 0.3125 THK, SST | ||
10678 | Applied Materials, AMAT | 0140-20459 | AMAT 0140-20459 Harness Assy, Mac Current Senese | ||
10679 | Applied Materials, AMAT | 0140-20459 | AMAT 0140-20459 Harness Assy, Mac Current Senese | ||
10680 | Applied Materials, AMAT | 0140-20459 | AMAT 0140-20459 Harness Assy, Mac Current Senese | ||
10681 | Applied Materials, AMAT | 0140-76014 | AMAT 0140-76014 Harness Assembly PWR INTLK Wafer Orienter | ||
10682 | Applied Materials, AMAT | 0400-09068 | AMAT 0400-09068oftware Diskette | ||
10683 | Applied Materials, AMAT | 3700-01169 | AMAT 3700-01169 O-Ring, 1.925 ID, 0.103 CS, Viton | ||
10684 | Applied Materials, AMAT | 0020-04382 | AMAT 0020-04382 Cover | ||
10685 | Applied Materials, AMAT | 0020-010047 | AMAT 0020-010047 Stand Off | ||
10686 | Applied Materials, AMAT | 28-81556 | AMAT 28-81556 Sensor Post | ||
10687 | Applied Materials, AMAT | 0021-11476 | AMAT 0021-11476 Cover Chamber Set Seriplex Interconnect | ||
10688 | Applied Materials, AMAT | 0240-10827 | AMAT 0240-10827 Final Test Ship Kit, Incomplete | ||
10689 | Applied Materials, AMAT | AMAT Shower Head, Perf Plate, Endura HP PVD | |||
10690 | Applied Materials, AMAT | 0010-76149 | AMAT 0010-76149 HTHU Heater, 6″ | ||
10691 | Applied Materials, AMAT | 0040-20850 | 0010-21356 | AMAT 0040-20850 Heater Assy, 8″ VCR w/ 2 TCs, Bellows, 0010-21356 | |
10692 | Applied Materials, AMAT | 0041-96872 | AMAT 0041-96872 Showerhead, Spiral, ATO, Texturized, ALD, 300mm | ||
10693 | Applied Materials, AMAT | 0200-21114 | AMAT 0200-21114 Quartz Ring, Variable Geometry | ||
10694 | Applied Materials, AMAT | 0042-12635 | AMAT 0042-12635 Quartz | ||
10695 | Applied Materials, AMAT | 0042-02000 | AMAT 0042-02000 Min Contact Single Blocker Plate, Precision Patterning | ||
10696 | Applied Materials, AMAT | 0041-90270 | AMAT 0041-90270 Plate | ||
10697 | Applied Materials, AMAT | 0042-11045 | AMAT 0042-11045 Plate | ||
10698 | Applied Materials, AMAT | 0010-11491 | AMAT 0010-11491 Heater, 0040-49807 | ||
10699 | Applied Materials, AMAT | 0190-34500 | AMAT 0190-34500 Lamp, 2KW 120V, EPI, JID 120V, 2000W | ||
10700 | Applied Materials, AMAT | 0041-95812 | AMAT 0041-95812 Supported Faceplate 250C Flat IEP, 0042-12952 Showerhead | ||
10701 | Applied Materials, AMAT | 0041-48723 | AMAT 0041-48723 Showerhead | ||
10702 | Applied Materials, AMAT | 0042-12952 | AMAT 0042-12952 Showerhead | ||
10703 | Applied Materials, AMAT | 0041-49939 | AMAT 0041-49939 Rev. 03 SMD Plate Coated Chamber Lid Side2 Frontier | ||
10704 | Applied Materials, AMAT | 0041-48723 | AMAT 0041-48723 Showerhead | ||
10705 | Applied Materials, AMAT | 0042-12952 | AMAT 0042-12952 Showerhead | ||
10706 | Applied Materials, AMAT | 0040-95475 | AMAT 0040-95475 Face Plate, TEOS | ||
10707 | Applied Materials, AMAT | 0041-96872 | AMAT 0041-96872 Spiral Showerhead Valencia, HTIL_DPYC, 300mm, ATO, ALD | ||
10708 | Applied Motion Products | 4023-819 | Applied Motion19, DC, 5.1V, 1A | ||
10709 | Applied Motion Products | 4023-820 | 2 Stepper motors, DC looks new | ||
10710 | Applied Motion Products | HT17-069 | Applied Motion HT17-069 Stepper motor, ASM 281-00270-AA, 281-00270-AA-A, | ||
10711 | Applied Motion Products | HT23-593 | Applied Motion HT23-593 Stepping Motor | ||
10712 | Applied Motion Products | 3540M | Applied Motion ProductsStep Motor Driver, PCB 1000-107E | ||
10713 | Applied Motion Products | 3540M | Applied Motion ProductsStep Motor Driver, PCB 1000-107E | ||
10714 | Applied Motion Products | 4034-329 | Applied Motion Products29, Synchronus Stepping Motor. | ||
10715 | Applied Motion Products | HT17-071 | Applied Motion Products HT17-071 Stepper Motor, DC 4V, 1.2A, 3.3 Ohms | ||
10716 | Applied Motion Products | 4034-329D | Applied Motion Products29D, Synchronus Stepping Motor, 200 Step/Rev | ||
10717 | Applied Optoelectronic | 4029-00 | Applied Optoelectronic0 V1 1000 PCB w/0 HV Module Board | ||
10718 | APPLIED PROCESS TECHNOLOGY | MPU 9100 | 01-17005-00 REV.B | APPLIED PROCESS TECHNOLOGY, APT, CONTROLLER MPU 9100, 01-17005-00 REV.B. | |
10719 | Applied Test Systems | 60-1062 | 60-1062-00 | Applied Test Systems 60-1062 PCA, Delay Generator, PCB, Axiom | |
10720 | Applied Test Systems | 65-1016 | K82L-0001CP | Applied Test Systems K82L-0001CP PCB Board, K82L-001CP | |
10721 | APTech | 3550S | APTech AP 88-88100027, 2PW, MV4, Max. Pressure 250 psi | ||
10722 | APTech | 033-0174-100 | APTech 033-0174-100 Pressure Regulator, Valve, 5 Port, | ||
10723 | APTech | 033-0179-100 | APTech 033-0179-100 Pressure Regulator, InletSI, Outlet 100 PSI, | ||
10724 | APTech | 1006SV | APTech AP 1006SV 2PW MV4 MV4 | ||
10725 | APTech | 22-2756 | AP Tech 22-2756, Valve, Diaphram, Air-OP Normally closed,, | ||
10726 | APTech | AP1002SM | Aptech AP1002SM 2PW FV4 MV4 High Purity Pressure Regulator, Valve | ||
10727 | APTech | AP1002S 2PW MV4 MV4 | 4 APTech AP1002S 2PW MV4 MV4, Gas MNFLD, Regulator, Shutoff Valve, Press Gauge | ||
10728 | APTech | AP1002S-3PW-FV4-MV4-L | APTech AP1002S-3PW-FV4-MV4-L Diaphram Regulator | ||
10729 | APTech | AP1006SM | APTech AP1006SM 2PW FV4 FV4 Pressure Regulator Valve | ||
10730 | APTech | AP1006SM | APTech AP1006SM 2PW FV4 FV4 Gasline Assy, Swagelok 6LVV-DPVR4-P Isolation Valve | ||
10731 | APTech | AP1006SM 2PW FV4 FV4 | APTech AP1006SM 2PW FV4 FV4 Pressure Regulator Valve | ||
10732 | APTech | AP1006SX 2PW MV4 | APTech AP1006SX 2PW MV4 pressure regulator | ||
10733 | APtech | AP10105 | APtech AP10105 2PW MV4 FV4 Pressure Regulator Valve | ||
10734 | APTech | AP1010S 2PW FV4 FV4 | APTech AP1010S 2PW FV4 FV4 Pressure Regulator, InletSI, Outlet 100PSI | ||
10735 | APTech | AP1010S 2PW MV4 FV4 | 5 APTech AP1010S 2PW MV4 FV4, Gas Regulator Panel, | ||
10736 | APTech | AP1010S 2PW MV4 FV4 | APTech AP1010S 2PW MV4 FV4 Pressure Regulator Valve | ||
10737 | APTech | AP1010S 2PW MV4 FV4 | Millipore | AP3625S 2PW FV4 FV4 | Gas Line Assembly APTech AP1010S 2PW MV4 FV4, USG Millipore Gauge |
10738 | APTech | AP1010S 2PW MV4 FV4 | Gas Line Assembly APTech AP1010S 2PW MV4 FV4, SI, USG Gauge | ||
10739 | APTech | AP1010S, AK1010B | 3 APTech AP1010S 2PW MV4 FV4, 2 APTech AK1010B 4PL 4 4 0 0, Gas Manifold | ||
10740 | APTech | AP1010SM 2PW MV4MV4 4.08 APCI2 | APTech AP1010SM 2PW MV4MV4 4.08 APCI2 Pressure Regulator Valve | ||
10741 | APTech | AP1210SM | APTech AP1210SM 2PW FV8 FV8 FC P Pressure Regulator, LAM, Swagelok, SMC | ||
10742 | APTech | AP1210SM 2PW FV8 MV8 FC | APTech AP1210SM 2PW FV8 MV8 FC Single Stage Regulator Valve, Isolation | ||
10743 | APTech | AP1406S 2PW MVE4 MVE4 | APTech AP1406S 2PW MVE4 MVE4 Pressure Regulator Valve, Isolation | ||
10744 | APTech | AP1410S | Aptech AP1410S 2PW MV4 MV4 4.08 APCI2 2-Way Pressure Regulator Valve | ||
10745 | APTech | AP1410S 4PW MV4 FV4 0 0 ST SP | APTech AP1410S 4PW MV4 FV4 0 0 ST SP Regulator Valve, 4-Way | ||
10746 | APTech | AP1410TSM | 2PW MV4 MV4 | Aptech AP1410TSM 2PWMV4MV4 4.08 APCI2 Regulator Valve | |
10747 | APTech | AP1510S | Aptech AP1510S 4PW FV4 FV4 0 0 4-Way Pressure Regulator Valve | ||
10748 | APTech | AP1510S 4PW MV4 00PC | APTech AP1510S 4PW MV4 00PC, | ||
10749 | APTech | AP1510S 4PW MV4 00PC | APTech AP1510S 4PW MV4 00PC, | ||
10750 | APTech | AP1510SM | AP1510SM 2PW MV4 MV4 4.08 APCI2 | APTech AP1510SM 2PW MV4 MV4 4.08 APCI2 Pressure Regulator w/ Gauge | |
10751 | APTech | AP1510SM 2PW MV4MV4 4.08 APCI2 | APTech AP1510SM 2PW MV4MV4 4.08 APCI2 Regulator Valve, Isolation | ||
10752 | APTech | AP1510SM 2PW MV4MV4 4.08 APCI2 | APTech AP1510SM 2PW MV4MV4 4.08 APCI2 Pressure Regulator Valve | ||
10753 | APTech | AP1810S-3PW-MV4-FV41 | APTech AP1810S-3PW-MV4-FV41, Pressure Regulator | ||
10754 | APTech | AP1810SM | 2PW MV4 FV4 | Aptech AP1810SM 2PW MV4 FV4 Regulator Valve | |
10755 | APTech | AP1810SM 2PW FV8 FV8 | APTech AP1810SM 2PW FV8 FV8 Pressure Regulator | ||
10756 | APTech | AP25508 | APTech AP25508 Valve, | ||
10757 | APTech | AP3000S | AP3625NS | APTech AP3000S 2PW TW4 TW4 1.75 Valve Assembly, w/ AP3625NS | |
10758 | APTech | AP3102SM 2PW MV8 MV8 | APTech AP3102SM Pressure Regulator w/ Fujikin AQ8MB000 Isolation Valve | ||
10759 | APTech | AP3102SM 2PW MV8 MV8 | Fujikin | AQ8MB000 | APTech AP3102SM Pressure Regulator w/ Fujikin AQ8MB000 Isolation Valve |
10760 | APTech | AP3550RX 2PW FV4 FV4VS | Integrated Systems | SR4-120-6T-0007-S10-25 | 2 APTech AP3550RX 2PW FV4 FV4VS Valve Assy, SR4-120-6T-0007-S10-25, LAM |
10761 | APTech | AP3550S 2PW FV4 FV4 | 5 Position APTech AP3550S 2PW FV4 FV4 Gas Manifold, Swagelock SS-4MG-VCR, | ||
10762 | APTech | AP3550S 3PWCB TW4 TW4 TW4 | APTech AP3550S 3PWCB TW4 TW4 TW4 Weldment Assy, SKRM-01219701-001 | ||
10763 | APTech | AP3550SM 2PW FV4 FV4 | APTech AP3550SM 2PW FV4 FV4 Diaphragm Valve | ||
10764 | APTech | AP3550SM 2PW FV4 FV4 | APTech AP3550SM 2PW FV4 FV4 Diaphragm Valve | ||
10765 | APTech | AP3600S | ApTech AP3600S, 2PW, MV4, MV4, Diaphragm Valve, 3000 PSI. | ||
10766 | APTech | AP3600SM4PWMTW4FV4TW6TW4CHP | 2 APTech AP3600SM4PWMTW4FV4TW6TW4CHP 4 Way Gas Valve, Max Pressure 3000 psi | ||
10767 | APTech | AP5025M 3PWG FV4 MV4 FV4 | AP Tech AP5025M 3PWG FV4 MV4 FV4 Valve Assembly Isolation | ||
10768 | APTech | SL5202SM | AP tech Pressure regulator SL5202SM 2Pw FV4 MV4, looks new | ||
10769 | APTech | SL5202SM | SL5202SM 2PW FV4 MV4 | APTech SL5202SM 2PW FV4 MV4 Diaphragm Valve, Isolation | |
10770 | APTech | VM3850228 | NEW APTECH AP VM 2026A 10RA REGULATOR VM3850228 | ||
10771 | APTech | APTech Pressure Regulator w/ Fujikin Isolation Valve, ¼” & ½” VCR, LAM | |||
10772 | APTech | AP3625SM 2PW FV4 FV4 | APTech AP3625SM 2PW FV4 FV4 Valve, Isolation | ||
10773 | APTech | AP3625S 2PW FV4 FV4 | APTech AP3625S 2PW FV4 FV4 Manual Diaphragm Valve, Isolation, 3000 PSI | ||
10774 | APTech | AP3625S 2PW FV4 FV4 | APTech AP3625S 2PW FV4 FV4 Manual Diaphragm Valve, Isolation, 3000 PSI | ||
10775 | APTech | AP3625SM 2PW FV4 FV4 | APTech AP3625SM 2PW FV4 FV4 Manual Diaphragm Valve, Isolation, 3000 PSI | ||
10776 | APTech | AP3600S 2PW MV4 MV4 | APTech AP3600S 2PW MV4 MV4 Diaphragm Valve, Isolation, 3000 PSI | ||
10777 | APTech | AP1010S 3PW TW4 TW4 1 | Span Instruments SI | APTech AP1010S 3PW TW4 TW4 1 Pressure Regulator w/ Span Gauge | |
10778 | APTech | AP1810S 3PW FV8 FV8 | Span Instruments SI | 01-0140-E | APTech AP1810S 3PW FV8 FV8 Pressure Regulator w/ Millipore Span Gauge |
10779 | APTech | AP1210S 3PW MV4 FV4 0 | Millipore | 01-0111-E | APTech AP1210S 3PW MV4 FV4 0 Pressure Regulator w/ Millipore Gauge |
10780 | APTech | AP1210SM 2PW FV8 MV8 FC | APTech AP1210SM 2PW FV8 MV8 FC Manual Pressure Regulator, Isolation | ||
10781 | APTech | AP3625S (2) AC/187 | APTech | AP64S MV4 MV4 | APTech AP3625S (2) AC/187 + AP64S MV4 MV4 Diaphragm Valve Assy |
10782 | APTech | AP1510SMK 3PW MV4 MV4 0 | Millipore | 01-0111-E | APTech AP1510SMK 3PW MV4 MV4 0 Regulator Valve Assy w/ Gauge |
10783 | APTech | AP3600S 2PW MV4 MV4 | APTech AP3600S 2PW MV4 MV4 Diaphragm Valve, Isolation, 3000 PSI | ||
10784 | APTech | AP4625S 2PW | APTech AP4625S 2PW FV4 FV4 Manual Isolation Valve, 3000 PSI | ||
10785 | APTech | AP1510SM 2PW MV4MV4 4.08 APCI2 | APTech AP1510SM 2PW MV4MV4 4.08 APCI2 Regulator Valve, Isolation | ||
10786 | APTech | AP1402TSMA 2PWMV4MV4 4.08APCI2 | APTech AP1402TSMA 2PWMV4MV4 4.08APCI2 Regulator Valve, Isolation | ||
10787 | Aquafine | CSL-8R/60 | Aquafine CSL-8R/60 UV System Water Treatment CSL-8R Series Ultrviolet | ||
10788 | Aquafine | SCD-1200 | AQUAFINE UV WATER SYSTEM MODEL SCD-1200 TOC REDUCTION & CHLORINE DESTRUCTION | ||
10789 | Aquafine | SCD-700 | AQUAFINE UV WATER SYSTEM MODEL SCD-700 TOC REDUCTION & CHLORINE DESTRUCTION | ||
10790 | AR World Wide | KAW6042M1 | AR World Wide KAW6042M1 Modular RF System 47-63MHZ , Amplifier, Combiner, | ||
10791 | ARBOR TECH | 2814T | ARBOR TECH FILTER (AG MACHINE) 2814T | ||
10792 | Area | FC-7800CD | Aera FC-7800CD Mass Flow Controller, MFC, AR, 3 SLPM | ||
10793 | Ario | FST11-NAP128A | ARIO FST11-NAP128A Storage Controller Module 800-0001, 600-00001 | ||
10794 | ARIO @ Work | FST11-NAP128A | Sanario | 600-00001 | ARIO @ Work FST11-NAP128A Sanario-FST FAB # 600-00001 |
10795 | ARIO @ Work | FST11-NAP128A | Sanario | 600-00001 | Sanario-FST FAB # 600-00001 ARIO @ Work FST11-NAP128A |
10796 | ARO | PD15P-YPS-PAA | ARO PD15P-YPS-PAA Double Diaphragm Pump, Air Operated, RS1154 | ||
10797 | AROMAT | MQ-FD1-CO2 | NEW AROMAT MQ-F OPTICAL FIBER PHOTOELECTRIC SWITCHES | ||
10798 | Arrow | 6612 | Arrow Heart, 6612 Locking Plug 30A 120/208V 3PH Y P | ||
10799 | Arrow Electronics | MC100LVEL51DT | Lot of 29 ON Semiconductor Flip Flops 3.3V/5V ECL D-Type Flip Flops chips | ||
10800 | Arrow Hart | 6612 | AMAT | 0720-01203 | Arrow Hart 6612 Locking Plug, AMAT 0720-01203 |
10801 | Arrow Hart Controls | ACC730U20 | Arrow Hart Controls ACC730U20 Relay, 3P 600VAC 75A 1200-01063 | ||
10802 | Arroyo Instruments | 5305 | Arroyo Instruments 5305 TECSource Temperature Controller, 5A/12V | ||
10803 | Artesyn | 7000671-0000 | PWR-00002-01 | Artesyn 7000671-0000 Power Supply PWR-00002-01 100-240V, 6-2.5A,z | |
10804 | ASAHI AV | A10001X70041010180 | CP79PS | ASAHI A10001X70041010180 Ball Valve Assy w/ CP79PS, RS1281 | |
10805 | ASAHI AV | A10001X70041010180 | CP79PS | ASAHI A10001X70041010180 Ball Valve Assy w/ CP79PS, RS1282 | |
10806 | ASAHI AV | 16KIB-00074 | AP79P | ASAHI 16KIB-00074 Ball Valve Assembly w/ AP79P, RS1291 | |
10807 | Asahi AV | A10001A700400I0180 | BP79PS | Asahi AV A10001A700400I0180 Ball Valve Assy w/ BP79PS, RS1318 | |
10808 | Asahi AV | A10001X700410I0180 | CP79PS | Asahi AV A10001X700410I0180 Ball Valve Assy w/ CP79PS, RS1319 | |
10809 | Asahi AV | 16FIB-00082 | V2ALVCVS8050 | Asahi 16FIB-00082 Ball Valve, V2ALVCVS8050, 1.0MPa, 230 PSI | |
10810 | AsahiAV | 16HIB-0072 | AsahiAV 16HIB-0072 Ball Valve, V2ALVCV8050, 16H03889-H w/ AP79P Actuator | ||
10811 | AsahiAV | 16HIB-0072 | AsahiAV 16HIB-0072 Ball Valve, V2ALVCV8050, 16H03887-H w/ AP79P Actuator | ||
10812 | AsahiAV | 12G00091-H | V23LVCVS8040 | AsahiAV V23LVCVS8040 3-Way True Union Ball Valve, Socket Connection | |
10813 | Asashi AV | 16FIB-00082 | Asashi AV 16FIB-00082 Ball Valve V2ALVCVS8050, 1.0 mPa, 16F01740-H, DN50 | ||
10814 | ASB | 637/K D6R 02-7-PDP | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER | ||
10815 | ASB | 637/K D6R 02-7-PDP | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER | ||
10816 | ASB | 637/K D6R 02-7-PDP | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER | ||
10817 | ASB | 637/K D6R 02-7-PDP | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER | ||
10818 | ASB | 637/K D6R 02-7-PDP | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER | ||
10819 | ASB | 637/K D6R 02-7-PDP | ASB 637/K D6R 02-7-PDP DIGITALREGLER SAMSON 3-G KOMPAKT MOTOR DRIVER | ||
10820 | ASCO | 8030G3 | ASCO 8030G3, 2-Way Solenoid Valve, 3/4″, Red Hat, 24/DC. | ||
10821 | Asco | 8210G1 | Asco 8210G1, Red-Hat Valves, Automatic Switch, 240V DC, Brass Valve. | ||
10822 | Asco | SCE210C094E | Asco SCE210C094E Solenoid Valve | ||
10823 | ASCO | F320A9K | ASCO F320A9K Red Hat Solenoid Valve | ||
10824 | ASCO Red Hat | K302-108 | ASCO Red Hat K302-108, Valve Rebuild Kit. | ||
10825 | ASCO Red Hat | K302-108 | ASCO Red Hat K302-108, Valve Rebuild Kit. | ||
10826 | ASM | 232720.11 | 232.720.110, F32720110 | ASM 232720.110, 232.720.110, F32720110 Rev.H, Video Board, PCB. | |
10827 | ASM | 15000200 | 14000200 | ASM 15000200 Motion Controller Assy, PCB, Mach 14000200, | |
10828 | ASM | 282-00032-AB-B | ASM 282-00032-AB-B, HARN CA, 2 SOLID STATE CAMERA, DCA 0021 | ||
10829 | ASM | 282-00032-AB-B | ASM 282-00032-AB-B, HARN CA, 2 SOLID STATE CAMERA, DCA 0021 | ||
10830 | ASM | 23.27.2010/0 | 552720100-00 | ASM 23.27.2010/0, 552720100-00 Rev.C,A/D Connector Board, Converter, PCB. | |
10831 | ASM | 232620014.1 | ASM 232620014.11 PC Board, PCB, ASSY 632620060.00, ID | ||
10832 | ASM | 232720091.1 | ASM 232720091.11 D/A Connector Board, PCB, 632720091.00, ID | ||
10833 | ASM | 23272014 11 | ASM-23272014 11, Board, PC, PCB | ||
10834 | ASM | 232720190.1 | ASM 232720190.11 PC Board, PCB, ASSY 632720300.00, ID | ||
10835 | ASM | 260-00035-AA | PWB | 360-00035-02 | ASM 260-00035-AA, PCB, PWB 360-00035-02, |
10836 | ASM | 260-00035-AA | ASM 260-00035-AA Rev. C, PCB, PWB 360-00035-02. | ||
10837 | ASM | 260-00035-AA | ASM 260-00035-AA Rev. C, PCB, PWB 360-00035-02. | ||
10838 | ASM | 260-00035-AA | ASM 260-00035-AA Rev. C, PCB, PWB 360-00035-02. | ||
10839 | ASM | 260-00035-AA | ASM 260-00035-AA Rev. C, PCB, PWB 360-00035-02. | ||
10840 | ASM | 260-00035-AA | ASM 260-00035-AA Rev. C, PCB, PWB 360-00035-02. | ||
10841 | ASM | 281-00213-01-A | ASM 281-00213-01-A Cable X Motion, LIMSW, ST To ADA STG | ||
10842 | ASM | 281-00214-01-X2 | ASM 281-00214-01-X2 Cable X Motion, LIMSW, ST To MP STG | ||
10843 | ASM | 281-00216-01-A | ASM 281-00216-01-A Cable Y Motion, LIMSW, ST To ADA STG | ||
10844 | ASM | 281-00217-01-X2 | ASM 281-00217-01-X2 Cable Y Motion, LIMSW, ST To MP STG | ||
10845 | ASM | 291-001195-AC | ASM 291-001195-AC FLPR, MOT, Low Mag MIR, 291-00195-AC-A, DCA 0113, | ||
10846 | ASM | 291-00195-AA | 291-00195-AA-A | ASM 291-00195-AA, FLPR, MOT, Beamsplitter, 291-00195-AA-A, DCA 0113. | |
10847 | ASM | 291-00195-AB | ASM 291-00195-AB, FLPR, MOT, COMP LENS, 291-001950AB0A, DCA 0113. | ||
10848 | ASM | 310C0184-01 | NEW VALVE ASM 2STG 1/4FVBLX3/8FAX2X1 ELB, ALV1010007-000 | ||
10849 | ASM | AFC-202 | 2 ASM MFC AFC-202, N2 gas, 200 SLM range 202, Rebuilt | ||
10850 | ASM | AFC-260 | ASM AFC-260 Mass Flow Controller, MFC, 5 SLM, NH3 | ||
10851 | ASM | ASM-232723180.11 | ASM ASM-232723180.11 PC Board, PCB, | ||
10852 | ASM Lithography | PA 5500 | ASM Lithography PA 5500 Power Conditioner, Transformer, ASML 5500/100 | ||
10853 | ASML | 06734-08 | ASML 06734-08, Chuck Spindle, Ginch Developer 6″, Delrin Chuck. | ||
10854 | ASML | 130-127 | ASML 130-127 PCB Artic | ||
10855 | ASML | 78-121462A54 | 8 ASML 78-121462A54, Bracket, Strap Metal, Contactor Rail, FB6-0000-108 | ||
10856 | ASML | 859-0929-005 | Stage Decoupling/overcurrent PCB assy. Looks new in sealed bag, some pins or components may be bent due to storage and handling | ||
10857 | ASML | 78-121462A05 | ASML 78-121462A05 O-Ring, G-250 | ||
10858 | ASML | 78-121422A06 | ASML 78-121422A06 O-Ring, G-255 | ||
10859 | Assembly | RT0399-2686 | Roller Assembly RT0399-2686 | ||
10860 | Associated Research | Model 225 | Associated Research 225, Vibroground testing kit, Model 225 | ||
10861 | Associated Research | 4450AR | Associated Research 4450AR AC/DC Hypot and Ground Continuity Test Set | ||
10862 | Associated Research | 5060AT | Associated Research AC Hypot and Ground Continuity Test Set | ||
10863 | Associated Research, Inc. | Omnia Series | Associated Research Omnia Series Electrical Safety Compliance Analyzer, RS1086 | ||
10864 | ASTEC | 24N4.8 | ASTEC ACV 24N4.8 AC INPUT CONNECTION POWER SUPPLY | ||
10865 | ASTEC | MP1-3Q-2Q-1U-1U-00 | Astec MP1-3Q-2Q-1U-1U-00 MP Configurable Power Supply, 73-690-0199 | ||
10866 | ASTEC | MP1-3Y-3Q-1Q-00-N601 | Astec MP1-3Y-3Q-1Q-00-N601 MVP Series Modular Power Supply0V | ||
10867 | ASTEC | MP8-2U-1Q-1Q-00-N602 | Astec Pwer supply MP8-2U-1Q-1Q-00-N602, MVP series 73-580-0732 | ||
10868 | ASTEC | VS1-D5-00(-CE) | 73-180-0033CE | ASTEC VS1-D5-00(-CE) Power Supply, 73-180-0033CE, 1500 Watts | |
10869 | Astech | ATL-100RA | ASTECH ATL-100RA RF MATCH, AE 3150086-003 01 SE, With Power Cable | ||
10870 | Astech | ATL-100RA | ASTECH ATL-100RA RF MATCH, AE 3150086-003 01 SE, With Power Cable | ||
10871 | Astech | ATL-100RA | Astech ATL-100RA RF Match, RFPP, 115 VAC,z | ||
10872 | Astech | ATL-100RA | ASTECH ATL-100RA RF MATCH 3150086-003 01 SE | ||
10873 | Astech | ATL-100RA | ASTECH ATL-100RA RF MATCH, AE 3150086-003 01 SE, With Power Cable | ||
10874 | Astech | ATL-100RA | ASTECH ATL-100RA RF MATCH, AE 3150086-003 01 SE, With Power Cable | ||
10875 | Astech | ATL-100RA | ASTECH ATL-100RA RF MATCH, AE 3150086-003 01 SE, With Power Cable | ||
10876 | Astech | ATL-100RA | Astech ATL-100RA RF Match, RFPP, 115 VAC,z | ||
10877 | Astech | ATL-100RA | Astech ATL-100RA RF Match, RFPP, 115 VAC,z | ||
10878 | Astech | ATL-100RA | Astech ATL-100RA RF Match, RFPP, 115 VAC,z | ||
10879 | Astek | ARX-X491 | AMAT | 0190-00398 | Astek ARX-X491 Microwave Control Module, AMAT 0190-00398, 200/208VAC, 3A |
10880 | Astex | ABX-X348 | ASTeX ABX-X348 ETO driver board water cooled | ||
10881 | Astex | AG1111 | Astex AG1111 Power Supply 4.8 KV | ||
10882 | Astex | AX3153 | AMAT | 0190-00362 | Astex AX3153 Water Cooled Dummy LoadNL 0190-00362 Waveguide AMAT |
10883 | Astex | AX3153-1 | AMAT | 3750-01145 | Astex AX3153-1 Water Cooled Dummy Load,AMAT 3750-01145 |
10884 | Astex | AX7650 | Astex AX7650 Remote Plasma RF Generator, RPS, MKS | ||
10885 | Astex | AX8200 | Astex AX8200 Ozone Generator, AMAT 0190-09437 | ||
10886 | Astex | AX8200A | AMAT | 0190-09437 | Astex AX8200A Ozone Generator, AMAT 0190-09437, AX8200 |
10887 | Astex | AX8200A-CE | AMAT | 0190-35870 | Astex AX8200A-CE Ozone Generator, AMAT 0190-35870, AX8200, |
10888 | Astex | AX8200A-CE | AMAT | 0190-35870 | Astex AX8200A-CE Ozone Generator, AMAT 0190-35870, AX8200, |
10889 | Astex | AX8200D | AX8200 | Astex AX8200D Ozone Generator, AX8200, 208V, 20A,z | |
10890 | Astex | C12872 | ASTEX GERSERLING AGL C12872 FILAMENT TRANSFORMER 60-1016 GASONICS | ||
10891 | Astex | C12872 | ASTEX GERSERLING AGL C12872 FILAMENT TRANSFORMER 60-1016 GASONICS | ||
10892 | Astex | C13477 | Astex C13477 Isolator, WR340, 6kW, RF | ||
10893 | Astex | CPR90XC | AMAT | 0190-09275 | Astex CPR90XC Waveguide, E-Bend, Lower ASP, AMAT 0190-09275 |
10894 | Astex | D13449 | AMAT | 0190-18128 | ASTeX D13449 3.5 kW Magnetron Head 2450 MHz AMAT 0190-18128 |
10895 | Astex | D13449 | Astex D13449 Microwave Magnetron, D13604 Waveguide, C13477 Isolator, AMAT | ||
10896 | Astex | D13449 | Astex D13449 Microwave Magnetron, D13604 Waveguide, C13477 Isolator, AMAT | ||
10897 | Astex | D13449 | Astex D13449 Magnetron Head, 6.7kV, 3.5kW, Type AG9131A, 2450+20-30Mhz | ||
10898 | Astex | D13449 | Astex D13449 Microwave Magnetron, D13604 Waveguide, C13477 Isolator, AMAT | ||
10899 | Astex | D13449 | D13604, C13477, AG9131A | Microwave magnetron, waveguide, isolator,REL0321 | |
10900 | Astex | D13604 | Astex D13604 Wave Guide w/ 2.5 Ghz Coupling, AMAT HDP CVD Magnetron | ||
10901 | Astex | D13604 | Astex D13604 Wave Guide w/ 2.45 GHz Coupling, AMAT HDP CVD Magnetron, HDPCVD | ||
10902 | Astex | D13604 | Astex D13604 Wave Guide w/ 2.5 Ghz Coupling AMAT HDP CVD Magnetron HDPCVD RS1201 | ||
10903 | Astex | D13604 | Astex D13604 Wave Guide w/ 2.5 Ghz Coupling AMAT HDP CVD Magnetron HDPCVD RS1108 | ||
10904 | Astex | D13765 | Astex D13765 High Volatge Power Supply MW GEN, R61-2332 | ||
10905 | Astex | D13765 | Astex D13765 High Volatge Power Supply MW GEN, R61-2332 | ||
10906 | Astex | DC7CPRUG | AMAT | 0190-09272 | Astex DC7CPRUG Directional Coupler, AMAT 0190-09272, ASP |
10907 | Astex | DC7CPRUG | AMAT | 0190-09272 | Astex DC7CPRUG Directional Coupler, AMAT 0190-09272, ASP |
10908 | Astex | FI20061 | AMAT | 0920-01072 | Astex FI20061, FI20104, FI20099, AMAT 0920-01072, 3750-01129, Microwave |
10909 | Astex | FI20064/FI20106 | AMAT | 1110-01025 | Astex FI20064/FI20106 Wave Guide, AMAT 1110-01025 Match Microwave |
10910 | Astex | FI20065/FI20106 | AMAT | 3750-01114 | Astex FI20065/FI20106 SmartMatch Applicator, AX7610-3, AMAT 3750-01114 |
10911 | Astex | FI20162-2 | AMAT | 0920-01111 | Astex FI20162-2 Gen Microwave Magnetron Head AMAT 0920-01111 2.45 GHz, 3 |
10912 | Astex | FI20162-2 | AMAT | 0920-01111 | Astex FI20162-2 Gen Microwave Magnetron Head AMAT 0920-01111 2.45 GHz, 3 |
10913 | Astex | FI20195 | AMAT | 0920-01104 | Astex AX2050 Microwave Power Generator, RF, FI20195, AMAT 0920-01104 |
10914 | Astex | M219645 | TSM2 | Astex M219645 Waveguide Auto Tune, TSM2, | |
10915 | Astex | TS90 | AMAT | 0190-09246 | Astex TS90 WMicrowave Tuner, 3-Stub, Manual, ASP, AMAT 0190-09246 |
10916 | Astex | D13449 | Astex D13449 Rev.Q, Mag. Type AG9131A, Magnetron Head. | ||
10917 | Astrosyn | E179 | Astrosyn E179 Stepper Motor, 12V, 0.6A | ||
10918 | ASTROSYN | 34PM-C208-01 | ASTROSYN 34PM-C208-01 MINANGLE STEPPER | ||
10919 | ASTROSYN | 34PM-C208-01 | ASTROSYN 34PM-C208-01 MINANGLE STEPPER | ||
10920 | ASTROSYN | 34PM-C208-01 | Astrosyn 34PM-C208-01 Miniangle Motor Stepper, MOGAZ061 | ||
10921 | Asymtek | D-555 | Nordson | 03-1651-00 | Asymtek D-555 Dispensing System, Dispensemate, Nordson, 03-1651-00 |
10922 | Asyst | 1003701A300 | Asyst 1003701A300 Aligner, 300mm, Edge Grip | ||
10923 | Asyst | 9700-6584-05 | ASYST ATR-9000 9700-6584-05 Rev A Advan Tag RFID | ||
10924 | Asyst | 9700-3436-01 | Asyst 9700-3436-01 Front Wafer Loader Cassette Nest | ||
10925 | Asyst | 9700-3436-01 | Asyst 9700-3436-01 Front Wafer Loader Cassette Nest | ||
10926 | Asyst Crossing Automation | 3FC120A003700 | Asyst Shinko 3FC120A003700 Assembly Assy Gyro GRS 03-02R Silicon Sensring | ||
10927 | Asyst Crossing Automation | 05060-704 | Asyst 05060-704 Pre-Aligner CPU Board, UPG, PCB, FAB 05059-003 | ||
10928 | Asyst Crossing Automation | 3200-1171-01 | Asyst171-01 SMT INX I/O Board, PCB, FAB 3000-1171-01 | ||
10929 | Asyst Crossing Automation | 05060 | Asyst 05060 Pre-Aligner CPU Board, UPG, PCB, FAB 05059-003 | ||
10930 | Asyst Crossing Automation | 4003-0518-01 | Asyst518-01 Block, Mount, Robot | ||
10931 | Asyst Crossing Automation | 4003-1840-01 | 3 Asyst840-01 Plate, Mount, Robot | ||
10932 | Asyst Crossing Automation | C0094-0228-01 | Asyst C0094-0228-01 Fan/Filter Assembly | ||
10933 | Asyst Crossing Automation Brooks | 8240 | ASYST CROSSING AUTOMATION ST – 8240, SMART TAG RFID, 9700-4420-01 | ||
10934 | Asyst Crossing Automation Brooks | 8240 | ASYST CROSSING AUTOMATION ST – 8240, SMART TAG RFID, 9700-4420-01 | ||
10935 | Asyst Crossing Automation Brooks | 8240 | ASYST CROSSING AUTOMATION ST – 8260, SMART TAG RFID, 9700-4420-01 | ||
10936 | Asyst Crossing Automation Brooks | 8260 | ASYST CROSSING AUTOMATION ST – 8260, SMART TAG RFID, 9700-4420-01 | ||
10937 | Asyst Crossing Automation Brooks | 8260 | ASYST CROSSING AUTOMATION ST – 8260, SMART TAG RFID, 9700-4420-01 | ||
10938 | Asyst Crossing Automation Brooks | 55009 | Crossing Automation LL Interconnect board Assy 55009 | ||
10939 | Asyst Crossing Automation Brooks | 55010 | Crossing automation EC Interface PCB board ASSY 55010 | ||
10940 | Asyst Crossing Automation Brooks | 9320496 | Asyst Bubble 9320496 for modified aligner | ||
10941 | Asyst Crossing Automation Brooks | 97003001 | 9700-3001 | Asyst 97003001, 9700-3001, PCB Assembly, ARM 1000/2000. | |
10942 | Asyst Crossing Automation Brooks | 4002-9667-01 | ASYST667-01 Wafer handler end effector robot blade, Thin AEG EE | ||
10943 | Asyst Crossing Automation Brooks | 9701-3465-01-D | Asyst 9701-3465 Applied Ceramics END EFFECTOR VAC WIDE Upper assy, 9701-3465-01-D | ||
10944 | Asyst Crossing Automation Brooks | 002-7390-02 | Asyst Technologies 002-7390-02 PCB, 002-7389-02 | ||
10945 | Asyst Crossing Automation Brooks | 01270-001 | ASYST TECHNOLOGY P/N 01270-001, Cassette loader, | ||
10946 | Asyst Crossing Automation Brooks | 04290-101 | NEW Asyst 04290-101 process chamber elevator, Hine design, 94-1118 | ||
10947 | Asyst Crossing Automation Brooks | 04290-201 | Gasonics Novellus | Asyst 04290-201 process chamber elevator, Gasonics Novellus 94-1118 Hine design | |
10948 | Asyst Crossing Automation Brooks | 04290-201 | Gasonics Novellus | Asyst 04290-201 process chamber elevator, Gasonics Novellus 94-1118 Hine design | |
10949 | Asyst Crossing Automation Brooks | 04290-201 | Asyst Hine Design Process Chamber Elevator 04290-201 | ||
10950 | Asyst Crossing Automation Brooks | 04600-001 | Novellus | 94-1173 | Rotator assy, send side, ergo |
10951 | Asyst Crossing Automation Brooks | 05050-016 | Asyst 05050-016 Pre-Aligner, Version 2.2 | ||
10952 | Asyst Crossing Automation Brooks | 05097-001 | Novellus | 94-1179 | Sensor assy, Ergo flipper |
10953 | Asyst Crossing Automation Brooks | 05097-001 | Novellus | 94-1179 | Sensor assy, Ergo flipper |
10954 | Asyst Crossing Automation Brooks | 095586-CNT-PR01B | Asyst 095586-CNT-PR01B PCB Board, EG-300, | ||
10955 | Asyst Crossing Automation Brooks | 095586-CNT-PR01B | Asyst 095586-CNT-PR01B EG-300 Wafer Aligner Controller, PCB | ||
10956 | Asyst Crossing Automation Brooks | 095586-CNT-PR01B | Asyst 095586-CNT-PR01B PCB Board, | ||
10957 | Asyst Crossing Automation Brooks | 095586-CNT-PR01B | Asyst 095586-CNT-PR01B PCB Board, | ||
10958 | Asyst Crossing Automation Brooks | 1000-0377-01 | Falcon Alignment K Plate, Tool, Align, K-Plate377-01 | ||
10959 | Asyst Crossing Automation Brooks | 1000-0377-01 | Asyst Falcon K-Plate Alignment Set-up Calibration Fixture377-01 | ||
10960 | Asyst Crossing Automation Brooks | 1000-0377-01 | Asyst Falcon K-Plate Alignment Set-up Calibration Fixture377-01 | ||
10961 | Asyst Crossing Automation Brooks | 1000-0715-01 | Asyst Falcon K-Plate Alignment Set-up Calibration Fixture715-01 | ||
10962 | Asyst Crossing Automation Brooks | 1000-0719-01 | Falcon Alignment K Plate Tool, Align, K-Plate 1000-0719-01 | ||
10963 | Asyst Crossing Automation Brooks | 1000-0719-01 | 1000-0715-01 | Asyst Alignment Calibration Fixture w/ Gauges719-01 1000-0715-01 | |
10964 | Asyst Crossing Automation Brooks | 1000-0719-01 | 1000-0715-01 | Asyst Alignment Calibration Fixture719-01 1000-0715-01 | |
10965 | Asyst Crossing Automation Brooks | 1000-0758-01 | Asyst Alignment Plate Set-up Fixture758-01 | ||
10966 | Asyst Crossing Automation Brooks | 1000-0770-01 | Asyst 1000-0770-01, Calibration Tool. | ||
10967 | Asyst Crossing Automation Brooks | 1000-1076-01 | Asyst Plate Door Alignment Set-up Calibration Fixture076-01 | ||
10968 | Asyst Crossing Automation Brooks | 1000-1202-01 | Falcon Alignment K Plate, Tool, Align, K-Plate 1000-1202-01 | ||
10969 | Asyst Crossing Automation Brooks | 1107-02-06000003 | XD0341032 | Asyst 1107-02-16000003 Re, PCA, PCB, Daughter Board, XD0341032 | |
10970 | Asyst Crossing Automation Brooks | 118380-2 | Asyst 118380-2 Robot Blade, End Effector, Brooks | ||
10971 | Asyst Crossing Automation Brooks | 12846-002 | Power distribution with power fail detector, asyst 12846-002, REV A, XP 0603 | ||
10972 | Asyst Crossing Automation Brooks | 12846-002 | XP | 603 | Asyst Crossing Power Distribution, Power Fail Detector 12846-002, XP 0603 |
10973 | Asyst Crossing Automation Brooks | 12846-002 | Assy, Power, Communication Distribution 24, Spartan, Asyst 12846-002 | ||
10974 | Asyst Crossing Automation Brooks | 12846-002 | Assy, Power, Communication Distribution 24, Spartan, Asyst 12846-002 with cables | ||
10975 | Asyst Crossing Automation Brooks | 13574-001 | Asyst 13574-001 PCB Board, 13573-001, | ||
10976 | Asyst Crossing Automation Brooks | 13574-001 | Asyst 13574-001 PCB Board, 13573-001, | ||
10977 | Asyst Crossing Automation Brooks | 155326-21040019 | Asyst 155326-21040019 Rev. A, Battery Backup PCB, Board. | ||
10978 | Asyst Crossing Automation Brooks | 15816-701 | Asyst 15816-701 3-Axis Driver Board, PCB, FAB 15815-001 | ||
10979 | Asyst Crossing Automation Brooks | 160296-0001 | 160296-0001 Power Cable Assy, FCC to Robot | ||
10980 | Asyst Crossing Automation Brooks | 21203-101-01 | Asyst Japan Carriage Board 21203-101-01 w/ Bracket | ||
10981 | Asyst Crossing Automation Brooks | 2CL520A013500-01 | Asyst 2CL520A013500-01 Assembly, Assy, Belt A | ||
10982 | Asyst Crossing Automation Brooks | 300 mm | Asyst Brooks 300 mm Robot Blade, 300mm | ||
10983 | Asyst Crossing Automation Brooks | 3000-4358-01 | 9701-4142-01 | Asyst 3000-4358-01 PCB, 9701-4142-01 | |
10984 | Asyst Crossing Automation Brooks | 3000-4443-02 | Crossing Automation/Asyst 3000-4443-02 | ||
10985 | Asyst Crossing Automation Brooks | 3200-1000-06 | Asyst000-06 Arm Control Board, PCB, FAB 3000-1000-06, | ||
10986 | Asyst Crossing Automation Brooks | 3200-1000-06 | Asyst000-06 Arm Control Board, PCB, FAB 3000-1000-06, | ||
10987 | Asyst Crossing Automation Brooks | 3200-1000-09 | Asyst000-09 Arm Control Board, PCB, FAB 3000-1000-06, | ||
10988 | Asyst Crossing Automation Brooks | 3200-1000-09 | Asyst000-09 Arm Control Board, PCB, FAB 3000-1000-06, | ||
10989 | Asyst Crossing Automation Brooks | 3200-1000-09 | Asyst000-09 Arm Control Board, PCB, FAB 3000-1000-06, | ||
10990 | Asyst Crossing Automation Brooks | 3200-1000-09 | Asyst000-09 Rev.C, Arm Control Board, PCB | ||
10991 | Asyst Crossing Automation Brooks | 3200-1000-09 | Asyst000-09 Arm Control Board PCB, FAB 3000-1000-06788-01, | ||
10992 | Asyst Crossing Automation Brooks | 3200-1107-01 | Asyst107-01, PCA, PCB | ||
10993 | Asyst Crossing Automation Brooks | 3200-1107-62 | Asyst107-62, MD2202-D1640, PCB w/ Daughter Board. | ||
10994 | Asyst Crossing Automation Brooks | 3200-1121-01 | 3200-1123 | Asyst123-01 Master Interface Board, PCB, FAB123 | |
10995 | Asyst Crossing Automation Brooks | 3200-1145-01 | Asyst145-01 PCB Board | ||
10996 | Asyst Crossing Automation Brooks | 3200-1182-01 | Asyst182-01 Sorter PCB, FAB 3000-1182-01, | ||
10997 | Asyst Crossing Automation Brooks | 3200-1202 | Asyst202 PCB Board, FAB 3000-1202-02, | ||
10998 | Asyst Crossing Automation Brooks | 3200-1223-02 | ASYST TECHNOLOGIES223-02 PCB BOARD | ||
10999 | Asyst Crossing Automation Brooks | 3200-1225-02 | 1225-03-16001290 | 4002-4777-01 | Asyst EFEM Sorter Assy225-02, 1225-03-16001290777-01144-01 |
11000 | Asyst Crossing Automation Brooks | 3200-1225-02 | 4002-4777-01 | 4002-5964-01 | Asyst EFEM Sorter Assy225-02777-01964-01679-01 |
11001 | Asyst Crossing Automation Brooks | 3200-1225-02 | 4002-6519-01 | Asyst Spartan EFEM Sorter RFID reader assy225-02519-01 | |
11002 | Asyst Crossing Automation Brooks | 3200-1225-03 | 3200-1225-02 | AB-013-B | Asyst225-03225-02, PCB, Assy, 300I Controller, AB-013-B |
11003 | Asyst Crossing Automation Brooks | 3200-1225-03B | Asyst Technologies225-03B, Asyst 1225-03-16001522144-01, PC board | ||
11004 | Asyst Crossing Automation Brooks | 3200-1225-03B | 3000-1225-01 | 1225-03-16000649 | Asyst225-03B, 3000-1225-01, 1225-03-16000649 Rev-B144-01 |
11005 | Asyst Crossing Automation Brooks | 3200-1225-03B | Asyst Technologies225-03B, Asyst 1225-03-1600421144-01, PC board | ||
11006 | Asyst Crossing Automation Brooks | 3200-1225-03B | Asyst technologies225-03B, Asyst 1225-03-16001225, Asyst144-01 | ||
11007 | Asyst Crossing Automation Brooks | 3200-1225-04 | Asyst Technologies225-04, Asyst 1225-04-16000544144-01, PCB board | ||
11008 | Asyst Crossing Automation Brooks | 3200-1225-04 | 1225-04-16000222 | Asyst 1225-04-16000222 PCB, B225-04 | |
11009 | Asyst Crossing Automation Brooks | 3200-1225-04 | Asyst Technologies225-04 PCB, 1225-04-16000225144-01 | ||
11010 | Asyst Crossing Automation Brooks | 3200-1225-05 | Asyst Technologies225-05 PCB Board 1225-05-10000163144-01 | ||
11011 | Asyst Crossing Automation Brooks | 3200-1225-05 | 1225-04-16000261 | Asyst Technologies225-05 PCB board, 1225-04-16000261 REV B | |
11012 | Asyst Crossing Automation Brooks | 3200-1225-05 | 3000-1225-01 | 1225-05-10000156 | Asyst225-05, 3000-1225-01, 1225-05-10000156 Rev-B |
11013 | Asyst Crossing Automation Brooks | 3200-1225-05 | Asyst technologies225-05, Asyst 1225-05-10000094, Asyst144-01 | ||
11014 | Asyst Crossing Automation Brooks | 3200-1225-05 | Asyst Technologies225-05, Asyst 1225-05-16000281144-01, PC board | ||
11015 | Asyst Crossing Automation Brooks | 3200-1225-05 | Spartan 300I Controller 70ns POP E84 and Vacuum PCB with220-01 daughter board | ||
11016 | Asyst Crossing Automation Brooks | 3200-1225-05R | 3200-4220-01 | Asyst Technologies225-05R PCB board, Asyst220-01144-01 | |
11017 | Asyst Crossing Automation Brooks | 3200-1226-03 | Asyst Technologies226-03A PCBA | ||
11018 | Asyst Crossing Automation Brooks | 3200-1226-03 | Asyst Technologies226-03 PCB Board | ||
11019 | Asyst Crossing Automation Brooks | 3200-1226-03 | Asyst Technologies226-03 PCB226-03 | ||
11020 | Asyst Crossing Automation Brooks | 3200-1226-03 | Asyst Technologies226-03 PCB226-03 | ||
11021 | Asyst Crossing Automation Brooks | 3200-1226-04 | Asyst Technologies226-04B PCB, 3000-1226-01 | ||
11022 | Asyst Crossing Automation Brooks | 3200-1226-04B | Asyst Technologies226-04B PCB Board | ||
11023 | Asyst Crossing Automation Brooks | 3200-1226-04B | Asyst Technologies226-04B PCB board | ||
11024 | Asyst Crossing Automation Brooks | 3200-1226-04B | Asyst Technologies226-04B PCB board | ||
11025 | Asyst Crossing Automation Brooks | 3200-1226-05A | Asyst technologies226-05A assy PCB board, Fab 3000-1226-01 REV 6 | ||
11026 | Asyst Crossing Automation Brooks | 3200-1226-05A | Asyst226-05A PCB w/ Daughter Board, A0E024, WK1512 | ||
11027 | Asyst Crossing Automation Brooks | 3200-1229-01 | Lin Engineering | 416-07-80D-01 | Asyst229-01 PCB446-01, Lin Engineering-80D-01 |
11028 | Asyst Crossing Automation Brooks | 3200-1229-01 | Lin Engineering | 416-07-80D-01R0 | Asyst229-01446-01, Lin Engineering-80D-01R0, PCB |
11029 | Asyst Crossing Automation Brooks | 3200-1229-01 | Asyst229-01 Wafer Mapper PCB, FAB 3000-1229-01, | ||
11030 | Asyst Crossing Automation Brooks | 3200-1229-01 | ETON | ET866 | Asyst229-01 Rev.4, ETON ET866, FAB 3000-1229-01 |
11031 | Asyst Crossing Automation Brooks | 3200-1229-01 | ETON | ET866 | Asyst229-01 Rev.4, ETON ET866, FAB 3000-1229-01 |
11032 | Asyst Crossing Automation Brooks | 3200-1229-01 | 4002-6446-01 | ASYST-80D-01R0 PCB229-01 | |
11033 | Asyst Crossing Automation Brooks | 3200-1240-01 | Asyst240-01 Radial Connector PCB, FAB 3000-1240-01, | ||
11034 | Asyst Crossing Automation Brooks | 3200-1240-01 | Asyst240-01 Radial Connector PCB, FAB 3000-1240-01, | ||
11035 | Asyst Crossing Automation Brooks | 3200-1251-01 | Asyst251-01 PCBA board | ||
11036 | Asyst Crossing Automation Brooks | 3200-1251-02 | Asyst Technologies251-02 PCB251-02 | ||
11037 | Asyst Crossing Automation Brooks | 3200-1251-02 | Asyst251-02 PCB Assy, Door Controller | ||
11038 | Asyst Crossing Automation Brooks | 3200-1269-01 | Asyst269-01 PCB, | ||
11039 | Asyst Crossing Automation Brooks | 3200-4236-01 | Asyst236-01 assymbly268-01551-01130-01 ASSY KIT | ||
11040 | Asyst Crossing Automation Brooks | 3200-4236-01 | Asyst Technologies236-01 PCBA board, 3000-4236-01 | ||
11041 | Asyst Crossing Automation Brooks | 3200-4236-01 | Crossing Automation | 9701-1799-01 | Asyst236-01 PCB Board, 9701-1799-01, Crossing Automation |
11042 | Asyst Crossing Automation Brooks | 3200-4236-01 | Crossing Automation | 9701-1799-01 | Asyst236-01 PCB Board, FAB 3000-4236-01, ETON ET866, 9701-1799-01 |
11043 | Asyst Crossing Automation Brooks | 3200-4236-01 | Crossing Automation | 9701-1799-01 | Asyst236-01 PCB Board, FAB 3000-4236-01, ETON ET866, 9701-1799-01 |
11044 | Asyst Crossing Automation Brooks | 3200-4269-02 | ASYST269-02 PCB Assy, 3000-4269-02270-01 | ||
11045 | Asyst Crossing Automation Brooks | 3200-4296-02 | Asyst2-16000065, PCB, Power Control296-02 | ||
11046 | Asyst Crossing Automation Brooks | 3200-4296-02 | Crossing Automation | 9701-2084-04 | Asyst296-02 PCB Board, FAB 3000-4296-02, ETON ET866, 9701-2084-04 |
11047 | Asyst Crossing Automation Brooks | 3200-4296-02 | Crossing Automation | 9701-2084-04 | Asyst296-02 PCB Board, FAB 3000-4296-02, ETON ET866, 9701-2084-04 |
11048 | Asyst Crossing Automation Brooks | 3200-4296-02 | Crossing Automation | 9701-2084-04 | Asyst296-02 PCB Board, FAB 3000-4296-02, ETON ET866, 9701-2084-04 |
11049 | Asyst Crossing Automation Brooks | 3200-4346-02 | Asyst Technologies346-02 PCB Assy, TRI-RGB LED Display | ||
11050 | Asyst Crossing Automation Brooks | 3200-4346-03 | Asyst technologies346-03 TRI-RGB LED display PCB assy | ||
11051 | Asyst Crossing Automation Brooks | 3200-4346-03 | Asyst technologies346-03 TRI-RGB LED display PCB assy | ||
11052 | Asyst Crossing Automation Brooks | 3200-4346-03 | Asyst technologies346-03 TRI-RGB LED display PCB assy, IM45 | ||
11053 | Asyst Crossing Automation Brooks | 3200-4346-03 | Asyst technologies346-03 TRI-RGB LED display PCB assy, REV D, IM399350 | ||
11054 | Asyst Crossing Automation Brooks | 3200-4346-03 | Asyst technologies346-03 TRI-RGB LED display PCB assy | ||
11055 | Asyst Crossing Automation Brooks | 3200-4346-03 | Asyst technologies346-03 TRI-RGB LED display PCB assy, IM46 | ||
11056 | Asyst Crossing Automation Brooks | 3200-4346-03 | Asyst technologies346-03 TRI-RGB LED display PCB assy, REV D, 4346-03 | ||
11057 | Asyst Crossing Automation Brooks | 3200-4346-03 | Asyst technologies346-03 TRI-RGB LED display PCB assy, REV D, IM399349 | ||
11058 | Asyst Crossing Automation Brooks | 3200-4346-04 | Asyst346-04 PCB Assembly, Tri-RGB LED Display | ||
11059 | Asyst Crossing Automation Brooks | 3200-4346-04 | 2 Asyst Technologies346-04 PCB, 3000-4346-03 | ||
11060 | Asyst Crossing Automation Brooks | 3200-4346-04 | Crossing Automation346-04 PCB Assy, Tri-RGB LED Display | ||
11061 | Asyst Crossing Automation Brooks | 3200-4346-04 | Crossing Automation346-04 PCB Assy, Tri-RGB LED Display | ||
11062 | Asyst Crossing Automation Brooks | 3200-4346-04 | Crossing Automation346-04 PCB Assy, Tri-RGB LED Display | ||
11063 | Asyst Crossing Automation Brooks | 3200-4346-04 | Asyst346-04 PCB Assy, Tri-RGB LEED, Crossing Automation, Brooks | ||
11064 | Asyst Crossing Automation Brooks | 3200-4346-04 | Asyst Technologies346-04 PCB Board, 3000-4346-03 | ||
11065 | Asyst Crossing Automation Brooks | 3200-4346-04 | Asyst346-04 PCB Assy, Tri-RGB LED, Crossing Automation, Brooks | ||
11066 | Asyst Crossing Automation Brooks | 3200-4346-04 | Asyst technologies346-04 TRI-RGB LED display PCB assy | ||
11067 | Asyst Crossing Automation Brooks | 3200-4347-02 | Asyst technologies347-02 static entry node PCBA board | ||
11068 | Asyst Crossing Automation Brooks | 3200-4347-03 | ASYST347-03 Static Entry Node, PCBA | ||
11069 | Asyst Crossing Automation Brooks | 3200-4347-03 | Asyst technologies347-03 static entry node PCBA board | ||
11070 | Asyst Crossing Automation Brooks | 3200-4347-03 | 4002-9144-01 | 3200-1225-04 | Asyst347-03144-01225-04, 3000-1225-01, PCB |
11071 | Asyst Crossing Automation Brooks | 3200-4347-03 | 3200-4417-01 | Asyst347-03, Static Entry Node Daughter Board417-01 PCBA | |
11072 | Asyst Crossing Automation Brooks | 3200-4347-03 | 3200-4417-01 | Asyst347-03, Static Entry Node Daughter Board417-01 PCBA | |
11073 | Asyst Crossing Automation Brooks | 3200-4347-03 | 3200-4417-01 | Asyst347-03, Static Entry Node Daughter Board417-01 PCBA | |
11074 | Asyst Crossing Automation Brooks | 3200-4347-03 | Asyst347-03, Static Entry Node PCBA | ||
11075 | Asyst Crossing Automation Brooks | 3200-4347-03 | Asyst347-03, Static Entry Node PCBA | ||
11076 | Asyst Crossing Automation Brooks | 3200-4347-03 | Asyst347-03, Static Entry Node PCBA | ||
11077 | Asyst Crossing Automation Brooks | 3200-4347-03 | 4002-9144-01 | 3200-1225-04 | Asyst347-03144-01225-04, 3000-1225-01, PCB |
11078 | Asyst Crossing Automation Brooks | 3200-4347-03 | 3200-4417-01 | Asyst347-03, Static Entry Node Daughter Board417-01 PCBA | |
11079 | Asyst Crossing Automation Brooks | 3200-4347-04 | Asyst347-04 Static Entry Node, 300mm, PCB, FAB3000-4347-03, | ||
11080 | Asyst Crossing Automation Brooks | 3200-4348-02 | Asyst Technologies348-02 Door Node, PCB, Falcon, FAB 3000-4348-02 | ||
11081 | Asyst Crossing Automation Brooks | 3200-4348-04 | Asyst348-04, PCBA, Door Node, Falcon | ||
11082 | Asyst Crossing Automation Brooks | 3200-4348-04 | Asyst348-04 PCBA | ||
11083 | Asyst Crossing Automation Brooks | 3200-4348-05 | Asyst348-05 PCBA, Door Node | ||
11084 | Asyst Crossing Automation Brooks | 3200-4349-02 | Asyst Technologies349-02 Crossing Automation | ||
11085 | Asyst Crossing Automation Brooks | 3200-4349-02 | Asyst Technologies349-02 Crossing automation board, Asyst 9701-38060-01 C | ||
11086 | Asyst Crossing Automation Brooks | 3200-4349-02 | Asyst technologies349-02 crossing automation, fab 3000-4349-02 | ||
11087 | Asyst Crossing Automation Brooks | 3200-4349-02 | Asyst technologies349-02 crossing automation board | ||
11088 | Asyst Crossing Automation Brooks | 3200-4349-02 | Asyst Technologies349-02 Crossing automation board | ||
11089 | Asyst Crossing Automation Brooks | 3200-4349-02 | Asyst Technologies349-02 Crossing automation board, Asyst 9701-38060-1 | ||
11090 | Asyst Crossing Automation Brooks | 3200-4350-01 | Crossing Automation | 3000-4350-01 | Asyst Crossing Automation350-01, Falcon PCBA, 3000-4350-01 |
11091 | Asyst Crossing Automation Brooks | 3200-4350-01 | Asyst350-01, Falcon PCBA, PCB, FAB 3000-4350-01, | ||
11092 | Asyst Crossing Automation Brooks | 3200-4414-01 | Crossing Automation414-01late Node | ||
11093 | Asyst Crossing Automation Brooks | 3200-4418-01 | Asyst | 3000-4418-01 | Crossing Automation/Asyst418-01, 3000-4418-01 |
11094 | Asyst Crossing Automation Brooks | 3200-4420-01 | Asyst | 3000-4420-01 | Crossing Automation/Asyst420-01, I/O Board, 3000-4420-01 |
11095 | Asyst Crossing Automation Brooks | 3200-4420-01 | Asyst | 3000-4420-01 | Crossing Automation Asyst420-01, I/O Board, 3000-4420-01 |
11096 | Asyst Crossing Automation Brooks | 3200-4420-01 | Asyst | 3000-4420-01 | Crossing Automation Asyst420-01, I/O Board, 3000-4420-01 |
11097 | Asyst Crossing Automation Brooks | 3200-4420-02 | Asyst | 3000-4420-02 | Crossing Automation Asyst420-02, I/O Board, 3000-4420-02 |
11098 | Asyst Crossing Automation Brooks | 3200-4420-02 | Asyst | Crossing Automation/Asyst420-02 | |
11099 | Asyst Crossing Automation Brooks | 3200-4468 | Crossing Automation468 Brooks, Asyst FAB 3000-4468-02 PCB | ||
11100 | Asyst Crossing Automation Brooks | 3200-4496 | BROOKS ASYST CROSSING AUTOMATION ASSEMBLY496 FAB 3000-4469-01 | ||
11101 | Asyst Crossing Automation Brooks | 3200-4496 | BROOKS ASYST CROSSING AUTOMATION ASSEMBLY496 FAB 3000-4469-01 | ||
11102 | Asyst Crossing Automation Brooks | 3200-4500 | 3000-4500-01 | Asyst/Crossing Automation500 PCB, 3000-4500-01 | |
11103 | Asyst Crossing Automation Brooks | 381-600090-3 | 308 600039 4 | Asyst 381-600090-3, 308 600039 4, 6″ Limit Sensor PCA. | |
11104 | Asyst Crossing Automation Brooks | 3829-1 | Asyst 3829-1 assembely, Core 3FC120A006300, 233386030 | ||
11105 | Asyst Crossing Automation Brooks | 3ASSYC805500 | Asyst Shinko 3ASSYC805500 shinko electric OHT-CPU PCB board | ||
11106 | Asyst Crossing Automation Brooks | 3ASSYC808200 | Oshinko | M-182B | Asyst Oshinko 3ASSYC808200 ASSY PCB DRIVER POWER SUPPLY BOARD, M-182B, DDRV-U |
11107 | Asyst Crossing Automation Brooks | 3ASSYC808200 | Shinko | ASYST SHINKO 3ASSYC808200 Driver, Power supply Board | |
11108 | Asyst Crossing Automation Brooks | 3CL520A011500-01 | Shinko | ASYST SHINKO 3CL520A011500-01 ASSY, TRANSFORMER, FRONT-LEFT | |
11109 | Asyst Crossing Automation Brooks | 3CL520A011500-03 | ASYST 3CL520A011500-03 ASSEMBLY, ASSY, TRANSFOME, R BACK-LEFT | ||
11110 | Asyst Crossing Automation Brooks | 3FC120A003700 | Asyst Shinko 3FC120A003700-2 Assembly, Assy, Gyro, GRS 03-02R, Silicon sensring | ||
11111 | Asyst Crossing Automation Brooks | 3FC120A007100 | Shinko | Z-Axis motor assy | |
11112 | Asyst Crossing Automation Brooks | 3FC120A010100 | Asyst Shinko 3FC120A010100 Assembly Assy Obstruction | ||
11113 | Asyst Crossing Automation Brooks | 3FC120A010100 | Asyst Shinko 3FC120A010100 Assembly Assy Obstruction Sensor 3 | ||
11114 | Asyst Crossing Automation Brooks | 3FC120AA007500 | Assembly, collector ring 2 | ||
11115 | Asyst Crossing Automation Brooks | 3FC120B062100 | Asyst Shinko Cable 3FC120B062100 | ||
11116 | Asyst Crossing Automation Brooks | 3FC120B062200 | Asyst Shinko Cable 3FC120B062200 | ||
11117 | Asyst Crossing Automation Brooks | 3FC120B062300 | Asyst Shinko 3FC120B062300 Cable | ||
11118 | Asyst Crossing Automation Brooks | 3FE113C005400 | Shinko | Shinko 3FE113C005400 Assembly, Printed Circuit, Panel 3 | |
11119 | Asyst Crossing Automation Brooks | 4001-1354-01 | Asyst354-01, SMIF Pod, Wafer Cassette, 150mm, Hoop | ||
11120 | Asyst Crossing Automation Brooks | 4001-1354-01 | Asyst354-01, SMIF Pod, Wafer Cassette, 150mm, Hoop | ||
11121 | Asyst Crossing Automation Brooks | 4001-6908-01 | Asyst908-01 Cassette, Loader, Platform, SMIF | ||
11122 | Asyst Crossing Automation Brooks | 4001-6908-01 | Asyst908-01 Cassette, Loader, Platform, SMIF | ||
11123 | Asyst Crossing Automation Brooks | 4001-6908-01 | Asyst908-01 Cassette, Loader, Platform, SMIF | ||
11124 | Asyst Crossing Automation Brooks | 4001-6908-01 | Asyst908-01 Cassette, Loader, Platform, SMIF | ||
11125 | Asyst Crossing Automation Brooks | 4001-6908-01 | Asyst908-01 Cassette, Loader, Platform, SMIF | ||
11126 | Asyst Crossing Automation Brooks | 4001-6927-01 | Asyst927-01 Cassette Platform w/ 22B Motor & 3000-1034-01A PCB | ||
11127 | Asyst Crossing Automation Brooks | 4001-6927-01 | Asyst927-01 Cassette Platform, SMIF, Indexer, 200 MM | ||
11128 | Asyst Crossing Automation Brooks | 4001-6927-01 | Asyst927-01 Cassette Platform, SMIF, Indexer, 200mm | ||
11129 | Asyst Crossing Automation Brooks | 4001-6927-01 | Asyst927-03 Cassette Platform, SMIF, Indexer, 200mm | ||
11130 | Asyst Crossing Automation Brooks | 4001-6927-01 | Asyst927-01 Cassette Platform, SMIF, Indexer, 200mm927-03 | ||
11131 | Asyst Crossing Automation Brooks | 4002-0451-01 | Lot of Two Asyst451-01 Cover Robot | ||
11132 | Asyst Crossing Automation Brooks | 4002-0451-01 | Lot of Two Asyst451-01 Cover Robot | ||
11133 | Asyst Crossing Automation Brooks | 4002-5679-01 | Asyst Technologies679-01, REV C, Faulhaber 9701-0135-01 REV A | ||
11134 | Asyst Crossing Automation Brooks | 4002-6446-01 | Asyst446-01229-01, wafer mapper, assembly, FRU, OPT | ||
11135 | Asyst Crossing Automation Brooks | 4002-6446-01 | Asyst Technologies446-01 dual arm assy229-01 ASSY, 9701-2143-01 | ||
11136 | Asyst Crossing Automation Brooks | 4002-6446-01 | ASYST Wafer Mapper Assy, Sunx Sensor446-01, PCB229-01 | ||
11137 | Asyst Crossing Automation Brooks | 4002-6446-01 | 9701-3751-01 | Asyst446-01, Dual Arm Assembly, 9701-3751-01. | |
11138 | Asyst Crossing Automation Brooks | 4002-6446-01 | Asyst446-01 Wafer Mapper Assy w/ Sunx Sensor29-01 PCB | ||
11139 | Asyst Crossing Automation Brooks | 4002-6446-01 | Asyst446-01 A, 9701-2143-01 wafer mapper, assembly, FRU, OPT229 | ||
11140 | Asyst Crossing Automation Brooks | 4002-6446-01 | Asyst446-01 Robot Wafer Mapper Dual Arm229-01, 1229-01-16001780 | ||
11141 | Asyst Crossing Automation Brooks | 4002-6446-01 | Asyst technologies446-01 dual arm assy229-01 assy Number | ||
11142 | Asyst Crossing Automation Brooks | 4002-6446-01/A | Asyst446-01/A, Assembly, FRU, OPT, Wafer Mapper, BTB, F12c Copper. | ||
11143 | Asyst Crossing Automation Brooks | 4002-6446-01/A | Asyst446-01/A, Assembly, FRU, OPT, Wafer Mapper, BTB, F12c Copper. | ||
11144 | Asyst Crossing Automation Brooks | 4002-6633-01 | Asyst Spartan EFEM wafer mapper shuttle assy633-01, no mapper | ||
11145 | Asyst Crossing Automation Brooks | 4002-6633-01 | Asyst633-01 Spartan EFEM SORTER, RFID, CROSSING AUTOMATION, WAFER MAPPER | ||
11146 | Asyst Crossing Automation Brooks | 4002-6633-01 | Crossing Automation Asyst633-01 Spartan, Wafer Mapper Sorter RFID | ||
11147 | Asyst Crossing Automation Brooks | 4002-6633-01 | Asyst633-01 Spartan EFEM Wafer Mapper Shuttle; we are selling as parts | ||
11148 | Asyst Crossing Automation Brooks | 4002-6633-01 | Asyst633-01 Wafer Mapper assembly | ||
11149 | Asyst Crossing Automation Brooks | 4002-6633-01 | Asyst633-01 Spartan EFEM SORTER, RFID, CROSSING AUTOMATION, MAPPER REV 2 | ||
11150 | Asyst Crossing Automation Brooks | 4002-6633-01 | Crossing Automation Asyst633-01 Spartan, Wafer Mapper Sorter RFID | ||
11151 | Asyst Crossing Automation Brooks | 4002-6633-01 | Asyst633-01 Spartan EFEM Wafer Mapper Shuttle, RFID, CROSSING AUTOMATION | ||
11152 | Asyst Crossing Automation Brooks | 4002-7003-01 | Ceramic Ring033-01 | ||
11153 | Asyst Crossing Automation Brooks | 4002-7369-01 | asyst369-01 Roboto blade | ||
11154 | Asyst Crossing Automation Brooks | 4002-8135-01 | Asyst135-01 Blade Mount, | ||
11155 | Asyst Crossing Automation Brooks | 4002-8136-01 | ASYST136-01 Wafer handler end effector robot blade | ||
11156 | Asyst Crossing Automation Brooks | 4002-8414-01 | Asyst Assembly, Asyst Scout Gateway, Communication Splitter414-01 | ||
11157 | Asyst Crossing Automation Brooks | 4002-8414-01 | Asyst Assembly, Asyst Scout Gateway, Communication Splitter414-01 | ||
11158 | Asyst Crossing Automation Brooks | 4002-8414-01 | Asyst Assembly, Asyst Scout Gateway, Communication Splitter414-01 | ||
11159 | Asyst Crossing Automation Brooks | 4002-9005-01 | Asyst005-01 Power Supply, V ADJ | ||
11160 | Asyst Crossing Automation Brooks | 4002-9005-01 | Asyst005-01 Power Supply, V ADJ | ||
11161 | Asyst Crossing Automation Brooks | 4003-0283-01 | Asyst283-01 Dual Arm Assembly Wafer Mapper | ||
11162 | Asyst Crossing Automation Brooks | 4003-0283-01 | ASYST283-01 ASSY FRU OPT WAFER MAPPER BTB FWM-1012-0033 | ||
11163 | Asyst Crossing Automation Brooks | 4003-0464-02 | US DIGITAL E4P-300-197-D-D-D-B464-02, 28PA10K385S, 9701-4048 | ||
11164 | Asyst Crossing Automation Brooks | 4003-0842-01 | Asyst Panel842-01 | ||
11165 | Asyst Crossing Automation Brooks | 4003-2040-01 | Asyst040-01 X Plate Base Foup ADV | ||
11166 | Asyst Crossing Automation Brooks | 4003-2050-01 | Crossing Automation | Brooks | 2 Asyst050-01 Arm, Crossing Automation, Brooks |
11167 | Asyst Crossing Automation Brooks | 4003-2056-01 | Asyst056-01 Mounting Bracket Excel 2710 | ||
11168 | Asyst Crossing Automation Brooks | 4003-2094-01 | Asyst094-01 Robot Blade, End Effector | ||
11169 | Asyst Crossing Automation Brooks | 4003-2104-01 | Brooks | 3200-4426-04 | Asyst End Effector, Robot104-01, w/ Brooks426-04 |
11170 | Asyst Crossing Automation Brooks | 4003-2104-01 | Asyst End Effector, Robot104-01 | ||
11171 | Asyst Crossing Automation Brooks | 4003-2872-01 | 4003-0541-01 | Asyst872-01 Robot Blade, End Effector541-01 | |
11172 | Asyst Crossing Automation Brooks | 4003-2872-01 | 4003-0541-01 | Asyst872-01 Robot Blade, End Effector541-01 | |
11173 | Asyst Crossing Automation Brooks | 4003-2910-01 | Asyst910-01 Mechanical Housing | ||
11174 | Asyst Crossing Automation Brooks | 4003-3011-01 | ASYST011-01 SERVO MOTOR, REV A, DELTRAN PT, BRP-19AL, 24 VDC | ||
11175 | Asyst Crossing Automation Brooks | 4003-3011-01 | ASYST011-01 SERVO MOTOR, REV A, 24 VDC | ||
11176 | Asyst Crossing Automation Brooks | 4003-3011-01 | Asyst011-01 Rev.001, Servo Motor, 24VDC | ||
11177 | Asyst Crossing Automation Brooks | 4003-3781-01-002 | Asyst781-01-002, Plastic Guard, 22.5″ x 14.5″, 22.5″ x 13.5″ | ||
11178 | Asyst Crossing Automation Brooks | 4296-02-16000374 | Asyst Technologies2-16000374 PCB, ASML72.90141FSD | ||
11179 | Asyst Crossing Automation Brooks | 45PM-17297 | New Asyst7297 Cross Roller, bearing | ||
11180 | Asyst Crossing Automation Brooks | 55-0025-00 | Asyst | 54-0025-00-01 | Crossing Automation/Asyst 55-0025-00, 54-0025-00-01 |
11181 | Asyst Crossing Automation Brooks | 6200-6185-01 | Meanwell | SDC-100C-24 | Asyst Power Supply Kit 6200-6185-01 Mean well SDC-100C-24, SDC-25C-5, SDC-25C-12 |
11182 | Asyst Crossing Automation Brooks | 6500-0641-01 | 7 ASYST 6500-0641-01 BROOKS CROSSING AUTOMATION | ||
11183 | Asyst Crossing Automation Brooks | 6900-2333-01 | 2 NEW Asyst 6900-2333-01 Bar Kit IPC, SIMCO, LV Bar Ionizer,0, 24 VDC | ||
11184 | Asyst Crossing Automation Brooks | 9700-4308-01 | Asyst 9700-4308-01 PCB, Sensor LPT | ||
11185 | Asyst Crossing Automation Brooks | 9700-4312-01 | Asyst 9700-4312-01 Brake | ||
11186 | Asyst Crossing Automation Brooks | 9700-4347-01 | ASYST 9700-4347-01 CABLE | ||
11187 | Asyst Crossing Automation Brooks | 9700-4420-01 | Asyst 9700-4420-01 Smart Tag RFID, ST-8260 | ||
11188 | Asyst Crossing Automation Brooks | 9700-5819-01 | Asyst 9700-5819-01 Fan Filter Unit Controller CMS II, FFU | ||
11189 | Asyst Crossing Automation Brooks | 9700-6191-01 | 9234E318-R2 | Asyst 9700-6191-01 Pittman LO-COG DC Motor Pittman part# 9234E318-R2 24 VDC | |
11190 | Asyst Crossing Automation Brooks | 9700-6682-01 | Asyst technologies 9700-6682-01 Pittman Motor, POD, Advance | ||
11191 | Asyst Crossing Automation Brooks | 9700-7017-01 | Asyst 9700-7017-01 Sensor, Pod, Pres | ||
11192 | Asyst Crossing Automation Brooks | 9700-7017-01 | Asyst 9700-7017-01 Sensor Pod, Pres | ||
11193 | Asyst Crossing Automation Brooks | 9700-7017-01 | KLA Tenor | 0040898-000 | Asyst 9700-7017-01, KLA Tenor 0040898-000, Sensor, Pod, Pres, Asyst LDPT S3 |
11194 | Asyst Crossing Automation Brooks | 9700-8861 | ASYST 9700-8861 LEAD SCREW ASSY, FRONT LOAD 0.2 LEAD, PITTMAN 9236E466 | ||
11195 | Asyst Crossing Automation Brooks | 9700-8861-01 | Asyst 9700-8861-01, Loader Motor Assy with Pittman 9236E466-R2 DC Motor. | ||
11196 | Asyst Crossing Automation Brooks | 9700-8946-01 | Asyst 9700-8946-01 Wafer Mapper Connector. | ||
11197 | Asyst Crossing Automation Brooks | 97001-8861-01 | Pittman | Asyst 9700-8861-01 Assembly, LDSCR Front Load, 0.2 Lead,075, Pittman | |
11198 | Asyst Crossing Automation Brooks | 9701-1014-01 | 4007-8135-01R.B | Asyst 9701-1014-01, Robot Blade End Effector135-01R.B, | |
11199 | Asyst Crossing Automation Brooks | 9701-1057-01 | ASYST Technologies 9701-1057-01 PCB Board, FAB 3000-1201-03 | ||
11200 | Asyst Crossing Automation Brooks | 9701-1058-01 | 4002-5679-01 | Asyst 9701-1058-01, PCB Assy, Smart Tag679-01, | |
11201 | Asyst Crossing Automation Brooks | 9701-1059-01 | Asyst 9701-1059-01 PCB Board, FAB 3000-1209-02, | ||
11202 | Asyst Crossing Automation Brooks | 9701-1059-02A | 2 Asyst Technologies 9701-1059-02A PCB, Fab 3000-1209-03 | ||
11203 | Asyst Crossing Automation Brooks | 9701-1129-05 | ASYST 9701-1129-05 CABLE | ||
11204 | Asyst Crossing Automation Brooks | 9701-1129-05 | ASYST 9701-1129-05 CABLE, REV 001 SPL | ||
11205 | Asyst Crossing Automation Brooks | 9701-1190-01 | 2 Asyst 9701-1190-01 Cable Assembly, Assy | ||
11206 | Asyst Crossing Automation Brooks | 9701-1525-01 | ASYST 9701-1525-01 CROSSING AUTOMATION ASSEMBLY AG SWITCH | ||
11207 | Asyst Crossing Automation Brooks | 9701-1796-05 | Asyst 9701-1796-05 Assembly, FRU, 300I Controller PCB | ||
11208 | Asyst Crossing Automation Brooks | 9701-2090-01 | Ametek | 9236E430-R3 | Crossing Automation 9701-2090-01 Motor Assembly, Motor Encoder, FOUP |
11209 | Asyst Crossing Automation Brooks | 9701-2143-01 | Asyst 9701-2143-01 assymbely, SUNX Digital Fiber Sensor FX-301-NK2 | ||
11210 | Asyst Crossing Automation Brooks | 9701-2143-01 | SunX | FA-301 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB |
11211 | Asyst Crossing Automation Brooks | 9701-2143-01 | SunX | FX-300 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB |
11212 | Asyst Crossing Automation Brooks | 9701-2143-01 | SunX | FX-300 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB |
11213 | Asyst Crossing Automation Brooks | 9701-2143-01 | SunX | FX-300 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB |
11214 | Asyst Crossing Automation Brooks | 9701-2143-01 | SunX | FX-300 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB |
11215 | Asyst Crossing Automation Brooks | 9701-2143-01 | SunX | FX-300 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB |
11216 | Asyst Crossing Automation Brooks | 9701-2143-01 | SunX | FX-300 | Asyst Crossing Automation 9701-2143-01, Assy, Fru, Opt Wafer Mapper, BTB |
11217 | Asyst Crossing Automation Brooks | 9701-2148-02 | NEW ASYST 9701-2148-02 END EFFECTOR CERAMIC | ||
11218 | Asyst Crossing Automation Brooks | 9701-2148-02 | NEW ASYST 9701-2148-02 END EFFECTOR CERAMIC136-01R | ||
11219 | Asyst Crossing Automation Brooks | 9701-2148-02 | NEW ASYST 9701-2148-02 END EFFECTOR CERAMIC | ||
11220 | Asyst Crossing Automation Brooks | 9701-2157-01 | Asyst crossing automation 9701-2157-01, 4301239986 assembly, ACTV POD | ||
11221 | Asyst Crossing Automation Brooks | 9701-2431-01 | Asyst 9701-2431-01 Keyboard and Mouse Emulation for PS/2 | ||
11222 | Asyst Crossing Automation Brooks | 9701-2490-02 | ASYST Technology Pittman 9701-2490-02 Assy MOTOR Encoder Radial | ||
11223 | Asyst Crossing Automation Brooks | 9701-2510 | Asyst 9701-2510 Cable | ||
11224 | Asyst Crossing Automation Brooks | 9701-2914-02 | ASYST 9701-2914-02 CABLE, BIZ AOB001, REV B | ||
11225 | Asyst Crossing Automation Brooks | 9701-2998-01 | Crossing Automation Asyst 9701-2998-01 End Effector, Robot blade, Looks New | ||
11226 | Asyst Crossing Automation Brooks | 9701-3102-01 | ASYST 9701-3102-01 Wafer handler end effector robot blade, Thin AEG EE, CU ASSY | ||
11227 | Asyst Crossing Automation Brooks | 9701-3102-01 | ASYST 9701-3102-01 Wafer handler end effector robot blade, Thin AEG EE | ||
11228 | Asyst Crossing Automation Brooks | 9701-3102-01 | ASYST 9701-3102-01 Wafer handler end effector robot blade, Thin AEG EE | ||
11229 | Asyst Crossing Automation Brooks | 9701-3279-01 | crossing Automation | Crossing Automation Asyst 9701-2149-02 End Effector Assembly Kit, 9701-1433-03 | |
11230 | Asyst Crossing Automation Brooks | 9701-3465 | Asyst Spartan Ceramic END EFFECTOR for Assy 9701-3465 | ||
11231 | Asyst Crossing Automation Brooks | 9701-3465 | Asyst 9701-3465 Applied Ceramics END EFFECTOR VAC WIDE LWR assy, 9701-3465-02-D | ||
11232 | Asyst Crossing Automation Brooks | 9701-3651-01 | Asyst Advantag 918040.715723-001009, ATR9180 HSMS, 9701-3651-01 | ||
11233 | Asyst Crossing Automation Brooks | 9701-3689-01 | US Digital | E4P-300-197 | Asyst 9701-3689-01 Servo Stepper Motor US Digital E4P-300-197 Lin02D-02RO |
11234 | Asyst Crossing Automation Brooks | 9701-3710-01 | Pittman | 9236E867-R4 | Asyst 9701-3710-01 Servo Motor, Pittman 9236E867-R4, 24 VDCR |
11235 | Asyst Crossing Automation Brooks | 9701-3710-01 | Pittman | 9236E867-R4 | Asyst 9701-3710-01 Servo Motor, Pittman 9236E867-R4, 24 VDCR |
11236 | Asyst Crossing Automation Brooks | 9701-3715-01 | ASYST SERVO MOTOR ASSEMBLY 9701-3715-01462-01464-01 | ||
11237 | Asyst Crossing Automation Brooks | 9701-3715-01 | ASYST SERVO MOTOR ASSEMBLY 9701-3715-01, ASYST464-01 | ||
11238 | Asyst Crossing Automation Brooks | 9701-3715-02 | ASYST 9701-3715-02 MOTOR 28PA10K385S | ||
11239 | Asyst Crossing Automation Brooks | 9701-3806-01 | 2 NEW ASYST 9701-3806-01 REV C, BIZ AOB001 | ||
11240 | Asyst Crossing Automation Brooks | 9701-3806-01 | 3 NEW ASYST 9701-3806-01 REV C, BIZ AOB001 | ||
11241 | Asyst Crossing Automation Brooks | 9701-3806-01 | NEW ASYST 9701-3806-01 REV C, BIZ AOB001, | ||
11242 | Asyst Crossing Automation Brooks | 9701-3806-01 | Asyst 9701-3806-01 BIZ A0B001 | ||
11243 | Asyst Crossing Automation Brooks | 9701-3806-01 | Asyst 9701-3806-01, BIZ A0B001 | ||
11244 | Asyst Crossing Automation Brooks | 9701-4142-01 | 9701-4143-01 | 3200-4358-01 | 1 set of Asyst 9701-4142-01, 9701-4143358-01 |
11245 | Asyst Crossing Automation Brooks | 9701-4142-01 | 9701-4143 | 3200-4358-01 | 4 sets of Asyst 9701-4142-01, 9701-4143358-01 |
11246 | Asyst Crossing Automation Brooks | 9701-4142-02 | 9701-4143-01 | 3200-4358-01 | 1 set of Asyst 9701-4142-02, 9701-4143-01358-01, 3000-4358-01 |
11247 | Asyst Crossing Automation Brooks | 9701-4142-02 | 9701-4143-01 | 3200-4358-01 | 2 sets of Asyst 9701-4142-02, 9701-4143-01358-01, 3000-4358-01 |
11248 | Asyst Crossing Automation Brooks | 9701-4228-52 | Asyst 9701-4228-52 Cable Assy, 9701-4215-01, 7000-0421-04 | ||
11249 | Asyst Crossing Automation Brooks | 9701-4329-02 | Asyst 9701-4329-02 Chuck, Aligner, LC, Assy | ||
11250 | Asyst Crossing Automation Brooks | 9701-4329-02 | ASYST 9701-4329-02 CHUCK, ALIGNER, LC, ASSY | ||
11251 | Asyst Crossing Automation Brooks | 9701-4329-02 | ASYST 9701-4329-02 CHUCK, ALIGNER, LC, ASSY | ||
11252 | Asyst Crossing Automation Brooks | 9701-4610-11 | Asyst 9701-4610-11 Assembly Arm, Door990-02 QTY=2 | ||
11253 | Asyst Crossing Automation Brooks | 9701-4715-01 | ASYST 9701-4715-01 CABLE, BIZ AOB001 | ||
11254 | Asyst Crossing Automation Brooks | 9701-5065-01 | 4002-6446-01 | 2 Asyst 9701-5065-01, Dual Arm Assembly446-01. | |
11255 | Asyst Crossing Automation Brooks | 9701-5362-01 | ASYST 9701-5362-01 SERVO MOTOR ASSEMBLY 9701-3715-02, ASYST998-01 | ||
11256 | Asyst Crossing Automation Brooks | 9701-5362-01 | ASYST 9701-5362-01 SERVO MOTOR ASSEMBLY 9701-3715-02, ASYST998-1 | ||
11257 | Asyst Crossing Automation Brooks | 9701-5362-01 | ASYST 9701-5362-01 SERVO MOTOR ASSEMBLY 9701-3715-01, ASYST998-1, 28PA10K | ||
11258 | Asyst Crossing Automation Brooks | 9701-5399-01 | Crossing Automation Asyst 9701-5399-01 KIT, Mapper, Door, Gen | ||
11259 | Asyst Crossing Automation Brooks | 9701-5402-01 | ASYST 9701-5402-01 CABLE, REV A | ||
11260 | Asyst Crossing Automation Brooks | 9701-5403-01 | ASYST 9701-5403-01 CABLE, REV A | ||
11261 | Asyst Crossing Automation Brooks | 9701-5417-01 | ASYST 9701-5417-01 REV 0.001 CABLE WW16-2012 DA | ||
11262 | Asyst Crossing Automation Brooks | 9701-5580-01 | Asyst 9701-5580-01 Cable | ||
11263 | Asyst Crossing Automation Brooks | Assy 55005 | G2-V2, Fab 53007-02 | Crossing Automation, Asyst Assy 55005, I/O Board G2-V2, FAB 53007-02, PCB | |
11264 | Asyst Crossing Automation Brooks | ATR | 9700-9961-01 | Asyst ATR Gateway, Scout Gateway, 9700-9961-01 | |
11265 | Asyst Crossing Automation Brooks | C0091-0628-01 | Asyst C0091-0628-01 Metal Driver | ||
11266 | Asyst Crossing Automation Brooks | C0091-0628-01 | Asyst C0091-0628-01 Metal Driver | ||
11267 | Asyst Crossing Automation Brooks | C0094-0048-01 | Asyst C0094-0048-01 Fan/Filter Assembly, S4001-5401-01, | ||
11268 | Asyst Crossing Automation Brooks | C0094-0228-01 | Asyst C0094-0228-01 Fan/Filter Assy | ||
11269 | Asyst Crossing Automation Brooks | CS3500NS | 3 Automated Wafer Transfer System, UTM-3500NS Wafer Robot Control, Asyst CS-3500NS | ||
11270 | Asyst Crossing Automation Brooks | E84 | Asyst Scout E84 Remote Communication Node | ||
11271 | Asyst Crossing Automation Brooks | EG-300B-009 | Asyst EG-300B-009 Wafer Aligner, 24VDC, 3A, | ||
11272 | Asyst Crossing Automation Brooks | EG-300B-009 | Asyst EG-300B-009 Wafer Aligner, 24VDC, 3A, | ||
11273 | Asyst Crossing Automation Brooks | EG-300B-12A | 4003-0242-01 | Asyst Crossing Automation EG-300B-012A 300mm Wafer Prealigner Robot242-01 | |
11274 | Asyst Crossing Automation Brooks | IVTEPDBG001 | Asyst Ring, IVTEPDBG001 | ||
11275 | Asyst Crossing Automation Brooks | N2342A212 | Pittman | N2342A212 | Asyst motor, R drive, lower pittman N2342A212 |
11276 | Asyst Crossing Automation Brooks | P-55-021-00-01 | Crossing Automation P-55-021-00-01, SL Interconnect #1 Left, PCB. | ||
11277 | Asyst Crossing Automation Brooks | PCB-00471000-00 | Asyst PCB-00471000-00, Robot End Effector, Kollmorgen Servotronix. | ||
11278 | Asyst Crossing Automation Brooks | PCB-00471000-00 | Asyst Robot End Effector, Kollmorgen Servotronix PCB-00471000-00 DASA. | ||
11279 | Asyst Crossing Automation Brooks | robot blade | ASYST ROBOT BLADE | ||
11280 | Asyst Crossing Automation Brooks | Rocketport 16 | Comtrol Rocketport 16 Port Surge Interface | ||
11281 | Asyst Crossing Automation Brooks | SB-100A-030 | Asyst SB-100A-030, Pendant Controller. | ||
11282 | Asyst Crossing Automation Brooks | SB-731 | Asyst SB-731 Robot Pendant Controller, Looks New | ||
11283 | Asyst Crossing Automation Brooks | SB-731 | Asyst SB-731, RT13-5CJ-304-BKcsy, DC24V 60mA, Robot Pendant Controller. | ||
11284 | Asyst Crossing Automation Brooks | SB-731 | RT13-5CJ-315-BKcsy | Asyst SB-731, RT13-5CJ-315-BKcsy, Robot Pendant Controller. | |
11285 | Asyst Crossing Automation Brooks | SB-731 | Asyst SB-731 Robot Pendant Controller, Looks New | ||
11286 | Asyst Crossing Automation Brooks | SLR0708, | 2 Crossing Automation Robot Assembly SLR0708, 0168004, 1-603-890-6001, PCB 55008 | ||
11287 | Asyst Crossing Automation Brooks | TG-01G-RU-625-KB24 | Asyst Shinko TG-01G-RU-625-KB24, DC Geared Motor | ||
11288 | Asyst Crossing Automation Brooks | VLF-RD2008 | ASYST FLUOROTRAC SYSTEM TECH VLF-RD2008 | ||
11289 | Asyst Crossing Automation Brooks | WX 73 | Asyst WX 73 Wafer Mapper, Hine Design HA4 Robot 0400-088, Robot Assembly | ||
11290 | Asyst Crossing Automation Brooks | WX 73 | Asyst WX 73 Wafer Mapper, Hine Design HA4 Robot 0400-088, Robot Assembly | ||
11291 | Asyst Crossing Automation Brooks | ZQM501-014 | Toshiba | M300J1US | Asyst ZQM501-014 IGBT Toshiba MG300J1US51 |
11292 | Asyst Crossing Automation Brooks | ZSNRRAY-T-085 | New Asyst ZSNRRAY-T-085 Photo Sensor | ||
11293 | Asyst Crossing Automation Brooks | Asyst Ring | |||
11294 | Asyst Crossing Automation Brooks | Asyst Ring, JKTEN265G02, N100210-W91011900S-012 | |||
11295 | Asyst Crossing Automation Brooks | Asyst Ring | |||
11296 | Asyst Crossing Automation Brooks | Asyst Ring, JKTEPD65G07, N100806C-W91011900S-009 | |||
11297 | Asyst Crossing Automation Brooks | Asyst Ring, JKTEPD65G03, iTTO-945-5001, N091006-W91011900S-008 | |||
11298 | Asyst Crossing Automation Brooks | Asyst Ring | |||
11299 | Asyst Crossing Automation Brooks | Crossing Automation Ball Screw 31″ | |||
11300 | Asyst Crossing Automation Brooks | Asyst Robot Blade, End Effector | |||
11301 | Asyst Crossing Automation Brooks | Asyst Robot Blade, End Effector | |||
11302 | Asyst Crossing Automation Brooks | Asyst Cassette Loader, Fixture, Plate, Robot | |||
11303 | Asyst Crossing Automation Brooks | Asyst Robot Blade, End Effector | |||
11304 | Asyst Crossing Automation Brooks | Asyst Robot Blade, End Effector | |||
11305 | Asyst Crossing Automation Brooks | Asyst Robot Cover | |||
11306 | Asyst Crossing Automation Brooks | Asyst, Can Device, Communication Splitter | |||
11307 | Asyst Crossing Automation Brooks | Asyst Robot Wafer Prealigner | |||
11308 | Asyst Crossing Automation Brooks | Asyst Robot Blade, End Effector | |||
11309 | Asyst Crossing Automation Brooks | Asyst Robot Blade, End Effector | |||
11310 | Asyst Crossing Automation Brooks | Asyst Cassette Loader, Fixture, Plate, Robot | |||
11311 | Asyst Crossing Automation Brooks | Asyst Robot Blade, End Effector | |||
11312 | Asyst Crossing Automation Brooks | Asyst Robot Blade, End Effector | |||
11313 | Asyst Crossing Automation Brooks | Asyst Robot Cover | |||
11314 | Asyst Crossing Automation Brooks | 3FC120B030600 | Asyst Shinko 3FC120B030600 Wiring Harness OHT Vehicle 1, F11X4246 | ||
11315 | Asyst Crossing Automation Brooks | 5850 | BROOKS Mass Flow Controller 5850 , SILANECM | ||
11316 | Asyst Crossing Automation Brooks | 5964 | Brooks Mass Flow Controller 5964, WF6, 1 SLPM | ||
11317 | Asyst Crossing Automation Brooks | 5964 | MFC, NF3 gas, 1 SLPM | ||
11318 | Asyst Crossing Automation Brooks | 5964 | MFC, Ar gas,cm | ||
11319 | Asyst Crossing Automation Brooks | 5964 | Brooks 5964, Ar,CM, MFC, Mass Flow Controller (Calibrated) | ||
11320 | Asyst Crossing Automation Brooks | 5964 | Novellus | 22-10527-00 | Novellus 22-10527-00 Brooks 5964 MFC, gas N2 |
11321 | Asyst Crossing Automation Brooks | 5964 | Brooks 5964 MFC 2 SLM Gas H2 | ||
11322 | Asyst Crossing Automation Brooks | 5964 | MFC, SiH4 gas, 200sccm | ||
11323 | Asyst Crossing Automation Brooks | 5964 | Brooks 5964, 5964, Mass Flow Controller, H2 0-20 SLPM | ||
11324 | Asyst Crossing Automation Brooks | 5964 | 22-10526-01 | Brooks 5964 Mass Flow Controller, MFC, 22-10526-01, H2, 20 SLPM | |
11325 | Asyst Crossing Automation Brooks | 5964 | 5964C1XAM1BKA | Brooks 5964 Mass Flow Controller, MFC, 5964C1XAM1BKA, ArM | |
11326 | Asyst Crossing Automation Brooks | 5964 | 596C4MAFQ5KA | Brooks 5964 Mass Flow Controller 596C4MAFQ5KA 22-131035-00, Si, 250 SCCM | |
11327 | Asyst Crossing Automation Brooks | 5964 | 5964C7MADG5KA | Brooks 5964 Mass Flow Controller, 5964C7MADG5KA, O2 25 SCCM | |
11328 | Asyst Crossing Automation Brooks | 108378 | Brooks Automation BrooksNet DN 108378 | ||
11329 | Asyst Crossing Automation Brooks | 110884 | Novellus | 63-266699-00 | Brooks 110884 Arm, ATR7, Rear Tube Map, Omron Amp, C3VCTR, Novellus |
11330 | Asyst Crossing Automation Brooks | 121669 | Brooks Automation Used Robot 121669 | ||
11331 | Asyst Crossing Automation Brooks | 129973 | Brooks Automation 129973 Series 8 Controller0 VAC,Hz | ||
11332 | Asyst Crossing Automation Brooks | 134384 | Brooks 134384, GEN9 DAFA External Module, Kollmorgen PRD-0057EXTB-02. | ||
11333 | Asyst Crossing Automation Brooks | 001-7600-02 | Brooks 001-7600-02 VacuTran 5 VTR5 Transfer Robot Drive | ||
11334 | Asyst Crossing Automation Brooks | MFC 5866RT | Gasline connection with MFC 5866RT series Brooks H2, 300 SCCM and baratron 750C | ||
11335 | Asyst Crossing Automation Brooks | 000-7050-31 | Brooks 000-7050-31 Robot Blade, 73126-09, | ||
11336 | Asyst Crossing Automation Brooks | 001-1984 | BROOKS AUTOMATION B ROBOT 001-1984 TEACH PENDANT | ||
11337 | Asyst Crossing Automation Brooks | 001-1984 | BROOKS AUTOMATION B ROBOT 001-1984 TEACH PENDANT | ||
11338 | Asyst Crossing Automation Brooks | 001-1984 | BROOKS AUTOMATION B ROBOT 001-1984 TEACH PENDANT | ||
11339 | Asyst Crossing Automation Brooks | 001-2300-45 | MRC | Brooks Automation, 001-2300-45, MRC Cluster Tool Transfer Chamber, | |
11340 | Asyst Crossing Automation Brooks | 002-5194-01 | automation controller assy with Mag 7.3 personality board, brooks 109810, Arcom AIM104-CAN 8-bit PC/104 module | ||
11341 | Asyst Crossing Automation Brooks | 002-5860-01 | Novellus | 27-155081-00 | CARD,THETA DRIVER,BROOKS ATR7, new in sealed package |
11342 | Asyst Crossing Automation Brooks | 002-5860-01 | Novellus | 27-123550 | PCB, Theta Driver, T1/T2 driver printed circuit board |
11343 | Asyst Crossing Automation Brooks | 002-7090-08 | Wafer Loader, Robot | ||
11344 | Asyst Crossing Automation Brooks | 002-7090-10 | Brooks Automation 002-7090-10, AquaTran 7 Wafer Transfer Robot, ATRY. | ||
11345 | Asyst Crossing Automation Brooks | 002-7090-14CUR | Brooks 002-7090-14CUR Robot with 101376 Controller, I/O Assembly 002-4674-09 | ||
11346 | Asyst Crossing Automation Brooks | 002-7391-26 | Brooks automation 002-7391-26 Acculigner Wafer notch finder / aligner | ||
11347 | Asyst Crossing Automation Brooks | 002-8421-01 | BROOKS AUTOMATION BROOKSNET I/O MODULE DEVICENET, 002-8421-01 and 002-8421R02 | ||
11348 | Asyst Crossing Automation Brooks | 002-8904-02 | Novellus | 20-155073-00 | End effector assy, 2 piece |
11349 | Asyst Crossing Automation Brooks | 002-8904-02 | Novellus | 20-155073-00 | End effector assy, 2 piece |
11350 | Asyst Crossing Automation Brooks | 002-9520-155 | Novellus | 04-172106-00 | Brooks Automation Kit, Robot, Atr8, 2 Foup ANL, SST with 017-0483-01 arm assy 18.8″, end effector 102893, and mapper assy 002-6300-09 |
11351 | Asyst Crossing Automation Brooks | 002-9520-155 | Brooks Automation 002-9520-155 Kit, Robot, Atr8, 2 Foup ANL, SST 04-172106-00 | ||
11352 | Asyst Crossing Automation Brooks | 003-99-115 | Brooks Wafer Transfer Cabinet Robot Assy w/ Techsol 003-99-115 Fan Assy | ||
11353 | Asyst Crossing Automation Brooks | 013501-087-17 | Novellus | 27-257140 | Pneumatic stop PCB |
11354 | Asyst Crossing Automation Brooks | 013502-084-25 | ProMus | SC12206 | Brooks 013502-084-25 Cable 84 (Stepper Motor), ProMus SC12206 |
11355 | Asyst Crossing Automation Brooks | 017-0344-01 | Novellus | 27-155130 | End effector, robot blade, fiber optics, Vector |
11356 | Asyst Crossing Automation Brooks | 1-5600-02 | Brooks Automation 1-5600-02 Robot, MTRS | ||
11357 | Asyst Crossing Automation Brooks | 1-5600-02 | BROOKS AUTOMATION 1-5600-02 M 2829 | ||
11358 | Asyst Crossing Automation Brooks | 101-2A0770 | Brooks Automation GmbH Fan Filter Unit, Ergoapeed II 101-2A0770 | ||
11359 | Asyst Crossing Automation Brooks | 109752-147 | Brooks Automation 109752-147 FabExpress Wafer Transfer Cabinet Robot Assy | ||
11360 | Asyst Crossing Automation Brooks | 110884 | Novellus | 63-266699-00 | Brooks 110884 Arm, ATR7, Rear Tube Map, Omron Amp, Novellus 63-266699-00 |
11361 | Asyst Crossing Automation Brooks | 4002-9746-01 | 2 Brooks746-01 Robot End Effector, 9701-3180-01 | ||
11362 | Asyst Crossing Automation Brooks | 4003-1944-01 | Brooks944-01 Vacuum Chamber 17″x17″x4″ with 2 SS Blankoff plates | ||
11363 | Asyst Crossing Automation Brooks | 5064C2MAFN5KA | Brooks 5964, MFC,MAFN5KA, Fluoroform 100 SCCM | ||
11364 | Asyst Crossing Automation Brooks | 5815C2G1A | Brooks model 5815C2G1A gas flow controller, O2CCM | ||
11365 | Asyst Crossing Automation Brooks | 5850C | Brooks 5850C Mass Flow Controller, MFC, ARCM,; we are selling as parts | ||
11366 | Asyst Crossing Automation Brooks | 5850C | Brooks 5850C Mass Flow Controller, MFC, N2CCM | ||
11367 | Asyst Crossing Automation Brooks | 5850E | Brooks 5850E Mass Flow Controller, MFC, SiH4, 200 SCCM | ||
11368 | Asyst Crossing Automation Brooks | 5850E | Brooks 5850E Mass Flow Controller, MFC, BCL3,M,; we are selling as parts | ||
11369 | Asyst Crossing Automation Brooks | 5850E | Brooks 5850E Mass Flow Controller, MFC, Ar, 300 mL/min[s] | ||
11370 | Asyst Crossing Automation Brooks | 5850E | Brooks 5850E Mass Flow Controller, MFC, Ar, 300 mL/min[s] | ||
11371 | Asyst Crossing Automation Brooks | 5850E | Brooks 5850EM Mass Flow Controller, MFC, BCL3/min[s] | ||
11372 | Asyst Crossing Automation Brooks | 5850E | Brooks 5850E Mass Flow Controller, MFC, Ar,/min[s] | ||
11373 | Asyst Crossing Automation Brooks | 5850E | Brooks 5850E Mass Flow Controller, MFC, Ar,/min[s] | ||
11374 | Asyst Crossing Automation Brooks | 5850E | Brooks 5850E Mass Flow Controller, MFC, Ar, 3 L/min[s] | ||
11375 | Asyst Crossing Automation Brooks | 5850EC3BG4QB2D, | AMAT | 0190-00074 | Brooks 5850E, BCL3, 200 SCCM, 5850EC3BG4QB2D, 0190-00074, MFC, VCO Connection |
11376 | Asyst Crossing Automation Brooks | 5850i | Brooks 5850i Mass Flow Controller, MFC, AR,M,; we are selling as parts | ||
11377 | Asyst Crossing Automation Brooks | 5850IA1BH3V2BEA | Brooks 5850, Mass Flow Controller, 5850IA1BH3V2BEA, Air 2 SLPM | ||
11378 | Asyst Crossing Automation Brooks | 5850IA1BM342BEA | Brooks 5850, Mass Flow Controller, 5850IA1BM342BEA, Oxygen 30 SLPM | ||
11379 | Asyst Crossing Automation Brooks | 5850IA1BM342BEA | Brooks 5850, Mass Flow Controller, 5850IA1BM342BEA, Oxygen 30 SLPM | ||
11380 | Asyst Crossing Automation Brooks | 5853EABA2A1D4BA | Brooks MFC 5853E series, Air, 250 SLPM, 5853EABA2A1D4BA, Air, 250 SLPM | ||
11381 | Asyst Crossing Automation Brooks | 5866EB1A1B2SUAA | Brooks 5866EB1A1B2SUAA Series 5866 Pressure Controller, N2, 30 SLPM | ||
11382 | Asyst Crossing Automation Brooks | 5866RB1A1G4M2NA | Brooks 5866RB1A1G4M2NA MFC, HE, 300 SCCM, Novellus 22-045874-00, 5866 RT | ||
11383 | Asyst Crossing Automation Brooks | 5866RB1A1G4M2NA | Brooks 5866RB1A1G4M2NA Mass Flow Controller, MFC, HE, 300 SCCM, 5866 RT | ||
11384 | Asyst Crossing Automation Brooks | 5866RB1A1G4M2NA | Brooks MFC 5866 RT series Pressure Controller 5866RB1A1G4M2NA, Helium, 300 SCCM | ||
11385 | Asyst Crossing Automation Brooks | 5877 Z | UESHIMA BROOKS MFC 5877 Z, BCL3, 200 SCCM | ||
11386 | Asyst Crossing Automation Brooks | 5896B11A | Brooks 5896B11A Readout Mass Flow Controller, 5896 | ||
11387 | Asyst Crossing Automation Brooks | 5896B11A | Brooks 5896B11A Readout Mass Flow Controller, 5896 | ||
11388 | Asyst Crossing Automation Brooks | 5896B11A | Brooks 5896B11A Readout Mass Flow Controller, 5896 | ||
11389 | Asyst Crossing Automation Brooks | 5896B11A | Brooks 5896B11A Readout Mass Flow Controller, 5896 | ||
11390 | Asyst Crossing Automation Brooks | 5896B11A | 3 Brooks 5896B11A Readout Mass Flow Controller, MFC Assy | ||
11391 | Asyst Crossing Automation Brooks | 5896B19A | Brooks 5896A1A Readout Mass Flow Controller, 5896 | ||
11392 | Asyst Crossing Automation Brooks | 5896B19A | Brooks 5896B19A Readout Mass Flow Controller, 5896 | ||
11393 | Asyst Crossing Automation Brooks | 5896B19A | Brooks 5896B19A Readout Mass Flow Controller, 5896 | ||
11394 | Asyst Crossing Automation Brooks | 5896B19A | Brooks 5896B19A Readout Mass Flow Controller, 5896 | ||
11395 | Asyst Crossing Automation Brooks | 5950ABBB2A5HTKA | Brooks 5950, 5950ABBB2A5HTKA, MFC, Nitrogen 1000 SCCM | ||
11396 | Asyst Crossing Automation Brooks | 5964-EP | Brooks 5964-EP MFC 300 sccm Gas NF3 | ||
11397 | Asyst Crossing Automation Brooks | 5964C2MAGQ5KA | Brooks MFC Mass Flow Controller 5964C2MAGQ5KA, Ar,CM | ||
11398 | Asyst Crossing Automation Brooks | 5964C4 | Brooks 5964C4, MFC, Mass Flow Controller, Silane, 200 SCCM, | ||
11399 | Asyst Crossing Automation Brooks | 5964C4MAHU5KA | Brooks 5964, Mass Flow Controller, 5964C4MAHU5KA, N20 1 SLPM | ||
11400 | Asyst Crossing Automation Brooks | 5964C4MAHU5KA | Brooks 5964, Mass Flow Controller, 5964C4MAHU5KA, SIH4 1 SLPM | ||
11401 | Asyst Crossing Automation Brooks | 5964C4MAKN5KA | Brooks 5964, Mass Flow Controller, 5964C4MAKN5KA, CHF3 100 SCCM | ||
11402 | Asyst Crossing Automation Brooks | 5964C4MALY5KA | Brooks 5964, Mass Flow Controller, 5964C4MALY5KA, N2 5 SLPM | ||
11403 | Asyst Crossing Automation Brooks | 5964C4MAM25KA | Brooks 5964, Mass Flow Controller, 5964C4MAM25KA, N2 10 SLPM | ||
11404 | Asyst Crossing Automation Brooks | 5964C4MAWP5KA | BROOKS 5964C4MAWP5KA MFC, Mass Flow Controller, SiH4, 200 SCCM | ||
11405 | Asyst Crossing Automation Brooks | 5964CZ77 | Brooks 5964, Mass Flow Controller, 5964CZ77, AR 30 SLPM | ||
11406 | Asyst Crossing Automation Brooks | 5965S | Novellus | 22-148117-00 | Novellus 22-148117-00 Brooks 5965S MFC, 30 SLM, gas O2, 15 pin |
11407 | Asyst Crossing Automation Brooks | 6256B7BA2A2ELAA | BROOKS 6256 MFC, CF4,CCM FLOW RATE looks clean | ||
11408 | Asyst Crossing Automation Brooks | 6256B7BA2A9PXAA | Pure Digital | 6256 | Brooks 6256B7BA2A9PXAA Mass Flow Controller, MFC, WF6, 750 SCCM |
11409 | Asyst Crossing Automation Brooks | 6256B7BA2ADLAA | Brooks Pure Digital 6256, MFC, C4F8 gas, 20 sccm, Mod # 6256B7BA2ADLAA | ||
11410 | Asyst Crossing Automation Brooks | 6256B8BA2A9MVAA | Pure Digital | 6256 | Brooks 6256B8BA2A9MVAA Mass Flow Controller, MFC, WF6,CM |
11411 | Asyst Crossing Automation Brooks | 6256S | 22-280778-00 | BROOKS 22-280778-00 Mass Flow Controller MFC 6256S, H2, 2 SLM | |
11412 | Asyst Crossing Automation Brooks | 8200-02 | Brooks 8200-02 Vacuum Cassette Elevator 8-VCE | ||
11413 | Asyst Crossing Automation Brooks | 90006-11 | Brooks 90006-11 Handler Calibration Wafer Test, 200mm, Semitool | ||
11414 | Asyst Crossing Automation Brooks | 921-017 | BROOKS TIC DIGH BOARD 921-017 BRD-T5-XVME244-TRANSITION | ||
11415 | Asyst Crossing Automation Brooks | 921-017 | BROOKS TIC DIGH BOARD 921-017 BRD-T5-XVME244-TRANSITION | ||
11416 | Asyst Crossing Automation Brooks | 93033-798-21 | Novellus | 20-272084-00 | Brooks 93033-798-21 Hardstop Kit, OEM spares with TBM, Novellus 20-272084-00 |
11417 | Asyst Crossing Automation Brooks | 93033-799-21 | novellus | 20-272083-00 | Hardstop Kit, OEM spares, No TBM, Fixload 6, with Front plate and door stopper |
11418 | Asyst Crossing Automation Brooks | 9701-5492-01 | FSE8660 | Brooks Automation, Assembly, Aligner, 5XFSE8660, 9701-5492-01 | |
11419 | Asyst Crossing Automation Brooks | 9704-0955 | 001-8672-01 | Brooks 9704-0955 Robot, 001-8672-01 Controller, Vacuum Transfer Module | |
11420 | Asyst Crossing Automation Brooks | 9708HC031071 | AFC-550 | AMAT AFC-550 MFC, 0550E, 9708HC031071, N2M | |
11421 | Asyst Crossing Automation Brooks | ATR7 | novellus | 63-266700-00 | Lot of 2 Mapper assy, ATR7, Brooks rear, looks new |
11422 | Asyst Crossing Automation Brooks | ATR7 | novellus | 63-266700-00 | Mapper assy, ATR7, Brooks rear |
11423 | Asyst Crossing Automation Brooks | BM13280 | Brooks BM13280 Assembly Ultrasonic Sensor | ||
11424 | Asyst Crossing Automation Brooks | BM13280 | Brooks BM13280 Assembly Ultrasonic Sensor | ||
11425 | Asyst Crossing Automation Brooks | BM24970 | Assy, BitBus Repeater | ||
11426 | Asyst Crossing Automation Brooks | BM300290000 | Brooks PRJ BM300290000 Assy Board, PMI Bumper, LR-IR, Banner | ||
11427 | Asyst Crossing Automation Brooks | BM300290000 | Brooks PRI BM300290000 Assy Board, PMI Bumper, LR-IR, Banner | ||
11428 | Asyst Crossing Automation Brooks | CLMC-JA | Brooks CLMC-JA, Cluster Module Controller | ||
11429 | Asyst Crossing Automation Brooks | D-07745 | Brooks D-07745, Cable | ||
11430 | Asyst Crossing Automation Brooks | FIXLOAD 25 | Brooks FIXLOAD 25 Robot Silicon Wafer Front Load Port, 300mm | ||
11431 | Asyst Crossing Automation Brooks | GF120C | Brooks GF120C MFC 20SLM N2O | ||
11432 | Asyst Crossing Automation Brooks | GF125C | BROOKS GF125C MFC GF SERIES THERMAL MASS FLOW NF3CCM, B1043017427 | ||
11433 | Asyst Crossing Automation Brooks | GF125CXXC | Brooks GF125CXXC Thermal MFC ARCCM, 0190-40281-02, GF125C-100040 | ||
11434 | Asyst Crossing Automation Brooks | MFC 5850C | Brooks, MFC 5850C, Mass Flow Controller, MFC, HCL, 0-500 SCCM | ||
11435 | Asyst Crossing Automation Brooks | MFC 5964 | NEW Brooks MFC 5964, Argon,CM, calibration paper | ||
11436 | Asyst Crossing Automation Brooks | MN-002-7200-00 | LAM | 799-901177-001 | Brooks SMIF, 200 mm, FOUP Load Port Module, LAM 799-901177-001 |
11437 | Asyst Crossing Automation Brooks | n/a | Brooks Robot Motor | ||
11438 | Asyst Crossing Automation Brooks | NA | 2 Brooks Automation Covers, Yellow, O-ring, Seal, | ||
11439 | Asyst Crossing Automation Brooks | PRI 002-5870-06 | Brooks PRI 002-5870-06 Robot Electronic Module Controller, Novellus | ||
11440 | Asyst Crossing Automation Brooks | SLA7950S1EGG1B2A1 | Novellus | 22-287806-00 | Novellus 22-287806-00, Brooks SLA7950S1EGG1B2A1, SMF, 0-1 SLPM, AR Gas |
11441 | Asyst Crossing Automation Brooks | SLA7950S1EGG1B2A1 | Novellus | 22-192875-00 | Brooks SLA7950S1EGG1B2A1 MFC, O2, 200 SCCM, Novellus 22-192875-00 |
11442 | Asyst Crossing Automation Brooks | T2U-SI | Brooks T2U-S1 Techware-II Ultra Controller Assy, TCX FXCO, TCC CPUFX | ||
11443 | Asyst Crossing Automation Brooks | TT1-ENR2-1-TVS-ES-BROOKS4 | Brooks TT1-ENR2-1-TVS-ES-BROOKS4 Robot Teach Pin, Controller, Pendulum, | ||
11444 | Asyst Crossing Automation Brooks | TT1ENR2-1 | Robot teach pendant, looks new | ||
11445 | Asyst Crossing Automation Brooks | TTIENR2-1 | Brooks Robot Controller TTIENR2-1 | ||
11446 | Asyst Crossing Automation Brooks | AMAT 300mm Brooks Automation, Robot Blade | |||
11447 | Asyst Crossing Automation Brooks | BROOKS ROBOT ARM | |||
11448 | Asyst Crossing Automation Brooks | Brooks Automation PCB, 01-3401-01 | |||
11449 | Asyst Crossing Automation Brooks | Brooks 5878A1B1 Quad Channel MFC Mass Flow Controller Module | |||
11450 | Asyst Crossing Automation Brooks | 161559 | Brooks Automation 161559, Kit, FRU, FCC, W/ Fusion V1.2.210, 161553, LAM. | ||
11451 | Asyst Crossing Automation Brooks | 167728 | Brooks Automation 167728, Kit, FRU, FCC, W/ Fusion V1.4.2, 167727, 750W. | ||
11452 | Asyst Crossing Automation Brooks | 001-8672-01 | 9704-0955 | Brooks 9704-0955 Robot, w/ 001-8672-01 Controller, Wafer Transfer, Cable | |
11453 | Asyst Crossing Automation Brooks | 13834-004 | 29161 | Asyst Pre-Aligner 13834-004, 029161 | |
11454 | Asyst Crossing Automation Brooks | 8112212G005 | Brooks Automation 8112212G005 Cable Assy Adapter On-Board, 50′ | ||
11455 | Asyst Crossing Automation Brooks | 8112212G005 | Brooks Automation 8112212G005 Cable Assy Adapter On-Board, 50′ | ||
11456 | Asyst Crossing Automation Brooks | 1000-0592-01 | Asyst Alignment Set-up Fixture592-01 | ||
11457 | Asyst Crossing Automation Brooks | 1000-0772-01 | 1000-0592-01 | Asyst Alignment Set-up Calibration Fixture772-01592-01 | |
11458 | Asyst Japan | 21203-101-06 | Asyst Japan 21203-101-06 Carriage Board, PCB | ||
11459 | Asyst Japan | 21203-101-06 | Asyst Japan 21203-101-06 Carriage Board, PCB | ||
11460 | Asyst Japan Inc. | 21203-101-06 | Asyst Japan 21203-101-06 Carriage Board, PCB | ||
11461 | Asyst Technologies | NA | Asyst Chuck Aligner Assembly, LC | ||
11462 | Asyst Technologies | NA | Asyst Chuck Aligner Assembly, LC | ||
11463 | Asyst Technologies | NA | Asyst Chuck Aligner Assembly, LC | ||
11464 | Asyst Technologies | 4002-8136-01 | Asyst Technologies | 3200-4228-01 | Asyst136-01 End Effector Holder Mount w/228-01 PCB |
11465 | Asyst Technologies | 05063-706 | Asyst 05063-706 Pre-Aligner Driver Board, PCB, FAB 010-5062-002 | ||
11466 | Asyst Technologies | 4001-6927 | Asyst927-01 Cassette Platform, SMIF, Indexer, 200mm | ||
11467 | Asyst Technologies | 4001-6927 | Asyst927-01 Cassette Platform, SMIF, Indexer, 200mm | ||
11468 | Asyst Technologies | 4001-6908-01 | 4001-6980-01 | Asyst908-01 Cassette, Loader, Platform, SMIF980-01 | |
11469 | Asyst Technologies | 4002-9794-01 | 3000-4228-01 | Asyst794-01 Robot Blade, End Effector228-01 PCB | |
11470 | Asyst Technologies | 4002-9793-01 | 4002-7374-01 | Asyst793-01 Robot Blade Assy, End Effector228-01,100462 | |
11471 | Asyst Technologies | 0849-01 | 3200-1243-02 | Asyst 0849-01 Valve Assy w/243-02 PCB, FAB 3000-1243-02 | |
11472 | Asyst Technologies | 4002-7007-01 | Asyst007-01 Cover, Wafer, Robot, Automation | ||
11473 | Asyst Technologies | NA | Asyst Chuck Aligner Assembly, LC | ||
11474 | Asyst Technologies | 4001-6928-01 | 3201-1100-03 | Asyst928-01 Cover w/100-03 Interface Board .PCB, Control | |
11475 | Asyst Technologies | 4001-6927-01 | Asyst927-03 REV. L Cassette Platform, SMIF, Indexer, 200mm | ||
11476 | Asyst Technologies | 4001-6927-01 | Asyst927-03 REV. A Cassette Platform, SMIF, Indexer, 200mm | ||
11477 | Asyst Technologies | 4001-6927-01 | Asyst927-03 REV. T Cassette Platform, SMIF, Indexer, 200mm | ||
11478 | Asyst Technologies | 4001-6927-01 | Asyst 9600.6956_02 REV. X Cassette Platform, SMIF, Indexer, 200mm | ||
11479 | Asyst Technologies | 4001-6927-01 | Asyst927.02 REV. X Cassette Platform, SMIF, Indexer, 200mm | ||
11480 | Asyst Technologies | 4001-6927-01 | Asyst927-01 Cassette Platform, SMIF, Indexer, 200mm | ||
11481 | Asyst Technologies | 4001-6927-01 | Asyst927.02 REV X Cassette Platform, SMIF, Indexer, 200mm | ||
11482 | Asyst Technologies | 4001-6927-01 | Asyst927-01 Cassette Platform, SMIF, Indexer, 200mm | ||
11483 | Asyst Technologies | 4001-6927-01 | Asyst 9000-6999-02 REV A Cassette Platform, SMIF, Indexer, 200mm | ||
11484 | Asyst Technologies | 4001-6927-01 | Asyst927-02 REV X Cassette Platform, SMIF, Indexer, 200mm | ||
11485 | Asyst Technologies | 4001-6927-01 | Asyst927-02 REV X Cassette Platform, SMIF, Indexer, 200mm | ||
11486 | Asyst Technologies Inc. | 9701-3806-01 | 3 ASYST 9701-3806-01 REV C, BIZ AOB001, | ||
11487 | Asyst Technologies Inc. | 4001-6929-01 | 4 Asyst929-01 Cover, SMIF Pod, Cassette, Wafer | ||
11488 | Asyst Technologies Inc. | 4001-6928-01 | KLA | 3 Asyst928-01 Cover, Control, SMIF Pod, Cassette, Wafer, KLA | |
11489 | Asyst Technologies Inc. | 9700-3260-01H | 4001-7743-01 | Asyst 9700-3260-01H Indexer 2200 Hybrid, Connector, 9700-3260-01 | |
11490 | Asyst Technologies Inc. | 3200-1154-01 | Asyst154-01 PCB Assy, FAB 3000-1154-01 | ||
11491 | Asyst Technologies Inc. | 1004290 | 1004084-01 | Asyst 1004290 Dual E84 A/D Interface4-01 | |
11492 | Asyst Technologies Inc. | 3200-1170-01 | 2 Asyst170-01 Panel Display Board, PCB, FAB 3000-1170-01 | ||
11493 | Asyst Technologies, Inc. | 3200-4349-02 | Asyst349-02 PCB, FAB, 3000-4349-02 | ||
11494 | Asyst Technologies, Inc. | 9701-1058-05A | Asyst 9701-1058-05A PCB, FAB 3000-1202-02, 9701-1058-05 | ||
11495 | Asyst Technologies, Inc. | 05060-704 | Asyst 05060-704 Pre-Aligner CPU Board, UPG, PCB, FAB 05059-003 | ||
11496 | Asyst Technologies, Inc. | 9701-1058-05A | Asyst 9701-1058-05A PCB, FAB 3000-1202-02, 9701-1058-05 | ||
11497 | Asyst Technologies, Inc. | 05060-704 | Asyst 05060-704 Pre-Aligner CPU Board, UPG, PCB, FAB 05059-003 | ||
11498 | Asyst Technologies, Inc. | 3200-1225-01 | 1225-04-10000765 | Asyst225-04 PCB, FAB 3000-1225-01, 1225-04-10000765 | |
11499 | Asyst Technologies, Inc. | 4003-0283-01 | FWM-3012-00070 | Asyst283-01 Dual Arm Wafer Mapper Assy, FWM-3012-00070 | |
11500 | Asyst Technologies, Inc. | 3200-4346-04 | Asyst346-04 PCB, Tri-RGB LED Display, FAB 3000-4346-03 | ||
11501 | Asyst Technologies, Inc. | 0849-01 | 3200-1423-02 | Asyst 0849-01 Valve Assy w/243-02 PCB, FAB 3000-1243-02 | |
11502 | Asyst Technologies, Inc. | 0849-01 | 3200-1423-02 | Asyst 0849-01 Valve Assy w/243-02 PCB, FAB 3000-1243-02 | |
11503 | Asyst Technologies, Inc. | 3200-1230-01 | Asyst230-01 PCB, FAB 3000-1230-01 | ||
11504 | Asyst Technologies, Inc. | Asyst 3200-1121 Controller Interface PCB, FAB 3000-1121-01 | Hine Design | Asyst 05060-816 Pre-Aligner CPU Board, UPG, PCB, FAB 05059-003 | |
11505 | Asyst Technologies, Inc. | 3200-1058-05A | Asyst Technologies, Inc. | 4002-6519-01 | Asyst058-05A Spartan EFEM Sorter RFID Reader Assy519-01 |
11506 | Asyst Technologies, Inc. | 3200-1119-01 | Asyst119-01 PCB, FAB 3000-1119-01 | ||
11507 | Asyst Technologies, Inc. | 3200-1075-01 | Asyst075-01 PCB Assy, FAB 3000-1075-01 | ||
11508 | Asyst Technologies, Inc. | 13574-701 | Asyst Assy 13754-701 PCB, FAB 13753-001 | ||
11509 | Asyst Technologies, Inc. | 3200-1223-01 | Asyst223-01 Theta-Z Connector PCB, FAB 3000-1223-01 | ||
11510 | Asyst Technologies, Inc. | 3200-1166-01 | Asyst166-01 PCB, FAB 3000-1166-01 | ||
11511 | Asyst Technologies, Inc. | 3200-1198-01 | MM535F PHYTEC 1105.2 | Asyst198-01 PCB w/ MM535F PHYTEC 1105.2 Daughter Board | |
11512 | Asyst Technologies, Inc. | 3200-1198-01 | MM535F PHYTEC 1105.2 | Asyst198-01 PCB w/ MM535F PHYTEC 1105.2 Daughter Board | |
11513 | Asyst Technologies, Inc. | 3200-1145-01 | Asyst145-01 PCB, FAB 3000-1145-01 | ||
11514 | Asyst Technologies, Inc. | 3200-1214-01 | Asyst214-01 PCB, FAB 3000-1214-01, AMP004833-0062 | ||
11515 | Asyst Technologies, Inc. | 3200-1234-01 | Asyst234-01 Master Interface II PCB, PCA, FAB 3000-1234-01 | ||
11516 | Asyst Technologies, Inc. | 12033 | Asyst 12033 Assy 486 Controller Board w/ RAM, FAB 12032-001 | ||
11517 | Asyst Technologies, Inc. | 3200-1189-01 | Asyst189-01 Interface Baord, Display, FAB 3000-1189-01 | ||
11518 | Asyst Technologies, Inc. | 3200-1119-01 | Asyst119-01 PCB, FAB 3000-1119-01 | ||
11519 | Asyst Technologies, Inc. | 3200-1119-01 | Asyst119-01 PCB, FAB 3000-1119-01 | ||
11520 | Asyst Technologies, Inc. | 3200-1119-01 | Asyst119-01 PCB, FAB 3000-1119-01 | ||
11521 | Asyst Technologies, Inc. | 3200-1119-01 | Asyst119-01 PCB, FAB 3000-1119-01 | ||
11522 | Asyst Technologies, Inc. | 3200-1093-01 | Asyst093-01 Smart Tag ST-8400 Board, PCB, FAB 3000-1093-01 | ||
11523 | Asyst Technologies, Inc. | 3200-1222-01 | Asyst222-01 PCB, FAB 3000-1222-01 | ||
11524 | Asyst Technologies, Inc. | 3200-1198-01 | Asyst198-01 PCB w/ MM535F PHYTEC 1105.2 Daughter Board | ||
11525 | Asyst Technologies, Inc. | 3200-1198-01 | Asyst198-01 PCB w/ MM535F PHYTEC 1105.2 Daughter Board | ||
11526 | Asyst Technologies, Inc. | 3200-1198-01 | Asyst198-01 PCB w/ MM535F PHYTEC 1105.2 Daughter Board | ||
11527 | Asyst Technologies, Inc. | 11800 | Asyst Assy 11800 PCB Board, FAB 11799-001 | ||
11528 | Asyst Technologies, Inc. | 11800 | Asyst Assy 11800 PCB Board, FAB 11799-001 | ||
11529 | Asyst Technologies, Inc. | 3200-1166-01 | Asyst166-01 PCB, FAB 3000-1166-01 | ||
11530 | Asyst Technologies, Inc. | 13418-003 | Asyst 13418-003 Battery Backup PCB, FAB 13417-001 | ||
11531 | Asyst Technologies, Inc. | 3200-1251-01 | Asyst251-01 Door Controller Board, PCB, FAB 3000-1251-01 | ||
11532 | Asyst Technologies, Inc. | 3200-1251-01 | Asyst251-01 Door Controller Board, PCB, FAB 3000-1251-01 | ||
11533 | Asyst Technologies, Inc. | 3200-1251-01 | Asyst251-01 Door Controller Board, PCB, FAB 3000-1251-01 | ||
11534 | Asyst Technologies, Inc. | 15919-002 | Asyst 15919-002 Edge Gripper PCB, FAB 15918-002 | ||
11535 | Asyst Technologies, Inc. | 15919-002 | Asyst 15919-002 Edge Gripper PCB, FAB 15918-002 | ||
11536 | Asyst Technologies, Inc. | 15572-701 | Asyst 15572-701 3-Axis Carriage Board, FAB 15571-001 | ||
11537 | Asyst Technologies, Inc. | 3200-1226-01 | Asyst226-01 PCB w/ Daughter Board, FAB 3000-1226-01 | ||
11538 | Asyst Technologies, Inc. | 13194 | Asyst 13194 4-Axis Carriage Board, FAB 13193-001 | ||
11539 | Asyst Technologies, Inc. | 14205-004 | Asyst 14205-004 486 Controller Board w/ 13418-002 Daughter PCB | ||
11540 | Asyst Technologies, Inc. | 14205-004 | Asyst 14205-004 486 Controller Board w/ 13418-002 Daughter PCB | ||
11541 | Asyst Technologies, Inc. | 14205-004 | Asyst 14205-004 486 Controller Board w/ 13418-002 Daughter PCB | ||
11542 | Asyst Technologies, Inc. | 15532 | Asyst 15532 486 Controller Board w/ 134183-000 Daughter PCB | ||
11543 | Asyst Technologies, Inc. | 3200-1251-01 | Asyst251-01 Door Controller Board, PCB, FAB 3000-1251-01 | ||
11544 | Asyst Technologies, Inc. | 1003562-01 | 3 Asyst 1003562-01 Aligner Buffer PCB | ||
11545 | Asyst Technologies, Inc. | 9701-4215-01 | Asyst 9701-4215-01 Cable Assy | ||
11546 | Asyst/Crossing Automation | 01022-0402-13-0WS2 | Jumptec | XD0340801 | Asyst 01022-0402-13-0WS2 Power Supply PCB, Jumptec, XD0340801, 800-581 |
11547 | ATEC | WSTB 7/000110 | Atec WSTB 7/000110 Lamp, LED-Y, lot of 2 | ||
11548 | ATI | 109-34000-10 | VGA video card | ||
11549 | ATI | 109-43200-10 | ATI 3D Rage Pro AGP Video Card 109-43200-10 | ||
11550 | ATI | C845-86804-01 | ATI C845-86804-01 Board, PCB, C845-86803, ARPG6MT 1070001 | ||
11551 | ATI | 109-38800-20 | ATI 109-38800-20 PCI Graphics Card3820 000440 | ||
11552 | ATI Technologies, Inc. | 109-38800-20 | 1023883820 017787 | ATI 109-38800-20 PCI Graphics Card3820 017787 | |
11553 | ATL Products | 6210470-01 | ATL Products 6210470-01 Robotic Controller, PWM 6210473-01, PWB 6210472-01 | ||
11554 | ATMI | 203-11681-0 | AMAT | 0010-40099 | ATMI 203-11681-00 Vector Ultra SI Scrubber w/ AMAT 0010-40099 RF Match |
11555 | ATMI | 500467-041415 | 15 New ATMI-041415 Smart Cap Key, JSR-1860-35 | ||
11556 | ATMI | P500 | ATMI Ampule Bubbler, AMAT P5000, TEPO, CVD, Chamber, C7022A, 2D-TEOS | ||
11557 | ATMI | P500 | ATMI Ampule Bubbler, AMAT P5000, TEPO, CVD, Chamber, C7532, 2D-PH05 | ||
11558 | ATMI | SP4AAAAA-030623 | Novellus | 02-117299-00 revA | NEW ATMI SP4AAAAA-030623 smart probe assy, Keyed, Chemc, 3,6,23, |
11559 | ATMI | SP4AAAAD-030623 | AMTI Smart probe dispenser SP4AAAAD – 030623 Chemical # S-2001 Suppress | ||
11560 | ATMI | 500467-040520 | 2 ATMI-040520, Smart Cap Key | ||
11561 | ATTO | ATT0-CPU44 | ATTOco controlSYS PLC W DISPLAYATT0-CPU44 W/ 8 ATT0-xx, samsung PVU-2424,relay | ||
11562 | ATTO | ATT0-CPU44 | ATTO controlSYS ATT0-CPU44 PLC, DISPLAY DU-01 W/ 8 ATT0-xx, PD025, PVU-2424 | ||
11563 | ATTO co | ATT0-CPU44 | ATTO co controlSYS PLC W DISPLAY ATT0-CPU44 W/ 6 ATT0-xx, samsung PVU-2424,relay | ||
11564 | Audion Elektro | 281 PS | Audion Elektro 281 PS Heat Sealer Tongs, Power 750,Hz | ||
11565 | Auragen | RK73H1ELTP1822F | Lot of 5800 18Kohm Resistors AuraGen Technologies RK73H1ELTP1822F | ||
11566 | Autoflow | FS5104CV-3 | Autoflow FS5104CV-3SIG Flow Switch | ||
11567 | Autoflow | FS5104CV-5 | Autoflow FS5104CV-5, Flow Switch | ||
11568 | AutoFlow | FS6804CV-3 | AutoFlow Flow Switch Assembly FS6804CV-3 | ||
11569 | Automated Switch Co. ASCO | USF8262C2 | ASCO USF8262C2 Red-Hat Solenoid Valve, 6W, 155 PSI, 1/8 Pipe | ||
11570 | Automated Switch Co. ASCO | USF8262C2 | 2 ASCO USF8262C2 Red-Hat Solenoid Valve, 6W, 155 PSI, 1/8 Pipe | ||
11571 | Automatic Ignition Systems | 1068-83-122B | Automatic Igniton Systems 1068-83-122B, ANSI Z21.20 PCB | ||
11572 | Automatic Igniton Systems | 1068-83-122B | Automatic Ignition Systems 1068-83-122B, ANSI Z21.20 PCB | ||
11573 | Automatic Switch Co | 8320 | 2 ASCO Automatic Switch Co 8320, Re-Hat Valves, Rebuild Kit, Valve | ||
11574 | Automation | 233296002 | Worm gear brass for cassette 233296002 | ||
11575 | Automation | 13-8800-709 | Roller Wafer Asemetric Tread 13-8800-709 Rev B | ||
11576 | Automation | 4009-2 ALC06OR-010-1011 | Automation ALC06OR-010-1011, Servo Motor Controller, 115AC, | ||
11577 | Automation | 4009-2 ALC06OR-010-1011 | Automation ALC06OR-010-1011, Servo Motor Controller, Hz 50/60 | ||
11578 | Automation | 4009-2 ALC06OR-010-1011 | Automation ALC06OR-010-1011, Servo Motor Controller, Hz 50/60 | ||
11579 | Automation | 4009-2 ALC06OR-010-1011 | Automation ALC06OR-010-1011, Servo Motor Controller, Hz | ||
11580 | Automation | T1K-DEVNETS | Terminator I/O assy with T1K-01DC Power supply, T1K-DEVNETS controller, T1K-16ND3 , T1K-08TRS and T1F-08AD-2 I/O modules, Look new | ||
11581 | Automotion | ALC0600-010-1011 | AUTOMOTION ALC0600-010-1011, DC BL Motor Control, 115 AC,z, 160DC. | ||
11582 | Automotion | ALC060R-010-1011 | AUTOMOTION ALC06OR-010-1011 | ||
11583 | Automotion | ALC06OR-010-1011 | 4009-2 | Automotion ALC06OR-010-1011 Servo Motor Controller, 1 Phase, 115V, | |
11584 | Automotion | ALC06OR-010-1011 | 4009-2 | Automotion ALC06OR-010-1011 Servo Motor Controller, 1 Phase, 115V, | |
11585 | Automotion | LC4A011001 | Automotion LC4A011001 DC BL Motor Control, | ||
11586 | Auxiliary Logic | 12020620 | Auxiliary Logic Board 12020620, 13020620-001 | ||
11587 | Aval Data | AVME-115A | Aval Data AVME-115A PCB Board | ||
11588 | Aval Data | TVME-322 | Aval Data TVME-322 PCB Disk I/F Board, FDC/SCSI, PCB, ID | ||
11589 | Avaya /Lucent | SD-6752-02 | 3 AVAYA Lucenet SD-6752-02 DEF CAB SRP R0 151019, Power supply 1217B, 1217A | ||
11590 | Avaya /Lucent | 101259015-011 | Lucent 101259015-011 Uninterruptible Power Supply with extended battery pack | ||
11591 | Avaya /Lucent | SD-66969-02 | AT&T Lucinet SD-66969-02 Definity, Multi Carrier Cab, EPN control Carrier | ||
11592 | Avaya /Lucent | SD-66984-01 | Lucent SD-66984-01 with WP-91153 L3A power supply, TN7414D, SCC Port cab, | ||
11593 | Aviza | 914252-001 | Aviza WJ 914252-001 WJ 999 or 1000 CVD Belt, Length 20′ Width 9 1/4″ | ||
11594 | Aviza | 083205-000 | 2 Aviza 083205-000 Valve, Solenoid, 24 VDC | ||
11595 | AVME-322 | FDC/SCSI | TVME-322 | AVME-322 AVAL Data Type A, FDC/SCSI TVME-322 | |
11596 | Avnet | Avnet Technology Stereo Headphones w/ Microphone | |||
11597 | Axcelis | 17101220 | Axcelis 17101220, GSD, AMU, Center Pole Clamp | ||
11598 | Axcelis | 17101220 | Axcelis 17101220, GSD, AMU, Center Pole Clamp | ||
11599 | Axcelis | 17101220 | Axcelis 17101220, GSD, AMU, Center Pole Clamp | ||
11600 | azbil | dmc10 | azbil DMC10, DMC1 0D2TR0300, Distributed Multi-Channel Controller, Yamatake | ||
11601 | B&B Electronics | 3PX0CC2B | B&B Electronics 3PX0CC2B Interface PCI Card | ||
11602 | B&B electronics | 2320PINA | 4-channel opt PC board, 233-9007-99 | ||
11603 | B&B Electronics | 232OPDRI-PH | B&B Electronics 232OPDRI-PH RS-232 LINK Isolated Repeater w/ Triple Isolation | ||
11604 | B&B Electronics | 232OPDRI | RS-232 | B&B Electronics 232OPDRI RS-232 Isolated Repeater w/ Triple Isolation, VX-79NP | |
11605 | B&G Precision | 56-010559 | B&G Precision 56-010559, 56-010559-B-1300, Paddle, Overcenter, SMIF, OP | ||
11606 | B&W Pump | TRP-48 | B&W TRP-48 Dual Stage Rotary Vane Vacuum Pump, Toshiba, RS1071 | ||
11607 | B/W controls | 13-074400 | B/W CONTROLS MOISTURE SENSOR NO. 13-074400 | ||
11608 | Bacharach | 0023-4098 | Bacharach 0023-4098 Test Cup, 23-4012 Detector | ||
11609 | Bacharach | 51-7343 | Bacharach 51-7343, Remote Gas Detector Amplifier w/Killark, HFC and HKB | ||
11610 | BACO | 33E01 | BACO 33E01 Contact Block, Push Button, 240V, 3A, IEC/EN 60947-5-1 | ||
11611 | Baldor | 3214P | Baldor GPP232110, DC Motor, 90 DC. | ||
11612 | Baldor | 35J337Y331 | BaldorY331 Industrial Motor, w/ GAST R5325A-2 Filter Element, RS1025 | ||
11613 | Baldor Pump | VM3541 | Pump baldor VM3541 3/4HP & pump Head, looks clean | ||
11614 | balluff | BMOA-AMP-D-PU-C2 | 4 BALLUFF INDUCTIVE SENSOR BMOA-AMP-D-PU-C2 10-30 VGC | ||
11615 | Balluff | BOS 18M-NSV-LH22-S4 | 4 Balluff BOS 18M-NSV-LH22-S4 Photoelectric Sensor | ||
11616 | Balston | 68220170502 | 15 Balston 68220170502 Filter Element, Grade Bx | ||
11617 | Balzars | BG438410-T/A | Balzars BG438410-T/A Type RSntroller, 154920V003, 6224609 | ||
11618 | Balzers | EVA 025 | Balzers EVA 025 M Right Angle Vacuum Valve w/ Mont Satz F.Pump, 115V. | ||
11619 | Balzers | IMG 300 | Bazlers IMG 300 Ion Gauge Control, Controller, BGD25750, | ||
11620 | Balzers | IMG 300 | Bazlers IMG 300 Ion Gauge Control, Controller, BG D25 754-1, | ||
11621 | Balzers | QMG064 | JV-BIN-B-PARTIALGAUGE | Balzers QMG064, JV-BIN-B-PARTIALGAUGE, Partial Pressure Gauge Mass Spectrometer | |
11622 | Banner | 23424 | AMAT | 0150-71143 | Banner 23424 IA23.45SM1.5M900, AMAT 0150-71143 Fiber Optic Cable |
11623 | Banner | BT23S | banner engineering BT23S optical sensor cable | ||
11624 | Banner | D10DNFP | 2 Banner sensor D10DNFP, 62379 | ||
11625 | Banner | D12EN6FP | Lot of 4 fiber optic sensors | ||
11626 | Banner | D12EN6FP | Lot of 2 new fiber optic sensors | ||
11627 | Banner | D12SN6FP | Banner Plastic Fiber Optic Sensor D12SN6FP | ||
11628 | Banner | D12SN6FP | Banner D12SN6FP Fiber Optic sensor switch | ||
11629 | Banner | IM.75.54PM2 | Banner IM.75.54PM2 Fiber End Assembly Sensor | ||
11630 | Banner | LR300-26542-0815A | Banner LR300-26542-0815A, Photoelectric Emitter Remote Sensor, LED, IR. | ||
11631 | Banner | PD45VP6C100Q | Banner, PD45VP6C100Q Convergent Laser Sensor | ||
11632 | Banner | Q126EQ | 2 BANNER QS sensor Q126EQ connector Ultrasonic TEACH with TURCK U0935-39 | ||
11633 | Banner | Q20PDL | 7 New Banner Q20PDL Sensor | ||
11634 | Banner | QS18UPAQ | Used sensor, looksnew | ||
11635 | Banner | QS18UPAQ5 | 3 BANNER QS18UPAQ5 sensor QD connector Ultrasonic TEACH with TURCK U0935-39 | ||
11636 | Banner | QS18VN6D8 | Banner QS18VN6D8 SensorDC | ||
11637 | Banner | SE61EMHS | 3 new Banner SE61EMHS photoelectric high speed emitter 25971. sold as lot of 3. | ||
11638 | Banner | SM312FP | AMAT | 1400-01279 | Banner SM312FP Mini-Beam Sensor Fiberoptic IR 880NMDC, Bipol, |
11639 | Banner | SM31EL | AMAT | 0090-40004 | Banner SM31EL Mini-Beam Emitter, Long Range, Infared, AMAT 0090-40005 |
11640 | Banner | SM31EL-41546 | AMAT | 0090-40004 | Banner SM31EL-41546 Mini-Beam Receiever, Long Range, AMAT 0090-40006 |
11641 | Banner | SME3126C2QD-58237 | Amat | 1400-01336 | Sensor, convergent, 940 nm, 43 mm-FP, 3mm dia, looks new |
11642 | Banner | SME312C2QD-58237 | Sensor, convergent, 940nm | ||
11643 | Banner Engineering | LEDRA70XW3-PQ-82654 | Banner LEDRA70XW3-PQ-82654 Photoelectric LED Module, 24VDC | ||
11644 | Banner Engineering | LEDRA70XW3-PQ-82654 | Banner LEDRA70XW3-PQ-82654 Photoelectric LED Module, 24VDC | ||
11645 | Barden | 100HDL | 2 Barden 100HDL Precision Bearings, 0-9 | ||
11646 | Barden | 100HDL | 2 Barden 100HDL Precision Bearings, 0-9 | ||
11647 | BARE PCB | 32701 | BARE PCB boardREV B | ||
11648 | Barksdale | E1H-H90-P6-PLST | Barksdale E1H-H90-P6-PLST, ECON-O-TROL Pressure Actuated Switch | ||
11649 | Barnant | 900-1184 | BARNANT 900-1184 slurry pump 2 K97C01678 | ||
11650 | Barnant | 7017-21 | New pump head, SHT shaft, SS | ||
11651 | Barnant | 900-1301 | BARNANT 900-1301 600 RPM E-67909 | ||
11652 | Bausch & Lomb | 0.7-3X | Bausch & Lomb, 0.7X-3X, Stereo Zoom Microscope Head | ||
11653 | Bausch & Lomb B&L | NA | Bausch & Lomb B&L Microscope FILAR Eyepiece Micrometer Objective Module | ||
11654 | Bausch lomb | BAUSCH & LOMB STEREO ZOOM 4 MICROSCOPE 0.7X-3.0X STEREOZOOM | |||
11655 | Bausch lomb | BAUSCH & LOMB STEREO ZOOM 4 MICROSCOPE 0.7X-3.0X STEREOZOOM, 73-60 | |||
11656 | BAUTZ | 47424 | BAUTZ 047424 PCB 2003819armon ID | ||
11657 | Baxter | Baxter Traceable Digital Thermometer | |||
11658 | Bay Advanced | R46-200-RNLA | Bay Advanced R46-200-RNLA Regulator (HF Treatment), | ||
11659 | Bay Advanced | ETO-3M-24VDC | 4 Bay Advanced ETO-3M-24VDC Valve, 3-Way Manifold | ||
11660 | Bay Advanced Technologies | 9268-01 | Bay Advanced Technologies 9268-01tion Valve Assy. | ||
11661 | Bay advanced technologies | BES5783 | Novellus | 93-0114 | Solenoid valve assy with regulator, front wind, 0-60 psi gauge |
11662 | Bay Engineered Systems | 36-20463-00 | Bay Engineered Systems, 36-20463-00, PCB Pneumatic Interface, Control | ||
11663 | Bay Engineered Systems | 36-20463-00 PCB | Bay Engineered Systems 36-20463-00 PCB, Pneumatic Interface, BES-501-8. | ||
11664 | Bay Engineered Systems | BES-501-8 | Bay Engineered Systems BES-501-8, Pneumatic Interface w/ Control Box. | ||
11665 | Bay networks | 800 | Bay Networks Model 800 Ehternet Hub | ||
11666 | Bay Networks | 400-2FXMDA | 4 Bay Networksx Mda 100base-fx Fiber Module | ||
11667 | Bay Pneumatic | BES-4235 | Bay Pneumatic BES-4235 PCB | ||
11668 | BAY PNEUMATIC | BES-S30-8-PCB | BAY PNEUMATIC BES-S30-8-PCB, PCB BES-4528B, VALVE | ||
11669 | Bay Pneumatic | BP-530-12 | Bay Pneumatic BP-530-12 PCB Board, | ||
11670 | Bay Pneumatic | BP-530-12 | Bay Pneumatic BP-530-12 PCB Board, BES-5218 | ||
11671 | Bay Voltex | MC075E2 | Bay Voltex MC075E2 Chiller, 8160 BTU’s, | ||
11672 | Bayside | GM090-C2A1B-001 | Novellus Ipec speedfam | 971041 | 4 new Gearmotors, surplus from major semiconductor fab |
11673 | Bayside | GR115-K1E1B-001 | Novellus Ipec speedfam | 0150-111964 | Bayside GR115-K1E1B-001, 0150-111964, Gear motorsratio, 10A |
11674 | Bayside | PX23-007 | gearmotor 7:1 ratio, Mtg. MX60-007-059 | ||
11675 | Bearings Inc | NS03 | 30 Bearings Inc. NS03 Stainless Steel Locknut | ||
11676 | Bearings Inc | NS03 | 6 Bearings Inc. NS03 Stainless Steel Locknut | ||
11677 | Beckhoff | IP2301-B510 | B510-0000 | Beckhoff IP2301-B510, B510-0000,CANopen Compact,Fieldbus Box,Eight Channel,24VDC | |
11678 | Beckman | 440SX | Beckman Coulter Delsa 440SX Laser particle measuring tool w/ remote Power supply | ||
11679 | Beckman | Model# 451 | Beckman BM84546, Model# 451 | ||
11680 | BECO | M663W2DFS-HC-312-V | BECO M663W2DFS-HC-312-V 3-Way Valve, 24 VDC, TEQCOM | ||
11681 | BECO | MAN-103307 | lot of 2 new dual valves with teflon block | ||
11682 | BECO | MAN-103307 | BECO MAN-103307 Dual Solenoid Fluid Valve w/ PTFE Block, 24VDC | ||
11683 | BECO | MAN-103307 | Lot of 3, New BECO MAN-103307, PTFE dual solenoid fluid valves, 24VDC | ||
11684 | BECO | MAN-103307 | New BECO MAN-103307, PTFE dual solenoid fluid valves, 24VDC | ||
11685 | BECO | MAN-103307 | Dual valve with PTFE block, 24 VDC | ||
11686 | BECO | MTV-105-924 | BECO MTV-105-924 Manual PTFE Flow Valve | ||
11687 | Becton Dickinson | 331583 | Becton Dickinson 6 channel PREAMP 331583 assy01 | ||
11688 | Belkin | FCKG240354 | BELKIN OMNI VIEW FCKG240354 QG | ||
11689 | Belkin | F1B024E | Belkin Components Data Switch F1B024E | ||
11690 | Belkin | F1D066 | Omniview 4 port KVM Sharing Switch | ||
11691 | Belkin | Omniview | Belkin Omniview 2 Port KVM Switch box | ||
11692 | Belkin omni | F1D102 | BELKIN OMNI VIEW F1D102 SE 2-PORT SWITCH | ||
11693 | Bell & Gossett | 186002NG | Bell & Gossett 186002NG REPL BEARING BAL 6307, SSH C3 | ||
11694 | Bellow | 161495 | Bellow 161495 w/ Shaft, 64110199 w/ O-Ring Seal. | ||
11695 | Bellow | 161495 | Bellow 161495 w/ Shaft, 64110199 w/ O-Ring Seal. | ||
11696 | Bentek | 02-10068-00 | Bentek 02-10068-00 Cable | ||
11697 | BENTEN | 99-43111-01 | BENTEN SVG 99-43111-01 ASSY BOX ELEC STACKED STN | ||
11698 | Bently Nevada | 124534-01 | Bently Nevada 124534-01 Custom Proximitor | ||
11699 | Berg | LRSB-20 | Lot of 4 BERG LRSB-20 | ||
11700 | Berg | NRM55 | 6 NRM-55 needle bearings | ||
11701 | Berkeley Process Control | 951489 | Berkeley Process Controls 951489 Multiaxis AC Servo Motor Cable | ||
11702 | Berkeley Process Control | 2PAMP3M1 | Berkeley Process Controls 2PAMP3M1 and 2PM9 Servo Motor Cable, M10 | ||
11703 | Berkeley Process Control | 2PAMP3M1 | 2PM9 | Berkeley Process Controls 2PAMP3M1 and 2PM9 Servo Motor Cable, M10 | |
11704 | Berkeley Process Control | 966434-B | Berkeley Process Controls 966434-B Multiaxis AC Servo Motor Cable | ||
11705 | Berkeley Process Control | ASM-81-A-0/A-00-LB/10/6:1 | Novellus Ipec Speedfam | 950614 | 3 new motors,PMin Torque |
11706 | Berkeley Process Control | ASM-81-A-0/A-00-LB/10/6:1 | Novellus Ipec Speedfam | 950614 | 6 motors,PMin Torque, Look new or unused surplus inventory from major semiconductor factory |
11707 | Berkeley Process Control | ASM121-A-0/A-22-NB/10 | Berkeley Process Control ASM121-A-0/A-22-NB/10 AC Brushless Servo Motor | ||
11708 | Berkeley Process Control | ASM121-A-0/A-22-NB/10 | Ipec Speedfam Berkeley ASM121-A-0/A-22-NB/10 AC Brushless Servo Motor | ||
11709 | Berkeley Process Control | ASM121-A-0/A-22-NB/10 | Novellus | Novellus Berkeley ASM121-A-0/A-22-NB/10 AC Brushless Servo Motor | |
11710 | Berkeley Process Control | ASM121-A-0/A-22-NB/10 | Berkeley Process Control ASM121-A-0/A-22-NB/10 AC Brushless Servo Motor | ||
11711 | Berkeley Process Control | ASM121-A-0/A-22-NB/10 | Berkeley Process Control ASM121-A-0/A-22-NB/10 AC Brushless Servo Motor | ||
11712 | Berkeley Process Control | ASM121-A-0/A-22-NB/10 | Berkeley Process Control ASM121-A-0/A-22-NB/10 AC Brushless Servo Motor | ||
11713 | Berkeley Process Control | ASM121-A-0/A-22-NB/10 | Berkeley Process Control ASM121-A-0/A-22-NB/10 AC Brushless Servo Motor | ||
11714 | Berkeley Process Control | ASM121-A-0/A-22-NB/10 | Berkeley Process Control ASM121-A-0/A-22-NB/10 AC Brushless Servo Motor | ||
11715 | Berkeley Process Control | ASM121-A-0/A-22-NB/10 | Berkeley Process Control ASM121-A-0/A-22-NB/10 AC Brushless Servo Motor | ||
11716 | Berkeley Process Control | ASM121-A-0/A-22-NB/10 | Berkeley Process Control ASM121-A-0/A-22-NB/10 AC Brushless Servo Motor | ||
11717 | Berkeley Process Control | ASM121-A-0/B-22-NB/10 | AC Brushless Servo Motor, 20 lb-in cont. torquePM, very clean | ||
11718 | Berkeley Process Control | ASM121-A-0/B-22-NB/10 | Berkeley Process Control ASM121-A-0/B-22-NB/10 AC Brushless Servo Motor | ||
11719 | Berkeley Process Control | ASM121-A-0/B-22-NB/10 | Berkeley Process Control ASM121-A-0/B-22-NB/10 AC Brushless Servo Motor | ||
11720 | Berkeley Process Control | ASM121-A-0/B-22-NB/10 | Ipec Speedfam Novellus Berkeley ASM121-A-0/B-22-NB/10 Servo Motor | ||
11721 | Berkeley Process Control | ASM121-A-0/B-22-NB/10 | IPEC Speedfam Novellus | 959084 | AC brushless servo motorspm looks NIB, |
11722 | Berkeley Process Control | ASM121-B-0/A-16-NB/10 | Novellus | 950610 | Berkeley Process Control ASM121-B-0/A-16-NB/10, AC Brushless Motor |
11723 | Berkeley Process Control | ASM121-B-0/B-16-NB/10 | Berkeley Process Control ASM121-B-0/B-16-NB/10 AC Brushless Servo Motor | ||
11724 | Berkeley Process Control | ASM121-B-0/B-16-NB/10 | Berkeley Process Control ASM121-B-0/B-16-NB/10 AC Brushless Servo Motor | ||
11725 | Berkeley Process Control | ASM121-B-0B-16-NB10 | Berkeley Process Control ASM121-B-0B-16-NB10 AC Brushless Servo Motor | ||
11726 | Berkeley Process Control | ASM81-A-0/L-00-LB/10 | Berkeley Process Control AC Brushless Servo Motor, ASM81-A-0/L-00-LB/10, | ||
11727 | Berkeley Process Control | ASM81-A-0/L-00-LB/10 | Berkeley Process Control AC Brushless Servo Motor, ASM81-A-0/L-00-LB/10, | ||
11728 | Berkeley Process Control | ASM81-A-0/L-00-LB/10 | Berkeley Process Controls ASM81-A-0/L-00-LB/10 AC Brushless Servo Motor, | ||
11729 | Berkeley Process Control | ASM81-A-0/L-00-LB/10 | Novellus Ipec Speedfam | 951390 | New AC brushless SERVO motor,PM |
11730 | Berkeley Process Control | ASM81-A-0/L-00-LB/10 | New AC brushless servo motor,PM, | ||
11731 | Berkeley Process Control | ASM81-A-0/L-00-LB/10 | Novellus Ipec Speedfam | 951390 | AC brushless servo motor,PM |
11732 | Berkeley Process Control | GM06BB00L | 2 Used AC brushless servo motors,pm, 1.6 lb-in torque. Look unused | ||
11733 | Berkeley Process Control | GM06BB00L | AC brushless servo motor,pm, 1.6 lb/in | ||
11734 | Berkeley Process Control | GM08-B-A-00-N 06-D-L-08-A | Berkeley Process Control GM08-B-A-00-N 06-D-L-08-A AC Servo Motor 950961 | ||
11735 | Berkeley Process Control | GM08-B-A-00-N 06-D-L-08-A | Berkeley Process Control GM08-B-A-00-N 06-D-L-08-A AC Servo Motor | ||
11736 | Berkeley Process Control | GM08-B-A-00-N 06-D-L-08-A | Berkeley Process Control GM08-B-A-00-N 06-D-L-08-A AC Servo Motor, | ||
11737 | Berkeley Process Control | GM08-C-A-00-N : 06-D-L-08-A | 956078 | 3 new motors, 3600 RPMb-in Torque | |
11738 | Berkeley Process Control | GM12BA16N | novellus | 950609 | AC servo motor with 9:1 ratio gearhead, GM12BA16N.09DL12A |
11739 | Berkeley Process Control | GMO8-B-A-00-N-06-D-L-08-A | Berkeley process controls AC brushless servo motor GMO8-B-A-00-N-06-D-L-08-A,PMcounts per rev. | ||
11740 | Berkeley Process Control | M20 | BERKELEY PROCESS CONTROLS M20 AWM 2464 CABLE ROT#3 NOVELLUS | ||
11741 | Berkeley Process Control | m20 | BERKELEY PROCESS CONTROLS M20 AWM 2464 CABLE ROT#3 NOVELLUS | ||
11742 | Berkeley Process Control | m20 | BERKELEY PROCESS CONTROLS M20 AWM 2464 CABLE ROT#3 NOVELLUS | ||
11743 | Berkeley Process Control | m20 | BERKELEY PROCESS CONTROLS M20 AWM 2464 CABLE ROT#3 NOVELLUS | ||
11744 | Berkeley Process Control | M20 | BERKELEY PROCESS CONTROLS M20 AWM 2464 CABLE ROT#3 NOVELLUS | ||
11745 | Berkeley Process Control | m20 | BERKELEY PROCESS CONTROLS M20 AWM 2464 CABLE ROT#3 NOVELLUS | ||
11746 | Berkeley Process Control | MIO-A-2-610 | Novellus Ipec Speedfam | 950973 | 15 new analog Output I/O modules A/O I/O |
11747 | Berkeley Process Control | MIO-A-4-602 | 956287 | analog current 4 point input modules | |
11748 | Berkeley Process Control | MIO-A-4-602 | 956287 | Lot of 7 new analog current 4 point input modules | |
11749 | Berkeley Process Control | MWT-8-MNET | Berkeley Process Controls MWT-8-MNET Machineworks Machine controller | ||
11750 | Berkeley Process Control | MWT-8-MNET | Berkeley Process Controls MWT-8-MNET Machineworks Machine controller MH7A | ||
11751 | Berkeley Process Control | MWT-8-MNET | Lot of 3 Berkeley Process Controls MWT-8-MNET Machineworks Machine controller | ||
11752 | Berkeley Process Control | MWT-8-MNET | Lot of 5 Berkeley Process Controls MWT-8-MNET Machineworks Machine controller | ||
11753 | Berkeley Process Control | MWT-8-MNET | Berkeley Process Controls MWT-8-MNET Machineworks Machine controller 2.1.1. | ||
11754 | Berkeley Process Control | MWT-8-MNET | Berkeley Process Controls MWT-8-MNET Machineworks Machine controller AUTO | ||
11755 | Berkeley Process Control | MWTX-8-MNET | 100-000-444 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks | |
11756 | Berkeley Process Control | MWTX-8-MNET | 100-000-444 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks | |
11757 | Berkeley Process Control | MWTX-8-MNET | 100-000-444 R2 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks | |
11758 | Berkeley Process Control | MWTX-8-MNET | 100-000-444 R2 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks | |
11759 | Berkeley Process Control | MWTX-8-MNET | 100-000-444 R2 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks | |
11760 | Berkeley Process Control | MWTX-8-MNET | 100-000-444 R2 | Berkeley Process Controls MWTX-8-MNET, Machine Controller Machineworks | |
11761 | Berkeley Process Control | MWTX-8-MNET | Novellus | 960537C | Berkeley Process Controls MWTX-8-MNET, Machineworks Controller, 960537C |
11762 | Berkeley Process Control | MWTX-8-MNET | Berkeley Process Controls MWTX-8-MNET, 2.1.1 Machineworks Controller | ||
11763 | Berkeley Process Control | MWTX-8-MNET | Novellus | 960537C | Berkeley Process Controls MWTX-8-MNET, Machineworks Controller, 960537C |
11764 | Berkeley Process Control | MWTX-8-MNET | Berkeley Process Controls MWTX-8-MNET, 2.1.1 Machineworks Controller | ||
11765 | Berkeley Process Control | MWTX-8-MNET | Novellus | 960537C | Berkeley Process Controls MWTX-8-MNET, Machineworks Controller, 960537C |
11766 | Berkeley Process Control | MWTX-8-MNET | Novellus | 960537C | Berkeley Process Controls MWTX-8-MNET, Machineworks Controller, 960537C |
11767 | Berkeley Process Control | MWTX-8-MNET | Novellus | 960537C | Berkeley Process Controls MWTX-8-MNET, Machineworks Controller, 960537C |
11768 | Berkeley Process Control | MWTX-8-MNET | 100-000-444 R2 | Berkeley Process Controls MWTX-8-MNET , Machineworks Machine Controller | |
11769 | Berkeley Process Control | TS3200-BR | IPEC Speedfam Novellus | 966041 | Controller interface touch screen monitor |
11770 | Berkeley Process Control | USA2-22-35 | Berkeley Process Control USA2-22-35, Multi-Axis Universal Servo AMP | ||
11771 | Berkeley Process Control | USA2-22-35 | Berkeley Process Control USA2-22-35, Multi-Axis Universal Servo AMP | ||
11772 | Berkeley Process Control | USA2-22-35 | Novellus Ipec Speedfam | 950608 | Berkeley process USA2-22-35, 950608, Multi axis servo amplifiers BAM |
11773 | Berkeley Process Control | USA4-22-35 | Berkeley Process Controls USA4-22-35, Multi-axis Universal Servo Amp | ||
11774 | Berkeley Process Control | USA4-22-35 | Berkeley Process Controls USA4-22-35, Multi Axis Servo Amp, 951827 | ||
11775 | Berkeley Process Control | USA4-22-35 | Berkeley Process Controls USA4-22-35, Multi Axis Servo Amp, 951827 | ||
11776 | Berkeley Process Control | USA4-22-35 | IPEC Speedfam Novellus | 951827 | Berkeley Process Control USA4-22-35, Novellus Speedfam IPEC 951827, servo amp |
11777 | Berkeley Process Control | USA4-35-70 | Berkeley Process Controls USA4-35-70, Multi-axis Universal Servo Amp | ||
11778 | Berkeley Process Control, Inc | ASM121-B-0/A-16-NB/10 | 950610 | Berkeley ASM121-B-0/A-16-NB/10 AC Brushless Servo Motor, 950610 | |
11779 | Berkeley Process Control, Inc. | USA2-22-35 | Berkeley USA2-22-35 Multi-Axis Universal Servo Amplified, 950608 | ||
11780 | Berkeley Process Control, Inc. | USA2-22-35 | Berkeley USA2-22-35 Multi-Axis Universal Servo Amplifie, 950608 | ||
11781 | Berkeley Process Control, Inc. | ASM-81-A-0/A-00-LB/10/6:1 | 950614 | Berkeley ASM-81-A-0/A-00-LB/10/6:1 AC Brushless Servo Motor,PM, 950614 | |
11782 | Berkeley Process Control, Inc. | ASM-81-A-0/A-00-LB/10/6:1 | 950614 | Berkeley ASM-81-A-0/A-00-LB/10/6:1 AC Brushless Servo Motor,PM, 950614 | |
11783 | Berkeley Process Control, Inc. | ASM-81-A-0/A-00-LB/10/6:1 | 950614 | Berkeley ASM-81-A-0/A-00-LB/10/6:1 AC Brushless Servo Motor,PM, 950614 | |
11784 | Berkeley Process Control, Inc. | ASM-81-A-0/A-00-LB/10/6:1 | 950614 | Berkeley ASM-81-A-0/A-00-LB/10/6:1 AC Brushless Servo Motor,PM, 950614 | |
11785 | Berkeley Process Control, Inc. | ASM121-B-0/B-16-NB/10 | 951425 | Berkeley ASM121-B-0/B-16-NB/10 AC Brushless Servo Motor 951425, 3000 RPM | |
11786 | Berkeley Process Control, Inc. | ASM121-B-0/B-16-NB/10 | 950689 | Berkeley ASM121-B-0/B-16-NB/10 AC Brushless Servo Motor 950689, 3000 RPM | |
11787 | Berkeley Process Control, Inc. | MWTX-8-MNET | Berkeley MWTX-8-MNET MachineWorks tx Machine Controller, Servo | ||
11788 | Berkeley Process Control, Inc. | ASM81-A-0/L-00-LB/10 | Berkeley ASM81-A-0/L-00-LB/10 AC Brushless Servo Motor, 951390,PM | ||
11789 | Berkeley Process Control, Inc. | ASM121-B-0/B-16-NB/10 | 951425 | Berkeley ASM121-B-0/B-16-NB/10 AC Brushless Servo Motor 951425, 3000 RPM | |
11790 | Best Power | 0500750E | Best Power 0500750E Uninterruptible Power Supply, UPS, Fortress 750 | ||
11791 | Bestool | KANON 0081415 | BESTOOL KANON 0081415 TOOL 600LK WRENCH TORQUE ES070-000788-1 | ||
11792 | Bettcher | 985D | Rinse Controller, Bettcher Process Services, MODEL 985D | ||
11793 | Bettcher | 985DA-R02 | Bettcher 985DA-R02 Rinse Controller | ||
11794 | Bettcher | 985DA-R02 | Bettcher 985DA-R02 Rinse Controller | ||
11795 | Bettcher | 985TA-R02 | Bettcher 985TA-R02 Rinse Controller | ||
11796 | Bettcher | 985V4 | Bettcher Process Services Switch Panel, MODEL 985V4 | ||
11797 | Bettcher | MODEL 985D | BETTCHER PROCESS SERVICES RINSE CONTROLLER MODEL 985D | ||
11798 | Bettcher | MODEL 985E | USED, Bettcher Process Services EPO MODEL 985E | ||
11799 | Bettcher | MODEL 985E-R01 | USED, Bettcher Process Services EPO MODEL 985E-R01 | ||
11800 | Bettcher | MODEL 985V2-r01 | Bettcher Process Services Switch Panel MODEL 985V2-R01 | ||
11801 | Bettcher Process Services | 985C | Bettcher Process Services 985C High Temperature Controller | ||
11802 | Bettcher Process Services | 985C | Bettcher Process Services 985C High Temperature Controller | ||
11803 | Bettcher Process Services | 985C | Bettcher Process Services 985C High Temperature Controller | ||
11804 | Bettcher Process Services | 985T | Bettcher Process Services 985T Process Timer | ||
11805 | Bettcher Process Services | 985T | Bettcher Process Services 985T Process Timer | ||
11806 | Bettcher Process Services | 985T | Bettcher Process Services 985T Process Timer | ||
11807 | Bettcher Process Services | 985T | Bettcher Process Services 985T Process Timer | ||
11808 | Bimba | 233375135 | Bimba Air Cylinder 233375135 | ||
11809 | Bimba | CFO-07361-a | Bimba CFO-07361-a Flat 1 Mini Air Cylinder | ||
11810 | Bimba | HSCX-02 | Bimba HSCX-02, Sensor Hall Effect PNP, On-Trak, 80-0002-068. | ||
11811 | Bimba | HSCX-02 | 80-002-068 | Bimba HSCX-02, Sensor Hall Effect, PNP, On-Trak, 80-002-068. | |
11812 | Bimba | M-010.75 | Bimba M-010.75 Pull Cyldiner, | ||
11813 | Bimba | M-010.75 | Bimba M-010.75 Pull Cyldiner, | ||
11814 | Bimba | M-10.5-RT2 | Nor-Cal Controls | Bimba M-010.5-RT2, Cylinder, Push, Nor-Cal Controls, | |
11815 | Bimba | M-10.5-RT2 | Nor-Cal Controls | Bimba M-010.5-RT2, Cylinder, Push, Nor-Cal Controls, | |
11816 | Bimba | CYL-9799101 | Bimba CYL-9799101 TRD Pneumatic Air Cylinder, 250 PSI, 4×10 Bore/Stroke | ||
11817 | Bimba | CYL-9799097 | Bimba CYL-9799097 TRD Pneumatic Air Cylinder, 250 PSI, 4×4 Bore/Stroke | ||
11818 | BIMBA ULTRAN | USS-0077.5-A | BIMBA ULTRAN, USS-0077.5-A, RODLESS CYLINDER | ||
11819 | Bi-Optics | AT-123500 | Bi-Optics AT-123500 Robot Wafer Transfer Blade, Arm, Optical, Microscope, Cassette | ||
11820 | Bi-Optics | AT-123500 | Bi-Optics AT-123500 Robot Wafer Transfer Blade, Arm, Optical, Microscope, Cassette | ||
11821 | Bi-Optics | AT-376100 | Bi-Optics AT-376100 Robot Arm, A, Wafer, Blade, Microscope, Loader | ||
11822 | Bird | 4410 | BIRD WATTMETER 4410A THRULINE,S lots of 3 | ||
11823 | Bird | 4410 | BIRD WATTMETER 4410 THRULINE,S lots of 3 | ||
11824 | Bird | 8340-100 | Tenuline | Bird 8340-100 Tenuline Coaxial Attenuator100 Watts,s | |
11825 | Bit 3 Computer | RPQ600302 | Bit 3 Computer RPQ600302, 82002053, PCB, Interface | ||
11826 | Bitel Limited | ATX1000 | Bitel Limited ATX1000 Load Cell Transmitter Amplifier | ||
11827 | Bitel Limited | ATX1000 | Bitel Limited ATX1000 Load Cell Transmitter Amplifier | ||
11828 | Bitel Limited | ATX1000 | Bitel Limited ATX1000 Load Cell Transmitter Amplifier | ||
11829 | BK Precision | 1785B | BK Precision 1785B Single Output Programmable DC Power Supply 0-18V/0-5A | ||
11830 | BK Precision | Medel 1670 | BK Precision Medel 1670 DC Power Supply, 120VAC, 60Hz, 3A | ||
11831 | BK Precision | XLN30052 | SolarEdge | SLD-P300-5NC4ARS-A11 | BK Precision XLN30052 300V/5.2A 1.56kW Programmable DC Power Supply |
11832 | BK Precision | 8520 | BK Precision 8520 Programmable DC Electronic Load, 120V/240A/2400W | ||
11833 | BK Precision | XLN60026 | BK Precision XLN60026 Programmable DC Power Supply | ||
11834 | BK Presicion | XLN8018 | BK Presicion XLN8018 Programmable DC Power Supply, 80V/18A, 1.44kW | ||
11835 | BKL Engineering | BKL.012.00004 | BKL Engineering BKL.012.00004 Service Crane Assy, Hoisting Tool, FEI | ||
11836 | Black Box | LL80671 | Black Box Corporation CSA LL80671 AWM A/B 80 C 150V FT4 | ||
11837 | Black BOX | 0250-738366 | Black Box ServSwitch 8 position monitor switch 0250-738366, | ||
11838 | Black Box | 724-746-5500 | Black Box 724-746-5500 ServSwitch SW723A-R3 | ||
11839 | Black Box | ACS4201A-R2-MM | Black Box ACS4201A-R2-MM DVI-D KVM Extender | ||
11840 | Black Box | ACS4201A-R2-MM | UMEC | UP0151D-05P | Black Box ACS4201A-R2-MM DVI-D KVM Extender, UMEC UP0151D-05P AC Adapter |
11841 | Black Box | ACS4201A-R2-MM | UMEC | UP0151D-05P | Black Box ACS4201A-R2-MM DVI-D KVM Extender, UMEC UP0151D-05P AC Adapter |
11842 | Black Box | ACS4201A-R2-MM | UMEC | UP0151D-05P | Black Box ACS4201A-R2-MM DVI-D KVM Extender, UMEC UP0151D-05P AC Adapter |
11843 | Black Box | ACS4201A-R2-MM | UMEC | UP0151D-05P | Black Box ACS4201A-R2-MM DVI-D KVM Extender, UMEC UP0151D-05P AC Adapter |
11844 | Black Box | KV814A | Black Box KV814A Servswitch USB KVM Switch, SSU4 | ||
11845 | Black Box | KV814A | Black Box KV814A Servswitch USB KVM Switch, SSU4 | ||
11846 | Black Box | KV814A | Black Box KV814A Servswitch USB KVM Switch, SSU4 | ||
11847 | Black Box | KV814A | Black Box KV814A Servswitch USB KVM Switch, SSU4 | ||
11848 | Black Box | KV824A | Black Box KV824A ServSwitch USB KVM Switch, SSU4+ | ||
11849 | Black Box | LH8000A-M | Black Box LH8000A-M, DualSpeed Stackable Hub. | ||
11850 | Black box | SW934A | Black box SW934A, 8 position keyboard/video switch | ||
11851 | Black Box | SW930-A-R2 | KVM Switch Box | ||
11852 | Black Box | IC026A | Black Box IC026A 232-488 Converter | ||
11853 | BlackBerry | Asy-05309-004 | BlackBerry Asy-05309-004 Travel Charger | ||
11854 | Blak-Ray | B 100 YP | Blak-Ray Model B 100 YP Long Wave Ultraviolet Lamp Yellow Filter | ||
11855 | Blak-Ray | B 100 YP | Blak-Ray Model B 100 YP Long Wave Ultraviolet Lamp Yellow Filter | ||
11856 | B-Line Systems | A013558 | B-Line Systems, A013558, 4″ Wireway 90 Degree Elbow | ||
11857 | B-Line Systems | A014993 | B-Line Systems, A014993, 6″ Wireway 90 Degree Elbow | ||
11858 | B-LOC Corp. | 1-1/4/B400-IN | B-LOC B400-IN Locking Assembly, 1-1/4, 1-1/4/B400-IN | ||
11859 | Block Box | AC074A | 5 Black box, 2 channel VGA booster AC074A | ||
11860 | blocker plate | 233-2700-41 | ISA CLEANPART 233-2700-41 BLOCKER PLATE SHOWER HEAD | ||
11861 | BOC Edward Seiko Seiki | SCU-300H | Seiko-Seiki SCU-300H Turbo Molecular Pump Control Unit, STP, 100V | ||
11862 | BOC Edward Seiko Seiki | SCU-H 1000C | BOC Edwards | SEIKO SEIKI, SCU-H1000C, TURBO CONTROLLER. | |
11863 | BOC Edward Seiko Seiki | SCU-H1301L1B | BOC Edwards | Seiko Seiki SCU-H1301L1B, Turbomolecular Pump Control Unit. | |
11864 | BOC Edward Seiko Seiki | SCU-H1301L1B | BOC Edwards | Seiko Seiki SCU-H1301L1B, Turbomolecular Pump Control Unit. | |
11865 | BOC Edward Seiko Seiki | SCU-H1301L1B | BOC Edwards | 796-360188-001 | Seiko Seiki SCU-H1301L1B Turbomolecular Pump Control Unit 796-360188-001 |
11866 | BOC Edward Seiko Seiki | SCU-H1301L1B | BOC Edwards | 796-360188-001 | Seiko Seiki SCU-H1301L1B Turbomolecular Pump Control Unit 796-360188-001 |
11867 | BOC Edward Seiko Seiki | SCU-H1301L1B | BOC Edwards | Seiko Seiki SCU-H1301L1B, Turbomolecular Pump Control Unit. | |
11868 | BOC Edward Seiko Seiki | SCU-H1301L1B | BOC Edwards | Seiko Seiki SCU-H1301L1B, Turbomolecular Pump Control Unit. | |
11869 | BOC Edward Seiko Seiki | SCU-H1301L1B | BOC Edwards | Seiko Seiki SCU-H1301L1B, Turbomolecular Pump Control Unit. | |
11870 | BOC Edward Seiko Seiki | SCU-H1301L1B | BOC Edwards | Seiko Seiki SCU-H1301L1B, Turbomolecular Pump Control Unit. | |
11871 | BOC Edward Seiko Seiki | SCU-STC-G | BOC Edwards | Seiko Seiki SCU-STC-G, STP Thermo Controller, 100 V | |
11872 | BOC Edward Seiko Seiki | STP-HB1301L1B | BOC Edwards | Seiko Seiki STP-HB1301L1B New in box Turbo Controller | |
11873 | BOC Edward Seiko Seiki | STPH1000C | BOC Edwards | Seiko Seiki Turbo Controller unit STP-H1000C lots of 2 | |
11874 | BOC Edwards | 652 | BOC EDWARDS W56996120, 652 POWER SUPPLY REV. C | ||
11875 | BOC Edwards | 1570 | 4 BOC Edwards 1570 Pressure Monitor Analog | ||
11876 | BOC Edwards | 1800 | Edward 1800 Pressure Controller | ||
11877 | BOC Edwards | iQDP40 | A532-40-905 | Boc Edwards iQDP40, A532-40-905, Vacuum Mechanical Pump, Electrics Module | |
11878 | BOC Edwards | iQDP40 | A532-40-905 | Boc Edwards iQDP40, A532-40-905, Vacuum Mechanical Pump, Electrics Module | |
11879 | BOC Edwards | iQDP40 | A532-40-905 | Boc Edwards iQDP40, A532-40-905, Vacuum Mechanical Pump, Electrics Module | |
11880 | BOC Edwards | iQDP40 | A532-40-905 | Boc Edwards iQDP40, A532-40-905, Vacuum Mechanical Pump, Electrics Module | |
11881 | BOC Edwards | QDP40 | Q120010002xs | Boc Edwards QDP40, Q120010002xs, Vacuum Mechanical Pump, Nitrogen Purge | |
11882 | BOC Edwards | 233-3889-25 | BOC Edwards 233-3889-25 Clamp Ring, Aluminum | ||
11883 | BOC Edwards | 305251-001 | Edwards 305251-001 Filter Disposable 0.1mm, Nitrogen | ||
11884 | BOC Edwards | 655AB | BOC Edwards 655AB Pressure Transducerr, CAJON8VCO | ||
11885 | BOC Edwards | 85 316SS | BOC Edwards Water Jet Kitall Mods 85 316SS | ||
11886 | BOC Edwards | A38128100 | QDP40 | BOC Edwards A38128100 Dry Vacuum Mechanical Pump w/ Q Controller, QDP40 | |
11887 | BOC Edwards | A528 06 000 | Edwards A528 06 000 QDP Exhaust Pressure Module, | ||
11888 | BOC Edwards | A52844460 | BOC Edwards A52844460 Interface Module Flash High Vacuum | ||
11889 | BOC Edwards | A52844460 | BOC Edwards A52844460 Interface Module Flash High Vacuum | ||
11890 | BOC Edwards | A52844460 | BOC Edwards A52844460 TEL Alpha 8 Interface Module, im, | ||
11891 | BOC Edwards | A52844460 | BOC Edwards A52844460 Tel Alpha 8 Interface Module | ||
11892 | BOC Edwards | A52844460 | BOC Edwards A52844460 Tel Alpha 8 Interface Module | ||
11893 | BOC Edwards | A52844460 | BOC Edwards A52844460 Tel Alpha 8 Interface Module | ||
11894 | BOC Edwards | A52844460 | BOC Edwards A52844460 Tel Alpha 8 Interface Module | ||
11895 | BOC Edwards | A52844463 | Boc Edwards A52844463, IM Interface Module, Flash High Vacuum | ||
11896 | BOC Edwards | A52844463 | BOC Edwards A52844463 IQ Interface Module, TEL PSC Unity | ||
11897 | BOC Edwards | A52844463 | BOC Edwards A52844463 IQ Interface Module, TEL PSC Unity | ||
11898 | BOC Edwards | A52844463 | BOC Edwards A52844463 IQ Interface Module, TEL PSC Unity | ||
11899 | BOC Edwards | A532-40-905 | Boc Edwards iQDP40, A532-40-905 High Vacuum Mechanical Pump w/ Electrics Module | ||
11900 | BOC Edwards | A55001069 | Edwards A55001069, TMS Temperature Management System. | ||
11901 | BOC Edwards | A55001192 | BOC Edwards A55001192 Pump Silencer TMS Control Unit50/60 Hz | ||
11902 | BOC Edwards | AIM-S-NW25 | Edwards AIM-S-NW25 Active Gauge, Turbo Molecular Pump Vacuum | ||
11903 | BOC Edwards | AIM-XL-MSEAL | BOC Edwards AIM-XL-MSEAL Active Inverted Magnetron Gauge, NRB441000 | ||
11904 | BOC Edwards | APG-L-NW16 | BOC Edwards APG-L-NW16 ST/ST Active Pirani Gauge | ||
11905 | BOC Edwards | APG-M-NW16 | Edwards APG-M-NW16 Active pirani gauge D02171000 | ||
11906 | BOC Edwards | APG-M-NW16 | BOC Edwards APG-M-NW16 Active Pirani Gauge | ||
11907 | BOC Edwards | APG-M-NW16 | BOC Edwards APG-M-NW16 Active Pirani Gauge | ||
11908 | BOC Edwards | APG-M-NW16 | BOC Edwards APG-M-NW16 Active Pirani Gauge | ||
11909 | BOC Edwards | APG-M-NW16 | BOC Edwards APG-M-NW16 Active Pirani Gauge | ||
11910 | BOC Edwards | APG-M-NW16 | BOC Edwards APG-M-NW16 Active Pirani Gauge | ||
11911 | BOC Edwards | APG-M-NW16 | BOC Edwards APG-M-NW16 Active Pirani Gauge | ||
11912 | BOC Edwards | APG-M-NW16 | BOC Edwards APG-M-NW16 Active Pirani Gauge | ||
11913 | BOC Edwards | APG-M-NW25 | BOC Edwards APG-M-NW25 ST/ST Active Pirani Gauge | ||
11914 | BOC Edwards | APG-M-NW25 | Edwards APG-M-NW25 ST/ST Active Pirani Gauge | ||
11915 | BOC Edwards | B271-58-501 | 4 BOC Edwards B271-58-501 Coseal, NW40, KF-40, Viton, Vacuum Flange. | ||
11916 | BOC Edwards | C105-17-401 | AMAT | 3300-91571 | BOC Edwards C105-17-401 NW50 Clamping Ring ST/Steel Pk1, AMAT 3300-91571 |
11917 | BOC Edwards | C10512401 | Edwards C10512401, NW 16/10 Clamping Ring (Stainless Steel). | ||
11918 | BOC Edwards | C10512405 | Edwardscuum Elbow, C10512405, new, partially opened | ||
11919 | BOC Edwards | C10516490 | BOC Edwards C10516490 KF40 Trapped C/Ring (Viton) | ||
11920 | BOC Edwards | C10517490 | BOC Edwards C10517490 NW50 Trapped O-Ring | ||
11921 | BOC Edwards | C11004340 | Edwards C11004340, 20-25, NW25 C Clamp | ||
11922 | BOC Edwards | C11005340 | BOC Edwards C11005340, NW40 Clamp PK, W/ Screws. | ||
11923 | BOC Edwards | C31305000 | PV25MKS ST/ST | BOC Edwards C31305000 Manual Right Angle Isolation Valve, PV25MKS ST/ST, 7 Bar | |
11924 | BOC Edwards | C41101000 | PV10EKA | Boc Edwards C41101000 PV10EKA Solenoid Valve | |
11925 | BOC Edwards | C41101000 | PV25EKA | Boc Edwards C41301000 PV25EKA Solenoid Valve | |
11926 | BOC Edwards | C41211000 | BOC Edwards C41211000 Vacuum Valve, PV16PKA B, 7 Bar, AMAT 0150-94493 | ||
11927 | BOC Edwards | C41211000 | BOC Edwards C41211000 Vacuum Valve, PV16PKA B, 7 Bar | ||
11928 | BOC Edwards | D129267 | metron | D129267 | 6 BOC Edwards D129267 shield ring, Metron, shield ring, BP GaAs, SPUT-SS |
11929 | BOC Edwards | D15405250 | BOC Edwards D15405250 Amplifier Module, PCB | ||
11930 | BOC Edwards | D15405260 | BOC Edwards D15405260 Control Module, PCB | ||
11931 | BOC Edwards | D15405262 | BOC Edwards D15405262 Amplifier Module, PCB | ||
11932 | BOC Edwards | D15405270 | BOC Edwards D15405270 Emission Control Module, PCB | ||
11933 | BOC Edwards | D15405282 | BOC Edwards D15405282 PCA Power Supply Board, PCB | ||
11934 | BOC Edwards | D15474000 | 5KC45PG1738T | BOC Edwards D15474000 Spectron 300E Leak Detection System, 5KC45PG1738T | |
11935 | BOC Edwards | D337207591 | Edwards D337207591 Cable Assy, XLR 4W (3 MTRS) | ||
11936 | BOC Edwards | D37215000 | 3 BOC EDWARDS D37215000 FLASH MODULE HIGH VACUUM INTERFACE | ||
11937 | BOC Edwards | D37215000 | 3 BOC Edwards D37215000 Flash Module High Voltage Interface | ||
11938 | BOC Edwards | D37215000 | 3 BOC Edwards D37215000 Flash Module High Voltage Interface | ||
11939 | BOC Edwards | D37215000 | EDWARDS HIGH VACUUM INT D37215000, NETWORK INTERFACE MODULE Iqdp | ||
11940 | BOC Edwards | D37215000 | EDWARDS HIGH VACUUM INT D37215000, NETWORK INTERFACE MODULE Iqdp | ||
11941 | BOC Edwards | D37215000 | EDWARDS HIGH VACUUM INT D37215000, NETWORK INTERFACE MODULE Iqdp | ||
11942 | BOC Edwards | D37215000 | EDWARDS HIGH VACUUM INT D37215000, NETWORK INTERFACE MODULE Iqdp | ||
11943 | BOC Edwards | D37215000 | EDWARDS HIGH VACUUM INT D37215000, NETWORK INTERFACE MODULE Iqdp | ||
11944 | BOC Edwards | D37215000 | EDWARDS HIGH VACUUM INT D37215000, NETWORK INTERFACE MODULE Iqdp | ||
11945 | BOC Edwards | D37215000 | EDWARDS HIGH VACUUM INT D37215000, NETWORK INTERFACE MODULE Iqdp | ||
11946 | BOC Edwards | D37215000 | 3 BOC Edwards D37215000 Flash Module High Voltage Interface | ||
11947 | BOC Edwards | D37215000 | BOC Edwards interface box D37215000 Flash module | ||
11948 | BOC Edwards | D3727000 | Edwards D3727000 Dry Pump Controller | ||
11949 | BOC Edwards | D37272000 | BOC Edwards D37272000 Dry Pump Keypad Display Module | ||
11950 | BOC Edwards | D37272000 | BOC Edwards D37272000 Dry Pump Keypad Display Module | ||
11951 | BOC Edwards | D37272000 | BOC Edwards D37272000 Dry Pump Keypad Display Module | ||
11952 | BOC Edwards | D38502290 | BOC Edwards D38502290 Temp Module, PCB | ||
11953 | BOC Edwards | D38503030 | BOC Edwards D38503030 Pirani Module MK2 0-10V PCB | ||
11954 | BOC Edwards | D38503030 | BOC Edwards D38503030 Pirani Module MKZ 0-10V PCB | ||
11955 | BOC Edwards | D400-01-030 | Edwards D400-01-030 Cable Assy Active Gauge 3.0M | ||
11956 | BOC Edwards | EPX TWIN 180 | 180L | BOC Edwards EPX TWIN 180 Dry High Vacuum Pump, 180L | |
11957 | BOC Edwards | EPX Twin 180L | BOC Edwards EPX Twin 180L Dry High Vacuum Pump, A419-61-222 | ||
11958 | BOC Edwards | EPX180L | BOC Edwards EPX180L Dry High Vacuum Pump | ||
11959 | BOC Edwards | EPX180L | BOC Edwards EPX180L Dry High Vacuum Pump | ||
11960 | BOC Edwards | EPX180L | BOC Edwards EPX180L Dry High Vacuum Pump | ||
11961 | BOC Edwards | EPX180N | BOC Edwards EPX180N Dry High Vacuum Pump | ||
11962 | BOC Edwards | EPX180N | BOC Edwards EPX180N Vacuum Dry Pump, 200/208V,z, 16A, EPX 180N | ||
11963 | BOC Edwards | iGX6/100M | BOC Edwards iGX6/100M Vacuum Pump, iGX6/100M200V | ||
11964 | BOC Edwards | iGX6/100N | BOC Edwards iGX6/100N Vacuum Pump, NRY09S000, 208V, 3PH, 60HZ | ||
11965 | BOC Edwards | iGX600M | BOC Edwards iGX600M Vacuum Pump, LAM 796-050890-010 | ||
11966 | BOC Edwards | iGX600M | BOC Edwards iGX600M Vacuum Pump | ||
11967 | BOC Edwards | iGX600M | BOC Edwards iGX600M Vacuum Pump | ||
11968 | BOC Edwards | iGX600M | BOC Edwards iGX600M Vacuum Pump, LAM 796-050890-0010 | ||
11969 | BOC Edwards | iGX600M | BOC Edwards iGX600M Vacuum Pump, IGX600M 200V | ||
11970 | BOC Edwards | iGX600M | BOC Edwards iGX600M Vacuum Pump | ||
11971 | BOC Edwards | iGX600M | PA66-(GF25)-FR | BOC Edwards iGX600M Vacuum Pump, iGX600M 200V, PA66-(GF25)-FR | |
11972 | BOC Edwards | iGX600M | BOC Edwards iGX600M Vacuum Pump, iGX600M 200V | ||
11973 | BOC Edwards | IL 70N | BOC Edwards IL 70N 3X1H600/3XIL70, with BOC Edwards Pump Controller D37209000 | ||
11974 | BOC Edwards | IL70N | D37291400 | BOC Edwards IL70N NEW LOOK Dry Vacuum Pump, IL70, D37291400 | |
11975 | BOC Edwards | IL70N | LCDP70 | BOC Edwards IL70N NEW LOOK Dry Vacuum Pump, IL70, LCDP70 | |
11976 | BOC Edwards | IPX SPI | A40902977 | Edwards IPX Dry Pump, IPX SPI, A40902977 | |
11977 | BOC Edwards | iXL120E | BOC Edwards iXL120 Dry Vacuum Pump, iXL120E | ||
11978 | BOC Edwards | iXL120E | BOC Edwards iXL120 Dry Vacuum Pump, iXL120E | ||
11979 | BOC Edwards | NW 16 | BOC EDWARDS NW 16/10 clamping ring, New | ||
11980 | BOC Edwards | NWH896030 | BOC Edwards NWH896030 TCUPlus w/ Lonworks Chiller, Heat Exchanger | ||
11981 | BOC Edwards | P035Y006B031-3 | BOC Edwards P035Y006B031-3 Pump Cable, STP, 1.0M, P035P, RS1215 | ||
11982 | BOC Edwards | PR 10-K | Edwards PR 10-K, Pirani Vacuum Gauge Head, Set ATM, D024-22-000 | ||
11983 | BOC Edwards | PR 10-K | Edwards PR 10-K, Pirani Vacuum Gauge Head, Set ATM, D024-22-000 | ||
11984 | BOC Edwards | PR 10-K | Edwards PR 10-K, Pirani Vacuum Gauge Head, Set ATM, D024-22-000 | ||
11985 | BOC Edwards | PT21Y5AO1 | NEW BOC EDWARDS PT21Y5AO1, TYPE B POWER CABLE 3M W/125V P | ||
11986 | BOC Edwards | PV25MKS | BOC EDWARDS PV25MKS ST/ST 7 BAR SHUT OFF VALVE | ||
11987 | BOC Edwards | PV25MKS ST/ST | BOC Edwards | APG-M-NW25 ST/ST | BOC Edwards PV25MKS ST/ST Valve, APG-M-NW25 ST/ST Active Pirani Gauge, |
11988 | BOC Edwards | PV25MKS ST/ST | BOC Edwards | APG-M-NW25 ST/ST | BOC Edwards PV25MKS ST/ST Valve, APG-M-NW25 ST/ST Active Pirani Gauge, |
11989 | BOC Edwards | PV25MKS ST/ST | C31315000 | BOC Edwards Shut Off Valve PV25MKS ST/ST, C31315000, 7 Bar | |
11990 | BOC Edwards | PV25PKA | BOC Edwards PV25PKA B Vacuum Isolation Valve, Pneumatic, 24V, 0.25A 7Bar. | ||
11991 | BOC Edwards | PV25PKA | BOC Edwards PV25PKA B Vacuum Isolation Valve, Pneumatic, 24V, 0.25A 7Bar. | ||
11992 | BOC Edwards | Q80.4.2.2 | LAM | QDP80 | BOC Edwards Q80.4.2.2 Dry Vacuum Mechanical Pump w/ Controller LAM QDP80 |
11993 | BOC Edwards | QDP 40 | BOC EDWARDS QDPuum pump with Silencer and AMAT Controller Box | ||
11994 | BOC Edwards | QDP 40 | BOC EDWARDS QDPuum pump with Silencer, untested | ||
11995 | BOC Edwards | QDP 40 | BOC EDWARDS QDPuum pump with Silencer, untested | ||
11996 | BOC Edwards | QDP 40 | BOC EDWARDS QDPuum pump with Silencer, untested | ||
11997 | BOC Edwards | QDP 40 | BOC EDWARDS QDPuum pump with Silencer, untested | ||
11998 | BOC Edwards | QDP40 | QMB250 | BOC Edwards QDP40/QMB250 High Vacuum INT Controller, A38205000, Mattson | |
11999 | BOC Edwards | QDP40 | Q80-4-2.2 | BOC Edwards QDP40 Dry Mechanical Vacuum Pump w/ Q80-4-2.2 Controller LAM | |
12000 | BOC Edwards | QDP40 | LAM | A38201000 | BOC Edwards QDP40 Dry Mechanical Vacuum Pump w/ Q Series 2- MCM Controller, LAM |
12001 | BOC Edwards | QDP40 | BOC Edwards A38201000 Dry Vacuum Mechanical Pump QDP40 w/ Q Series 2 – MCM Contr | ||
12002 | BOC Edwards | QDP80 | Novellus | QMB1200F | BOC Edwards QDP80 Dry Pump Assy w/ QMB1200F Mechanical Booster, Novellus |
12003 | BOC Edwards | QDP80 | Novellus | A30586905 | BOC Edwards QDP80 Dry Pump Assy w/ A30586905 Mechanical Booster Novellus |
12004 | BOC Edwards | QDP80 | EH 1200 | BOC Edwards QDP80 Dry Pump Assy w/ EH 1200 Mechanical Booster, Novellus | |
12005 | BOC Edwards | QDP80 | BOC Edwards QDP80 Dry Vacuum Pump | ||
12006 | BOC Edwards | QDP80 | BOC Edwards QDP80 Dry Mechanical Vacuum Pump QMB500w w/ Q Series Controller, LAM | ||
12007 | BOC Edwards | QDP80 | BOC Edwards QDP80 Dry Mechanical Vacuum Pump w/ Q Series 2- MCM Controller NATL | ||
12008 | BOC Edwards | QDP80 | QMB500 | BOC Edwards QDP80/QMB500 High Vacuum INT Controller, Q80.4.2.2, Mattson | |
12009 | BOC Edwards | QMB250F | 2 BOC EDWARDS QMB250F Mechanical Booster Blower | ||
12010 | BOC Edwards | SIPV25PKA | Edwards C41624000 Vacuum Valve SIPV25PKA – | ||
12011 | BOC Edwards | Speedivalve | EDWARDS SPEEDIVALVE Regulator valve KF40 connection and KF50 adapter. | ||
12012 | BOC Edwards | STP-iXA2205CP | BOC Edwards STP-iXA2205CP Turbomolecular YT63-0Z-000 27000 RPM 1500VA, RS1184 | ||
12013 | BOC Edwards | STP-iXA2205CP | BOC Edwards STP-iXA2205CP Turbomolecular, 27000 RPM, 1500VA, AC200-240V, RS1043 | ||
12014 | BOC Edwards | U20000521 | BOC EDWARDS interface module, U20000521 used | ||
12015 | BOC Edwards | W607-30-00 | Edwards Model 1570 W607-30-00 Press Mon Analog Out 100V | ||
12016 | BOC Edwards | W95000000 | BOC Edwards W95000000 TCUChiller, Heat Exchanger | ||
12017 | BOC Edwards | WLAM4D6900 | D2-BTU-IPA | BOC Edwards WLAM4D6900 Chemical Mover Cabinet, D2-BTU-IPA, D2-BTU-CHEM | |
12018 | BOC Edwards | 5 Edwards KFClamping Ring | |||
12019 | BOC Edwards | Edwards D37215000 High Vacuum Int | |||
12020 | BOC Edwards | D02384000 | Boc Edwards D02384000 Active Pirani Gauge APG-LT/NW25/ST/ST | ||
12021 | BOC Edwards | D02384000 | Boc Edwards D02384000 Active Pirani Gauge APG-LT/NW25/ST/ST | ||
12022 | BOC Edwards | APG-M-NW16 | BOC Edwards APG-M-NW16 Active Pirani Gauge, D02171000, | ||
12023 | BOC Edwards | APG-M-NW16 | BOC Edwards APG-M-NW16 Active Pirani Gauge, D02171000, | ||
12024 | BOC Edwards | APG-M-NW16 | BOC Edwards APG-M-NW16 Active Pirani Gauge, D02171000, | ||
12025 | BOC Edwards | APG-M-NW16 | BOC Edwards APG-M-NW16 Active Pirani Gauge, D02171000, | ||
12026 | BOC Edwards | NEA878000 | BOC Edwards NEA878000 Lam Lon (ERPIM) I/F Module, Controller | ||
12027 | BOC Edwards | XDS5 | BOC Edwards XDS5 Dry Scroll Vacuum Pump0V, A724-01-906, RS1270 | ||
12028 | BOC Edwards | PV16MKS ST/ST | BOC Edwards PV16MKS ST/ST Manual Isolation Vacuum Valve. 7 Bar | ||
12029 | BOC Edwards | AIM-PL-NW25 | D14549000 | BOC Edwards AIM-PL-NW25 Active Gauge, D14549000 | |
12030 | BOC Edwards | 600AB | BOC Edwards 600AB Barocel Pressure Sensor, TRANS 1000TR, .5 IN OD | ||
12031 | BOC Edwards | D37215000 | 3 BOC Edwards D37215000 Flash Module High Voltage Interface | ||
12032 | BOC Edwards | 6XPDT | BOC Edwards 6XPDT 6 Channel Vacuum Pump Switch Box | ||
12033 | BOC Edwards | AIM-S-NW25 | D14641000 | BOC Edwards AIM-S-NW25 Active Gauge, D14641000 | |
12034 | BOC Edwards | E2M80 | BOC Edwards | EH500 | BOC Edwards E2M80-EH500 Two Stage Vacuum Pump w/ Booster, L22000010 |
12035 | BOC Edwards | A52844410 | BOC Edwards A52844410 im Applied Smart Pump Interface Module | ||
12036 | BOC Edwards | A52844410 | BOC Edwards A52844410 im Applied Smart Pump Interface Module | ||
12037 | BOC Edwards | A52844410 | BOC Edwards A52844410 im Applied Smart Pump Interface Module | ||
12038 | BOC Edwards | A52844410 | BOC Edwards A52844410 im Applied Smart Pump Interface Module | ||
12039 | BOC Edwards | A52844410 | BOC Edwards A52844410 im Applied Smart Pump Interface Module | ||
12040 | BOC Edwards | C10007149 | BOC Edwards C10007149 ISO63/100 Single Claw Clamp | ||
12041 | BOC Edwartds | APG-M-NW25 ST/ST | D02172000 | BOC Edwards APG-M-NW25 ST/ST Active Pirani Gauge, D02172000 | |
12042 | Bodine Electric | 32A3BEPM-Z2 | IPEC Speedfam, 3800-61041-1, New 130VDC gearmotor, 1/12 hp | ||
12043 | Bodine Electric | 32A3BEPM-Z2 | 472-17370 | Gear motor 120VDC 1/8 hp | |
12044 | Bodine Electric | 32X5BEPM-W2 | Bodine ElectricPM-W2 Gearmotor, 130V DC, 1.0 A, 1/8 HPM | ||
12045 | Bodine Electric | 42A3FEPM-E4 | BODINE ELECTRICPM-E4 GEARMOTOR, 130 VOLTS, CLEAN | ||
12046 | Bodine Electric | 42R5BFPP-5N | Gear motor 120VDC 1/8 hp gearmotor | ||
12047 | Bodine Electric | B-125-VS-GAS-PK | Bodine Electric B-125-VS-GAS-PK 4918 Pump 49-0005, looks very clean | ||
12048 | Bodine Electric | NCI-13D3 | 473VW2048 | Bodine Electric NCI-13D3 Gearmotor, 115V, 57 RPM, 60 Hz, 473VW2048 | |
12049 | Bohlin | BR-CSM | Bohlin, Rheometer, controlled stress Rheometer, CS-MELT | ||
12050 | Bonfiglioli Riduttori | BN 63A 4 | VF44 100 A P63 B14 | Bonfiglioli Riduttori BN 63A 4 Motor Gearbox w/ VF44 100 A P63 B14 Reducer | |
12051 | Bonfiglioli Riduttori | BN 63A 4 | VF44 100 A P63 B14 | Bonfiglioli Riduttori BN 63A 4 Motor Gearbox w/ VF44 100 A P63 B14 Reducer | |
12052 | Bonfiglioli Riduttori | BN 63A 4 | VF44 100 A P63 B14 | Bonfiglioli Riduttori BN 63A 4 Motor Gearbox w/ VF44 100 A P63 B14 Reducer | |
12053 | Bonfiglioli Riduttori | BN 63A 4 | VF44 100 A P63 B14 | Bonfiglioli Riduttori BN 63A 4 Motor Gearbox w/ VF44 100 A P63 B14 Reducer | |
12054 | Boonton | 72B | Boonton 72B, Capacitance Meter | ||
12055 | Boston Gear | YB64R | Boston Gear YB64R56, | ||
12056 | Bourns | 3299Z | Lot ofes 1K 25 Turn Trimmer Potentiometer | ||
12057 | Boxer cross | 23-00033 | Modified board Boxer cross Lockin focus board ASSY 23-00033 | ||
12058 | Bracket | 37-569-002 | 37-569-002 Shroud, Emo-BRS, Safety Yel | ||
12059 | Brad Harrison | 35601 | Control connector 12 pin Female Receptacle | ||
12060 | Brad Harrison | 41308-18 | Brad Harrison18 Mini-Change Cordset Cable, | ||
12061 | Branson | EMA-30 | Branson EMA-30-Generator, E-Module 315 Watts, 120 VAC,z, | ||
12062 | Branson | ThermoNeslab | ENI | Zenet | Branson RIE Etcher Reactive Ion Etch, ENI ACG-10T RF Generator, ThermoNeslab M75 |
12063 | Briskheat | Centmod | Lot of 15 new Briskheat CENTMOD-F Ethernet Module | ||
12064 | Briskheat | Centmod-F | Bag of 24 Briskheat CENTMOD-F Ethernet Module | ||
12065 | Briskheat | CENTMOD-F | NEW BRISKHEAT CENTMOD-F ETHERNET MODULE | ||
12066 | Bronkhorst | M2204198B | Ex-Flow Mass/Meter Flow Controller Bronkhorst M2204198B | ||
12067 | Bronkhorst | NVL001F | Novellus | 22-00654-00 | Novellus 22-00654-00, Bronkhorst / porter NVL001F MFC, .2 mL/m TEOS |
12068 | Bronkhorst | NVL001F | Novellus | 22-00654-00 | Novellus 22-00654-00, Bronkhorst / porter NVL001F MFC, .2 mL/m TEOS |
12069 | Brookfield | Brookfield RV Spindle Set | |||
12070 | Brooks | SLA7950D1EGG1A2A1 | Brooks SLA7950D1EGG1A2A1 Mass Flow Controller, MFC, H2M | ||
12071 | Brooks | SLA7950D1EGG1A2A1 | Swagelok | Brooks SLA7950D1EGG1A2A1 MFC Assy w/ Swagelok 6LVV-DPFR4-P-C Valve, AR, 250 SCCM | |
12072 | Brooks | SLA7950D1EGG1A2A1 | Brooks SLA7950D1EGG1A2A1 Mass Flow Controller, MFC, Methane, 4 SLPM, RS1306 | ||
12073 | Brooks Automation | 13501-099-25 | Novellus | 27-257138-00 | Brooks 13501-099-25 PCB Latch PCB Fixload 5, Novellus 27-257138-00 |
12074 | Brooks Automation | 13501-099-25 | Novellus | 27-257138-00 | Brooks 13501-099-25 PCB Latch PCB Fixload 5, Novellus 27-257138-00 |
12075 | Brooks Automation | 13501-099-25 | Novellus | 27-257138-00 | Brooks 13501-099-25 PCB Latch PCB Fixload 5, Novellus 27-257138-00 |
12076 | Brooks Automation | 002-3755-01 | Brooks I/O Control Interface, 002-3755-01 PCB | ||
12077 | Brooks Instruments | 5964C2MAK25KA | Novellus | 22-10526-00 | Brooks 5964C2MAK25KA Mass Flow ControllerM, Novellus 22-10526-0 |
12078 | Brother | G3K22N060-BMK4A | Brother G3K22N060-BMK4A 3-Phase Induction Motor, 1/4 HP, 4P, 60:1, RS1075 | ||
12079 | Brother | G3K22N060-BMK4A | Brother G3K22N060-BMK4A 3-Phase Induction Motor Assy, 1/4 HP, 4P, 60:1, RS1072 | ||
12080 | Browne and Sharpe | 74-111374 | Browne and Sharpe TESA 74-111374 Interapid Horizontal Dial Test Indicator | ||
12081 | Browne and Sharpe | 74-111374 | Browne and Sharpe TESA 74-111374 Interapid Horizontal Dial Test Indicator | ||
12082 | Browning | 20XLB037 | 4 Browning 20XLB037 Gearbelt Pulley, 372-72586, IPEC, Speedfam, Novellus, | ||
12083 | Browning | A51 | Browning A51 Burn-In-Oven “V” Belt | ||
12084 | Bryant | 7464 | Bryant 7464 N Midget Locking Connector | ||
12085 | BTU Engineering | 316123 | BTU Engineering Temperature Control Module Assembly, PCB, 3161231 | ||
12086 | BTU Engineering | 316123 | BTU Engineering Temperature Control Module Assembly, PCB, 3161231 | ||
12087 | BTU Engineering | 3161230 | BTU ENGINEERING 3161230 1084 3181250 REV A TEMPERATURE CONTROL MODULE | ||
12088 | BTU Engineering | 3161231 | BTU ENGINEERING 3161231 1084 3181250 REV A TEMPERATURE CONTROL MODULE | ||
12089 | BTU Engineering | 3161351 | BTU Engineering 3161351 Video Display Processor Board, PCB, 3161350, | ||
12090 | BTU Engineering | 3161841 | BTU Engineering Assy. 3161841, Series 8000 Gas I/O, PCB, Solinoid Driver | ||
12091 | BTU Engineering | 3161841 | BTU Engineering Assy. 3161841, Series 8000 Gas I/O, PCB, Solinoid Driver | ||
12092 | BTU Engineering | 3161841 | BTU Engineering Assy. 3161841, Series 8000 Gas I/O, PCB, Solinoid Driver | ||
12093 | BTU Engineering | OPER-112 | 3163031 | BTU Engineering Corp OPER-112, 3163031 Rev.2/3, Wafer transfer I/O. | |
12094 | BTU Engineering | BTU ENGINEERING 3161231 1084 3181250 REV A TEMPERATURE CONTROL MODULE | |||
12095 | Bud | CU-123 | Bud CU-123 Econobox, Natural Finish, 3 5/8″L X 1 1/2″ W X 1 1/4″ H | ||
12096 | Burkert | 2000 | AMAT | 0051-03074 | Burkert 2000 APTFE Angle Seat Pilot Valve, Class 150, DN-40, AMAT |
12097 | Burkert | 281-B-1/2-F-BR-1/2 | Burkert 281-B-1/2-F-BR-1/2 Solenoid Valve | ||
12098 | Burkert | SYST-2030-A-15 | Burkert SYST-2030-A-15, Fluid Control System with Diaphragm Valve,PTFE-PD-SS25-D-E, For Polluted, Dirty, Aggressive and Ultra-Pure Fluids |
||
12099 | Burkett | isolation 00154680 | Burkett 00154680 isolation valve W37ML, 2000 ATFE VA, SA95 Pmed 9bar | ||
12100 | Bürkle | Type BKL | Bürkle Type BKL Liquid Coating System, Rolling Machine, AE 137612995-0010 | ||
12101 | Burks | 2305 | Burks 2305, Decatur Pump, Seal, Spring, 81-007-145 | ||
12102 | Burks | 33CT6MV-AB-FE | Franklin | 7 Burks model # 33CT6MV-AB-FE Turbine Pump 1/3 Hp FRANKLIN motor | |
12103 | Burks | 81-007-145 | BURKS 81-007-145 SEAL PUMP TEGAL SEAL 1/2 TEPOLITE TGL-1013 PHANTOM | ||
12104 | BURLING | 3240-K5-2-0012 | BURLING5-2-0012 SUPPLY VOLTS 24 V AC TYPE K 10-644 MODEL 82T | ||
12105 | Busch | HS4B10-12 | 3 BUSCH HS4B10-12 check valves | ||
12106 | Busch | Busch BA 0100 A Mechanical Vacuum Pump, Cobra | |||
12107 | Busch | F0 0018 C 0H0 | Leroy Somer | 404155/31-04 | Busch F0 0018 C 0H0 Vacuum Pump, Leroy Somer/31-04, LS80PR, RS1050 |
12108 | Busch | F0 0018 A 0H0 | Leroy Somer | 107101/23-2002 | Busch F0 0018 A 0H0 Vacuum Pump, Leroy Somer 107101/23-2002, LS80PR, RS1054 |
12109 | Busch | BA 0100 A | Busch BA 0100 A Cobra Mechanical Vacuum Pump, BA 100 | ||
12110 | Bushing | 201-097 | 201-097 Bushing, Adjustable QTY 2 | ||
12111 | BUSHING | D111483 | SPLIT TAPER BUSHING D111483 TOKYO ELECTRON | ||
12112 | BUSS | 448H | 15 new BUSS fuses 448H min. fuse MDL V 2-1/2 A | ||
12113 | Bussman | FRN-R-40 | 3 Bussman 98059 3 Slot Fuse Holders w/ 9 FRN-R-40 Fused | ||
12114 | C & D Semiconductor | 99-06062-01 | C & D Semiconductor 99-06062-01 Spindle Guide Plate Harness | ||
12115 | C.R.S. | TCO K048014983 | C.R.S. TCO K048014983 Sync Generator PCB, | ||
12116 | Cable | 1617720 | Cable Assembly 1617720, Plasma Shower Filament | ||
12117 | Cable | 23324465 | Assy, N/F, Controller Cable 23324465 | ||
12118 | Cable | 0191598227 REV B | TWO RF CABLE 0191598227 REV B, 430″ long | ||
12119 | Cable | 03-155625-02 | 03-155625-02 Rev. A Cable | ||
12120 | Cable | 03-155625-03 | 03-155625-03 Rev. A Cable | ||
12121 | Cable | 222-018 | Cable, INTF, Cent, Pully 222-018 | ||
12122 | Cable | 260CB172-03 | Assy, Cable, Tilt, Right 260CB172-03 | ||
12123 | Cable | 506718-01 | 506718-01 Cable Motor Power Left Spindle ODI 4800, new | ||
12124 | Cable | 688325-05 | 688325-05 Cable | ||
12125 | Cable | 8400-016933 | 8400-016933 Cable, Firewire, Turret, Atlas-9310 | ||
12126 | Cable | 8400-028044 | 8400-028044 cable, combo pcb to different driver pcb | ||
12127 | Cable | 8400-032102 | 8400-032102 Cable, CLTC, OPT HTR | ||
12128 | Cable | 8400-034169 | 8400-034169 Cable, RTD Fan, SE | ||
12129 | Cable | 8400-034872 | AMAT 8400-034872 Cable, Power PDV To Camera | ||
12130 | Cable | 95F58U0WA | 95F58U0WA, Cable, 3 ConductorSpool | ||
12131 | Cable | BM21617 REV. B | STEPPER BO J1 BM21617 REV. B 9AC 03-96 | ||
12132 | Cable | MR-S0CBL6. 5M-S-K | MR-S0CBL6. 5M-S-K CABLE SIGNAL 17 PIN | ||
12133 | Cable | P-7K-123033 | CAROL 10/4 (UL) WATER RESISTANT SOOW CSA (-40C) FT-2 P-7K123033 MSHA 600V | ||
12134 | Cable | SCN-4 | SCN-4 Power Cable Spin Unit | ||
12135 | Cable | SS-300 | Kenwood SS-300 Cable, Steam Generator | ||
12136 | cable | Unknown | NEW CABLE ASSY, FILTER BD TO SUPP P/S | ||
12137 | Cable | X14780-75-02 | X14780-75-02 Cable CPU, W92300071 | ||
12138 | Cable | YSA-3446-1 | YSA-3446-1 Blue Cable | ||
12139 | Cable | 038-003-505 | cable 038-003-505, TCP50090451346 with Direct attached SFP 0904, 2053308-4 | ||
12140 | Cabletron | CTP100T | Cabletron CTP100Te-2 to 10 Base-T Adapter w/ LANVIEW. | ||
12141 | Cabletron Systems Inc. | 300-029-01 | SSR-HTX22-08 | Cabletron 300-029-01 SSR-HTX22-08 10/100BASE-TX Router Module | |
12142 | CADAC | E77755 | CADAC E77755, CMVO-1, 9628, 94V-0, 5413 Rev.B, PCB, Video Card | ||
12143 | CADAC | E77755 | CADAC E77755, CMVO-1, 9628, 94V-0, 5413 Rev.B, PCB, Video Card | ||
12144 | CADAC | E92481 | CADAC E92481, CMVO-1, 9628, 94V-0, 5403 Rev.A3, PCB, Video Card | ||
12145 | CADAC | E92481 | CADAC E92481, CMVO-1, 9628, 94V-0, 5403 Rev.A3, PCB, Video Card | ||
12146 | CADAC | E92481 | CADAC E92481, CMVO-1, 9628, 94V-0, 5403 Rev.A3, PCB, Video Card | ||
12147 | CADAC | E92481 | CADAC E92481, CMVO-1, 9628, 94V-0, 5403 Rev.A3, PCB, Video Card | ||
12148 | Cajon | 8VCR38TB7P | 2 Cajon 8VCR38TB7P Fittings, 316L | ||
12149 | Cajon | SS-V-8-VCR-4 | Swagelok | 4 Cajon Swagelok SS-V-8-VCR-4 1/2″ Male Nut | |
12150 | Cajon | 316L-4-VCR-3A | 10 NEW Cajon VCR Fitting 316L-4-VCR-3A | ||
12151 | Cajon | Cajon 3/6 VCR Connector | |||
12152 | CaliTech | SO4179 | 2 CaliTech SO04179, Kalrez 2-225, O-ring, Seal, Barrel Perfluoro78-2528 | ||
12153 | Calweld | 0021-32049 | Applied Materials, AMAT | Calweld 0021-32049 AMAT | |
12154 | Cambridge | 225-231-19 | CB6521 | Cambridge 225-231-19, CB6521 Rev.B, PCB w/ Daughter board, Assembly, Sipex | |
12155 | Cambridge | CB6521 | 255-231-17 | Cambridge CB6521 Rev.B, 225-231-17, W/ Daughter Board, PCB. | |
12156 | Cambridge | 6650 | Cambridge Technology Dual 6650 Glavanometer Optical Scanner Assembly. | ||
12157 | Cambridge | GA5-610915G-STH58 | Cambridge GA5-610915G-STH58 Hepa Air Fan + Filter | ||
12158 | Cambridge | GA5-610915G-STH58 | Cambridge GA5-610915G-STH58 Hepa Air Filter and EIC-B13T672 blower housing | ||
12159 | Cameca | 629-250-826 | Cameca 629-250-826 Rotary Actuator | ||
12160 | Camfil | 855029903 | Techsol | Camfil 855029903 Hepa Filter, Megalam Panel, Techsol, 48in x 24in x 10in | |
12161 | Camfil | 855029903 | Techsol | Camfil 855029903 Hepa Filter, Megalam Panel, Techsol, 48in x 24in x 10in | |
12162 | Camfil | 855029903 | Camfil 855029903 Hepa Filter, Megalam Panel, Techsol, 48in x 24in x 10in | ||
12163 | Camfil | 855029903 | Camfil 855029903 Hepa Filter, Megalam Panel, Techsol, 48in x 24in x 10in | ||
12164 | Camfil | 855029903 | Camfil 855029903 Hepa Filter, Megalam Panel, Techsol, 48in x 24in x 10in | ||
12165 | Camfil | 855029903 | Camfil 855029903 Hepa Filter, Megalam Panel, Techsol, 48in x 24in x 10in | ||
12166 | Camfil | 855029903 | Camfil 855029903 Hepa Filter, Megalam Panel, Techsol, 48in x 24in x 10in | ||
12167 | Camfil | 855029903 | Camfil 855029903 Hepa Filter, Megalam Panel, Techsol, 48in x 24in x 10in | ||
12168 | Camfil | 855029903 | Camfil 855029903 Hepa Filter, Megalam Panel, Techsol, 48in x 24in x 10in | ||
12169 | Camfil | 855029903 | Camfil 855029903 Hepa Filter, Megalam Panel, Techsol, 48in x 24in x 10in | ||
12170 | CANON | 8410-AIM-001 | Canon Appex OPT 8410-AIM-001 PCB Board, Sumlink MF-II/UF, ID | ||
12171 | CANON | 8410-AIM-001 | Canon Appex OPT 8410-AIM-001 PCB Board, Sumlink MF-II/UF, ID | ||
12172 | CANON | 8410-AIM-004-2 | Canon Appex 8410-AIM-004-2, PCB Board, ADR-V 2, ASEI-V2 8410-AIM-003-2. | ||
12173 | CANON | 8410-AIM-004-2 | Canon Appex ADR-V 2 8410-AIM-004-2 PCB Board, ID | ||
12174 | CANON | BF6-1366-000-000A | Canon BF6-1366-000-000A, Motor Unit, Supply Hand | ||
12175 | CANON | BG3-2526-000 | CANON BG3-2526-000 EX4 ND filter, indexer assy with VEXTA PK545-na 5 phase stepper motor | ||
12176 | CANON | BG4-8535-000 000 | CANON BG4-8535-000 000 PULSE MOTOR UNIT (B51) DC 2.96W | ||
12177 | CANON | BG4-8546 | IL3-CD-PCB for stepper | ||
12178 | CANON | BG9-1340-000 | Canon BG9-1340-000, XY-Stage Pre-Amp PCB, ID | ||
12179 | CANON | BG9-1827-000 | Canon BG9-1827-000, IMF CPU Board, PCB, BG9-1827, BG8-2073, BH8-0210-01. | ||
12180 | CANON | BG9-1831-000 | Canon BG9-1831-000, Board XY Stage I/F X, BG9-1831, PCB, BH8-0214-01. | ||
12181 | CANON | BG9-1831-000 | Canon BG9-1831-000, Board XY Stage I/F X, BG9-1831, PCB, BH8-0214-01. | ||
12182 | CANON | BG9-1842-000 | Canon BG9-1842-000, Board IME I/F, PCB, BG9-1842, BH8-0222-01. | ||
12183 | CANON | BG9-1842-000 | Canon BG9-1842-000, Board IME I/F, PCB, BG9-1842, BH8-0222-01. | ||
12184 | CANON | BH8-0109-02 | Canon BH8-0109-02 PCB, Board, ID | ||
12185 | CANON | BH8-0590-01 | Canon BH8-0590-01 PCB | ||
12186 | CANON | BH8-1761-01 | PCB, BG4-8705, MVCD some pins may be bentdue to handling and shipping | ||
12187 | CANON | EN35-H54N1B | Canon EN35-H54N1B, CN35-09711, Motor, DC Gear, Sayama 30, ID | ||
12188 | CANON | EN35-H54N1B | Canon EN35-H54N1B, CN35-09711, Motor, DC Gear, Sayama 100, ID | ||
12189 | CANON | EN35-H54N1B | Canon EN35-H54N1B, CN35-09711, Motor, DC Gear, Sayama 60, ID | ||
12190 | CANON | P13-0909-600 | Canon P13-0909-600 Circuit Board Appex, ECU-V1, 8410-AIM-002-1, ID | ||
12191 | CANON | PG3-0580-000 | 8410-AIM-007-1, ESE2-VI | Canon 8410-AIM-007-1, ESE2-VI, PG3-0580-000, PCB. | |
12192 | CANON | PG3-0580-000 | 8410-AIM-007-1, ESE2-VI | Canon 8410-AIM-007-1, ESE2-VI, PG3-0580-000, PCB. | |
12193 | CANON | SPB-V7385 | Canon SPB-V7385 Stage, Wafer 6″. | ||
12194 | CANON | Y60-1113-000 | CANON Y60-1113-000 Lens Unit zoom expander, surplus part | ||
12195 | Canon | Canon PowerShot S90 10MP Digital Camera w/ 3.8x Wide Angle Optical Image | |||
12196 | Canon | Y60-0981-000-000 | TEL | SL03014 | Canon Y60-0981-000-000 Plain Parallel Plate, TEL SL03014 |
12197 | Caplug | 02N03164 | Caplug 02N03164, SF-44 to SF-28 Split – Flange Connector, Alloy C-276. | ||
12198 | Caplugs | SF-44 to SF-28 | Caplugs SF-44 to SF-28 Split-Flange Connector. | ||
12199 | Caplugs | SF-44 to SF-28 | Caplugs SF-44 to SF-28 Split-Flange Connector. | ||
12200 | Carl Zeiss | AIMS 193 | Carl Zeiss AIMS 193 Mask Qualification System w/Coherent LDU ESIFT 193nm | ||
12201 | Carl Zeiss | 1156-511 | Carl Zeiss 1156-511 Microscope Objective EC Epiplan-NEOFLUAR 5x/0.13 | ||
12202 | Carl Zeiss MicroImaging | GMBH 37081 | Carl Zeiss MicroImaging GmbH 37081, Axio Imager.M1m Precision Microscope | ||
12203 | Carling Switch | IK254-73-XN | 89F 5510 | Carling Switch IK254-73-XN Toggle Switch, 89F 5510 | |
12204 | Carling switch co. | 80-680-496 | CARLINGSWITCH 80-680-496 Circuitbreaker 2P 5A 240V | ||
12205 | Carlisle | 225L050 | new CARLISLE 225L050 belt, DYNA-SYNC, P3 GEN | ||
12206 | Carlisle Tensolite | 1-3636-461-5210 | C52672-001 | 25 Carlisle Tensolite 1-3636-461-5210 Male RF Coaxial Cable Assy | |
12207 | Carlisle Tensolite | 1-3636-461-5209 | C52672-001 | 25 Carlisle Tensolite 1-3636-461-5209 Male RF Coaxial Cable Assy | |
12208 | Carlisle Tensolite | 1-3636-461-5209 | C52672-001 | 25 Carlisle Tensolite 1-3636-461-5209 Male RF Coaxial Cable Assy | |
12209 | Carlisle Tensolite | 1-3636-461-5210 | C52672-001 | 25 Carlisle Tensolite 1-3636-461-5210 Male RF Coaxial Cable Assy | |
12210 | Carlo Gabazzi | RHS45C | Carlo Gabazzi RHS45C, SSR, 1PH Rail Heat Sinkm, +PAD BBR, | ||
12211 | Carlo Gavazzi | 5100636 | 3 NEW Carlo Gavazzi EDM TC TYPE J INPUT PROG LOCK, 5100636, E5100636 | ||
12212 | Carlo Gavazzi | 5100637 | 4 NEW Carlo Gavazzi EDM TC TYPE J INPUT PROG LOCK, 5100637, 050200/103298 | ||
12213 | Carlo Gavazzi | 5100636 | 5 NEW Carlo Gavazzi 5100636 EDM TC Type J Input program lock | ||
12214 | Carlo Gavazzi | BD35 | 6 Carlo Gavazzi Universal Digital Meter BD35, 3 1/2 digital red colour display | ||
12215 | Carlo Gavazzi | BO AV | 3 NEW Carlo Gavazzi BO AV, 1 CH, Analogue output module, 20mA/10V | ||
12216 | Carlo Gavazzi | BO R1 | NEW Carlo Gavazzi BO R1, 1 Relay output Module, 52970100M0052, Power supply | ||
12217 | Carlo Gavazzi | BO R2 | 4 NEW Carlo Gavazzi BO R2, 2 Relay outputs Power supply module, KK23100690011 | ||
12218 | Carlo Gavazzi | BO R2 | Carlo Gavazzi BO R2 2 Relay Outputs Module RoHS | ||
12219 | Carlo Gavazzi | BO R2 | 3 NEW Carlo Gavazzi BO R2, 2 Relay outputs Power supply module | ||
12220 | Carlo Gavazzi | BO R2 | 5 NEW Carlo Gavazzi BO R2, 2 Relay outputs Power supply module | ||
12221 | Carlo Gavazzi | BO R2 | NEW Carlo Gavazzi BO R2, 2 Relay outputs module | ||
12222 | Carlo Gavazzi | BO R5 | 2 NEW Carlo Gavazzi BO R5, 4 Relay digital output module power supply | ||
12223 | Carlo Gavazzi | BP L | 4 NEW Carlo Gavazzi BP LUniversal Power supply, SKK201022 | ||
12224 | Carlo Gavazzi | BP L | 4 NEW Carlo Gavazzi BP L, Universal Power supply 24..48V DC/AC, SKK2010294 | ||
12225 | Carlo Gavazzi | BP L | 3 NEW Carlo Gavazzi BP L, Universal Power supply 24..48V DC/AC | ||
12226 | Carlo Gavazzi | BP L | 5 NEW Carlo Gavazzi BP L, Universal Power supply 24..48V DC/AC, KK20102340012 | ||
12227 | Carlo Gavazzi | BP-L | Carlo Gavazzi BP L Universal Power Supply 24V…48V DC/AC | ||
12228 | Carlo Gavazzi | BQ TRX | 4 NEW Carlo Gavazzi BQ TRX Temperature resistance input module, TC: J,K,E,S,T | ||
12229 | Carlo Gavazzi | BQ TRX | NEW Carlo Gavazzi BQ TRX, Temperature resistance input module | ||
12230 | Carlo Gavazzi | n/a | Carlo Gavazzi BPH Universal Power Supply | ||
12231 | Carlo Gavazzi | NES13DB24SA | Carlo Gavazzi NES13DB24SA Emergency Stop Module, NES13D | ||
12232 | Carlo Gavazzi | RHS321 | Carlo Gavazzi RHS321 heat sink, with lubricant | ||
12233 | Carlo Gavazzi | RM1A48D50 | 5 NEW Carlo Gavazzi RM1A48D50, SSR RM 480V IP | ||
12234 | carlo gavazzi | RHS45A | 3 Carlo Gavazzi RHS45A H/s 1 phase AHS45A Heat sink assembly | ||
12235 | Carlo Gavazzi | RHS45A | Carlo Gavazzi RHS45A H/S 1 Phase Heat Sink Assembly | ||
12236 | Carlo Gavazzi | G34396470024 | Carlo Gavazzi G34396470024 Dupline Analog Receiver, G3439 6470024 | ||
12237 | Carlo Gavazzi | G34296470024 | Carlo Gavazzi G34296470024 Dupline Analog Transmitter, G3429 6470024 | ||
12238 | Carlo Gavazzi | BD35 | UDM35 | Carlo Gavazzi BD35 Universal Digital Meter Assy w/ Slot Attachments, UDM, UDM35 | |
12239 | Carlo Gavazzi | BD35 | UDM35 | Carlo Gavazzi BD35 Universal Digital Meter, 3 1/2 Digit Red Colour Display, UDM | |
12240 | Carlo Gavazzi | 5100637 | 5 Carlo Gavazzi 5100637 EDM TC Type J Input Prog Lock | ||
12241 | Carlo Gavazzo | 5100560 | 4 Carlo Gavazzo 5100560 EDM Analog Output | ||
12242 | Carlon | E970C A142 | 7 New Carlon LID E970C A142 | ||
12243 | Carten Systems | SPD 375 | Carten Systems SPD 375 Manual 3 Way Valve | ||
12244 | Cascade | controller | Cascade Microtech Joystick controller | ||
12245 | Cascade | joystick | Cascade Microtech Joystick | ||
12246 | Cavro | 725643 | Cavro 725643 Motorized Syringe Pump | ||
12247 | Cavro | 725643 | Cavro 725643 Motorized Syringe Pump | ||
12248 | Cavro | 725643 | Cavro 725643 Motorized Syringe Pump | ||
12249 | Cavro | 725643 | Cavro 725643 Motorized Syringe Pump | ||
12250 | Cavro | 726349 | Cavro 726349 Motorized Syringe Pump Delivery Pump, 17H130H-4L15R, 726352 | ||
12251 | Cavro | 726349 | Cavro 726349 Motorized Syringe Pump Delivery Pump 17H130H-4L15R 20726362 | ||
12252 | CC-Tron | FNQ-R-3 | lot of 10, CC-Tron FNQ-R-3 Current limiting time delay fuses | ||
12253 | CDK | PKS-10-27 | CDK PKS-10-27 Solenoid Valve22W,z, | ||
12254 | CDO | 422-57-020 | 4 Cooling Tower Screen-020 | ||
12255 | Celerity | CDL11 | Celerity CDL11 Millipore, Pressure Transducer | ||
12256 | Celerity | CDL11 | Celerity CDL11, Millipore, Pressure Transducer | ||
12257 | Celerity | CD11 | Celerity CD11 Millipore, Pressure Transducer | ||
12258 | Celerity | CMH4-M1106 | Celerity CMH4-M1106, Baratron, Manometer | ||
12259 | Celerity | CMH41106E | Celerity CMH4M1106E Baratron, Millipore 1-10 VDC, 0-0.1 Torr | ||
12260 | Celerity | CMH4M1106E | Celerity CMH4M1106E, Baratron, Manometer | ||
12261 | Celerity | CMH4M1106E | Celerity CMH4M1106E, Baratron, Manometer | ||
12262 | Celerity | FC-2900V | Celerity FC-2900V Mass Flow Controller, MFC, CO2, 5 SCCM, TN2900 | ||
12263 | Celerity | IFC-125C | Celerity IFC-125C MFC, ARSCCM, Unit 125, AMAT 0190-28861 | ||
12264 | Celerity | IFC-125C | Celerity IFC-125C Mass Flow Controller MFC N2 7200 SCCM, AMAT 0190-28861 | ||
12265 | Celerity Group | 01-0122-H | Celerity 01-0122-H Pressure Gauge | ||
12266 | Celerity Group | 200-008-1000 | Celerity Group 200-008-1000 Service Adapter PCB, 03-06-14G | ||
12267 | Celerity Group | 280-31449-000-0003 | Pall | SGLFPF6402VMM4 | Celerity 280-31449-000-0003 Gas Line, Gas Stick, Pall SGLFPF6402VMM4 |
12268 | Celerity Group | 280-31449-000-0004 | Pall | SGLFPF6402VMM4 | Celerity 280-31449-000-0004 Gas Line, Gas Stick, Pall SGLFPF6402VMM4 |
12269 | Celerity Group | 280-31466-000 | Tescom | 44-2213-242-010 | Celerity 280-31466-000 Gas Line, Tescom 44-2213-242-010, GS-090 Gas Stick |
12270 | Celerity Group | AANGD0W1 | AMAT | 0190-33092 | CELERITY AANGD0W1 IN3XP MFC, MASS FLOW CONTROLLER, SF6, 30 SCCM range |
12271 | Celerity Group | RFS2 | RFS2 Breakout Box, Pressure Sensor, Pressure Gauge | ||
12272 | Celetron | CSS150-4002-M-B | 3 Celetron CSS150-4002-M-B 150 Watt Open Frame Power Supply | ||
12273 | Central | 2N6051 | 10 Central 2N6051 Silicon Darlington Power Transistors | ||
12274 | Central | 2N6051 | 10 Central 2N6051 Silicon Darlington Power Transistors | ||
12275 | Central | 2N6051 | 10 Central 2N6051 Silicon Darlington Power Transistors | ||
12276 | Centronics | 068486-003 | Centronics InterfacePCB Board 068486-003 | ||
12277 | Centronics | 068486-003 | 3 Centronics Interface PCB Board 068486-003 | ||
12278 | Centronics | 068486-003 | Lot’s of 3 Centronics Interface PCB Board 068486-003 | ||
12279 | Centronics | 068486-003 | 4 Centronics Interface PCB Board 068486-003 | ||
12280 | Centronics | 068486-003 | 3 Centronics Interface PCB Board 068486-003 | ||
12281 | Centronics | 068486-003 | 2 Centronics Interface PCB Board 068486-003 | ||
12282 | Centronics | 068486-003 | 7 Centronics Interface PCB Board 068486-003 | ||
12283 | Centronics | 068486-003 | 6 Centronics Interface PCB Board 068486-003 | ||
12284 | Centronics | 068486-003 | 2 Centronics Interface PCB Board 068486-003 | ||
12285 | Centronics | 068486-003 | 3 Centronics Interface PCB Board 068486-003 | ||
12286 | Centronics | 068486-003 | 5 Centronics Interface PCB Board 068486-003 | ||
12287 | Centronics | 068486-003 | 4 Centronics Interface PCB Board 068486-003 | ||
12288 | Centronics | 068486-003 | 2 Centronics InterfacePCB Board 068486-003 | ||
12289 | Centura | T-33994-53-2522 | AMAT | 0010-20421 | Centura J-Shield Treatment 5/6 Inch, T-33994-53-2522, AMAT 0010-20421 |
12290 | Ceramic | 1150-000-009 | Ceramic Chuck 1150-000-009, 051106-01 | ||
12291 | Ceramic chuck | 1150-000-009 | CERAMIC CHUCK 1150-000-009, 090406-01 | ||
12292 | Ceratech | HB-1M2012121JT | Lot of 2300 CERATECH Ferrite Chip Beads HB-1M2012121JT | ||
12293 | Cerus | MRD-40 | Cerus MRD-40 Magnetic Contactor, MRC(D)-40 | ||
12294 | CGE Fanuc | IC697CMM741L | GE Fanuc IC697CMM741L Ethernet Controller, PCB, ASM 44A732252-G01 | ||
12295 | CH Products | 52-0410 | CH Products 52-0410, 55-0673-034, P3, DPRTR INTRFC, Joystick Assembly. | ||
12296 | Charles Water | NA | Charles Water Static Survayor | ||
12297 | Chemical Safety Technology | Chemical Safety Technology Chemical Pump Delivery System, Master Flex | |||
12298 | Chemraz | 9018-SD505 | Chemraz 9018-SD505 Oring, 0.739 ID x 0.070 CX NOM, 18.77 ID x 1.78 CX | ||
12299 | Chemraz | 9114-SC513 | 2 Chemraz 9114-SC513 Oring 0.612 ID X 0.103 CX in, 15.54 ID X 2.62 CX mm | ||
12300 | Chemtrace | Chemtrace AI ALD In-Line Pneumatic Valve | |||
12301 | Cherry | E6930A0 | Cherry Interlock Switch E6930A0, AMAT 0140-18066 Harness Assembly | ||
12302 | Chia Hang | CMR-AACA | Chia Hang CMR-AACA Power Supply, PT-100 Temperature Sensor | ||
12303 | Chiyoda Seiki | EX-500mkII-TD | Chiyoda Seiki EX-500mkII-TD Pressure Regulator, Controller | ||
12304 | Chiyoda Seiki | EX-500mkII-TD | Chiyoda Seiki EX-500mkII-TD Pressure Regulator, Controller | ||
12305 | Chroma | 6314 DC | Chroma 6314 DC Electric Load Mainframe w Chroma 63103 DC Electronic Load | ||
12306 | Chroma | 19703 | Chroma 19703 Hipot Tester, RS1087 | ||
12307 | Chromalox | 147426-001 | Chromalox 147426-001 Emerson, Heater, EMT 203, 175AT, 240V, 3 Phase | ||
12308 | Chuck | 835-2867A | Aluminum Electrode A For PY150, 835-2867A | ||
12309 | Chuck | 835-2867A | Aluminum Electrode A For PY150, 835-2867A | ||
12310 | Chuck | AZ-Z0358 | Gold Plate Vacuum chuck AZ-Z0358 | ||
12311 | Chuck | SE01120 | ESC, Pedestal, Vacuum Chuck, SE01120 | ||
12312 | Chuck | SE01120 | ESC, Pedestal, Vacuum Chuck, SE01120 | ||
12313 | Chuck | SE01120 | ESC, Pedestal, Vacuum Chuck, SE01120 | ||
12314 | Chuck | SE01120 | ESC, Pedestal, Vacuum Chuck, SE01120 | ||
12315 | Chuck | SE01120 | ESC, Pedestal, Vacuum Chuck, SE01120 | ||
12316 | Chuck | SE01120 | ESC, Pedestal, Vacuum Chuck, SE01120 | ||
12317 | Chuck | SE01120 | ESC, Pedestal, Vacuum Chuck, SE01120 | ||
12318 | Chuck | 832-2687A | 832-2687A ALUMINIUM ELECTRODE A FOR PY150 | ||
12319 | Circle Seal | SV04-25 | Circle Seal Control SV04-25 Solenoid Valve | ||
12320 | Cisco | SD208 | Cisco SD208 8 Port 10/100 Unmanaged Desktop Switch, v1.2 | ||
12321 | Cisco Systems | 3700 | Cisco Systems 3700 series | ||
12322 | Cisco Systems | DS-X2-FC10G-SR | Genuine Cisco short wave optic DS-X2-FC10G-SR8-01, 21CFR1040/10 | ||
12323 | Cisco Systems | 15454-TCC2P-K9 | 800-24766-04 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, | |
12324 | Cisco Systems | 15454-TCC2P-K9 | 800-24766-04 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, | |
12325 | Cisco Systems | 15454-TCC2P-K9 | 800-24766-04 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, | |
12326 | Cisco Systems | 15454-TCC2P-K9 | 800-24766-04 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, | |
12327 | Cisco Systems | 15454-TCC2P-K9 | 800-24766-04 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, | |
12328 | Cisco Systems | 15454-TCC2P-K9 | 800-24766-04 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, | |
12329 | Cisco Systems | 15454-TCC2P-K9 | 800-24766-04 | Cisco Systems 15454-TCC2P-K9 Timing Communications And Control PCB, | |
12330 | Cisco Systems | 15530-MSMP-0312 | Cisco Multi Service Muxponder Splitter 15530-MSMP-0312 | ||
12331 | Cisco Systems | 73-1348-06A0 | Intel | 650717-001 AP-EC | Cisco Systems Inc, 73-1348-06A0, Intel 650717-001 AP-EC, PCB, Board. |
12332 | Cisco Systems | 800-03279-03D0 | CISCO SYSTEMS 800-03279-03D0 T1 DSU/CSU MODULE ROUTER WAN INTERFACE ADAPTER CARD | ||
12333 | Cisco Systems | AIR-PCM352 | Cisco AIR-PCM352 AIRONETries, PCM Wireless Card | ||
12334 | Cisco Systems | COUIA8UCAA | Cisco COUIA8UCAA, CFP to SFP10G Adapter Module, CVR-CFP-4SFP10G | ||
12335 | Cisco Systems | NME-WAE-502-K9 | Cisco NME-WAE-502-K9 Waas Network Module | ||
12336 | Cisco Systems | WS-4000 | CISCO SYSTEM CATALYST WS-4000 | ||
12337 | Cisco Systems | WS-6500 | CISCO SYSTEM CATALYST WS-6500 Series | ||
12338 | Cisco Systems | WS-C2948G | Cisco WS-C2948G 48-Port Gigabit Switch, Catalyst 2948G | ||
12339 | Cisco Systems | WS-C3750G-24TS-S | Cisco Catalyst WS-C3750G-24TS-S 24-Port Gigabit Switch, 3750 Series | ||
12340 | Cisco Systems | WS-C3750G-24TS-S | Cisco Catalyst WS-C3750G-24T-S 24-Port Gigabit Switch, 3750 Series | ||
12341 | Cisco Systems | WS-C4006 | Cisco Systems CatalysteriesS-C4006 Optical Network Switch, | ||
12342 | Cisco Systems | WS-C5000 | Cisco Systems Catalysteries WS-C5000 Network Switch, | ||
12343 | Cisco Systems | WS-G5484 | Cisco Systems WS-G5484 1000BASE-SX Board | ||
12344 | Cisco Systems | XENPAK-10GB-LW | 2 Genuine Cisco XENPAK-10GB-SRE-SR, 800-25213-01 | ||
12345 | Cisco Systems | XENPAK-10GB-LW | Genuine Cisco XENPAK-10GB-LW+ 10GBASE-LW7-01 | ||
12346 | Cisco Systems | XENPAK-10GB-LW | 2 Genuine Cisco XENPAK-10GB-LWE-LW7-01 | ||
12347 | Cisco Systems | XENPAK-10GB-LW | 3 Genuine Cisco XENPAK-10GB-LW+ 10GBASE-LW7-01 | ||
12348 | Cisco Systems | Genuine Cisco XENPAK-10GB-LR+ 10GBASE-LR8-04 V01 | |||
12349 | Cisco Systems | 800-12137-01 | 73-6104-01 | Cisco 800-12137-01 Main Board Motherboard, 73-6104-01 | |
12350 | Cisco Systems | 73-1688-05 | Cisco 73-1688-05 Fast Ethernet Port Adapter Card, PCB, 28-1656-03 | ||
12351 | Cisco Systems | 73-1391-08 | Cisco 73-1391-08 A0 Board, PCB, 28-1391-04 | ||
12352 | Cisco Systems | 73-5340-01 | Cisco 73-5340-01 Ao Board, PCB, 28-2997-04 | ||
12353 | CKD | AB3X | CKD AB3X, Solenoid Valve, Pneumatic0V, NPT ¼, Air 0.4,Water 0.3,Oil 0.25 | ||
12354 | CKD | AGD01V-X0001 | CKD AGD01V-X0001 Valve, Type N.C. | ||
12355 | CKD | AGD01V-X0001 | CKD AGD01V-X0001 Valve, Type N.C. | ||
12356 | CKD | AGD01V-X0001 | CKD AGD01V-X0001 Valve, Type N.C. | ||
12357 | CKD | AGD01V-X0001 | CKD AGD01V-X0001 Valve, Type N.C. | ||
12358 | CKD | AGD01V-X0001 | CKD AGD01V-X0001 Valve, Type N.C. | ||
12359 | CKD | AGD01V-X0001 | CKD AGD01V-X0001 Valve, Type N.C. | ||
12360 | CKD | AGD01V-X0001 | CKD AGD01V-X0001 Valve, Type N.C. | ||
12361 | CKD | AGD01V-X0001 | CKD AGD01V-X0001 Valve, Type N.C. | ||
12362 | CKD | AGD10V-AT2-4T-JS3 | NEW CKD Valve AGD10V-AT2-4T-JS3, Act pressure 58-65 PSIG | ||
12363 | CKD | AGD10V-AT2-4T-JS3 | CKD Valve AGD10V-AT2-4T-JS3 Act pressure 58-65 PSIG | ||
12364 | CKD | AMD33-15BUS-10-1FT | CKD AMD33-15BUS-10-1FT, Resin, Valve | ||
12365 | CKD | AMF-V-X1 | CKD VALVE AMF-V-X1 | ||
12366 | CKD | AVP | AVP51-25K VALVE, VACUUM BELLOWS, ID | ||
12367 | CKD | AVP51-X0005 | CKD AVP51-X0005 CYLINDER CSD2-40-10 MAX0.5 ID | ||
12368 | CKD | AVP73-X0001 | CKD Corporation, AVP73-X0001, Valve, Vacuum Bellows | ||
12369 | CKD | B2019-2C-P | CKD B2019-2C-P, Pressure Regulator w/ Pressure Gauge, B2019-2C-PG146 | ||
12370 | CKD | CSD2-L-32-10 | CKD CSD2-L-32-10 Pneumatic Compact Air Cylinder | ||
12371 | CKD | DLV-1-AB4X-3422 | CKD DLV-1-AB4X-3422, Valve, Delay Leak, AB4X. | ||
12372 | CKD | DLV-1-AB4X-3422 | CKD DLV-1-AB4X-3422 Delay Leak Valve, AB4X | ||
12373 | CKD | DLV-1-AB4X-3422 | CKD DLV-1-AB4X-3422 Valve, Delay Leak, AB4X | ||
12374 | CKD | M4KA180-M5-8 | CKD M4KA180-M5-8 Solenoid Valve, DC24V, 3KA110-,64584, ID | ||
12375 | CKD | M4KA180-M5-8 | CKD M4KA180-M5-8 Solenoid Valve, DC24V, 3KA110-, ID | ||
12376 | CKD | M4KA180-M5-D2-7 | CKD M4KA180-M5-D2-7 Solenoid Valve, DC24V, 3KA110-D2, ID | ||
12377 | CKD | MAGD-10R-AT2 | CKD MAGD-10R-AT2, AGD-R Series Diaphragm Valve, Pneumatic, Hybrid; other | ||
12378 | CKD | MAGD-10V-AT2-JS3 | lot of 4 new MAGD-10V-AT2-JS3 pneumatic diaphragm valve AMAT CKD | ||
12379 | CKD | MAGD-10V-AT2-JS3 | used MAGD-10V-AT2-JS3 pneumatic diaphragm valve AMAT CKD | ||
12380 | CKD | MMGD-10V-AT2-L1 | New CKD Manual Valve MMGD-10V-AT2-L1, Surface Mount quantity of 3 | ||
12381 | CKD | MMGD-20V-AT2-L1 | CKD MMGD-20V-AT2-L1 Pneumatic Diaphragm Valve | ||
12382 | CKD | PKW-04-27 | CKD PKW-04-27 Chemical Vapor Deposition Solenoid Valve | ||
12383 | CKD | PKW-04-27 | CKD PKW-04-27 Chemical Vapor Deposition Solenoid Valve | ||
12384 | CKD | USG-3-6-2E | 2 CKD USG-3-6-2E Solenoid Valve For Shuttle, 24V, 4W, DC, ID | ||
12385 | CKD | USG3-X0693 | CKD USG3-X0693 Valve | ||
12386 | CKD | USG3-X0693 | CKD USG3-X0693 Valve | ||
12387 | CKD | USG3-X0693 | CKD USG3-X0693 Valve | ||
12388 | CKD | USG3-X0693 | CKD USG3-X0693 Valve | ||
12389 | CKD | USG3-X0693 | CKD USG3-X0693 Valve | ||
12390 | CKD | USG3-X0693 | CKD USG3-X0693 Valve | ||
12391 | CKD | USG3-X0693 | CKD USG3-X0693 Valve | ||
12392 | CKD | USG3-X0693 | CKD USG3-X0693 Valve | ||
12393 | CKD | USG3-X0693 | CKD USG3-X0693 Valve | ||
12394 | CKD | 4F020-M5 | 6 new CKD 4F020-M5 3 way solenoid valve | ||
12395 | CKD | M4KB120-M5-C21K-4-FL185782-3 | DC24V, 1119 | CKD Corp. M4KB120-M5-C21K-4-FL185782-3, DC24V, 1119, 0-0.7 MPa, Valve. | |
12396 | Clarostat | L32001 | Gasonics | 73336-01 | Clarostat L32001, Skan-A-Matic Sensor Assembly, Gasonics 73336-01 |
12397 | Clarostat | P32011 | Clarostat P32011, Skan-A-Matic Sensor Assembly, Gasonics 73336-02 | ||
12398 | Clay Adams | 3010 | Clay Adams Pre-Cleaned Gold Seal Micro Slides 3010 | ||
12399 | Cleveland Motion Controls | MH3515-241G | Cleveland Motion Controls, MH3515-241G, PM Servo Motor, ETEC Systems. | ||
12400 | Clippard | HS-9901 | OnTrak | 80-0002-047 | Clippard HS-9901 Hall Effect Sensor, OnTrak 80-0002-04799-0042 |
12401 | Clippard | HS-9901 | On-Trak | 80-0002-047 | Clippard HS-9901 Hall Effect Sensor, On-Trak 80-0002-047 |
12402 | Clippard | HS-9901 | Ontrak | 30-0002-047 | Clippard HS-9901 Hall Effect Sensor, Ontrak 30-0002-047099-0042 |
12403 | Clippard | HS-9901 | Ontrak | 80-002-047 | Clippard HS-9901 Hall Effect Sensor, Ontrak 80-002-04799-0042 |
12404 | Clippard | Model 2012 | Clippard Model 2012 3-Way Valve | ||
12405 | Clippard | HS-9901 | OnTrak Systems, Inc | 80-0002-047 | Clippard HS-9901 Hall Effect Sensor, On-Trak 80-0002-047 |
12406 | Clippard | Model 2012 | Clippard Model 2012 3 Way Valve | ||
12407 | Clippard | Model 2012 | AMAT | 2 Clippard Model 2012 3 Way Valve, Applied Materials AMAT | |
12408 | Clippard | R481-12 | 3 Clippard R481-12 4-Way Electronic Valve | ||
12409 | Clippard | EV-3-24 | Clippard EV-3-24 Pneumatic Solenoid Electric Valve, 24VDC | ||
12410 | Clippard | CDR-24-2-SPEC | Clippard CDR-24-2-SPEC Pneumatic Air Cylinder | ||
12411 | C-mac | RP32-1-3-415 | Varian | C-mac RP32-1-3-415 Phase Sequence Relay, Varian1, | |
12412 | CMC | 14-8885-077 | 2 Assy, 14-8885-077, Snsr, Rotary Actr, CMC, Assembly, Sensor | ||
12413 | Cognex | 247225-002 | COGNEX TELTEC XFR ARM SUBSYSTEM ASSY 247225-002 247224-001 | ||
12414 | Cognex | DM100X | 825-0019-2R | Cognex DM100X ID Barcode Reader Scanner 825-0019-2R, 821-0008-3R Dataman | |
12415 | Cognex | DM100X | 825-0019-1R | Cognex DM100X ID Barcode Reader Scanner 825-0019-1R, 821-0008-1R Dataman | |
12416 | Cognex | 808-0009-1R | Cognex 808-0009-1R ID Barcode Reader Scanner, Dataman | ||
12417 | Coherent | 8605 | 201 | COHERENT 860524 power meter 201 | |
12418 | Coherent | 1068389 | Coherent Mopa Pulse Board Assy 1068389 | ||
12419 | Coherent | 1157129 | Cohernet AVIA Ultra 5 Head Board Assy 1157129 REV AA | ||
12420 | Coherent | 0175-556-00 | Cohernet 0175-556-00 Laser Diode Driver PCB board, S00079427, FAB 0175-555-00 | ||
12421 | Coherent | AVIA 266 | 0179-040-00 | 2 Cohernet AVIA 266 HEAD Board, Assy 0179-040-00 PCB board, FAB 0171-702-00 | |
12422 | Coherent | 532-8-100 | Coherent Matrix 532-8-100 Solid-state laser Source, Q-switched, Diode-pumped | ||
12423 | Coiltronics | VP3-0055 | 5002290 | Coiltronics VP3-0055 RocketPort,PCI,Univ, 16P, RoHS0 | |
12424 | Coldcathode | HPS DIVISION | COLDCATHODE GAUGE HPS DIVISION MKS | ||
12425 | Coldcathode | HPS DIVISION | COLDCATHODE GAUGE HPSW DIVISION MKS PEGS 858 | ||
12426 | Cole Parmer | 4638 | NEW Cole-parmer 04638-, 04639-series Magnetic series | ||
12427 | Cole Parmer | 7552 | Cole-Parmer 7552 Masterflex LS Washdown modular controller Looks new | ||
12428 | Cole Parmer | 0156-0000 | Cole-Parmer chart recorder 0156-0000,looks very clean | ||
12429 | Cole Parmer | 04639-Series | Cole-Parmer Instrument Company 04639-Series, 04639-00, Magnetic Strirrer. | ||
12430 | Cole Parmer | 15656-00 | Cole Parmer 15656-00 KVM switch box | ||
12431 | Cole Parmer | 32915-68 | COLE PARMER68 Flow Meter | ||
12432 | Cole Parmer | 32915-68 | COLE PARMER68 Flow Meter | ||
12433 | Cole Parmer | 7520-25 | Masterflex Peristaltik pump drive looks new or rebuilt | ||
12434 | Cole Parmer | 7520-25 | Masterflex Peristaltik pump drive. very clean | ||
12435 | Cole Parmer | 7553-07 | IPEC Speedfam Novellus | AC3003A1 | Masterflex washdown modular controller, |
12436 | Cole Parmer | 7553-07 | IPEC Speedfam Novellus | AC3003A1 | Lot of 2, Masterflex washdown modular controllers, Look new |
12437 | Cole Parmer | 7554-20 | cole-parmer 7554-20 6-600 RPM slurry pump 2 Barnant E-67909 | ||
12438 | Cole Parmer | 8112-00 | Cole parmer 8112-00, Thermocouple Thermometer 7002H J | ||
12439 | Cole Parmer | 29830-00 | Cole Parmer 29830-00 Filter Cartridge, Pleated, PP, 0.2 Micron | ||
12440 | Cole-Palmer | 01508-25 | 29802-52 | Cole-Palmer 01508-25 Filter Housing Cold Water Blue w/ 29802-52 Spanner Wrench | |
12441 | Cole-Palmer | 7519-65 | Cole-Palmer 7519-65 Masterflex Adjustable Occlusion Narrow Cartridge | ||
12442 | Cole-Parmer | 78165-00 | Cole-Parmer 78165-00 Vacuum Pump Venturi, 0.5 SCFM | ||
12443 | Colman DC Motors | CYHC-43000-761 | Colman DC Motors CYHC-43000-761 24 VDC CMP Daid | ||
12444 | Colman DC Motors | CYHC-43000-761 | Colman DC Motors CYHC-43000-761 24 VDC CMP Daid | ||
12445 | CombiLine | WU 942 | Hena 300 | CombiLine WU 942, Hena 300 Single-Stage Rotary Vane Pump & Okta 1000 Roots Pump | |
12446 | CombiLine | WU 942 | Hena 300 | CombiLine WU 942, Hena 300 Single-Stage Rotary Vane Pump & Okta 1000 Roots Pump | |
12447 | CombiLine | WU 412 | Pfeiffer | Hena 100 | CombiLine WUena 100 Single-Stage Rotary Vane Pump & Oktaots Pump |
12448 | CombiLine | WU 412 | Pfeiffer | Hena 100 | CombiLine WUena 100 Single-Stage Rotary Vane Pump & Oktaots Pump |
12449 | Comdel | CB5000 | Comdel CB5000 RF Generator, Novellus R27-335415-00 | ||
12450 | Comdel | CLF-5000/400 | Comdel Inc CLF-5000/400, RF Generator. | ||
12451 | Comdel | CLX-10K | Novellus | 27-257659-00 | Low Freq RF Generator With CX-10KS DC Power Supply R27-257659-00 |
12452 | Comdel | CLX-2500 | Comdel CLX-2500 RF Generator, FP1331R1 | ||
12453 | Comdel | CMPX-2500 | Comdel Match Pro CPMX-2500, FP2300R100-1 | ||
12454 | Comdel | CMX30 | Novellus | 27-265049-00 | Comdel CMX30 RF Match Network 13.56 MHz 10kW PECVD Novellus 27-265049-00 |
12455 | Comdel | CMX30 | Novellus | 27-265049-00 | Comdel CMX30 RF Match Network 13.56 MHz 10kW PECVD Novellus 27-265049-00 |
12456 | Comdel | CMX30 | Novellus | 27-265049-00 | Comdel CMX30 RF Match Network 13.56 MHz 10kW PECVD Novellus 27-265049-00 |
12457 | Comdel | CP5-500 | Ccomdel CP5-500 RF Power Source | ||
12458 | Comdel | CPMX-2500 | COMDEL INC. CPMX-2500, Match Pro, RF Matching Network, FP2307R1 Novellus 21-2405 | ||
12459 | Comdel | CPMX2500 | Comdel CPMX2500 Matching Network, Novellus 27-282821-00 R2 | ||
12460 | Comdel | CPS-1001/13 | Comdel CPS-1001/13 RF generator 13 56 MHz, 3 phase AM 762 CPS-1001 | ||
12461 | Comdel | CPS-1001/60 | novellus | R04-716707-01 | RF generator Novellus R04-716707-01 , 3 phase |
12462 | Comdel | CX-16P | Comdel CX-16P DC Power Supply, Novellus 27-257659-00 | ||
12463 | Comdel | FP1339R4 | Novellus | 27-287258-00 | Comdel FP1339R4 CLX2500 RF Generator, Novellus 27-287258-00 |
12464 | Comdel | Comdel Match Pro Remote Controller, CMP-5000 | |||
12465 | Comet | CF3C-100E/50 | Comet CF3C-100E/50, L 87020kV | ||
12466 | Comet | CF3C-100E/50 | Comet CF3C-100E/50, L 87030kV | ||
12467 | Comm Specialties Inc | splitter | lot of 9, Twin splitter for VGA w 2 output splitter, Power supply , 6ft cables | ||
12468 | Communications Specialties | 1035 | Communications Specialties Model 1035, TwinSplit (R) for VGA, w/2 Output. | ||
12469 | Communications Specialties | Type 1035 | Communications Specialties Model 1035, TwinSplit (R) for VGA, w/2 Output. | ||
12470 | COMPAC | 6957-001 | COMPAC ENGINEER 6957-001 FLOW SENSOR 120-240 VAC PILOT DUTY | ||
12471 | Compact Air | AB118X12 | Compact Air AB118X12 Cylinder | ||
12472 | Compact Air Products | Q86-1032-B | Compact Air Products Q86-1032-B Pneumatic Air Cylinder | ||
12473 | Compact Automation | 19-100626-00 | 02-117049-00 | Coolped lift model Lift cylinder assy | |
12474 | Compact Automation | 60-152879-00 | 02-152880-00 | Lift cylinder assy | |
12475 | CompAir | Series 5 | CompAir Series 5 Solenoid Valve | ||
12476 | Compaq | 006047-001 | COMPAQ BOARD, ASSY 006047-001, P03560B25EIVNI | ||
12477 | Compaq | 006047-001 | COMPAQ BOARD, ASSY 006047-001, REV B | ||
12478 | Compaq | 010505-002 | P392B0MBFMAZIX | Compaq 010505-002, 143886-001 Smart Array 431 RAID Controller | |
12479 | Compaq | 127007-031 | Lot of 3 Compaq 127007-031 2*128MB 256MB Sync 133Mhz CL3 ECC RAM Look new | ||
12480 | Compaq | 247288-002 | RAM SIMM EDO ECC COMPAQ 247288-002 32MB | ||
12481 | Compaq | NC3120 | HP Compaq PCI 10/100TX Ethernet Card NC3120 | ||
12482 | Compaq | NFT7375/003RL | HC-00X-00072 | Compaq NFT7375/003RL, Barcode Reader, HC-00X-00072, LHA7126RR1S-202. | |
12483 | Compaq | 54-23945-01 | 4 Compaq DEC 54-23945-01 Compaq PVA Module Fibre Channel Raid Array | ||
12484 | Compare Autocal | 100-90-001J | Compare Autocal 100-90-001J Circuit Board, PCB, SCBETAFT69-000 | ||
12485 | Compare Autocal | 100-90-001J | Compare Autocal 100-90-001J Circuit Board, PCB, SCBETAFT90-001J | ||
12486 | Compass Components | 13-0158-00 | Compass Components 13-0158-00 | ||
12487 | Compass Components | 03-124094-00 | Compass Components 03-124094-00, Assembly | ||
12488 | Compass Components | 13122-01 | COMPASS COMPONENTS 13122-01 JOB/ASM/OPER, NEW-192488 cable | ||
12489 | Compton Modutec | 00-939033-001 | Meter, extraction mAmps, Compton Modutec | ||
12490 | Compumotor | 850 | Compumotor 850 Series, Model 852 X/Y Joystick Controller. | ||
12491 | Compumotor | 6023-068 | Compumotor Stepper Motor, AMP, 6023-068 | ||
12492 | Compumotor | CM232XJ-01471 | Parker | Compumotor CM232XJ-01471 Motor, Parker, 340V, | |
12493 | Compumotor | OEM5740DS-10369 | 2 CompuMotor OS Series Model # CP*OEM5740DS-10369 | ||
12494 | Computer dynamics | 2CABL-0A00-0347 | COMPUTER DYNAMICS PROGRAMMING SWITCH 2CABL-0A00-0347 | ||
12495 | Computer dynamics | SAEUL12V | Computer Dynamics VAMP Programming switch SAEUL12V w/ cable 2CABL-oaoo-0347 | ||
12496 | Computer Dynamics | VAMP-XGA | Computer Dynamics VAMP-XGA, PCB, Board | ||
12497 | Computer products | HH190 | Computer Products PM621 HH190 BOARD | ||
12498 | Computer Products | NFS40 | Computer Products NFS40 Power Supply | ||
12499 | Comtrol | DB9M | Comtrol DeviceMaster DB9M 8-Port Hub | ||
12500 | Condor | GPC80-24 | Condor GPC80-24, DC Power Supply, 24 Volt, 26601. | ||
12501 | Conflat | 2.5″ | Connector Flange, T, 2.5″ | ||
12502 | Conofocal | K2 | Conofocal K2 Unit Mercury Arc Lamp Housing | ||
12503 | contemporary controls | EISK8-100T | 2 Contemporary Controls EISK8-100T 10/100 Mbps Ethernet Switch | ||
12504 | Control Panel | 80312-01 Rev. D | 80311-021 | CONTROL PANEL 80312-01 REV. D WITH 80311-01, 1295-501 REV. A | |
12505 | Controller | LB1640N | BIDIRECTIONAL MOTOR DRIVER WITH BREAKING FUNCTION | ||
12506 | controlotron | 990 | Controlotron System 990 Multipulse Transit-Time Flowmeter, Ultrasonic flowmeter | ||
12507 | Conversion Devices, Inc. | 505S12 | 4 CDI DC/DC Converter, Eaton, 3850-0388-001 | ||
12508 | Conxall | 8280-4PG-513K | 2 Conxall 8280-4PG-513K Mini-Con-X Plastic Connector OnTrak 70-0010-173 | ||
12509 | Cool Max | HDD-15 | Cool Max HDD-15, 2 Way Data Transfer Switch Network | ||
12510 | Cooper | LPJ40SP | Lot of 10, Cooper LPJ40SP, Class J time delay 4a amp fuses | ||
12511 | Cooper | LPJ40SP | Lot of 15, Cooper LPJ40SP, Class J time delay 4a Amp Fuses | ||
12512 | Cooper | 5000113-5X | Cooper3-5X, Thermocouple Adapter Cable | ||
12513 | Cooper | LPJ-80SP | Lot of 20 Cooper Bussman LPJ-80SP, Low Peak 80A fuses | ||
12514 | Cooper Bussmann | LPJ-40SP | 10 Cooper Bussmann LPJ-40SP Low-Peak Dual-Element Time-Delay Fuse Class J | ||
12515 | Cooper Wiring Devices | AH5253 | 7 Cooper Wiring Devices AH5253, Single Receptacle, 15A 25V 2P 3W GRD, 3-Prong | ||
12516 | CoorsTek | 760300 | CoorsTek 760300 Ceramic Ring, 7603-00D x 5.154 ID x .600 T | ||
12517 | CoorsTek | 760300 | CoorsTek 760300 Ceramic Ring, 7603-00D x 5.154 ID x .600 T | ||
12518 | CoorsTek | 78971-02 | CoorsTek 8971-02 Ceramic Ring, 8971-00, 11.00 OD x 7.00 ID x .63 THK | ||
12519 | Copal Electronics | P5S-102V | Copal Electronics, PS5-102V Pressure Switch, | ||
12520 | Copley Controls Corp. | 800-1570 | Copley Controls Corp. 800-1570 Amplifier Data Sheet | ||
12521 | Copley Controls Corp. | 800-299A | Copley Controls Corp. 800-299A Servo Motor Amplifier Module | ||
12522 | Corcom | 10EP1 F7175 | CORCOM 10EP1 F7175, EMI FILTER lots of 2 | ||
12523 | Corcom | 30VK6 | CORCOM MODEL 30VK6, F7358, 30 AMP EMI FILTER 120/250VAC | ||
12524 | Corcom | 30VK6 | CORCOM 30VK6 POWERLINE FILTER F7358, 30A, 120/250 V | ||
12525 | CORCOM | 10VK1 | RFI FILTER; FILTER TYPE:STANDARD; CURRENT RATING:10A, 120/250V | ||
12526 | Corelis | 10204A | Corelis 10204A, NetICE-R Option 2/2MF | ||
12527 | Corelis | 10204A | Corelis 10204A, NetICE-R Option 2/2MF | ||
12528 | Corelis | 10204A | Corelis 10204A, NetICE-R Option 2/2MF | ||
12529 | Corelis | 10204A | Corelis 10204A, NetICE-R Option 2/2MF | ||
12530 | Corelis | 10204A | Corelis 10204A, NetICE-R Option 2/2MF | ||
12531 | Corman Electronics | 60MPF-WA 9091-0002 | Corman Electronics 60MPF-WA 9091-0002, PCB | ||
12532 | Corman Technologies | 3040-0225 | Corman Technologies 3040-0225, PCB | ||
12533 | Corman Technologies | CT-N806 | Corman Technologies CT-N806 Diagnostics Hub 120v/0.16A 240/0.08Az | ||
12534 | Corman Technologies | PT1010258-04M | Corman Technologies PT1010258-04M, Top Assy DCP881 512K, 4P W/O PT, PCB | ||
12535 | Cornelius | CH951-A-2P-PC-HG | Cornelius 103320 Chiller, 01454R, CH951-A-2P-PC-HG, R134-A | ||
12536 | Corning | 6795-420D | Corning 6795-420D Lab Sirrer Hot Plate, PC-420D | ||
12537 | Corning | PC-353 | Corning PC-353 Stirrer | ||
12538 | Corning | PC-35 | Corning PC-35 Laboratory Hot Plate | ||
12539 | COSEL | AD750F | Cosel AD750F24 Power supply | ||
12540 | COSEL | K100AU-24 | Cosel K100AU-24 Switching Regulator, Power Supply, 85-125V, 47-440 Hz, 24V | ||
12541 | COSEL | K100AU-24-N | Cosel K100A Switching Regulator K100AU-24-N, K-Series | ||
12542 | COSEL | K150AU-2N | Cosel K150AU-24-N Power Supply | ||
12543 | COSEL | K25A-12 | Cosel K25A-12 Power Supply | ||
12544 | COSEL | P300E-24 | Power suppl 24V, 14A | ||
12545 | COSEL | PAA 100F | COSEL Power Supply PAA 100F 24V 4.5A, | ||
12546 | COSEL | PAA100F-12 | Cosel PAA100F-12, Power Supply, 8A +12VDC, | ||
12547 | COSEL | PAA150F-12 | novellus | 27-169458-00 | power supply 12V, 13A Looks new |
12548 | COSEL | PAA150F-12 | novellus | 27-169458-00 | Lot of 4 power supplies, 12V, 13A New in box |
12549 | COSEL | PBA100F-24, PBA30F-24 | Cosel PBA100F-24 and PBA30F-24 Power Supply | ||
12550 | COSEL | TAH-10-683 | Cosel TAH-10-683 Noise filter 3 phase, 10A | ||
12551 | COSEL | UAW 125S | novellus | 27-143752 | Lot of 4 power supplies, 52V, 125W New in Box |
12552 | COSEL | UAW500S-24 | COSEL UAW500S-24 Power Supply, F500-24, 17-141611-00, 24V22A, AC6.5A | ||
12553 | COSEL | UAW500S-24 | COSEL UAW500S-24 Power Supply, F500-24, 17-141611-00, 24V22A, AC6.5A | ||
12554 | COSEL | UAW500S-24 | COSEL UAW500S-24 Power Supply, F500-24, 17-141611-00, 24V22A, AC6.5A | ||
12555 | COSEL | UAW500S-24 | COSEL UAW500S-24 Power Supply, F500-24, 17-141611-00, 24V22A, AC6.5A | ||
12556 | Cosmicar | ANB845N | Pentax | Cosmicar, Pentax ANB845N Small Lens | |
12557 | Cover | 2105491-A | 2105491-A Case, Enclosure | ||
12558 | Cover | ISM020 | 27 Nylon, ISM020, Compression Collar | ||
12559 | Cover Plate | 16-10147-00-X3 | Cover Plate 16-10147-00-X3 | ||
12560 | Coyote Point | E650GX | Coyote Point Equalizer E650GX Load Balancer | ||
12561 | Coyote Point | E650GX | Coyote Point Equalizer E650GX Load Balancer | ||
12562 | CPC | MCD281032HT | 1 Lot of 8 CPC MCD281032HT Valved Coupling Insert | ||
12563 | CPC | MCD281032HT | 1 Lot of 10 CPC MCD281032HT Valved Coupling Insert | ||
12564 | CPI | 73154711 | CPI 73154711 Cathode High Voltage Tank, 731547 | ||
12565 | CPI | CPW 2870AZ | CPI CPW 2870AZ RF Power Supply, AMAT 0190-55659 | ||
12566 | CPI | CPW 2870AZ | CPI CPW 2870AZ RF Power Supply, AMAT 0190-55659 | ||
12567 | CPI | CPW2870B10 | R27-251231-00 | CPI CPW2870B10 Power Supply, 27-251231-00, 460/480V, 58A,z, 3PH | |
12568 | CR Magnetics, Inc. | CR2RL-500 | 2 CR Magnetics CR2RL-500 Current Transformer,atio,Hz, 600V | ||
12569 | CR Services | SKF39996 | 2 CR Services SKF39996, Oil Seal, ID:4″, OD:5.251″, Width: 7/16″ | ||
12570 | Credence | 4020 | Credenceixed-Signal Test System, Programmable Test Head STS 4020 | ||
12571 | Credence | 97173001-07 | Sapphire | 3300034-01 | LTX Credence Semiconductor Tester, 97173001-07 PDU-Sapphire4-01 |
12572 | Credence Systems | 60-1049-30 | Credence Systems 60-1049-30 Waveform Generator HSD Board, PCB, STS 3500 | ||
12573 | Credence Systems | 60-1070 | Credence Systems 60-1070 Telecom Filter Board, PCB, STS 3500 | ||
12574 | Criterion | PC-230 | Criterion HIAC PC-320 Particle Size Analyzer | ||
12575 | Crompton Modutec | 1S-DMA-200 | Crompton Modutec 1S-DMA-200 Metermaster Panel Meter, 0-200 DCMA | ||
12576 | Crossing Automation | 15534-001 | Asyst | Crossing Automation 15534-001 Assy Aligner, 5x, LC001, Asyst | |
12577 | Crossing Automation | Asyst | Crossing Automation Configurable I/O, Asyst | ||
12578 | CROUZET | TR H | CROUZET TR ELECTRICAL TIMER, RELAY, SYRELEC | ||
12579 | Crouzet | GNR30DCZ | Crouzet GNR30DCZ Cooltec, DIN Mount Relay, 30 Amp, 48-600 VAC | ||
12580 | Crouzet | TXR1 | Crouzet TXR1 Time Delay Relay | ||
12581 | Crydom | CKRD2430-10 | Crydom CKRD2430-10 Solid State Relay | ||
12582 | Crydom | HD48125 | 2 Crydom HD48125 Solid State Relay | ||
12583 | CS Electronics | ADP-8873-1X | CS Electronics ADP-8873-1X iSAS Adapter Card, PCB | ||
12584 | CSZ | ZHS-8-1-1-H/AC | CSZ Cincinnati Sub-Zero Temperature Environmental Chamber ZHS-8-1-1-H/AC | ||
12585 | CTI Cryogenics | 8116557G001 | CTI Cryogenics 8116557G001 On-board 8 MS Cryopump, Helix, Brooks | ||
12586 | CTI Cryogenics | 8120704G011 | CTI Cryogenics 8120704G011 On-Board Waterpump, Vacuum Pump | ||
12587 | CTI Cryogenics | 8081271 | CTI Cryogenics 8081271 CryoLine Stainless Steel Hose, Cryopump | ||
12588 | CTI HELIX Brooks | 8300 | CTI-CRYOGENICS 8300 compressor, 8004 controller | ||
12589 | CTI HELIX Brooks | 8500 | NEW CTI-CRYOGENICS ADSORBER COMPRESSOR 8500, 8510, 9600 | ||
12590 | CTI HELIX Brooks | 8033165 | CTI CRYOGENICS 8033165 CRYO PUMP 8, looks very clean. | ||
12591 | CTI HELIX Brooks | 8033165 | CTI Cryo pump 8, Model # 8033165, surplus item | ||
12592 | CTI HELIX Brooks | 8033264 | CTI Brooks, 8033264 Cryo Pump, Cryo-torr 8R, | ||
12593 | CTI HELIX Brooks | 8039155 | CTI-Cryogenics 8039155 G002, Scott “T” Controller, Cryogenic Pump, Helix. | ||
12594 | CTI HELIX Brooks | 8039155 | CTI-Cryogenics 8039155 G002, Scott “T” Controller, Cryogenic Pump, Helix. | ||
12595 | CTI HELIX Brooks | 8044060 | CTI Cryogenics Regeneration Control 8044060 | ||
12596 | CTI HELIX Brooks | 8044060 | CTI-Cryogenics 8044060, Regeneration Controller, Helix. | ||
12597 | CTI HELIX Brooks | 8081271 | CTI Cryogenics 8081271 CryoLine Stainless Steel Hose, Cryopump | ||
12598 | CTI HELIX Brooks | 8081608 | AMAT | 3400-01108 | CTI Cryogenics 8081608 Hose 10′ Flex Line HE Cryo 3/4″, AMAT 3400-01108 |
12599 | CTI HELIX Brooks | 8103030 | CTI-Cryogenics 8103030 Cryo-Torrgh Vacuum Pump, Helix, Cryopump | ||
12600 | CTI HELIX Brooks | 8103030 | CTI-Cryogenics 8103030 Cryo-Torrgh Vacuum Pump, Helix, Cryopump | ||
12601 | CTI HELIX Brooks | 8103030 | CTI-Cryogenics 8103030 Cryo-Torrgh Vacuum Pump, Helix, Cryopump. | ||
12602 | CTI HELIX Brooks | 8103030 | CTI-Cryogenics 8103030 Cryo-Torrgh Vacuum Pump, Helix, Cryopump. | ||
12603 | CTI HELIX Brooks | 8112105 | CTI 8112105 TC Gauge – VCR | ||
12604 | CTI HELIX Brooks | 39108059 | CTI Cryogenics59 Hose, Flex Line, Cryo, 8043080 | ||
12605 | CTI HELIX Brooks | 254931D4 | Cryo 254931D4 Compressor Tee Line | ||
12606 | CTI HELIX Brooks | 8031186G001 | CTI 8031186G001 Cryo 8500 compressor | ||
12607 | CTI HELIX Brooks | 8032222G023 | CTI-Cryogenics 8032222G023 Cable Assy | ||
12608 | CTI HELIX Brooks | 8039-155 | CTI-Cryogenics 8039-155 G002, Scott “T” Controller, Cryogenic Pump, Helix | ||
12609 | CTI HELIX Brooks | 8039-155 | CTI-Cryogenics 8039-155 G002, Scott “T” Controller, Cryogenic Pump, Helix | ||
12610 | CTI HELIX Brooks | 8039155G002 | CTI-Cryogenics 8039155G002, Scott “T” Controller, Cryogenic Pump, Helix | ||
12611 | CTI HELIX Brooks | 8039155G002 | CTI-Cryogenics 8039155G002, Cryogenic Pump Controller | ||
12612 | CTI HELIX Brooks | 8043047G002 | CTI Cryogenics 8043047G002, On-Board 3 Phase Motor Controller. | ||
12613 | CTI HELIX Brooks | 8043074G120 | 2 CTI Cryogenics 8043074G120Stainless Steel Hose, Cryopump | ||
12614 | CTI HELIX Brooks | 8043156G600 | AMAT | CTI Cryogenics 8043156G600 Cryopump, Flex Hose, Cryo Line, | |
12615 | CTI HELIX Brooks | 8044060ARC100 | CTI-Cryogenics 8044060ARC100 Cryo Pump Regeneration Controller, Helix, | ||
12616 | CTI HELIX Brooks | 8080-275 | CTI-Cryogenics 8080-275 K001 Adsorber, 8500/8510 Kit | ||
12617 | CTI HELIX Brooks | 8112212G003 | CTI-CRYOGENICS CABLE, 8112212G003, OLFLEX-190 97W31-PC | ||
12618 | CTI HELIX Brooks | 8113018G001 | CTI-Cryogenics On-Board Input Processor 8113018G001 | ||
12619 | CTI HELIX Brooks | 8113018G001 | CTI-Cryogenics On-Board Input Processor 8113018G001, Helix technology corp | ||
12620 | CTI HELIX Brooks | 8113044G001 | CTI-Crogenics 8113044G001 On-Board Netlink Controller, 115/230VAC,z, 0.25A | ||
12621 | CTI HELIX Brooks | 8115236G001 | CTI ON-BOARD RETROFIT INTERFACE, CTI 8115236G001 | ||
12622 | CTI HELIX Brooks | 8115236G001 | CTI 8115236G001 On Board Retrofit Interface | ||
12623 | CTI HELIX Brooks | 8116013G005 | 810-32287 | CTI Cryopump, 8116013G005, FastRegen Control Ion Implantation, 810-32287 | |
12624 | CTI HELIX Brooks | 8116119G001R | Brooks | CTI-Cryogenics 8116119G001R On-Board 8F Cryopump, Brooks | |
12625 | CTI HELIX Brooks | 8116216G001 | CTI – Cryogenics 8116216G001 On-Board, 8F Cryopump, GLE | ||
12626 | CTI HELIX Brooks | 8116306G001 | CTI-Cryogenics 8116306G001 On-Board 8 Cryopump | ||
12627 | CTI HELIX Brooks | 8120944G001 | CTI-Cryogenics 8120944G001 Helix On-Board Waterpump9G002, W Module | ||
12628 | CTI HELIX Brooks | 8124603G001 | CTI-CRYOGENICS 8124063G001 CONTROLLER-3P ON-BOARD 3PH MTR CONTROL | ||
12629 | CTI HELIX Brooks | 8129948G001 | ON Board Turbo Plus Cryopump regen controller | ||
12630 | CTI HELIX Brooks | 8135299G305 | CTI CRYOGENICS 8135299G305 COMPRESSOR POWER 03D10. 12755 P-7K-123033-MSHA | ||
12631 | CTI HELIX Brooks | 8135299G305 | CTI CRYOGENICS 8135299G305 CABLE POWER 00L01.CTC | ||
12632 | CTI HELIX Brooks | Cryo-Torr | 8F | 8107813G001 | CTI Cryogenics Cryo-Torr High Vacuum Pump, 8F Cryopump, 8107813G001 |
12633 | CTI HELIX Brooks | CTI8080-255k-001 | CTI Cryogenics, CTI8080-255k-001 Cryopump Compressor Adsorber | ||
12634 | CTI HELIX Brooks | Unknown | CTI-Cryogenics Adsorber Helium Filtration Cartridge | ||
12635 | CTI HELIX Brooks | Unknown | USED CTI CONDENSOR CANISTER | ||
12636 | CTI HELIX Brooks | CTI Cryogenics Keypad Controller for On-Board System | |||
12637 | CTI HELIX Brooks | CTI Brooks Cryo Power Distribution Assembly | |||
12638 | CTI HELIX Brooks | CTI-Cryogenics 8112861, 8112861, 8113008, Cryopump Controller | |||
12639 | CTI-Crogenics | 3918059G001 | 7007002P001 | CTI-Crogenics9G001 Tee Line w/ 7007002P001 Pressurized Supply Assy | |
12640 | CTI-Cryogenics | 8128903G004 | CTI-Cryogenics 8128903G004 High Capacity Helium Compressor Refrigeration System | ||
12641 | CTI-Cryogenics | 8032224 | CTI-Cryogenics 8032224 Air Cooled Compressor, Model SC, Helix | ||
12642 | Cubit | 200031 | Cubit 200031, Prom Module Board, PCB. | ||
12643 | CUI Inc | 41-12-500 | CUI Inc,500, Class 2 Transformer | ||
12644 | CUI Stack | 090150-P5 | CUI Stack DPS 090150-P5 Plug in transformer , Lot of 3 | ||
12645 | Cutler Hammer | CE15CNS3TB-T16 | amat | 1200-01531 | AMAT 1200-01531 3 pole open type AUX:1 NO side coil cutler-Hammer CE15CNS3TB-T16 |
12646 | Cutler Hammer | D2 | WMS1D02 | 4 Cutler-Hammer, D2, WMS1D02, Circuit Breaker, 1 Pole, 15 Amp | |
12647 | Cutler Hammer | fd35k | Cutler Hammer FD35K 0680-00270 CB MAG THERM 3P 600VAC 15A 25KAIC W/RNG | ||
12648 | Cutler Hammer | WMS1D15 | 2 Cutler Hammer WMS1D15, 15 Amp circuit breaker 1P look new | ||
12649 | Cutler Hammer | Culter-Hammer Inc. SPCL Supplementary Protector | |||
12650 | Cutler-Hammer | C FD 25K | Westinghouse | Cutler-Hammer C FD 25K, Westinghouse Series C Industrial Circuit Breaker. | |
12651 | Cutler-Hammer | CE15JNS2AB-T16 | Cutler-Hammer CE15JNS2AB-T16 Magnetic Contactor, Size J, 2 Pole, | ||
12652 | Cutler-Hammer | ELFD | Cutler-Hammer Series C Industrial Circuit Breaker, ELFD, 150 Amps, 3 Pole | ||
12653 | Cutler-Hammer | HFD 65K | 6639C85G92 | Cutler-Hammer HFD 65K, Industrial Circuit Breaker, 6639C85G92, 2 POLES. | |
12654 | Cutler-Hammer | HLD 65k | Cutler-Hammer HLD 65k Industrial Circuit Breaker, 3 Pole, 600A, 600 VAC, | ||
12655 | Cutler-Hammer | HLD 65k | Lock Handle for Cutler-Hammer HLD 65k Circuit Breaker, | ||
12656 | Cutler-Hammer | HLD3600F | Cutler-Hammer HLD3600F Ind. Circuit Breaker w/ LT2600T Magnetic Trip | ||
12657 | Cutler-Hammer | JD3250F | AMAT | 0680-01142 | Cutler-Hammer JD3250F Series C Circuit Breaker, AMAT 0680-01142. |
12658 | Cutler-Hammer | QCR3030HT | AMAT | 0680-01881 | Cutler-Hammer QCR3030HT Circuit Breaker, 30A, 240V, AMAT 0680-01881, |
12659 | Cutler-Hammer | 10250T172 | Eaton | Cutler-Hammer 10250T172 Operator Push Button, Jumbo Head, Red, Eaton | |
12660 | Cutler-Hammer | E22LLB2B | Eaton | 2 Cutler-Hammer E22LLB2B Twist Releaseush Button, Red, Eaton | |
12661 | Cutler-Hammer | E22LLB2B | Eaton | 2 Cutler-Hammer E22LLB2B Twist Releaseush Button, Red, Eaton | |
12662 | Cutler-Hammer | E22NB3 | Eaton | 7980-0060 | Cutler-Hammer E22NB3 25mm Illuminated Flush Pushbutton, Green, Eaton |
12663 | Cutler-Hammer | 10250T101 | Eaton | 2 Cutler-Hammer 10250T101 Operator STD Button, Black, Eaton | |
12664 | Cutler-Hammer | 10250T103 | Eaton | Cutler-Hammer 10250T103 Operator STD Button, Green, Eaton | |
12665 | Cuttler Hammer | 10250T53 | 2 Cutler Hammer 10250T53 contact block | ||
12666 | CVC | Unknown | 2 LPM controller for CVC equipment | ||
12667 | CVC | Unknown | CYG controller for CVC equipment, convectron gauge controller | ||
12668 | CVC | Unknown | CVC 2-ZONE HEATER CONTROLLER | ||
12669 | CW617N | MOP5 | PN16 | DN32 | 2 Ball Valve, 1 1/2 inch, stainless steel, CW617N, MOP5, PN16, DN32 |
12670 | cyber research | Cyber research PC board 0044113 | |||
12671 | CyberOptics | ROX-43AIC-14.5 | 6900-1726-01 | Cyber Optics Semiconductor ROX-43-AIC-14.5, 6900-1726-01 | |
12672 | CyberOptics | ROX-43AIC-14.5 | 6900-1726-01R | CyberOptics ROX-43AIC-14.5, Hama Sensors, 6900-1726-01R | |
12673 | Cybex | EXC-0 | Cybex EXC-0 Rev.F, Extender Receiver. | ||
12674 | Cybex | EX2-0 | Cybex EX2-0 Extender Receiver Transmitter Module | ||
12675 | Cybex | EX2-0 | Cybex EX2-0 Extender Sender Transmitter Module | ||
12676 | Cybor | 518 | Lambda | LFS-45A-20 | Cybor 518 Regulated Power Supply, Lambda LFS-45A-20, |
12677 | Cybor | 25823-24 | Cybor 25823-24 PTFE PFA 3-Way Solenoid Valve | ||
12678 | Cybor | 512E | Cybor 512E Power Supply, 120/240VAC, 3.0A | ||
12679 | Cybor | 512F | cybor power supply 512F | ||
12680 | Cybor | 25823-24 | Cybor 25823-24 PTFE PFA 3-Way Solenoid Valve, 60PSI, 24VDC | ||
12681 | Cylink | CIDEC-MS | Cylink CIDEC-MS Link Data Encryptor, RS1208 | ||
12682 | Cymer, Inc | S04-09075-11 | Cymer S04-09075-11 Laser Stabilization Module | ||
12683 | Cytec | RJX/32-6337C | Cytec RJX/32-6337C Switching System | ||
12684 | Cytec | RSS/256 | Cytec RSS/256 Switching System | ||
12685 | Cytec | RSS/256 | Cytec RSS/256 Switching System | ||
12686 | Daihen | SGM-15B | AMAT | SMA-15B | Daihen SGM-15B SMA-15B AMAT 0010-30397 1.5kW Microwave magnetron,AMAT 0920-01044 |
12687 | Daihen | SGM-15B | SMA-15B AMAT 0010-30397 1.5kW Microwave magnetron, guides, tuner – | ||
12688 | Daihen | SGP-15B | Daihen SGP-15B, Analog RF Microwave Power Generator, 2450MHz, 1500W. | ||
12689 | Daihen | SGP-15B | Daihen SGP-15B, Analog RF Microwave Power Generator, 2450MHz, 1500W. | ||
12690 | Daihen | SGP-15B | Daihen SGP-15B, Analog RF Microwave Power Generator, 2450MHz, 1500W. | ||
12691 | Daihen Corporation | RMN-30F11 | Daihen RMN-30F11 Water Cooled Automatic RF Match, RMN-30 | ||
12692 | Dainippon | 2-VC-13234 | TU controller, SEPC-0065 | ||
12693 | Dainippon Screen | SELC-006 | Dainippon Screen MFG Co SELC-006 Amplifier, Elevator, | ||
12694 | Dainippon Screen | SELC-006 | Dainippon Screen MFG Co SELC-006 Amplifier, Elevator, | ||
12695 | Dainippon Screen | SELC-006 | Dainippon Screen MFG Co SELC-006 Amplifier, Elevator, | ||
12696 | Dainippon Screen | SEMP-0043 | DaiNippon Screen SEMP-0043 Main Panel | ||
12697 | Dainippon Screen | SEVC-235 | Dainippon Screen MFG, SEVC-235 Controller, Oven | ||
12698 | Daito powertron | DPS45A-01 | Daito Powertron DPS45A-01 AC/DC Power system, Maintenance charger, Asyst ASAM-0 | ||
12699 | Dale | RH-50 | Dale RH-50,ower Resistors, Heat Sink, Terminal Strip A set of 6. | ||
12700 | Dalsa | DS-12-16K5H | Dalsa DS-12-16K5H Industrila image capture line scan and power supply, No lens | ||
12701 | Danaher | E1410000C805 | Danaher E1410000C805 Dynapar Mini Encoder Int CPLG 3/8 DIF 5VDC 10’CB, Loadport, B21/BZ2 | ||
12702 | Danaher | E1410000C805 | Danaher E1410000C805 Dynapar Mini Encoder Int CPLG 3/8 DIF 5VDC 10’CB, Loadport, B21/BZ2 | ||
12703 | Danfoss | 131L9796 | Danfoss 131L9796 VLT HVAC Basic Drive 2.2kW, 3.0 HP, 3×200-240V,z | ||
12704 | Danfoss | 131L9798 | Danfoss 131L9798 VLT HVAC Basic Drive, 5.5kW, 7.5HP, 3×200-240V,z | ||
12705 | Danfoss | 131L9805 | Danfoss 131L9805 VLT HVAC Basic Drive, 15 kW, 20 HP | ||
12706 | Danfoss | 131L9866 | Danfoss 131L9866 VLT HVAC Basic Drive 4.0kW, 5.5 HP, 3×380-480V,z | ||
12707 | Danfoss | 131L9869 | Danfoss 131L9869 VLT HVAC Basic Drive, 11kW, 15HP, 3×380-480V,z | ||
12708 | Danfoss | 131L9870 | Danfoss 131L9870 VLT HVAC Basic Drive, 15kW, 20HP, 3×380-480V,z | ||
12709 | Danfoss | 131N0236 | Danfoss 131N0236 VLT HVAC Basic Drive, 15 kW (550V), 20 HP (575V) | ||
12710 | Data I/O | 303A-011A | Data I/O 303A-011A CMOS / BiPolar PLD Programmable / Testing Adapter | ||
12711 | Data Instruments | 771-090400-009 | Data Instruments Pressure Gauge 771-090400-009 0-30PSIG | ||
12712 | Data Instruments | PG1CVF1000 | Data Instruments PG1CVF1000 Pressure Gauge | ||
12713 | Data Logic | S5-5-G8-02 | Data Logic S5-5-G8-02 Photoelectric Sensor | ||
12714 | Data Logic | S5-5-G8-02 | Data Logic S5-5-G8-02 Photoelectric Sensor | ||
12715 | Data Logic | S5-5-G8-02 | Data Logic S5-5-G8-02 Photoelectric Sensor | ||
12716 | Data Logic | S5-5-G8-02 | Data Logic S5-5-G8-02 Photoelectric Sensor | ||
12717 | Data Logic | S5-5-G8-02 | Data Logic S5-5-F8-02 Photoelectric Sensor | ||
12718 | Data Logic | S5-5-G8-02 | Data Logic S5-5-F8-02 Photoelectric Sensor | ||
12719 | Data Logic | S5-5-G8-02 | Data Logic S5-5-G8-02 Photoelectric Sensor | ||
12720 | Data Logic | S5-5-G8-02 | Data Logic S5-5-G8-02 Photoelectric Sensor | ||
12721 | Data Logic | S5-5-G8-02 | Data Logic S5-5-F8-02 Photoelectric Sensor | ||
12722 | Data Logic | S5-5-G8-02 | Data Logic S5-5-F8-02 Photoelectric Sensor | ||
12723 | Data Logic | S5-5-G8-02 | Data Logic S5-5-F8-02 Photoelectric Sensor | ||
12724 | Data translation | DT 5726 | DATA translation DT 5726, VME ASML36.0018 PCB, DT1407 | ||
12725 | Datalux | TIDUB | Datalux TIDUB Space Saver Keyboard | ||
12726 | Dataram | 62707 | 3 Dataram 62707 RAM, DRS280/40696, Infineon | ||
12727 | Datest | 9600920 | Datest MPM Motor Processor 9600920 | ||
12728 | Dayton | 2E462A | Dayton 2E462A SPDT Cooling/Heating Appliance Switch | ||
12729 | Dayton | 2E462A | Dayton 2E462A SPDT Cooling/Heating Appliance Switch | ||
12730 | Dayton | 2E462A | Dayton 2E462A SPDT Cooling/Heating Appliance Switch | ||
12731 | Dayton | 4C941 7021-6560 | Dayton 4C941 7021-6560 Motor, HP: 1/20, RPM/Tr/min: 2800/3200 Hz 50/60 | ||
12732 | Dayton | 4M071A | Dayton 4M071A Fan and Blower Motor, 230V, 60Hz, 3000RPM, 1/150Hp, | ||
12733 | Dayton | 3X748-M | Dayton 3X748-M Power Relay, 12VDC, 30A, DPDT | ||
12734 | DBI | 30000354 | DBI A/N 30000354, Full Size ISA I/O Card, REV M | ||
12735 | DBI | 30000354 | DBI A/N 30000354, Full Size ISA I/O Card, REV N | ||
12736 | DBI | 30000354 | DBI 30000354 Full Size, ISA I/O Card, REV M | ||
12737 | DBI | 30000354 | DBI A/N 30000354 Full Size ISA I/O Card, REV M | ||
12738 | DBI | 30000354 | PC/4 16C550, (1P)50000178 REV. N | DBI A/N 30000354 REV.N, PC/4 16C550, (1P)50000178 REV.N, ISA CAR | |
12739 | DBI | 30000354 | DBI 30000354 Full Size ISA I/O Card, PCB, Digiboard, 60000178 | ||
12740 | DC convertor | SD-25C-5 | DC-DC Converter SD-25C-5 25W 36…72V -> 5V 5A ; MeanWell | ||
12741 | DDK | 57F-36 | CN539 | DDK 57F-36 Cable Assembly, CN539 | |
12742 | DEC | 70-32835-01 | DEC DIGITAL 70-32835-01 HSZ70 Cache Controller For Fiber Channel RAID Array 8000 | ||
12743 | DEC Compaq | 70-32832-01 | Lot of 2 DEC/COMPAQ HSZ70, 70-32832-01, 54-23895-01 SCSI Disk Raid Controller | ||
12744 | DEC Digital | 5423481-01 | DEC Digital 5423481-01 Powerstorm Graphic Card, PCB, FAB80-01, | ||
12745 | DEKORON | 7700179 | 2 DEKORON INSTRUMENT 7700179 CABLE 1C62-64000-00 0030 | ||
12746 | Delatec | 185-12-001 | power supply bd,surplus inventory from major semiconductor fab looks new or rebuilt | ||
12747 | DELL | E-G900-01-3638,502 | DELL remote access card 3 E-G900-01-3638502 | ||
12748 | DELL | E-G900-01-3638,502 | DELL remote access card 3 E-G900-01-3638502 with DELL 56k modem | ||
12749 | DELL | 0FN360 | Dell Computer Roller Rack Installation Kit, RCKRL, R/V, 2U, SL, V4, 0FN360 | ||
12750 | DELL | 3R160 | TH-03R160-17971-3C2-CO4X | Dell AC Adapter DA-1 Series 3R160, TH-03R160-17971-3C2-CO4X | |
12751 | DELL | 5316M | Dell Powerconn 5316m 6-port Ethernet Module P6751 | ||
12752 | DELL | BMX-MB | 2 DELL BMX-MB Dell PC471 Dell Pe18551 Bmx-mb Mc662 Drac Panel Assy Pc471 Blade Server Assy | ||
12753 | DELL | BMX-PHY | 2 DELL BMX-PHY 10-Port Gigabit Switch J6780 for PowerEdge 1855 | ||
12754 | DELL | CG566 | Optiplex GX270 Desktop 478 Motherboard CN0CG566137403AA02L8 | ||
12755 | DELL | 700-213-001 | lot of 2, 700-213-001 – Analog Switch KVM Network Module For Dell PowerEdge 1855/ PowerEdge 1955 | ||
12756 | Delta | AF500B002009 | Delta AF500B00209 Switching Power Supply | ||
12757 | Delta | AF500B002009 | Delta AF500B00209 Switching Power Supply | ||
12758 | DELTA | UG710 B/U | DELTA UG 710BU, UG710 B/U RF Connectors | ||
12759 | DELTA | VFD037C43A | Delta VFD037C43A Inverter, Freuqncy Converter, C2000 | ||
12760 | Delta Controls | 20108-84 | Delta Controls 20108-84 Relay Sink | ||
12761 | Delta Design | 1666339 | New Microstepper ITR PCB assy. With 1698421-104 daughter board. In sealed bag | ||
12762 | Delta Design | 1666339 | Microstepper ITR PCB assy. With 1698421-104 daughter board. Some pins or components may be bent due to storage and handling | ||
12763 | Delta Design | 1909177 | Delta Design Summit ATC 1909177 P&P Handler & Affinity PWG-060K-BE44CBD2 | ||
12764 | Delta Design | 1909177 | Delta Design Summit 1909177 Thermal Control Pick & Place Handler, Chiller | ||
12765 | Delta Design | 1909177 | Delta Design Summit 1909917 Thermal Control Pick & Place Handler | ||
12766 | Delta design | 1666339503 | Lot of 2, Microstepperm ITR, PCB assy. Surplus inventory from major semiconductor facility. | ||
12767 | Delta design | 1666339503 | 2 Microstepper PCB assy Bent components and pins. Broken holdown screws | ||
12768 | Delta Design | 1666339503 | Delta design 1666339503 Microstepper PCB assy, 1223 | ||
12769 | Delta Design | 1657924-501 | 68008 slave board Surplus inventory from major semiconductor facility. Very clean | ||
12770 | Delta Design | 1662040-501 | Delta Design, 1662040-501 Power control PCB | ||
12771 | Delta Design | 1667195-502 | Delta design, 1667195-502, PWA quad pressure sensor bd. | ||
12772 | Delta Design | 1686300-502 | Delta Design PBC Board Power Distribution 1686300-502 | ||
12773 | Delta Design | PWA 1684444-501 | Dual channel Temperature control Some pins or components may be bent due to handling and storage | ||
12774 | Delta Electronics | DPS-280CB | Delta Electronics DPS-280CB Power Supply | ||
12775 | Delta Electronics, Inc. | DPS-500WB-1 A | G28822-004 | 2 Delta Electronics DPS-500WB-1 Awitching Power Supply, G28822-004 | |
12776 | Delta Tau | NA | Delta Tau PMAC PACK, 4A/20Mhz, 9LPP0 VAC | ||
12777 | Deltec | APRA600A | Deltec 5140320 Powerrite APRA600A UPS Uninterruptable Power Supply | ||
12778 | Deltrol | 166 | Deltrol 166 3DPT B600 3 pole 24 VAC relay | ||
12779 | DelTron | 363552020 | 2 DelTron Precision Linear Slide 363552020 | ||
12780 | DelTron | CV360D04 | novellus | 0150-740129 | power supply 24VDC, 15A, New in box |
12781 | DelTron | V225C | Deltron V225C Quad Output Power Supply, Series V225 | ||
12782 | Denso | VS-6577E/GM | Nikki | 410200-0530 | Denso VS-6577E/GM 6-Axis Robot Arm w/-0530 Controller & Teach Pendant |
12783 | Denso | VS-6577GM | Nikki | 410200-0530 | Denso VS-6577GM 6-Axis Robot Arm w/-0530 Controller & Teach Pendant |
12784 | Denso | VS-6577GM | Nikki | 410200-0530 | Denso VS-6577GM 6-Axis Robot Arm w/-0530 Controller & Teach Pendant |
12785 | Denso | VS-6577E/GM | Nikki | 410200-0530 | Denso VS-6577E/GM 6-Axis Robot Arm w/-0530 Controller & Teach Pendant |
12786 | Denso | VS-6577GM | Nikki | 410200-0530 | Denso VS-6577GM 6-Axis Robot Arm w/-0530 Controller & Teach Pendant |
12787 | Denso | VS-6577GM | Nikki | 410200-0530 | Denso VS-6577GM 6-Axis Robot Arm w/-0530 Controller & Teach Pendant |
12788 | Denso | VS-6577GM | Nikki | 410200-0530 | Denso VS-6577GM 6-Axis Robot Arm w/-0530 Controller & Teach Pendant |
12789 | Denso | VS-6577E/GM | Nikki | 410200-0530 | Denso VS-6577E/GM 6-Axis Robot Arm0-1130 w/-0530 Controller 100084 |
12790 | Denso | VS-6577GM | Chip Pick and Place Assembly, 6-Axis Industrial Robot Enclosure | ||
12791 | Denso Wave | RC7M-VSG5/6CA-BP | 410200-0590 | Denso Wave RC7M-VSG5/6CA-BP Robot Controller,-0590, 3PH,z | |
12792 | Denton | Denton Explorer 14 Sputter 2 Target, RF Generator, Matching Network, Turbo Pump | |||
12793 | Deublin | 971-600 | Deublin 971-600 Rotary Union Assembly, AMAT 0190-01973 | ||
12794 | Deublin | 971-600 | Deublin 971-600 Rotary Union Assembly, AMAT 0190-01973, 0040-80496, 0040-80497 | ||
12795 | Deublin | 971-600 | AMAT | 0190-01973 | Deublin 971-600 Rotary Union Assembly, AMAT 0190-01973 |
12796 | Dexon | DX2100 | DEXON DX2100 HIGH TEMPERATURE CONTROLLER | ||
12797 | Diamond Systems | EMERALD-MM-DIO | 6900-1447-01 | Diamond Systems EMERALD-MM-DIO 4-Port PC/104 Module, PCB, EMM-DIO-XT, | |
12798 | Diamond Systems | EMERALD-MM-DIO | 6900-1447-01 | Diamond Systems EMERALD-MM-DIO 4-Port PC/104 Module, PCB, EMM-DIO-XT, | |
12799 | Diamond Systems Corp. | EMERALD-MM-DIO | Diamond Systems EMERALD-MM-DIO 4-Port PC/104 Module, PCB | ||
12800 | Diamond Systems Corp. | EMERALD-MM-DIO | Diamond Systems EMERALD-MM-DIO 4-Port PC/104 Module, PCB | ||
12801 | Diavac | LCAVB-25H | 4 Diavac Limited LCAVB-25H Angle Valve | ||
12802 | Diavac | LCAV-40HF | DIAVAC LIMITED LCAV-40HF pneumatic angle valve | ||
12803 | Digi | 5000320 | Digi0 EPC/CON-16, RJ45, AccelePort, Network Concentrator | ||
12804 | Digi | 50000702 | Digi02-01 Acceleport XP4P UPCI 4-Port | ||
12805 | Digi | 50001077-01 | Digi77-01 Ports/16EM, 16-Port, RJ 120K Switch | ||
12806 | Digiboard | 3000354 | DBI | 16C550 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352 |
12807 | Digiboard | 3000354 | DBI | 16C550 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card |
12808 | Digiboard | 3000354 | DBI | 16C550 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card |
12809 | Digiboard | 3000354 | DBI | 16C550 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352 |
12810 | Digiboard | 3000354 | DBI | 16C550 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352 |
12811 | Digiboard | 3000354 | DBI | 16C550 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352 |
12812 | Digiboard | 3000354 | DBI | 16C550 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352 |
12813 | Digiboard | 3000354 | DBI | 16C550 | DigiBoard DBI A/N 30000354, PC/8 16C550 Serial Adapter Card 3000352 |
12814 | Digiboard | DBI 30000354 | DIGIBOARD DBI 30000352 , PC/4 16C550, DBI 30000354 | ||
12815 | Digi-Key | INA128PA | AMAT | 0950-01559 | 4 Digi-Key INA128PA, AMAT 0950-01559, IC AMPL INST DIFF PRECISION 8PIN-DIP |
12816 | Digi-key | PS2501-1-ND | 40 DIGI-KEY 1 Channel Opto Coupler Trans Dip PS2501-1-ND | ||
12817 | Digital | 2320PINA | new DIGITAL 06-75223-01 B&B ELECTRONICS MODEL 2320PINA | ||
12818 | Digital | LSS-787 | Digital LSS-787 PCB Control I/O Board, BP77-65E, | ||
12819 | Digital | LSS-787 | Digital LSS-787, BP77-65D, Board, PC, Wafer Count 20S, PCB, ID | ||
12820 | Digital | LSS-787 | Digital LSS-787, BP77-65D, Board, PC, Wafer Count 20S, PCB, ID | ||
12821 | Digital | LSS-789 | Digital LSS-789 PC Control Board, Output, PCB, BP77-82, | ||
12822 | Digital | LSS-789 | Digital LSS-789 PC Control Output Board, PCB, BP77-82, | ||
12823 | Digital | LSS-789 | Digital LSS-789 PC Control Output Board, PCB, BP77-82, | ||
12824 | Digital | LSS-789 | Digital LSS-789 PC Control Output Board, PCB, BP77-82, | ||
12825 | Digital Dynamics | 02-309772-00 | Novellus | 02-309772-00 | Digital Dynamics 02-309772-00 XCEDA FAC HDSIOC Controller, |
12826 | Digital Dynamics | 03-126828-00 | Novellus | 02-267673-00 | Digital Dynamics 03-126828-00 SIOC PVD Precelean SIOC 0 Controller; |
12827 | Digital Dynamics | 03-126828-00 | Novellus | 02-267673-00 | Digital Dynamics 03-126828-00 SIOC PVD Precelean SIOC 0 Controller; |
12828 | Digital Dynamics | G19-10000-00 | Digital Dynamics G19-10000-00 ISIOC RF HCM PVD-PVD Rack, Novellus; | ||
12829 | Digital Dynamics | 27-10318-00 | Digital Dynamics 27-10318-00 I/O Controller | ||
12830 | Digital Gaphix Inc | 068155-02 | Digital Graphix Inc 068155-02 PCB Assy, 2166-1098, 07-0130-00, 58430212 | ||
12831 | Digital link | DL030 MNGMNT | Digital Link DL030 MNGMNT, PCBA 300-01908-01 | ||
12832 | Dinsin | SO04306 | 20 Dinsin SO04306 BFS O-ring, Blue Fluoro Silicon (2-452) | ||
12833 | Dinsin | SO04307 | 10 Dinsin SO04307 BFS O-ring, Blue Fluoro Silicon (2-455) | ||
12834 | Dinsin | SO04442 | 5 Dinsin SO04442 BFS O-ring, Blue Fluoro Silicon (2-365) | ||
12835 | Dinsin | SO04446 | 4 Dinsin SO04446 BFS O-ring, Blue Fluoro Silicon (2-352) | ||
12836 | Dinson Technology | 2-276 | Dinson Technology 2-276 BFS O-Ring, Blue Fluoro Silicon, ID | ||
12837 | Dinson Technology | 2-276 | 5 Dinson Technology 2-276 BFS O-Ring, Blue Fluoro Silicon, ID | ||
12838 | DIP Inc | CDN491 | AMAT | 0660-01879 | DIP Inc. CDN491, 15049105, PCB Card |
12839 | DirectLogic | 205 | DirectLogic 205 PLC Control Assy DL250-1 D2-32ND3 D2-16TD2-2 F2-04AD-2 F2-02DA-2 | ||
12840 | Disco | 163100 | Disco EAUA–163100 PCB, FAPCB-0337, ID | ||
12841 | Disco | 163100 | Disco EAUA–163100 PCB, FAPCB-0337, ID | ||
12842 | Disco | 765400 | Disco EAUF–765400 Operation Panel Unit, ID | ||
12843 | Disco | FBPCB-0173 | NEW DISCO ES FBPCB-0173, FBPCB-0173 I/O BOARD, 8-DI (COMM )-PCB, Dicing tool | ||
12844 | Disco | MOHEH058 | NEW Disco ES MOHEH058 Flow Checker | ||
12845 | Disco | AK-BX501 | Disco AK-BX501, Pack Driver, Stepping Motor Driver, BAAK-BX501, PCB Drive. | ||
12846 | Disco | AK-BX501L | Disco AK-BX501L, BAAK-BX501H, Pack Driver, Stepping Motor Driver | ||
12847 | Disco | AK-BX501L | Disco AK-BX501L Pack Driver, Stepping Motor Driver, | ||
12848 | Disco | AK-BX501L | Disco AK-BX501L, Stepping Motor Driver, Pack Drive, PCB Y Azis. | ||
12849 | Disco | AK-BX501L | Disco AK-BX501L, Stepping Motor Driver, Pack Drive, PCB Y Azis. | ||
12850 | Disco | AK-BX511 DIT2 | Disco AK-BX511 DIT2, BAKBX5110IT2,Stepping Motor Driver, Pack Driver. | ||
12851 | Disco | AK-BX551P | Disco AK-BX551P Stepping Motor Driver | ||
12852 | Disco | AK-BX551PH | Disco AK-BX551PH Pack Driver, Stepping Motor Driver, | ||
12853 | Disco | AK-BX551PH | Disco AK-BX551PH Pack Driver, Stepping Motor Driver, BAAK-BK551P, | ||
12854 | Disco | BAAK-BX511K | Disco BAAK-BX511K Driver, 5 Phase Stepping Motor, | ||
12855 | Disco | EAUA–0014100 | Disco EAUA–0014100 DI Sensor 7, ETH-BG-68-A, | ||
12856 | Disco | EAUA–086700 | Disco EAUA–086700, D1 TS I/O Board, PCB. | ||
12857 | Disco | EAUA–334701 | Disco EAUA–334701, FAPCB-0412, Driver Board. | ||
12858 | Disco | EAUA-001801 | Disco EAUA-001801, PCB Board, I/O, D2, PR, Encode | ||
12859 | Disco | EAUA-001801 | DISCO EAUA-001801 PCB BOARD P.E I/O D2 PR ENCODER CN-009 UA-001801 | ||
12860 | Disco | EAUA-002100 | Disco EAUA-002100 PCB, D1 Operation I/F Board | ||
12861 | Disco | EAUA-002100 | DISCO EAUA -002100 UP DI POI/F APPLICATION B.S GRINDER PCB Id | ||
12862 | Disco | EAUA-003200 | Disco EAUA-003200 PCB DI Sensor, GAFU41, GAFP22 | ||
12863 | Disco | EAUA-003200 | Disco EAUA-003200 PCB DI Sensor, GAFU41, GAFP22 | ||
12864 | Disco | EAUA-003200 | Disco EAUA-003200 PCB DI Sensor, GAFU41, GAFP22 | ||
12865 | Disco | EAUA-334700 | RS232C/RS422 | Disco EAUA-334700 RS232C/RS422 Converter Card, PCB, EAUA–334700 | |
12866 | Disco | EAUA-360900 | Disco EAUA-360900, PCB P Motor I/F, | ||
12867 | Disco | EAUF-167201 | Disco EAUF-167201 Operation Panel Unit | ||
12868 | Disco | EAUF-765400 | Disco EAUF-765400 Operation Panel Unit, ID | ||
12869 | Disco | EAUM-778200 | Disco EAUM-778200 Switch unit, FLTS113 | ||
12870 | Disco | EAVA–002302 | 00FP48 | Disco EAVA–002302 PCB D2 PMC (A) UPDI PMCB, 00FP48, | |
12871 | Disco | FBPCB-0022 | Disco FBPCB-0022, Text Graphic Board, PCB, PWB-A223-B, BP-MTG-001. | ||
12872 | Disco | GAFU41 | FMI 43-0800-00154 | DISCO GAFU41, GAFP22 PCB, D1 SENSOR 14 PH EMITTER, FMI 43-0800-00154, EMT | |
12873 | Disco | GAFU41 | GAFP22 | Disco GAFU41 GAFP22 PCB, DI Sensor | |
12874 | Disco | M0GAH255–C | 12 DISCO M0GAH255 – – C PAD U9680 | ||
12875 | Disco | M0GAH31286 | Disco M0GAH31286 Ball and Tap EBG105, SUS 316 | ||
12876 | Disco | MADPR005–H | Disco MADPR005–H Chuck Table, 6″, 97H078, | ||
12877 | Disco | MAGAR933–C | Disco MAGAR933–C Chuck Table | ||
12878 | Disco | MAGAR933–C | Disco MAGAR933–C Chuck Table | ||
12879 | Disco | MAGAR933–C | Disco MAGAR933–C Chuck Table | ||
12880 | Disco | MODBX069 | Disco MODBX069 Lead Switch | ||
12881 | Disco | MODMS535-A | Disco MODMS535-A, Kurida OCS-241, GE, Electromagnetic Valve, | ||
12882 | Disco | MODPH056522 | Disco ES MODPH056522 ELE 0.3 Micron Air Filter | ||
12883 | Disco | MODPH05652A | Disco ES MODPH05652A, ELE 0.3 Micron Air Filter | ||
12884 | Disco | MODRZ061–A | Disco MODRZ061–A, Sub Assembly, Z Axis, Ball Screw. | ||
12885 | Disco | MODV015060A | 6 Disco MODV015060A Dressing Board, Rotary Surface Grinder Diamond Wheel | ||
12886 | Disco | MODV015060A | 20 Disco MODV015060A Dressing Board Set, 6″, Diamond Wheel | ||
12887 | Disco | MODVO5060 | 20 Disco MODVO5060 Dresser Board, 6″ | ||
12888 | Disco | MODVO5060 | 20 Disco MODVO5060 Dresser Board, 6″ | ||
12889 | Disco | MOEN026 | Disco MOEN026 Seal (3) | ||
12890 | Disco | MOENH153–B | Disco MOENH153–B Solenoid Valve Assembly, DC24V, CKD 4SB019 | ||
12891 | Disco | MOENH416–A | Disco MOENH416–A, W96400060 V20-C, Wiper, T-Shutter. | ||
12892 | Disco | MOENS024 | Disco MOENS024 Seal | ||
12893 | Disco | MOENT062 | 2 Disco MOENT062, Abosrber. | ||
12894 | Disco | MOENT103–A | Disco MOENT103–A, Pad. | ||
12895 | Disco | MOENT207–D | Disco MOENT207–D Back Grinder Pad, MOENT207–D-00J-028, ID | ||
12896 | Disco | MOGAH 350-B | Disco MOGAHVacuum Pressure Switch | ||
12897 | Disco | MOGAH130 | 2 Disco MOGAH130 Filter Element | ||
12898 | Disco | MOGAH142 | Disco 63-00062, MOGAH142, Braket, Volume, C5-12-7-2 | ||
12899 | Disco | MOGAH18402C | 2 Disco MOGAH18402C Nakaya Brush | ||
12900 | Disco | MOGAH350–B | Copal Electronics | PS5-102V | Disco MOGAH350–B Vacuum Pressure Switch, Copal Electronics, PS5-102V |
12901 | Disco | MOGAL093-B | Disco MOGAL093-B Spinner Support | ||
12902 | Disco | MOGCH055—Y | 2 Disco MOGCH055—Y Brush R Nakaya, | ||
12903 | Disco | MOGCH074–AY | Disco MOGCH074–AY Cylinder (Scrub) Koganei MDAD16x5-156W, | ||
12904 | Disco | MOGCH606–AY | 2 Disco MOGCH606–AY Flow Meter, Water, 1-7, 9402020, 9308001 | ||
12905 | Disco | MOGCH627—Y | Disco MOGCH627—Y, 20121010028, 14-06-05E, Float, Flow Sensor. | ||
12906 | Disco | MOGCL289—Y | Disco MOGCL289—Y, Pressure, Power Switch. | ||
12907 | Disco | MOGCN00165 | Disco MOGCN00165, Solenoid Valve, SMC VXD2140. | ||
12908 | Disco | MOGCN023—Y | 2 Disco MOGCN023—Y Mist Collector, Filter Element | ||
12909 | Disco | MOGCR014–AY | 2 Disco MOGCR014–AY, Timing Belt. | ||
12910 | Disco | MOGCR743–A | Disco MOGCR743–A, 133485 001, Chuck Table (3″-8″). | ||
12911 | Disco | MOGCR743–A-4L-153 | Disco MOGCR743–A-4L-153, Chuck Table (3″-8″). | ||
12912 | Disco | MOORN002 | Disco MOORN002, Hub Flange Nut | ||
12913 | Disco | SP1994-1183 | Disco ES SP1994-1183, MODPH05652A, Micron Air Filter | ||
12914 | Disco | U15832 | Disco U15832, MODRP030, Koganei BDA6X25, Cylinder | ||
12915 | Disco | UA0754 | Disco ES EAUA–075400, UA0754, PCB | ||
12916 | Disco | Disco MADRS001 6″ Table WFR ASSY SP1994-1200 | |||
12917 | DISCO | DISCO EAUA001801 PCB D2 PR ENCODER I/O BOARD FAPCB-0172 EA001801 | |||
12918 | Disco | EAUA–2408 | FAPCB-0384 | Disco D3 ALU CPU 10020 PCB, EAUA–2408, FAPCB-0384 | |
12919 | Disco | EAUA–2408 | FAPCB-0384 | Disco D3 ALU CPU 10020 PCB, EAUA–2408, FAPCB-0384, with 2 EPROM Chips | |
12920 | Disco | EAUA–2409 | FAPCB-0385 | Disco D5 ALU COR ADDR 10020, EAUA–2409, FAPCB-0385 | |
12921 | Disco | EAUA–2599 | FAPCB-0390 | Disco D4 ALU Correlate 10020, PCB EAUA–2599, 259902, FAPCB-0390 | |
12922 | Disco | EAUA–349401 | FAPCB0428 | Disco EAUA–349401 4.8CH D/A-Out Board, FAPCB0428 | |
12923 | Disco | EAUA–4084 | FAPCB-0449 | Disco ALU Video Memory 13322, EAUA–4084, FAPCB-0449 | |
12924 | Disco | EAUA-002302 | DISCO EAUA-002302 PCB D2 PMC (A) UPDI PMCB AT-001 UA-002302 01860 00FP48 | ||
12925 | Disco | EAUA-002302 | DISCO EAUA-002302 PCB D2 PMC (A) UPDI PMCB AT-001 UA-002302 01860 00FP48 | ||
12926 | Disco | EAUA-002900 | Disco EAUA-002900, 00FU34, 00FP50, Main Control PF, Board | ||
12927 | Disco | EAUA-002900 | Disco EAUA-002900 Main Control Panel Board PCB, 00FU34, 00FP50, ID | ||
12928 | Disco | EAUA-259101 | Disco EAUA-259101, PCB Board, FAPCB-0399, ID | ||
12929 | Disco | EAUA-266103 | Disco EAUA-266103, D3 PMCB, PCB (D3 PN Control A) | ||
12930 | Disco | EAUA-266103 | Disco EAUA-266103, D3 PMCB, PCB (D3 PNM Control A), ID | ||
12931 | Disco | EAUA-334701 | Disco EAUA-334701, FAPCB-0412, Driver Board PCB | ||
12932 | Disco | EAUA-340700 | Disco EAUA-340700, Board, PCB Sensor | ||
12933 | Disco | EAUA-349000 | FAPCB0424 | ME03-96-64-M4LT1-A1 | Disco EAUA-349000 4CH SIO Board, FAPCB0424, ME03-96-64P-M4LT1-A1 |
12934 | Disco | EAUA-349100 | DISCO EAUA-349100 64BIT D-IN BOARD 08936015 FAPCB 0425 SP1994-1030 | ||
12935 | Disco | EAUA-349200 | Disco EAUA-349200 64 Bit D-Out Board, PCB, FAPCB 0426, | ||
12936 | Disco | EAUA-39102 | Disco EAUA–390102 PCB Spinner controller, S402551 | ||
12937 | Disco | EAUA-479300 | DISCO EAUA-479300 SET UP PCB, NOVELLUS | ||
12938 | Disco | EAUA-479300 | DISCO EAUA-479300 SET UP PCB, NOVELLUS | ||
12939 | Disco | EAUA-500702 | DISCO EAUA-500702 PHOTO SETUP PCB 78K0026 FAPCB-8484 | ||
12940 | Disco | EAUA-766101 | Disco EAUA-766101 PCB Interface Board, | ||
12941 | Disco | EAUA002303 | Disco EAUA002303, AY-003, PCB, P.M.C.B. D3, FAP-50-07 02B FAPCB-0395 | ||
12942 | Disco | EAUA002303 | Disco EAUA002303, AY-003, PCB, P.M.C.B. D3, FAP-50-07 02B FAPCB-0395 | ||
12943 | Disco | EAUA002303 | DISCO EAUA002303,AY-003,PCB,P.M.C.B. D3 FAP-50-07 02B FAPCB-0395 | ||
12944 | Disco | EAUA003200 | Disco EAUA003200 PCB DI Sensor, 12 PH, GAFU41, GAFP22, ID | ||
12945 | Disco | EAUA003200 | Disco EAUA003200 PCB DI Sensor, 12 PH, GAFU41, GAFP22, ID | ||
12946 | Disco | EAUA007400 | DISCO EAUA007400 00FP52 UP DI EXTENDER BOARD PCB 00FU35 ID | ||
12947 | Disco | EAUA-002700 | DISCO EAUA-002700 DRIVE UNIT, SPINNER | ||
12948 | Disco | EAUF–167201 | Disco ES EAUF–167201 Operation Panel Unit | ||
12949 | Disco | EAUF-270700 | Disco EAUF-270700, Control Panel. | ||
12950 | Disco | EAUF-790100 | Disco EAUF-790100 Operation Panel Unit | ||
12951 | Disco | EAUF-965001 | Disco ES EAUF-965001 Operation Panel Unit KPU-OPN-98A00 | ||
12952 | Disco | EAUH–288000 | Yaskawa Electric | RA1171-481-13 | Disco EAUH–288000, Yaskawa Electric RA1171-481-13, Servo Power Supply. |
12953 | Disco | EAUM-279900 | Disco EAUM-279900 Photo Interrupter Unit | ||
12954 | Disco | EAUM-479900 | Disco EAUM-479900 Set Up Unit, Kit, EAUA-479300, EAUA-479400, | ||
12955 | Disco | EAUM-780301 | Disco EAUM-780301 DC Motor Relay Unit | ||
12956 | Disco | EAUM-979300 | Disco Switch Unit EAUM–979300 Red EMO Stop Push Twist Switch | ||
12957 | Disco | EAVA-002100 | Disco EAVA-002100 Board, D1, OPER. I/F, PCB | ||
12958 | Disco | EAVA-340700 | DISCO EAVA-340700 BOARD, SENSOR, 02, PCBA FAPCB-0418 | ||
12959 | Disco | FBPCB-0144 | DISCO FBPCB-0144 EXTENDED MEMORY FOR 486BOARD 4MB 814405D-60 | ||
12960 | Disco | FBPCB-0148 | Disco FBPCB-0148, Text Graphic Board, Wacom Engineering BPN-MTG-001, | ||
12961 | Disco | FBPCB-0174 | Disco Engineering FBPCB-0174 (8-DO (COMM) Board) | ||
12962 | Disco | GAFU41 | Disco GAFU41, GAFP22 PCB Daughter Board, D1 Sensor 14 PH Emitter, EMT, | ||
12963 | Disco | GAFU41 | Disco GAFU41, GAFP22 PCB Daughter Board, D1 Sensor 14 PH Emitter, EMT, | ||
12964 | Disco | GEDAD320-007 | Disco ELCOM GEDAD320-007 Surface Sheet (300) | ||
12965 | Disco | GEDAD320-007 | Disco Elcom GEDAD320-007 Surface Sheet (300) | ||
12966 | Disco | M0ENS031 | Disco M0ENS031 Rotary Actuator, Koganei, RANS8-90-4, ID | ||
12967 | Disco | M0GAH254–C | 11 Disco M0GAH254–C, Felt, C5-13-3-4, Pads | ||
12968 | Disco | MADPR005–H-94-1052 | Disco, MADPR005–H-94-1052, 6″ Ceramic Table Chuck | ||
12969 | Disco | MADPR005-H | Disco MADPR005-H, 97H100 Chuck Table, 6″, | ||
12970 | Disco | MADPR005-H | Disco MADPR005-H, 97H100 Chuck Table, 6″, | ||
12971 | Disco | MODMS016 | Lot of 2 Packing (Duct) | ||
12972 | Disco | MODMS021 | Lot of 2 Jig, Demount (2) | ||
12973 | Disco | MODNR153–A-96G-003 | Disco, MODNR153–A-96G-003, 6″ Ceramic Table Chuck | ||
12974 | Disco | MODNR153–A-96G-010 | Disco, MODNR153–A-96G-010, 6″ Ceramic Table Chuck | ||
12975 | Disco | MODPH05651A | Lot of 2, 5 micron filter element | ||
12976 | Disco | MODPH05653A | filter element .01 micron | ||
12977 | Disco | MODPH124 | FC-SM40-TUR, 5C14F | Disco MODPH124, FC-SM40-TUR, 5C14F, Switch (Flow) | |
12978 | Disco | MODPXA06–A | Disco MODPXA06–A, Bellows X Axis, ID | ||
12979 | Disco | MODRR006-BY | Disco MODRR006-BY Base Table, | ||
12980 | Disco | MODRS142 | Disco MODRS142 Base (Table), | ||
12981 | Disco | MODV015060A | 20 DISCO MODV015060A DRESSING BOARD, ROTARY SURFACE GRINDER DIAMOND WHEEL | ||
12982 | Disco | MOELH11351 | Kuroda | F55-07722P | Lot of 2 filter element |
12983 | Disco | MOENL053 | Disco, MOENL053 Robot Hand | ||
12984 | Disco | MOENR033 | 2 Disco MOENR033 Rubber Waterproof | ||
12985 | Disco | MOENR095–A | Lot of 2PIPE, 1/8″ 90 Degree bend | ||
12986 | Disco | MOGCH710–AY | 2 Disco ES MOGCH710–AY Solenoid Valves | ||
12987 | Disco | MOHEH056 | 2 NEW Disco ES MOHEH056 Flow Meter | ||
12988 | Disco | MOHLC047 | 4 Disco Engineering Service MOHLC047 Fastener | ||
12989 | Disco | MOHLRB09–C | Disco Switch Unit MOHLRB09–C Cover (Water-Proof) | ||
12990 | Disco | MOHLRB87 | NEW Disco ES MOHLRB87, V Ring | ||
12991 | Disco | MS-14 | Disco MS-14 AC 100V Fan Motor, BFMS-14-BC | ||
12992 | Disco | NCB00005 | Disco Carbon Brush Assembly NCB00005 | ||
12993 | Disco | NCP00022-CRE | Disco NCP00022-CREP, 800W, Spindle, | ||
12994 | Disco | UA00I8B0I | P.E, I/O MBOARD DISCO UA00IB0I UA-0018101, FAPCB-0172 D2 ENCODER | ||
12995 | Disco | Unknown | Disco Engineering Service O Ring | ||
12996 | Disco | WG–2655 | Disco WG–2655 Driver B Board, PCB, 00FU46, D2 PM Driver, ID | ||
12997 | Disco | WG–2655 | Disco WG–2655 Driver B Board, PCB, 00FU46, D2 PM Driver, ID | ||
12998 | Disco | YEAJ-GA1622 | Disco YEAJ-GA1622 Flow Meter, Switch | ||
12999 | Disco | MADRS001–F | SP1994-1200 | DISCO MADRS001–F SP1994-1200 TABLE (WAFER) ASSEMBLY | |
13000 | Disco | MODMS020 | Lot of 2 Jig, Demount (1) | ||
13001 | Disco | EAUM–778200 | Disco EAUM-778200 Switch Unit | ||
13002 | Disco | M0GCR028—Y | Disco M0GCR028—Y Brush | ||
13003 | Disco | M0ENS027 | 2 Disco M0ENS027 Seal | ||
13004 | Disco | APP413H-1.3A | 3 Disco APP413H-1.3A Fuse, Alarm | ||
13005 | Disco | M0ELH11351 | 2 Disco M0ELH11351 Filter Element | ||
13006 | Disco | M0ELH11352 | Disco M0ELH11352 Filter Element | ||
13007 | Disco | M0ENT072–A | 3 Disco M0ENT072–A Wiper, T-Arm EWD0059 | ||
13008 | Diversified technologies | 651200978 | Novellus | 0125-194178 | 2 Diversified technologies 651200978, Intel i386 computer board |
13009 | Diversified technologies | 651200978 | computer board, intel 386, looks unused | ||
13010 | Diversified Technology | CRR804/5 | 912000145/7 | Diversified Technology CRR804/5 Motor Control I/O Board, PCB, 912000145/7. | |
13011 | Diversified Technology | CRR804/5 | 912000145/7 | Diversified Technology CRR804/5 Motor Control I/O Board, PCB, 912000145/7. | |
13012 | Dixon | R73G-4R | USG | Dixon R73G-4R Pressure Regukator w/ USG Gauge, 110112 | |
13013 | Dixon | NA | Whitey | SS-43S4 | Dixon Regulator w/ USG Gauge, SS-43S4 Ball Valve |
13014 | D-Link | DGS-1008T | D-Link DGS-1008T 8 Port Gigabit Switch | ||
13015 | DMS | Automatic Reticle Changer | Dynamic Micro Systems DMS Automatic Reticle Changer, AR-W180CL-3-S-300 | ||
13016 | DNS | 2-39-49078 | DNS 2-39-49078 Cable, Coil Tube, Coating Tool | ||
13017 | DNS | AP-447E | DNS AP-447E Board, PCB, HI05108A, SECU-104A2, ID | ||
13018 | DNS | AP-447E | DNS AP-447E Board, PCB, HH04003A, SECU-105, ID | ||
13019 | DNS | SEAD-004 | DNS SEAD-004 PCB, Analog, Board Controller, AP-505A, | ||
13020 | DNS | SEAD-004 | DNS SEAD-004 PCB, Analog, Board Controller, AP-505A, | ||
13021 | DNS | SEAD-104 | BADA | AP-506A | DNS SEAD-104, PCB, Analog, Board Controller, Bada AP-506A |
13022 | DNS | SEAD-104 | BADA | AP-506A | DNS SEAD-104, PCB, Analog, Board Controller, Bada AP-506A |
13023 | DNS | SEAD-104 | DNS SEAD-104, PCB, Analog, Board Controller, Bada AP-506A, | ||
13024 | DNS | SEC-004A | DNS SECU-004A Board, PCB, AP-560A, | ||
13025 | DNS | SEC-004A | DNS SECU-004A Board, PCB, AP-560A, | ||
13026 | DNS | SECU-004 | DNS SECU-004A Circuit Board, PCB, AP-560A, | ||
13027 | DNS | V2A110 | 6 DNS V2A110 Valve, Solenoid, | ||
13028 | DODGE | 122054 | DODGE 122054, H, OD Bushing, Hx1/8. | ||
13029 | Dolan jenner | 180 | DOLAN-JENNER MODEL 180 FIBER-LITE HIGH INTENSITY ILLUMINATOR, LAMP | ||
13030 | Dolan-Jenner | 180 | Dolan-Jenner 180 High Intensity Illuminator w/ Ring Light, Fiber-Lite | ||
13031 | Dolan-Jenner | B848 | Fiber Optic Illuminator 190, Dolan-Jenner Indust B848 | ||
13032 | Doloway | G-600 | AMAT | 3320-02241 | Doloway G-600 Gasket, 6″, CFF OFFHC, Copper, Flange, AMAT 3320-02241 |
13033 | Doloway | G-600 | AMAT | 3320-02241 | 6 Doloway G-600 Gasket, 6″, CFF OFFHC, Copper, Flange, AMAT 3320-02241 |
13034 | Dotronix | 7-032-0145C | Dotronix 7-032-0145C Computer Monitor, PWA 6-002-1493H, BC 500 | ||
13035 | Dover | 12698 | Dover, 12698, Spindle | ||
13036 | Dover | 12951 | Dover, 12951, Spindle, Chuck | ||
13037 | Dover | 13132 | Dover 13132, Spindle | ||
13038 | Dover | 13263 | Dover, 13263, Spindle, Chuck | ||
13039 | Dover | Dover, 13224, Spindle, Chuck | |||
13040 | Dover | Dover, 13223, Spindle, Chuck | |||
13041 | Dover | ML-11540 | Dover Instr. Corp. ML-11540 PCB, | ||
13042 | Dow corning | 3-6642 | new dow corning 3-6642 thermally conductive adhesive kit | ||
13043 | Dreefs | 71-3085 | speed switch PCB assy with panel mounts, ST3449/1, ES62/080 | ||
13044 | Dressler | Cesar 136 | Dressler RF Generator, Cesar 136, 287-230 V, 6.3 A, 600 W, 13.56 MHz, | ||
13045 | DRIVE | CX3000B | Drive CX3000B NM LASER controller PCB with mgnetic damping | ||
13046 | DSP Research inc. | CIREXX2M-0 | 1998 DSP research INC CIREXX2M-0 94V-0OARD | ||
13047 | DSTM | DSMT3-028180-B | DSTM DSMT3-028180-B, Robot Arm, VF Wafer Handler Fork | ||
13048 | DSTM | DSMT3-028180-B | DSTM DSMT3-028180-B, Robot Arm, VF Wafer Handler Fork | ||
13049 | Duniway | G-338 | Duniway G-338 Copper Gasket for 3 3/8″ Conflat | ||
13050 | Duniway | KF50-1/8 | 2 Duniway KF50-1/8 Flange, S/S TC Port | ||
13051 | Dupline master | G34960006700 | NEW Dupline Master Module G34960006700, G 3496 0006 700 | ||
13052 | Dupont Dow Elastomers | K249 | Dupont Dow K249 Oring, Plasma 8575UP | ||
13053 | DuPont Kalrez | AS568 K# 108 | DuPont Kalrez AS568 K# 108 O-ring, Compound6.02 x 2.62mm, | ||
13054 | Durakool Inc. | BFC2-727 | Durakool BFC2-727 Mercury Displacement Relay, DPST-NO, 120VAC, 60A, 60Hz | ||
13055 | Durakool Inc. | 3M35A120AC | Durakool 3M35A120AC Mercury Displacement Relay, NO, 120VAC,0/60Hz | ||
13056 | Durakool Inc. | BF2-7056 | Durakool BF2-7056 Mercury Displacement Relay, DPST-NO, 120VAC, 30A | ||
13057 | Durex Industries | TW0257-01 | AMAT | 1310-00019 | Durex Industries TW0257-01, TC Assy Dual Circuit Ground Shield 300MM |
13058 | Dwyer | 3000 | Dwyer Photohelic Series 3000 Pressure Switch/Gage, 0-1 inches of water range | ||
13059 | Dwyer | 3000 | Dwyer Series 3000 Photohelic Pressure Switch/Gauge. 0-2 Inch Range, | ||
13060 | Dwyer | 3000 | Dwyer Series 3000 Photohelic Pressure Switch/Gauge. 0-2 Inch Range, | ||
13061 | Dwyer | 3000 | Dwyer Series 3000 Photohelic Pressure Switch/Gauge. 0-2 Inch Range, | ||
13062 | Dwyer | 3002 | Dwyer Series 3000, 3002, Photohelic Pressure Switch/Gauge, ID | ||
13063 | Dwyer | 1910-1 | Dwyer Series 1900, 1910-1 Pressure Switch | ||
13064 | Dwyer | 2001C | Dwyer 2001C, 71-3400001-00, Pressure Gauge, Magnehelic. | ||
13065 | Dwyer | 26-340044-00 | Dwyer Series 1900 Pressure Switch 26-340044-00 | ||
13066 | Dwyer | 3000MR | Dwyer Series 3000MR Photohelic Pressure Switch | ||
13067 | Dwyer | 3000MR | Dwyer Photohelic Series 3000MR Differential Pressure Switch/Gage 0-2 inches | ||
13068 | Dwyer | 3000MR | Dwyer 3000MR Photohelic Gauge, 24 VDC Regulated, | ||
13069 | Dwyer | 3000MR | Dwyer 3000MR Photohelic Gauge, 24 VDC Regulated, | ||
13070 | Dwyer | 3001C | Dwyer Photohelic 0-1 inch water, Type 2 Enclosure 3001C, Circuit HH, P/S 120 VAC | ||
13071 | Dwyer | 471-2 | Dwyer 471-2 Series 471 Thermo – Anemometer | ||
13072 | Dwyer | photohelic | DWYER PHOTOHELIC PRESSURE SWITCH GAGE Type 2 ENCL 0-1 inch H2O | ||
13073 | Dwyer | RMB-82 | Dwyer RMB-82 Flowmeter, 0-12 GPH Water, | ||
13074 | Dwyer | VFC-151 | Dwyer VFC-151, Visi-float Flowmeter, | ||
13075 | Dwyer | 3000MR | 3000MR-60PA | Dwyer Series 3000MR Photohelic Pressure Switch, 3000MR-60PA, 0-125 Pascal | |
13076 | Dwyer | 3000MR | 3000MR-60PA | Dwyer Series 3000MR Photohelic Pressure Switch, 3000MR-60PA, 0-500 Pascal | |
13077 | Dwyer | 3002C | Dwyer 3002C, Series 3000, Photohelic, Pressure Switch/Gage. | ||
13078 | Dwyer | DR12430 | Dwyer DR12430 DR Direct Reading Glass Flowmeter | ||
13079 | Dwyer | 2-5003 | Dwyer 2-5003 Seriesinihelic II Pressure Gauge | ||
13080 | Dwyer | 605-20 | Dwyer 605-20 Magnehelic Pressure Gauge Assy, 11 PSIG | ||
13081 | Dwyer | W28AE BB | Dwyer W28AE BB Magnehelic Pressure Gauge Assy, 15 PSIG | ||
13082 | Dwyer Instruments, Inc | W27AE NM | Dwyer W27AE NM Magnehelic Pressure Gauge Assy, 15 PSIG | ||
13083 | Dwyer Instruments, Inc | W27AE NM | Dwyer W27AE NM Magnehelic Pressure Gauge Assy, 15 PSIG | ||
13084 | Dwyer Instruments, Inc | W27AE NM | Dwyer W27AE NM Magnehelic Pressure Gauge Assy, 15 PSIG | ||
13085 | DY 4 Systems | OBYC3-310778-001 | DY 4 Systems Inc, OBYC3 Assy, PCB, PWB OBYC3-310778-001, | ||
13086 | Dymatrix | AVSMVMPV-02025 | Dymatrix AVSMVMPV-02025 Valve | ||
13087 | Dynamics | D2960 | Dynamics D2960 IO PCB Board | ||
13088 | Dynax | RT13-5CJ-315BKcsy | Dynax RT13-5CJ-315-BKcsy, Asyst, Robot Teach Pendant, Controller, Liveman DB25M | ||
13089 | Dynetic Systems | 20309C | Dynetic Systems 20309C DC Servo Motor, 30V, 3.6 Amp, | ||
13090 | Dynetic Systems | 20309C | Dynetic Systems 20309B DC Servo Motor, 30V, 3.6 Amp, | ||
13091 | Dynetic Systems | 20309C | Dynetic Systems 20309C DC Servo Motor, 30V, 3.6 Amp, | ||
13092 | E. Dold & Sohne KG | LG5925.48/61 | E Dold & Sohne LG5925.48/61 Safemaster Safety Relay Emergency Stop Module | ||
13093 | EA | 2FC-NW-50-3 | EA, 2FC-NW-50-3, Flex Coupling, NW-50, Bellows | ||
13094 | EAG | Q0EMB007 | Broadcom | 2912BES210Y01 | EAG Q0EMB007 Test PCB Board, Broacom 2912BES210Y01, Tomahawk/BCM56960 |
13095 | EAG | Q0EMB007 | Broadcom | 2912BES210Y01 | EAG Q0EMB007 Test PCB Board, Broacom 2912BES210Y01, Tomahawk/BCM56960 |
13096 | EAG | Q0EMB007 | Broadcom | 2912BES210Y01 | EAG Q0EMB007 Test PCB Board, Broacom 2912BES210Y01, Tomahawk/BCM56960 |
13097 | EAG | Q0EMB007 | Broadcom | 2912BES210Y01 | EAG Q0EMB007 Test PCB Board, Broacom 2912BES210Y01, Tomahawk/BCM56960 |
13098 | EAG | Q0EMB007 | Broadcom | 2912BES210Y01 | EAG Q0EMB007 Test PCB Board, Broacom 2912BES210Y01, Tomahawk/BCM56960 |
13099 | EAG | Q0EMB007 | Broadcom | 2912BES210Y01 | EAG Q0EMB007 Test PCB Board, Broacom 2912BES210Y01, Tomahawk/BCM56960 |
13100 | EAG | Q0EMB007 | Broadcom | 2912BES210Y01 | EAG Q0EMB007 Test PCB Board, Broacom 2912BES210Y01, Tomahawk/BCM56960 |
13101 | EAG | Q0EMB007 | Broadcom | 2912BES210Y01 | EAG Q0EMB007 Test PCB Board, Broacom 2912BES210Y01, Tomahawk/BCM56960 |
13102 | Eagle Industry | 5300-1000 | 3 new Eagle 5300-1000 Digital counters | ||
13103 | EAO | 51.971.02 | Lot of 20 EAO 51.971.02 switch contact block | ||
13104 | EAO | 61-820.22/.25 | Lot of 23 EAO 61-820.22/.25 contact Block | ||
13105 | EAO | 61-8420.22.25 | 5 EAO 61-8420.22/.25 Switching Element, Contact, Block, Novellus PB020033 | ||
13106 | EAO | 61-8420.22.25 | 4 EAO 61-8420.22/.25 Switching Element, Contact, Block | ||
13107 | EAO | EUS-61-3440.41/047 | EAO EUS-61-3440 Emergency Stop Push Button Switch | ||
13108 | EATON | 259311 | Eaton 259311 Connector Cable | ||
13109 | EATON | 431023 | Eaton 431023 Probe Assembly | ||
13110 | EATON | 17049260 | Eaton 17049260 Pilot Disc. ISO Valve, Plate, 94-48163-00 | ||
13111 | EATON | 17064260 | Eaton 17064260 Ground Plate, Electrode0, | ||
13112 | EATON | 17129730 | Eaton 17129730 Strike Plate (4)0, Ion Implanter | ||
13113 | EATON | 26930-0303-0022 | EATON 26930-0303-0022 REV A1 | ||
13114 | EATON | DA1-34014FB-A20C | Eaton DA1-34014FB-A20C Power XL Variable Frequency Drive, IP20, DA1 | ||
13115 | Eaton | FAZ-C40/3 | Eaton FAZ-C40/3 Mini Circuit Breaker5V Pole | ||
13116 | Eaton | FAZ-C15/3 | Eaton FAZ-C15/3 Circuit Breaker5V, 36915C | ||
13117 | Eaton | FAZ-C15/3 | Eaton FAZ-C15/3 Circuit Breaker5V | ||
13118 | Eaton | D96115ACZ3 | 2 Eaton D96115ACZ3 Solid State Relay, 3.5-32 VDC | ||
13119 | Eaton | DH364FGK | Eaton DH364FGK Heavy Duty Safety Switch, w/ 3 Littlefuse FLSR 125 ID Indicator | ||
13120 | Eaton Cutler-Hammer | HFD 65k | 6639C86G96 | Eaton Cutler-Hammer HFD 65k Circuit Breaker HFD3100 100A, 3 Pole, 600VAC, RS1267 | |
13121 | Eaton Fusion Systems | 423621 | Eaton Fusion Systems Cable Assembly, P1360-8 (12/97), ID | ||
13122 | Eaton Heinemann | CF1-G3-U | 2 Eaton Heinemann CF1-G3-U Circuit Breaker, 30A, 120/240V,z | ||
13123 | Ebara | 313-0200S | EBARA 313-0200S, Compressor Adsorher Cartridge. | ||
13124 | Ebara | 313-0200S | EBARA 313-0200S, Compressor Adsorher Cartridge. | ||
13125 | Ebara | 313-0200S | Ebara 0313-0200S Compressor, Adsorber, | ||
13126 | Ebara | 323-0014 | Ebara14, Cryocompressor 2.1 Varian X323005200, Cryo | ||
13127 | Ebara | 323-0015 | Ebara15 Cryocompressor 2.1 w/60 Cryopump, FS-8 | ||
13128 | Ebara | A07V | Ebara A07V Dry Pump, A07V-S, 1.0/1.1 Pa, 8/6/8.4A | ||
13129 | Ebara | A07V | Ebara A07V Dry Pump, A07V-S, 1.0/1.1 Pa, 8/6/8.4A | ||
13130 | Ebara | A30W | 3 A30W Ebara Dry Mechanical Vacuum Pumps, Extra Control Box, 3 Sets Power Cables | ||
13131 | Ebara | A30W | 3 A30W Ebara Dry Mechanical Vacuum Pumps, w/ Exhaust Gas Dilution, Water Cooled | ||
13132 | Ebara | A70W-K | EP3DD1178 | Ebara A70W-K Multi-Stage Dry Vacuum Pump, EP3DD1178 | |
13133 | Ebara | C-3102-121-001 | 8 new top ring guides | ||
13134 | Ebara | C-3812-324-0021 | Tokyo Electron | SR00908 | Ebara C-3812-324-0021 Edge Ring E, TEL, Tokyo Electron SR00908 |
13135 | Ebara | ESR100WN | Ebara ESR100WN Dry Vacuum Pump w/ Controller | ||
13136 | Ebara | ESR100WN | Ebara ESR100WN Dry Vacuum Pump, SR100WN | ||
13137 | Ebara | ET600W | Ebara ET600W Turbo Molecular Pump Controller 600W ETC04 PWM-20M | ||
13138 | Ebara | ET600W | Ebara ET600W Turbo Molecular Pump Controller 600W ETC04 PWM-20M | ||
13139 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00732 | ||
13140 | Ebara | R020631301 | Ebara Air Filter, R020631301, Gas Hepa | ||
13141 | Ebara | R020631301 | Ebara Air Filter, R020631301, Gas Hepa | ||
13142 | Ebara | SS20012 | 35 EBARA PRECISION SS20012 SHIM 0.03MM CEPO22-BLB0210 ID | ||
13143 | Ebara | Unknown | Lot of 2, Controllers for mechanical pump | ||
13144 | Ebara | Ebara Vacuum pumpCOOLER, 9800939 .40 Exhaust , C32830 S CT 1 | |||
13145 | Ebara | Remote control for mechanical pumps, withcable | |||
13146 | Ebara | EV-S20N | DKB00455 | Ebara EV-S20N Dry Pump, DKB00455, Vacuum, 1670L/min, 5.0 PA, 3 Phase | |
13147 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00572 | ||
13148 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00837 | ||
13149 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00758 | ||
13150 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00839 | ||
13151 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00573, RS1314 | ||
13152 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00760 | ||
13153 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, R08S244702 6/10, SanAce140L 109L1424H101 | ||
13154 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00759 | ||
13155 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00601 | ||
13156 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00994 | ||
13157 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB01000 | ||
13158 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00574 | ||
13159 | Ebara | A150W-T | Ebara A150W-T Dry Vacuum Pump, 0.53 Pa, 200 v, 550 STD1, ZCCM | ||
13160 | Ebara Keiki | MOGAH-31253 | Ebara Keiki, MOGAH-31253, Pressure Gauge, 0-3 kgf | ||
13161 | EBMPAPST | 3212 J/2H4P | EBMPAPST/2H4P Fan | ||
13162 | Ebmpapst | 3213 J/2H4P | Ebmpapst/2H4P Fan | ||
13163 | ebmpapst | R1G250-AQ37-52 | ebmpapst R1G250-AQ37-52, Squirrel Cage Fan Motor + Blades | ||
13164 | ECC | E95-3027 | ECC Wafer Chuck Power Supply Unit, E95-3027 | ||
13165 | ECI Technologies | 2U0600 | ECI Tech 2U0600 8 Way Dist. Valve, Syringe, Peek, Novellus 22-153569-00 | ||
13166 | ECI Technologies | CDU00083 | new ECI technology CDU00083 CU, motor belt | ||
13167 | ECI Technologies | LU0600 | novellus | 22-153569-00 | Lot of 3, PEEK valve, 8 way distribution, syringe |
13168 | ECI Technologies | NM0003-1536 | NEW NetMercury PN NM0003-1536 CU electrode, silver, ECI technology VAU510 | ||
13169 | ECI Technologies | TQ503296 | novellus | 27-160331-00 | Lot of 3, Drain pumps with external wiring |
13170 | ECI Technologies | TQ503297 | novellus | 27-160332-00 | Lot of 3, VMS pumps with external wiring |
13171 | ECI technologies | TQ503298 | novellus | 34-160330-00 | Lot of 4, Flow switch assymin |
13172 | Ecosys | 6324-01 | Ecosys 6324-01 Kit, PM GS8 | ||
13173 | EDC | TT130R2-2 | EDC TT130R2-2 Hand Held Teach Pendant, Robot, Controller | ||
13174 | Edlon | S019035 | X096040REP | Edlon Smart Solutions S019035 Tank, X096040REP, .090″ PFA -.0 PSI | |
13175 | Edmond Industrial Optics | Edmond Industrial Optics 3x Objective, Lens, Adapter, Microscope | |||
13176 | Edmond Industrial Optics | Edmond Industrial Optics 3x Objective, Lens, Adapter, Microscope | |||
13177 | Edwards | GV80 | Edwards GV80 Drystar Pump, 230/460V, 3PH/60Hz, 1 L/min | ||
13178 | EEJA | CP-032 | 20 New EEJA CP-032 Vacuum, Pad, #2 E393-2506K | ||
13179 | EFD | 1500XL | 250V 0114-162-0032 | EFD 1500XL Fuse T 125mA 250V 0114-162-0032 | |
13180 | EFD | 1500XL | EFD 1500 XL Adhesive Dispenser | ||
13181 | EFD | 2000XL | EFD 2000XL-PRS, Fuse 125mA 100V, 120V, 220V,z, 9/10 VA | ||
13182 | EFD | Valvemate 7040 | EFD Valvemate 7040, Fuse 125 mA120V, 220V,z, 11/12 VA | ||
13183 | EFFEKTA | EFF.1500 I-NET 19″-STE | EFFEKTA EFF.1500 I-NET 19″-STE UPS, Uninterruptible Power Supply, | ||
13184 | EFFEKTA | EFF.1500 I-NET 19″-STE | EFFEKTA EFF.1500 I-NET 19″-STE UPS, Uninterruptible Power Supply, | ||
13185 | EFFEKTA Regeltechnik | EFF.1500 I-Net 19″-STE | R20/X1500MT-STE | EFFEKTA Regeltechnik EFF.1500 I-Net 19″-STE, R20/X1500M, Power Supply. | |
13186 | EFI electronics | MBP120/208Y | EFI MBP120/208Y Linemaster MBP Surge Protection Device, MBM120, | ||
13187 | EFI electronics | MBP120/208Y | 0175-770291 | 2 used Linemaster MBP, multiphase surge protection, look new, surplus inventory from major semiconductor factory | |
13188 | EFOS | Novacure | N2001-A1 | EFOS Novacure N2001-A1, 4.0 Amp, 250Vtt Mercury Arc | |
13189 | EFOS | EFOS Replacement Lamp, EFOS Lite | |||
13190 | EG&G | 460-2 | 550-11 | EG&G 460-2 Silicon Detector, 550-11 Laser Attentuator | |
13191 | EGS | 25EGS, EMT Connectors Gland compression Type, for Steel EMT, Steel Zinc Plated | |||
13192 | EGS | EGS, EMT Connectors Set-Screw Type, for Steel EMT, Steel Zinc Plated | |||
13193 | EGS | CE2000TH | EGS CE2000TH Hevi-Duty Industrial Control Transformer, 6.0 KVA,HZ | ||
13194 | EGS | CE2000TH | EGS CE2000TH Hevi-Duty Industrial Control Transformer, 2.00 KVAHZ | ||
13195 | Elco | GT3 | Elco GT3 Power Supply, 15V, 2.6A | ||
13196 | Elco | J100-5 | 8Y1253 | Elco J100-5, 8Y1253, Switching Regulator, Power Supply, 5V, 20A. | |
13197 | Elco | J15-12 | Elco J15-12 Regulator, Switching, J-Series, | ||
13198 | Elco | K505A-5 | Elco K505A-5 Power Supply, K50A5 | ||
13199 | Elco | K50AU-24 | Elco K50AU-24 Power Supply Switching Regulator 85-125V 47-440Hz 24V 2.1A | ||
13200 | Elcom | 01-08-0548 | Elcom 01-08-0548 Rev.C, Wafter Transfer Assembly, Motor. | ||
13201 | Electrical | SG53RCUL | NEW Earth leakage circuit breaker SG53RCUL, circuit breaker 3 pole unit AD-7103 | ||
13202 | Electrical | VI-262-CV | VICOR VI-262-CV DC to DC Converter and Switching Regulator Module | ||
13203 | Electrical | VI-263-CU | VICOR VI-263-CU DC to DC Converter and Switching Regulator Module | ||
13204 | Electrical | Plug | 30A, 120/208V, 30Y, Plug | ||
13205 | Electro Scientific Ind. | 61322 | B.P. power supply, Looks very clean, Surplus asset from Major semiconductor manufacturer | ||
13206 | Electro Scientific Instruments | SC15008 | AC Power control unit, 76890 | ||
13207 | Electro Tech Systems | 850 | ETS, Electro Tech Systems Surface Resistant Probe Model 850 | ||
13208 | Electrocraft | DDM-005X-DN-AM | ELECTROCRAFT SERVO DRIVE AMPLIFIER DDM-005X-DN-AM, 9101-1745,HZ | ||
13209 | Electrode | 832-2687A | ALUMINIUM ELECTRODE A FOR PY150 832-2687A ID | ||
13210 | Electrode | 832-2687B | ALUMINIUM ELECTRODE B FOR PY150 832-2687B ID | ||
13211 | Electrode | ELH002 | ELH002 Electrode Plate | ||
13212 | Electrode | ELH002 | ELH002, Plate, Electrode | ||
13213 | Electrode | ELH002 | ELH002, Plate, Electrode | ||
13214 | Electrode | ELH002 | ELH002 Electrode Plate, ID | ||
13215 | Electroglas | 96707 | 4373 | ASSYainless Steel Bellows Vacuum Tubing, NW-50, Thin-Wall, Foreline | |
13216 | Electroglas | 247216 | Electroglas HorizonSystem I/O ASSY 247216 Teltec 01V 1196 | ||
13217 | Electroglas | 247219 | Electroglas HorizonPrealign Subsystem ASSY 247219 Teltec 02V 0297 | ||
13218 | Electroglas | 247265 | Electroglas HorizonHandler Communications ASSY 247265 | ||
13219 | Electroglas | 250262 | Electroglas HorizonTheta Z Joystick ASSY 250262 | ||
13220 | Electroglas | 253491 | Electroglas HorizonPCB ASSY Communication BD Board 253491 | ||
13221 | Electroglas | 33460124 | electroglas PCB ASSY, PREALIGN, MOD, I/F 033460124 | ||
13222 | Electroglas | 97055740 | Electroglas HorizonGold Chuck 97055740 | ||
13223 | Electroglas | 012031-002 | PCB assy, Memory system | ||
13224 | Electroglas | 102944-010 | Electroglas HorizonMotion Control ASSY 102944-010 Teltec 01V 3493 | ||
13225 | Electroglas | 244288-001 | Electroglas HorizonTester Interface 244288-001 Teltec 01V 3096 | ||
13226 | Electroglas | 246067-011 | Electroglas Horizon4Port Serial I/O ASSY II 246067-001 | ||
13227 | Electroglas | 247216-001 | ELECTROGLAS 247216-001 PCB SYSTEM I/O ASSY REV P | ||
13228 | Electroglas | 247222-002 | Electroglas HorizonLinear Motor Subsystem ASSY 247222-002 Rev L | ||
13229 | Electroglas | 247222-002 | Linear Motion PCB Assy | ||
13230 | Electroglas | 247222-002 | Electroglas HorizonLinear Motor Subsystem ASSY 247222-002 | ||
13231 | Electroglas | 247439-001 | Electroglas HorizonSolenoid I/O Interface ASSY 247439-001 | ||
13232 | Electroglas | 247439-001 | Electroglas HorizonSolenoid I/O Interface ASSY 247439-001 | ||
13233 | Electroglas | 251563-004 | 9310-5383 | 7 Electroglas 251563-004 EProm Chips for Electroglas PCB, 9310-5383 | |
13234 | Electroglas | 258805-001 | Controller, Aux, cold sort PCB, Looks new in sealed bag | ||
13235 | Electroglas | 4085X | Electroglas HorizonSystem I/O ASSY 247222-002 Linear Motor Subsystem | ||
13236 | Electroglas | 4085x | Electrogas Horizon Series 4085X | ||
13237 | Electroglas | 4085X | Electroglas HorizonRobot Blade | ||
13238 | Electroglas | 4085X | Electroglas HorizonAluminum Chuck | ||
13239 | Electroglas | 4085X | Electroglas HorizonWafer Sensor Assembly | ||
13240 | Electroglas | 4085X | Electroglas PSM HorizonWafer Pober, 8 inch tool. Parts Tool | ||
13241 | Electroglas | CPU 020 | 251411-002 | Teltec 3693 | Electroglas CPU 020, 251411-002, Teltec 02V 3693, FAB 251410-001 |
13242 | Electroglas | PLC 247219 | Electroglas Prealign Subsystem PLC 247219 Rev 1 | ||
13243 | Electroglas | Electroglas Camera and Lens, 249006-001 | |||
13244 | Electro-Graph | 21362 4875 | 5 Electro-Graph 21362 4875, Ceramic Axle | ||
13245 | Electro-Graph | 21362 4875 | 760-438-9090 | 6 Electro-Graph 21362 4875, 760-438-9090, ISO 9001, Ceramic Axle | |
13246 | Electro-Graph | 35948 | Electro-GraphA/C Side Plate, EGHN.011 | ||
13247 | Electro-Graph | 36013 | Electro-Graph 36013 Arc Chamber Top, EGHN.015 | ||
13248 | Electro-Graph | Electro-Graph EGHN.012 A/C Side Plate | |||
13249 | Electro-Graph | 35987 | Electro-GraphA/C Side Plate, EGHN.014 | ||
13250 | Electronic | 541D11C2 | V1.11 0401 541D11C2 PROM, CONTACT, SIDE, TC | ||
13251 | Electronic | F8429-1 | System Interlock and Control Network Controller F8429-1, 0318124 | ||
13252 | Electronic | J2PS | J2PS 208VAC to 100VAC power distribution box | ||
13253 | Electronic | K-8017 | K-8017, I/O Box, Z Channel | ||
13254 | Electronic | Memory Upgrades Non-Parity SO DIMM Memory Upgrade 64MB 66MHz 3.3 V SDRAM | |||
13255 | Electronic Measurements | TCR 40S15-1-CV | Lambda EMI TCR1-CV Programmable DC Power Supply | ||
13256 | Electronic Measurements | TCR 7.5S115-1-D-0487 | EMI TCR 7.5S115-1-D-0487 DC Power Supply | ||
13257 | Electronics | 70302260100 | A to Z electronics Ltd. 70302260100 OPAL CCD controller | ||
13258 | Electronics Measurements | EMS 40-25 | EMS 40-25-2-D | Electronics Measurements EMSPower Supply, EMS2-D | |
13259 | Electronics Measurements | EMS 40-25 | EMS 40-25-2-D | Electronics Measurements EMSPower Supply, EMS2-D | |
13260 | Electronics Measurements | EMS 40-25 | EMS 40-25-2-D | Electronics Measurements EMSPower Supply, EMS2-D | |
13261 | Electronics Measurements | EMS 40-25 | EMS 40-25-2-D | Electronics Measurements EMSPower Supply, EMS2-D | |
13262 | Electronics Measurements | EMS 10-60 | 10-60-1-D-10/T-TP | Electronics Measurements EMS 10-60 Power Supply, EMS 10-60-1-D-10/T-TP | |
13263 | Elgar | 5606315-01 | Elgar 5606315-01 Dual Channel Power Supply | ||
13264 | Elgar | 5606315-01 | Elgar 5606315-01 Dual Channel Power Supply | ||
13265 | Elgar | 5606315-01 | Elgar 5606315-01 Dual Channel Power Supply | ||
13266 | Elgar | 5606315-01 | Elgar 560635-03 Dual Channel Power Supply | ||
13267 | Elgar | AT8000B | Elgar AT8000B Programmable DC Power Supply, AT8B-00-0S-S1-02-4556 | ||
13268 | Elgar | AT8B-01-04-01-02-4555 | 5691286-14 | Elgar AT8000B Programmable DC Power System AT8B-01-04-01-02-4555 | |
13269 | Elkor | D 060 | Elkor D060 Blower motor assy | ||
13270 | ELPAC | BFS200-48 | ELPAC BFS200-48, Selectable, unregulated, open range DC power supply | ||
13271 | ELPAC | BFS200-48 REVd | POWER SUPPLY, qt 470017 | ||
13272 | ELPIDA | PC3-8500R-7-10-AP | 25 ELPIDA 1 GB MEMORY, 1Rx8 PC3-8500R-7-10-AP, 1Rx4 PC-2-3200E-333 | ||
13273 | Eltrol | 3900052 | Eltrol corp, assy2, E256792, Fab 3850052B, 806107-14 | ||
13274 | EMC | BM23475L11R REV B | EMC-1 94V0, BM23475L11R REV B | ||
13275 | Emerson | 6592 | Ipec Speedfam Novellus | Emerson 6592 Motor & Gear Box, Inverter, Ipec Speedfam Novellus, .50 HP | |
13276 | Emerson | 6592-A | Ipec Speedfam Novellus | 2850-719088 | Emerson 6592-A Motor & Gear Box, Inverter, Ipec Speedfam Novellus |
13277 | Emerson | H300-03400123 | Emerson H300-03400123, HVAC Drive, H300, H300-034. | ||
13278 | Emerson | S4K2U3000-5C | Emerson S4K2U3000-5C SOLA-HD Power Supply Module, RS1148 | ||
13279 | Emerson | CE2000TH | Emerson CE2000TH Hevi-Duty Industrial Control Transformer, 2.00 KVA | ||
13280 | EMI | 20S90-1-LB-0329 | EMI TCR 20S90-1-LB-0329 DC Power Supply | ||
13281 | EMI | EMS 30-20-1D-10/T | EMI EMS 30-20-1D-10/T DC Power Supply, TB2, 115V-15A, 47-63Hz | ||
13282 | EMI | 10T500-1-0329-0V-LB | EMI TCR 10T500-1-0329-0V-LB DC Power Supply, 208/220 VAC, 60Hz, 25 AMP | ||
13283 | EMI | 10-250-4-D-10/T | EMI EMS 10-250-4-D-10/T DC Power Supply, 190-250VAC | ||
13284 | EMI | 20-50-1-D | EMI EMS 20-50-1-D DC Power Supply, TB2, 115V-15A, 47-63Hz | ||
13285 | EMI | 20-30-1D-10/T | EMI EMS 20-30-1D-10/T Power Supply, TB2, 115V-15A, 47-63Hz | ||
13286 | EMI | 20-50-1-D | EMI EMS 20-50-1-D DC Power Supply, TB2, 115V-15A, 47-63Hz | ||
13287 | EMICROS | Flash I2 C232 | Power adapter to 25p D connector | ||
13288 | Empak | Z200-0203 | Empak Z200-0203 Solopak Single Wafershipping Box | ||
13289 | Empire Magnetic | S57-102 | Empire Magnetic S57-102, Stepper Motor. | ||
13290 | EMS | 20-30-1D-10/T | Lambda | EMS 20-30-1D-10/T Power Supply, Lambda | |
13291 | EMS | 40-25 | Lambda | EMSII 40-25-1-D-10T | EMS IIPower Supply, Lambda, EM 00485031, EMSII1-D-10T |
13292 | EMS | RSTL 488-2-40-40-40 | Lambda | 468165 | EMS RSTL 488-2-40-40-40 Power Supply, 00468165 Lambda |
13293 | EMS | RSTL | Lambda | EMS RSTL 488-2-40-40-40 Power Supply, 00468165 Lambda | |
13294 | EMS | 4701082 | EMS TCR 7.5S70-2-D-OV Power Supply, 004701082 | ||
13295 | EMSC | 170120 | 9062-024844-R | EMSC 170120 PCB, 9062-024844-R, EI# 57324 | |
13296 | EMSC | 170120 | EMSC 170120 PCB, EI# 57324, 5211 | ||
13297 | EMULEX | FC1010472-01 | Emulex FC1010472-01, FC1020034-01J 2Gb/s Fibre Channel LP9002L-E | ||
13298 | Encoder | 3FC111AL06300 | 2 ASSY ENCODER UNIT 3FC111AL06300, OMRON E6H-CWZ6C ENCODER | ||
13299 | Encoder Products Co. | 755A | 30020013 | Encoder Products 755A Accu-Coder Incremental Shaft Encoder30020013 | |
13300 | Endevco | 4948 | 3 Endevco 4948 control racks with 12 signal conditioners 2775A and 5 charge amps | ||
13301 | Engelhard-CLAL | 700230 | Engelhard-CLAL 700230, Type R, Dual TC Probe with Ceramic Sheath | ||
13302 | ENI | OEM-12A | OEM-12A-21041-51 | ENI OEM-12A Solid State RF Generator OEM-12A-21041-51, SB225 | |
13303 | ENIDINE | PRO15 IF-1B | Lot of 10 ENIDINE PRO15 IF-1B Hydraulic shock absorbers w/ AMALOY | ||
13304 | Enpac | 5200-YE | Enpac 5200-YE Drum Spill Platform Cart, Poly – Spillcart, 63x29x13″ | ||
13305 | Ensemble Designs | JWETF4908 | Avenue | Ensemble Designs Avenue Signal Integration System, 1RU Frame, JWETF4908 | |
13306 | Ensemble Designs | IWEAF9821 | Avenue | 5000 Series | Ensemble Designs Signal Integration System, IWEAF9821 Avenueeries |
13307 | Ensemble Designs | IVEAF8751 | Ensemble Designs Signal Integration System, IVEAF8751 Avenueeries | ||
13308 | Entegris | 421-42-11 | Entegris-11 Nitrogen Spray Gun, NAT65 | ||
13309 | Entegris | N8-4 | Entegris N8-4 Fitting | ||
13310 | Entegris | FSU8 | Entegris FSU8 NPT Pipe Straight FNPT | ||
13311 | Entegris Fluoroware | FC4-4FN-1 | AMAT | 3300-03992 | Enegris FC4-4FN-1 Union, 1/4 Flare-1/4, AMAT 3300-03992 |
13312 | Entegris Fluoroware | BT4-2FN-1 | Lot of 11 Entegris Fluoroware BT4-2FN-1 Flaretek Tee | ||
13313 | Entegris Fluoroware | 01-009877-09UI | NEW ENTEGRIS 01-009877-09UI, F300, Door, 300mm cassete | ||
13314 | Entegris Fluoroware | 0190-12797 | AMAT 0190-12797 Gate Compl | ||
13315 | Entegris Fluoroware | 1032-054 | Integra | Entegris 1032-054 Pneumatic Valve Integra Distribution Valve 3 outlet, 3/4 inch | |
13316 | Entegris Fluoroware | 201-35 | Entegris 201-35 2-Way Manual Valve, 1/2″, Fluoroware, PFA | ||
13317 | Entegris Fluoroware | 201-8 | Fluoroware 201-8 Manually Activated Chemical Valve, | ||
13318 | Entegris Fluoroware | 202-10 | Fluoroware 202-10 Valve | ||
13319 | Entegris Fluoroware | 202-11 | Fluoroware 202-11 Valve, 301029-001 | ||
13320 | Entegris Fluoroware | 202-13 | Fluoroware 202-13 Valve | ||
13321 | Entegris Fluoroware | 202-59-01 | Fluoroware 202-59-01, Pneumatic 2-Way Diaphragm Valve, NC, Teflon. | ||
13322 | Entegris Fluoroware | 203-1414-415 | Fluoroware 203-1414-415 Galtek 2-Way Solenoid Valve 1/4″ Orifice 115 VAC | ||
13323 | Entegris Fluoroware | 300144-002 | 3 ENTEGRIS FSU4 300144-002 FLOUROWARE FITTING PFA FULL COUPLER 1/4 NPT | ||
13324 | Entegris Fluoroware | 301698-001 | Fluoware 301698-001 Teflon, Flaretek, T Pipe, Valve | ||
13325 | Entegris Fluoroware | 4100-060G-F04-B6-B-P1-U1 | Entegris60G-F04-B6-B-P1-U1, NT Single-Port Pressure Transducer. | ||
13326 | Entegris Fluoroware | 4210-100G | NT Entegris00G-F04-XXX-B-P1-U1-T40 Flow Through pressure transducer | ||
13327 | Entegris Fluoroware | 4210-100G-F08-B06-B-P2-U1 | NIB Entegris00G-F08-B06-B-P2-U1 NT Pressure Transducer Flow-Thru | ||
13328 | Entegris Fluoroware | 6500-XX-F03-D12-K-P2-U1-M19 | Entegris 6500-XX-F03-D12-K-P2-U1-M19 NT Integrated Flow Controller, | ||
13329 | Entegris Fluoroware | 6500-XX-F03-D12-K-P2-U1-M19 | Entegris 6500-XX-F03-D12-K-P2-U1-M19 NT Integrated Flow Controller | ||
13330 | Entegris Fluoroware | 888-900033 | Entegris 888-900033 MFLD (PTFE), AMAT Special Mixer, 4 Port | ||
13331 | Entegris Fluoroware | 888-900033 | Entegris 888-900033, MFLD (PTFE), AMAT Special Mixer, 4-Port. | ||
13332 | Entegris Fluoroware | A192-81MLZ-0215 | ENTEGRIS A192-81MLZ-0215 PFA TEFLON WAFER CARRIER AWS 8 INCH CASSETT | ||
13333 | Entegris Fluoroware | CN0301T06 | Entegris CN0301T06 Mykrolis Filter, ProcessGard CN.3 Micron | ||
13334 | Entegris Fluoroware | E6-6TFN-1X | M9P828145 | Entegris E6-6TFN-1X, M9P828145, PFA Elbow Adapter, Reducer, 3/8″. | |
13335 | Entegris Fluoroware | ES-1C-CA-03 | On-Trak | 80-0002-059 | Ontrak 80-0002-059 MOD SGL CHNL CABLES CABLE TRIAX CONN NUT 3M99-0043 |
13336 | Entegris Fluoroware | FC8-12FN-1 | Entegris FC8-12FN-1 | ||
13337 | Entegris Fluoroware | FG8-8FN-1 | Entegris Fluoroware FG8-8FN-1 Flaretek Tube Fitting, Connector | ||
13338 | Entegris Fluoroware | FMP 68 CR | Lot of 5, Female reducer | ||
13339 | Entegris Fluoroware | FMP886 UTR | Lot of 20, 3/8-3/8-1/4 run tee | ||
13340 | Entegris Fluoroware | PA182 | Novellus | 70-109681 | Lot of 3, New, Process casettes |
13341 | Entegris Fluoroware | PM12FM-1 | Entegris PM12FM-1 Straight Union Tube, 3/4, OD, PFA, Fluroware, | ||
13342 | Entegris Fluoroware | S64-2C-6F | Entegris S64-2C-6F Pneumatic Operated Diaphragm Valve | ||
13343 | Entegris Fluoroware | SP-VLF-CN8002 | Flouroware SP-VLF-CN8002 Fluorotrac ID station | ||
13344 | Entegris Fluoroware | SU8T-6FN-3 | Entegris SU8T-6FN-3, Flaretek, Reducer, 3/8″, PFA. | ||
13345 | Entegris Fluoroware | U39896 | Entegris U39896 Position Plug, PFA w/ Kalrez O-Ring | ||
13346 | Entegris Fluoroware | UE4FN-1 | Entegris UE4FN-1 Flaretek Elbow Tube, Fluoroware | ||
13347 | Entegris Fluoroware | UE4FN-1 | Entegris UE4FN-1059, Fluoroware, Flaretek. | ||
13348 | Entegris Fluoroware | UT8-4-4FN-3 | Entegris UT8-4-4FN-3, Flaretek, Tee Union Valve, PFA. | ||
13349 | Entegris Fluoroware | UT8-4-4FN-3 | Entegris UT8-4-4FN-3 Flaretek, Tee Union Valve, PFA, 0.5×0.25×0.25in. | ||
13350 | Entegris Fluoroware | E4-6FN | Entegris Fluoroware E4-6FN 90 deg. Flaretek fitting | ||
13351 | Entegris Fluoroware | UT8T-8T-8FN-1 | 4 Entegris Fluoroware UT8T-8T-8FN-1 1/2″ tee | ||
13352 | Entegris Fuoroware | U39896 | ENTEGRIS U39896, POSITION PLUG, PFA W/KALREZ O-RING | ||
13353 | Entegris Fuoroware | U39896 | ENTEGRIS U39896, POSITION PLUG, PFA W/KALREZ O-RING | ||
13354 | Enterprise systems corp | 10764 | NON volatile memory PCB, Looks new in sealed bag | ||
13355 | Entrelec | LR88297 GMU 15U | Novellus 0175-111414 Entrelec LR88297 GMU 15U 15 amp circuit breaker | ||
13356 | Entrelec | LR88297 GMU 15U | Lot of 4 Novellus 0175-111414 Entrelec LR88297 GMU 15U 15 amp circuit breaker Look new | ||
13357 | Entrelec | LR88297 GMU 3U | Entrelec LR88297 GMU 3U Circuit breaker 3A 1p | ||
13358 | ENTRELEC | FEM8D1 | ENTRELEC FEM8D1 LOT OF 5 | ||
13359 | Entrelec | PS Systron | Entrelec PS Systron Power Supply, Schiele | ||
13360 | Entrelec | D4/6 | Entrelec D4/6 Terminal Block | ||
13361 | Entrepix | A10450 | Entrepix A10450, Elipsometer, PCB Assembly, SS2 Driver Board, A10449. | ||
13362 | ENZ-Electronic | 2063-0010 | ENZ-Electronic 2063-0010 Control Unit, PCB | ||
13363 | EOS | ZVC60NT12AA | Lot of 2, AC adapter, 12VDC out | ||
13364 | EPEVER | eLOG01 | EPEVER eLOG01 Serial Port To Ethernet Convert Module, eBox Series | ||
13365 | Epichem | 400S | Aviza | 815019-715 | Metal Organics bubbler Ampoule with Swagelok DP valves |
13366 | Epimedia | 6ADC_SSR | Epimedia 6ADC_SSR PCB, Board, RS1143 | ||
13367 | Eppendorf | 4700 | EPPENDORF DISPENSOR 4700, 75 uL, 100uL | ||
13368 | Eppendorf | 25 mL | 15 Eppendorf 25 ml syringes, 433326 | ||
13369 | Eppendorf | Combitip | 6 New Eppendorf combitip,combitips | ||
13370 | EPSION | ASR | epsion compatible ASR infrared light stimulator | ||
13371 | EPSON | RAIOC-33 | EPSON RAIOC-33 PROGRAMMABLE CONTROLLER PLC MAX3A DC24V | ||
13372 | EPSON | SRC-300 | Epson Seiko SRC-300, AC200-220V,z, Single Phase 1200W. | ||
13373 | Equipe Tech | 103-00192-00 | I BUS System | 103-00082-00 | I-Bus Systems 103-00192-00 Bus Board PCB, Equipe 103-00082-00, 4913-2406, |
13374 | EQUIPE TECHNOLOGIES | 1284 | EQUIPE TECHNOLOGIES ROBOT SN 1284 M2828 | ||
13375 | EQUIPE TECHNOLOGIES | TRA-036-SBI | EQUIPE technologies TRA-036-SBI Robot Linear Stage | ||
13376 | EQUIPE TECHNOLOGIES | Equipe Technologies Robot Blade, Wafer, End Effector | |||
13377 | Erecta | 1270-02908 | Erecta Switch Float Switch REED SPDT Megasonics 1270-02908 | ||
13378 | Ergotron | Logitech | Ergotron Arm with Keyboard and Logitech Trackball, Mouse, | ||
13379 | ESD | NA | ESD Semiconductor Analysis Test System, Verifier | ||
13380 | ESD Systems | 41230 | ESD Systems, Calibration Unit | ||
13381 | esl | ST 32 B1-1006 | esl ST1006, 5.0-250, Power Supply. | ||
13382 | ESMO | FAM cart | ESMO Failure Analytical Manipulator (FAM) Cart adapted for Advantest T2000 | ||
13383 | Essex Electronics | SKE-26I | Keyless entry looksnew | ||
13384 | ESTEK | ESTEK674-1/1S | ESTEK674-1/1S ,PCB, Z-BOT STANDARD, 297-14355-1 | ||
13385 | ETA Power Source | WRF24SX-U | ETA Power Source WRF24SX-U Power Supply, 115/230v, 7.5A,z | ||
13386 | Etasis | EFRP-S2500 | Etasis EFRP-S2500 Switching Power Supply | ||
13387 | Etasis | EFRP-S2500 | Etasis EFRP-S2500 Switching Power Supply | ||
13388 | ETEC | 756-4350-01 | ETEC systems LENS control 756-4350-01, ASSYMBLY 756-4350, FAB 756-4351-01 | ||
13389 | ETI | MC5179B-2K/2K | ETI MC5179B-2K/2K Motorized Potentiometer, 8 RPM, Varian | ||
13390 | ETO | N/A | AMAT | N/A | 3 ETO HDPCVD RF Generator Rack, Source Generator, AMAT |
13391 | ETS | ETS-250 | ETS 250, Wrist Strap Tester, Electro-Tech Systems 250 | ||
13392 | Eurotherm | RSDA | 6 used Eurotherm RSDA 25A 660V LDC Solid State Relay+Heatsink, 4-28 VDC | ||
13393 | Eurotherm | 2408I | EUROTHERM 2408i | ||
13394 | Eurotherm | 2408I | EUROTHERM 2408I 2408I#146042 | ||
13395 | Eurotherm | 461/081/28/43/060/002/00 | Eurotherm 461/081/28/43/060/002/00 Controller Thyristor | ||
13396 | Eurotherm | 812/LGC/00/J/0-500C/SPS/S/HH/UR// | Eurotherm 812/LGC/00/J/0-500C/SPS/S/HH/UR// Temperature Controller,; | ||
13397 | Eurotherm | GPC8422 | USED, EUROTHERM TEMPERATURE CONTROLLER, GPC8422 | ||
13398 | Eurotherm | model 808 | Novellus Ipec speedfam | 27-125058-00 | 3 NEW EUROTHERM 808 Temperature controller, 808 RS42 controller, 27-125058-00 |
13399 | Eurotherm | TE10A | Eurotherm TE10A Phase Angle Power Controller 200V, 47-63 Hz, | ||
13400 | Eurotherm | 461/081728/43/060/002/96/00 | Eurotherm 461/081728/43/060/002/96/00 Controller Thyristor, 440V~15A | ||
13401 | Evans Component | NB-GL-8C-200-X | Evans Component NB-GL-8C-200-X, Manual Valve, Manifold. | ||
13402 | EVG | Z160603 | Z160543 | EVG Z160603 4″ Bond Chuck Top w/ Z160543 Field Electrode | |
13403 | EWAL | EWAL Gas Bottle Emergency Shutoff Valve With Nupro 6L-FV4A-T4A and VCR | |||
13404 | Excelon | B74G-4GK-AP3-RMN | Excelon B74G-4GK-AP3-RMN, Filter regulator water separator | ||
13405 | EXFO | Acticure 4000 | EXFO Acticurepot Curing System | ||
13406 | EXFO | IQ-206 | EXFO IQ-206 Expansion Unit w/ Five IQ-1200 4-CH Power Meter, IQ-9100, | ||
13407 | EXFO | Novacure | N2001 | EXFO Novacure N2001, 4.0 Amp, 250Vtt Mercury Arc | |
13408 | Extech Instruments | 407119A | ExtechA CFM Hotwire Anemoneter | ||
13409 | Extreme Networks | 17112 | Extreme Networks 17112 Summit X670 Fan Module BF | ||
13410 | Extreme Networks | 17112 | 450326-00-04 | Extreme Networks 17112 Summit X670 Fan Module BF-00-04 | |
13411 | EXXUS | RR-P2-5 8/18 | 5 new Exxus Tech RR-P2-5 8/18 KF25 to kf16 adapter with two 1/4″ VCR ports | ||
13412 | EXXUS | RR-P3-8 9/23 | 7 Exxus TECH RR-P3-8 9/23 Conflat Tee with two 2-3/4″ & oneflanges | ||
13413 | FABCO | GTND-100-100-T1-V | FABCO GTND-100-100-T1-V Cylinder, RS1164 | ||
13414 | FABCO Air | GTND–050-050 | Wikai | FABCO Air GTND-050-050 Cylinder w/ Wikai Gauge, 30 PSI, 316 SS | |
13415 | Fabco-Air | D-221-X | Fabco-Air D-221-X, 1 Stroke, 1-5/8 Bore, Pancake Cylinder | ||
13416 | Fabco-Air | FPS-1065 | SVG | 203-123 | Fabco-Air FPS-1065 Pneumatic Cylinder, SVG 203-123 |
13417 | Fabco-Air | SVG | 203-122 | Fabco-Air FPS Pneumatic Cylinder, SVG 203-122 | |
13418 | Fabco-Air | GTND-100-050-V | Fabco-Air GTND-100-050-V Air Cylinder, RS1300 | ||
13419 | Fabco-Air | GTND-100-050-V | Fabco-Air GTND-100-050-V Air Cylinder w/ Probe, RS1301 | ||
13420 | Fabco-Air | GTND-100-050 | Fabco-Air GTND-100-050 Air Cylinder, RS1302 | ||
13421 | Fafnir | 204PP | Fafnir 204PP Sealed bearing | ||
13422 | Fafnir | RCJ 1 PT | Fafnir RCJ 1 PT Flange Mount Ball Bearing Unit, Survivor Housed | ||
13423 | Faith Enterprises | PBQ-0118 | Faith Enterprises PBQ-0118 Pusher Block, 8″t, CFM Vessel | ||
13424 | Faith Enterprises | WTC-01 | FAITH ENTERPRISES, WTC-01 SYSTEM CONTROL BOARD, PCB | ||
13425 | Faith Enterprises | WTC-01 | FAITH ENTERPRISES, WTC-01 SYSTEM CONTROL BOARD, PCB. | ||
13426 | Fanwall | CMS-32H | Fanwall CMS-32H R Filtering Fan Controller, HG410010-L | ||
13427 | Fanwall | CMS-32H | Fanwall CMS-32H R Filtering Fan Controller, HG410010-L | ||
13428 | Fanwall | CMS-32H | Fanwall HG410025-PM-R R Filtering Fan Controller, CMS-32HI | ||
13429 | Fanwall | CMS-32H | Fanwall CMS-32H R Filtering Fan Controller, HG410010-L | ||
13430 | Fastcom | 422 | RS-422 | RS485 | Fastcom CommtechS-422/RS485 adapter, interface, PCB |
13431 | Faulhaber | 29477 | HEDS-5500 A14 | FAULHABER MINIMOTOR SA, 029477, HEDS-5500 A14, STEPPER MOTOR | |
13432 | Faulhaber | 22B | Faulhaber 22B, Servo Motor, 21:1, | ||
13433 | Faulhaber | 5020-01-16003893 | (Set of Two)Faulhaber1-16003893 Rev.B, 22B 21:1, R1Etonet166 R1 94V-0 R2. | ||
13434 | FAULHABER | SA 2444 | FAULHABER Minimotor SA 2444 S 048 B K750 000021 090. | ||
13435 | Faulhaber | SA 2444 S 048 B K750 000099 110 | MINIMOTOR SA 2444 S 048 B K750 000099 110 | ||
13436 | Federal | PMP-31101 w/ PMP-31128 | Federal PMP-31101 w/PMP-31128 | ||
13437 | Federal Mogul Westwind | 1073-69 | air bearing Spindle Federal mogul Westwind. 1073-69 | ||
13438 | Federal Mogul Westwind | PMP-31017 | Federal PMP-31017 | ||
13439 | Federal Mogul Westwind | PMP-31128 | Federal PMP-31128 | ||
13440 | Feed Through | 17033030 | Insulator, Fil, Feed-Thru, 17033030 | ||
13441 | Feed Through | SPU-MEC-100-A | 2 SPU-MEC-100-A Elect Feed Through w/ Mini-ICF, A16-26900 | ||
13442 | Feed Through | Unknown | 2 Electrical vacuum feed through, | ||
13443 | Feedthrough | 59-5467 | 59-5467, 3-8481-00237, VMT-5770, R-Motion Feedthrough 833-57770 VMT-3844. | ||
13444 | Ferraz Shawmut | USCC21 | ABB | K13A | Fuse Block Assembly, Ferraz Shawmut USCC21 & USM1I, ABB K13A, WAGO 281 |
13445 | Ferraz Shawmut | USCC21 | ABB | K13A | Fuse Block Assembly, Ferraz Shawmut USCC21 & USM1I, ABB K13A, WAGO 281 |
13446 | Ferraz Shawmut | USCC21 | ABB | K13A | Fuse Block Assembly, Ferraz Shawmut USCC21 & USM1I, ABB K13A, WAGO 281 |
13447 | FerroTec | 024-012428-1 | FerroTec 024-012428-1, Seal, Magnetic Fluid, VF Boat Rotary | ||
13448 | FerroTec | 024-012428-1 | FerroTec 024-012428-1, Seal, Magnetic Fluid, VF Boat Rotary | ||
13449 | FerroTec | NID-15748 | FerroTec NID-15748 Ferrofluid Seal | ||
13450 | Festo | 30935 | KMF-1-24-2.5-LED | Festo 30935 Socket Connector, KMF-1-24-2.5-LED | |
13451 | Festo | CPV10-DNET8-AMA-SA | FEETA 273515 Vacuum Control | ||
13452 | Festo | CPV10-VI-P8-M7-AMA-SA | 273514 | 183645 J402 | Festo Electronic CPV10-VI-P8-M7-AMA-SA Gas Panel 273514, 183643 J402 |
13453 | Festo | CPV10-VI-P8-M7-AMA-SA | Festo Electronic CPV10-VI-P8-M7-AMA-SA Gas Panel 273514, 183643 J402 | ||
13454 | Festo | CPV14-GE-MP-8 | Festo Terminal Valve CPV14-GE-MP-8, CPV-14-VI-P8 J202, 161361 H302 | ||
13455 | Festo | FPC-202 | Festo FPC-202 PLC Programmable Logic Controller Module Unit | ||
13456 | Festo | FPC-202 | Festo FPC-202 PLC Programmable Logic Controller Module Unit | ||
13457 | Festo | HE-…-D-MIDI | HE-3/4-D-MIDI-N | Festo HE-…-D-MIDI x843 Valve Assy, HE-3/4-D-MIDI-N | |
13458 | Festo | HE-…-D-MIDI | HE-3/4-D-MIDI-N | Festo HE-…-D-MIDI x843 Valve Assy, HE-3/4-D-MIDI-N | |
13459 | Festo | K-362253 | FESTO K-362253 L-363353 V0996 | ||
13460 | Festo | L-363163 | FESTO L-363163 V0397 K-363163 | ||
13461 | Festo | LR-1/8-D-T-Mini | Festo LR-1/8-D-7- Mini Pressure Regulator and MA-40-10-1/8 | ||
13462 | Festo | MFH-5-1/8 | Festo Type 9982, MFH-5-1/8, Solenoid Valve. | ||
13463 | Festo | MFH-5-1/8 | Festo Type 9982, MFH-5-1/8, Solenoid Valve. | ||
13464 | Festo | MN1H-2-1/4-MS | Festo MN1H-2-1/4-MS, pneumatic solenoid poppet valve | ||
13465 | Festo | SA-23241 | FESTO Vacuum Control SA-23241, Vacuum Control Manifold CPV10-V1 CPV-V1-P8 161415 | ||
13466 | Festo | ADVU-16-10-P-A | Festo ADVU-16-10-P-A Compact Cylinder, 156508, RS1293 | ||
13467 | FiberGuide | 0190-35245 | FiberGuide Industries 8807-1 Fibre Optic Cable 0190-35245 | ||
13468 | Fiberguide | CB12498 | FIBERGUIDE INDUSTRIES CB12498 CABLE | ||
13469 | Fiberguide | CB12498 | FIBERGUIDE INDUSTRIES CB12498 CABLE | ||
13470 | Fiber-Lite | Seires 180 | Dolan-Jenner | Fiber-Lite Dolan-Jenner Industries Series 180, High Intensity Illuminator. | |
13471 | Filmetrics | F76-LS-V2 | IPEC Speedfam Novellus | 0225-112090 | Light Source F76 |
13472 | Fil-tech | G-75-K | 2 Fil-Tech G-75-K Vacuum Tube, Filaments, Coil, ID | ||
13473 | Fil-tech West | 06M | FIL-Tech West 06M Thermocouple Vacuum Gauge | ||
13474 | Filter | 618-441 | Metal Air Cylinder, Filter FT 618-441, | ||
13475 | Filter | 618-441 | Metal Air Cylinder, Filter FT 618-441, | ||
13476 | Filter | V4011 Oil Filter | |||
13477 | Filter | 618-441 | Metal Air Cylinder, Filter FT 618-441, | ||
13478 | Filter | 618-441 | Metal Air Cylinder, Filter FT 618-441, | ||
13479 | Finder | 55.34 | Finder Type 55.34, Relay, 4PDT, 5A, 250V. | ||
13480 | Finder | 10KA01 | Finder Type 87.61 Circuit Breaker | ||
13481 | Finder | 55.34 | Finder Type 55.34, Relay, 4 PDT, 5A, 250V. | ||
13482 | Fine Series | FP-71-6.35 | Fine Series, FP-71-6.35, Valve, Air Actuated, | ||
13483 | Finisar | FCM-8519-1-T4 | 2 Finisar FCM-8519-1-T4 Mod. Def. 4 Active Copper GBIC | ||
13484 | Finnigam | MAT GCQ | Finnigam MAT GCQ Mass Spectrometer Gas Chromatograph | ||
13485 | FireAngel | FA-1000 | FireAngel FA-1000 Ozone Water Purifier | ||
13486 | firewire | D1394PER2M6C6C | Firewire Cable D1394PER2M6C6C 2M Perf 1394 | ||
13487 | FIS | OV-VFL | OF1000SFC | FIS OV-VFL Visible Laser Fault Locator, OF1000SFC | |
13488 | Fisher Pierce | SFT168 | Fisher Pierce SFT168 NITELIGHTER Outdoor Lighting Controls, Photoelectric | ||
13489 | Fisher Scientific | 11-300-49SHP | Fisher Scientific 11-300-49SHP Isotemp Digital Plate Stirrer, RS1207 | ||
13490 | Fisher Scientific | 11-661-7B | Fisher Scientific 11-661-7B Traceable Hygrometer Thermometer Dew Point | ||
13491 | Fisher Scientific | Centrific Model 228 | 04-978-228 | Fisher Scientific Centrific Model 228 Centrifuge, 04-978-228 | |
13492 | Fisher Scientific | 11-300-49SHP | Fisher Scientific 11-300-49SHP Isotemp Digital Plate Stirrer, RS1307 | ||
13493 | Fit-Line | UE88TN-1 | Fit-Line UE88TN-1 Elbow Union Fitting, PFA HP, RS1258 | ||
13494 | Fit-Line | UE88-1 | Fit-Line UE88-1 PFA HP Union Elbow, RS1326 | ||
13495 | Fit-Line | UE4TN-1 | Fit-Line UE4TN-1 PFA HP Union Elbow (TightFLARE) 1/4″T W/ PVDF Nut, RS1327 | ||
13496 | Fit-Line | UE88TN-1 | Fit-Line UE88TN-1 Union (TightFLARE) 1/2″ X 1/2″T W/ PVDF Nut, RS1328 | ||
13497 | Fit-Line | UE1212N-1 | Fit-Line UE1212N-1 PFA HP Union Elbow 3/4″ W/ PVDF Nut, RS1329 | ||
13498 | Fit-Line | ME8-12N-1 | Fit-Line ME8-12N-1 PFA HP Male Elbow 1/2″ x 3/4″ W/ PVDF Nut, RS1330 | ||
13499 | Fit-Line | ME12-12N-1 | Fit-Line ME12-12N-1 PFA HP Union Elbow, RS1332 | ||
13500 | Fit-Line | FP12N-1 | Fit-Line FP12N-1 PFA HP Flare Plug And Nut 3/4″ W/ PVDF, RS1334 | ||
13501 | Fit-Line | UT12-12T-12TN-1 | Fit-Line UT12-12T-12TN-1 Union Tee (TightFLARE) BRANCH RUN 3/4″ x 3/4″T x 3/4″T W/ PVDF Nut, RS1337 | ||
13502 | Fit-Line | MC12T-12N-1 | Fit-Line MC12T-12N-1 PFA HP Male Connector (TightFLARE) 3/4″, RS1338 | ||
13503 | Fit-Line | TSF16-63MM | Fit-Line TSF16-63MM Transition Fitting 1″ X 63MM W/ PVDF Nut, RS1341 | ||
13504 | Fit-Line | SPM1212N-1 | Fit-Line SPM1212N-1 PFA HP Straight Union Panel Mount 3/4″ X 3/4″ W/ PVDF Nuts, RS1343 | ||
13505 | Fit-Line | WSC12P-12N-1 | Fit-Line WSC12P-12N-1 PFA HP Weld Straight Connector 3/4″P x 3/4″F, PFA W/ PVDF Nut, RS1346 | ||
13506 | Fit-Line | FC12-12N-1 | Fit-Line FC12-12N-1 PFA HP Female Connnector 3/4″ X 3/4″ W/ PVDF Nut, RS1347 | ||
13507 | Fit-Line | MBT88-8N-1 | Fit-Line MBT88-8N-1 PFA HP Male Branch Tee 1/2″ X 1/2″ X 1/2″ W/ PVDF Nut, RS1348 | ||
13508 | Fit-Line | FCAP12 | Fit-Line FCAP12 PFA HP Flare Cap, 3/4″TF, RS1349 | ||
13509 | Fit-Line | TFMR128N-1 | Fit-Line TFMR128N-1 PFA HP TightFLARE Male Reducer, 3/4″TF X 1/2″F, PFA W/ PVDF Nut, RS1350 | ||
13510 | Fit-Line | PF8 | Fit-Line PF8 PFA HP Pipe Nipple 1/2″, RS1351 | ||
13511 | Fit-Line | EPMX1212N-3 | Fit-Line EPMX1212N-3 PFA HP Union Elbow Panel Mount 3/4″ x 3/4″ W/ PFA Nut, RS1352 | ||
13512 | Fit-Line | UES88TN-1 | Fit-Line UES88TN-1 PFA HP Union Elbow Sweep (TightFLARE) 1/2″ X 1/2″T W/ PVDF Nut, RS1353 | ||
13513 | Fit-Line | FC12-16N-1 | Fit-Line FC12-16N-1 PFA HP Female Connector 3/4″ X 1″ W/ PVDF Nut, RS1354 | ||
13514 | Fit-Line | UT8-12-8N-1 | Fit-Line UT8-12-8N-1 PFA HP Union Tee Reducer 1/2″ X 3/4″ X 1/2″ W/ PVDF Nut, RS1355 | ||
13515 | Fit-Line | UT8N-1 | Fit-Line UT8N-1 Union Tee PFA HP 1/2″OD, RS1356 | ||
13516 | Fit-Line | TFU8N-1 | Fit-Line TFU8N-1 TightFLARE UNION 1/2″ W/ PVDF Nut | ||
13517 | Fit-Line | UT12N-1 | Fit-Line UT12N-1 PFA HP Union Tee | ||
13518 | Fit-Line | UT12-8-12TN-1 | Fit-Line UT12-8-12TN-1 PFA HP Union Tee | ||
13519 | Fit-Line | UT8T-12-8TN-1 | Fit-Line UT8T-12-8TN-1 PFA HP Union Tee | ||
13520 | Fit-Line | SPM88N-1 | Fit-Line SPM88N-1 PFA HP Union Connector | ||
13521 | Fit-Line | UT4-4-4TN-1 | Fit-Line UT4-4-4TN-1 PFA HP Union Tee | ||
13522 | Fit-Line | FE12-8N-1 | Fit-Line FE12-8N-1 PFA HP Union Elbow | ||
13523 | Fit-Line | FC8-12N-1 | Fit-Line FC8-12N-1 HP Union Connector | ||
13524 | Fit-Line | UT12-8-12TN-1 | Fit-Line UT12-8-12TN-1 PFA HP Union Tee | ||
13525 | Fit-Line | N16-1 | Fit-Line N16-1 PVDF Flare Nut, 1″ | ||
13526 | Fit-Line | UE1212N-1 | Fit-Line UE1212N-1 PFA HP Union Elbow 3/4″ | ||
13527 | Fit-Line | ME12-12N-1 | Fit-Line ME12-12N-1 PFA HP Union Elbow | ||
13528 | Fit-Line | MC12-8N-1 | Fit-Line MC12-8N-1 PFA HP Male Connector, 3/4″F x 1/2″MNPT | ||
13529 | Fit-Line | FCAP12 | 2 Fit-Line FCAP12 PFA HP Flare Cap, 3/4″TF | ||
13530 | Fit-Line | UT12-12T-12N-1 | Fit-Line UT12-12T-12N-1 PFA HP Union Tee (TightFLARE) 3/4″ x 3/4″T x 3/4″ | ||
13531 | Fit-Line | FC12-8N-1 | Fit-Line FC12-8N-1 PFA HP Female Connector 3/4″ X 1/2″ W/ PVDF Nut, RS1335 | ||
13532 | Fit-Line Inc. | MC8-12N-1 | Fit-Line MC8-12N-1 FlareLINK PFA HP Connector | ||
13533 | Fit-Line Inc. | ME8-8 | Fit-Line ME8-8 PVDF Union Elbow | ||
13534 | Fit-Line Inc. | FP4 | Fit-Line FP4 FlareLINK Connector, PFA HP | ||
13535 | Fit-Line Inc. | FP6 | 2 Fit-Line FP6 FlareLINK Connector, PFA HP | ||
13536 | FLATPAC | VI-LU3-EV-01 | FLATPAC VI-LU3-EV-01 AC/DC SWITCHER 100-200/200-240 V | ||
13537 | FLATPAC | VI-LU3-EV-01 | FLATPAC VI-LU3-EV-01 AC/DC SWITCHER 100-200/200-240 V | ||
13538 | FLEXTRONICS | NPO 4001-783A-01 | FLEXTRONICS NPO83A-01 | ||
13539 | FloCat | GFM37S-TCDL2 | FloCat GFM37S-TCDL2 HCl 0-50, L/min max/3400 KPa | ||
13540 | FloDynamix | L7504-012 | FloDynamix L7504-012 Gumlast FFKM High Temp O-Ring, Brown, AS568A-012 | ||
13541 | FloDynamix | L7504-118 | FloDynamix L7504-118 Gumlast FFKM High Temp O-Ring, Brown, AS568A-118 | ||
13542 | Flojet | 4300-501 | Flojet 4300-501 Circulating Water Pump, On Demand, RS1527 | ||
13543 | Floline | L010- 1303 | Flowline L010- 1303 Optic Sensor, Level, Optical NEW | ||
13544 | Floline | SE-20803-B0818 | Floline SEF Series SE-20803-B0818 SEF-1N 2115203 | ||
13545 | Flo-Tech | 60060-IL | 2 Flo-Tech 60060-IL, FSP-750, Flow Valve | ||
13546 | FLOWLINE | LU10-1303 | FLOWLINE LU10-1303 ULTRASONIC LEVEL SWITCH | ||
13547 | Flowmax | 4710PS-10T-B30 | FlowMax Fan Axial 4710PS-10T-B30 | ||
13548 | Fluke | 1722A-3008 | Fluke 1722A-3008 Memory Assembly, 256k, 718684 | ||
13549 | Fluke | 2240C | Fluke 2240C, Datalogger with 7 Fluke 2200A-08 I/O Modules | ||
13550 | Fluke | 2176A | Fluke 2176A Portable Benchtop Multi-Point Digital Thermometer | ||
13551 | Fluke | 1910A | 1911A | Fluke 1910A/1911A Multi-Counter | |
13552 | Fluke | 8024A | Fluke 8024A Multimeter | ||
13553 | Fluke | 8050A | Fluke 8050A Digital Multimeter | ||
13554 | Fluorocarbon | AS568-116 | 2 NEW Fluorosilicone 2-116, AS568-116, Blue, 70, 22-373610-00 | ||
13555 | Fluorocarbon | AS568-177 | 2 NEW Fluorosilicone 2-177, AS568-177, Blue, 70, 22-373618-00 | ||
13556 | Fluorocarbon | AT2-188 | Fluorocarbon Alpha AT2-188 2 and 3-Way Solenoid Valve 40200 | ||
13557 | Fluorocarbon | ATT2-188 | Fluorocarbon Alpha Solenoid Valve ATT2-188 PSI, 30 Water PSI | ||
13558 | Fluorocarbon | ATT2-188 | Fluorocarbon Alpha Solenoid Valve ATT2-188 PSI, 30 Water PSI | ||
13559 | Fluorocarbon | PVC-88NC-EP | Fluorocarbon PVC-88NC-EP Air Operated Valve | ||
13560 | Fluorosilicone | 2-010 | NEW Fluorosilicone 2-010 Oring, AS568-010, Blue, 70, 22-373616-00 | ||
13561 | Fluorosilicone | 2-012 | 2 NEW Fluorosilicone 2-012, AS568-012, Blue, 70, 22-373617-00 | ||
13562 | Fluorosilicone | 2-030 | 2 NEW Fluorosilicone 2-030, AS568-030, Blue, 70, 22-373614-00 | ||
13563 | Fluorosilicone | 2-336 | 2 NEW Fluorosilicone 2-336, AS568-336, Blue, 70, 22-373625-00 | ||
13564 | Fluorosilicone | 22-373607-00 | 6 NEW Fluorosilicone 2-011 Oring, AS568-011, Blue, 70, 22-373607-00 | ||
13565 | Fluorosilicone | 22-373622-00 | 3 NEW Fluorosilicone 22.50 x 1.29 Oring, Blue, 70, 22-373622-00 | ||
13566 | Fluorosilicone | 22-373624-00 | 6 NEW Fluorosilicone 19.33 x 1.39 Oring, Blue, 70, 22-373624-00 | ||
13567 | Fluorosilicone | 5-051 | NEW Fluorosilicone 5-051 Oring, LM159 Blue, 70, 22-373615-00 | ||
13568 | Fluorosilicone | AS568-330 | 2 NEW Fluorosilicone 2-330 Oring, AS568-330, Blue, 70, 22-373613-00 | ||
13569 | Fluoroware | 202-81 | Fluoroware 202-81 Galtek Valve | ||
13570 | Fluoroware | 202-10 | Fluoroware 202-10 Valve | ||
13571 | Fluoroware | 202-11 | Fluoroware 202-11 Valve | ||
13572 | Fluoroware | 202-11 | Fluoroware 202-11 Valve Assembly | ||
13573 | Fluoroware | 202-10 | Fluoroware 202-10 Valve | ||
13574 | Fluoroware | E6-4N | Fluoroware E6-4N Integral Ferrule Elbow , 3/8″ Tube x 1/4″ mnpt, PFA | ||
13575 | FMI | FMI 0800-0211A Wheel, Diamond, #3 | |||
13576 | FNW | FNW340EF | FNW FNW340EF 3/4″ PVC True Union Ball Valve | ||
13577 | FORCE COMPUTERS | SYS68K/SASI-1 | FORCE COMPUTERS SYS68K/SASI-1, 300000, VME CARD, PCB, LAM RAINBOW, CPU BOARD | ||
13578 | FORESIGHT | CT1310-496964 | 2 NEW FORESIGHT PROCESSING CT1310-496964-14 PIPE JOINT | ||
13579 | Foresight processing | 516878 | 8 channel relay logic PCB E1001 | ||
13580 | Fortrend | 155-022067-001 | Fortrend SCARA 155-022067-001 Robot Arm w/ Controller, 110 VACz | ||
13581 | Fostec | 20500 | Fostec 20500 Fiber Optic Illuminator, Light Source | ||
13582 | FOUP | MW300-F-C | MW300G-C | 2 MW300-F-C and 2 MW300G-C, 300mm silicon storage case carrier | |
13583 | Four Dimension 4D | 280 | Four Dimension 4D Model 280 Automatic Four Point Probe Meter, Wafer | ||
13584 | Fram | TG3600 | Fram Tough Guard TG3600 Oil Filter | ||
13585 | Francotyp Postalia | 20010307 | Francotyp Postalia 20010307 Head Control PCB | ||
13586 | Franklin Electric | 1S2GX0003 | Franklin Electric 1S2GX0003 Pump, Seal-Less Verticle Centrifugal | ||
13587 | Franklin Electric | 1303222103 | Burks Pumps | 33CT6MV-AB-FE | Franklin 1303222103 Motor w/ Burks 33CT6MV-AB-FE |
13588 | FSC | 4321 | FSC 4321 FIRE BUS S/N 127256 M/N SS4-A | ||
13589 | FSI | 1200 | FSI 1200 Process Controller-001 | ||
13590 | FSI | 02-07393 | FSI 02-07393 Rev.E, PCB Assembly Cool Plate A/D. | ||
13591 | FSI | 112935-003 | FSI 112935-003, PWB, Floppy Disk Controller, PCB | ||
13592 | FSI | 113571-002 | FSI 113571-002 PCB, SRM Card, | ||
13593 | FSI | 209104-200 | FSI 209104-200 PCB Board Interface | ||
13594 | FSI | 290020-400 | FSI 290020-400 PCB looks new | ||
13595 | FSI | 290113-200 | FSI 290113-200 OC Diff PCB | ||
13596 | FSI | 290122-400 | FSI 290122-400 PCB power system | ||
13597 | FSI | 300982-002 | FSI 300982-002 Proximity Switch, Reed, 200VAC, 0.25A, | ||
13598 | FSI | 404126-001 | FSI-001 Control Panel, | ||
13599 | FSI | 902516-001 | 7 FSI 902516-001 Ribbon Cable, OC Diff. | ||
13600 | FSI | 902516-001 | 6 FSI 902516-002 Ribbon Cable, OC Diff. | ||
13601 | FSI | FSI, Megasonic Cleaning System Panel Cover | |||
13602 | FSI | FSI, Megasonic Cleaning System Panel Cover | |||
13603 | FSI | 300919-157 | -157 SIL/TFE | 2 FSI 300919-157 Oring, -157 SIL/TFE | |
13604 | FSI | 300919-157 | FSI 300919-157 Oring | ||
13605 | FSI | 300919-160 | FSI 300919-160 Oring | ||
13606 | FSI | 300919-160 | FSI 300919-160 Oring | ||
13607 | FSI | 902515-002 | FSI 902515-002 Ribbon Cable, OC DIFF | ||
13608 | FSI | 318838-001 | FSI 318838-001 Humidity Sensor, 157-020-002, J10-7101-300 | ||
13609 | FTG | 330-02577 | FTG, TBG Adapter NW40 X 1/4MVCR 1.4″LG SST2577 | ||
13610 | FTS Kinetics | RCD151ZLAM | FTS Kinetics RCD151ZLAM Chiller, Thermal System Refrigeration, LAM | ||
13611 | Fuji Electric | AVR-50 | Fuji IMVAC AVR-50 Throttle Valve, used clean | ||
13612 | Fuji Electric | AHX511-H | FUJI Electric AHX511-H Command Switch | ||
13613 | Fuji Electric | AVR-50 | Fuji IMVAC AVR-50n Throttle Valve, H1543 | ||
13614 | Fuji Electric | EA52F | Fuji EA52F, 2PaAC, 460v, Auto-Breaker | ||
13615 | Fuji Electric | EG32AC | Fuji Electric FA E.L. Circuit Breaker EG32AC Mitsubishi CP30-BA | ||
13616 | Fuji Electric | EGA52A | Fuji Electronic EGA52A Breaker, Citrcuit, | ||
13617 | Fuji Electric | FRN005E1S-2NW | Fuji Electric FRN005E1S-2NW Frenic-Multi Inverter, 3PH, 200-240V, 60Hz, | ||
13618 | Fuji Electric | FRN005E1S-4U | Fuji Electric FRN005E1S-4U Variable Frequency Inverter | ||
13619 | Fuji Electric | M-UPS050 | Fuji Electric M-UPS050 J22L 200V input Uninterruptible Power Supply UPS – | ||
13620 | Fuji Electric | PYZ4HBY1-0Y | TP28X-UL | FUJI PYZ4HBY1-0Y Temperature Controller w/ TP28X-UL Base Unit | |
13621 | Fuji Electric | PYZ4HBY1-0Y | TP28X-UL | FUJI PYZ4HBY1-0Y Temperature Controller w/ TP28X-UL Base Unit | |
13622 | Fuji Electric | PYZ9 | FUJI ELECTRIC PYZ9 Temperature Controller, | ||
13623 | Fuji Electric | SC-4-1 | Fuji Electric SC-4-1 Control Contractor | ||
13624 | Fuji Electric | SC-4N | Fuji Electrics SC-4N, SC-4N/UL, Magnetic Contactor. | ||
13625 | Fuji Electric | SC-5N/UL | Fuji Electric SC-5N/UL USPP Magnetic Contactor, AC24~25V, DC24V,z | ||
13626 | Fuji Electric | Unknown | Chart Recorder Fuji recorder, LFE controllers | ||
13627 | Fuji Electric | PYZ4HBY1-0Y | TP28X-UL | Fuji Electric PYZ4HBY1-0Y Temperature Controller w/ TP28X-UL Base Unit | |
13628 | Fuji Electric | SC-0 | Fuji Electric Type SC-0 Contactor, 4NC0F0 | ||
13629 | Fuji Electric | SC-0 | Fuji Electric Type SC-0 Contactor, 4NC0F0 | ||
13630 | Fuji Electric | SC-0 | Fuji Electric Type SC-0 Contactor, 4NC0F0 | ||
13631 | Fuji Electric | SC-0 | Fuji Electric Type SC-0 Contactor, 4NC0F0 | ||
13632 | Fuji Electric Co., Ltd | SC-0 | Fuji Electric Type SC-0 Contactor, 4NC0F0 | ||
13633 | Fuji Electric Co., Ltd | PYZ4HBY1-0Y | TP28X-UL | Fuji Electric PYZ4HBY1-0Y Temperature Controller w/ TP28X-UL Base Unit | |
13634 | Fuji Electric Co., Ltd | PYZ4HBY1-0Y | TP28X-UL | Fuji Electric PYZ4HBY1-0Y Temperature Controller w/ TP28X-UL Base Unit | |
13635 | Fuji Seiki | 1102201 | 71 | Fuji Seiki inc 000071 1102201, Valve, Fitting, Bellow | |
13636 | Fuji Seiki | MBA-2T | Fuji Seiki MBA-2T, Valve, Bellows | ||
13637 | FUJI SEIKI INC. | 1100203 | 000554 | FUJI SEIKI 1100203 , 000554 Vacuum Valve Assy, Vacuum Pipe | |
13638 | Fujikin | 316 | FUJIKIN 316 SHUT OFF VALVE, ON, OFF FUBFL-71-635 | ||
13639 | Fujikin | 316 | P V12 C01 | Swagelock, Fujikin 316, P V12 C01, OP 3.5~7kgf/cm2G, Type N.C. 1/4 Valve | |
13640 | Fujikin | 70190 | New Fujikin 3 way pneumatic valve 070190 | ||
13641 | Fujikin | 76911 | Fujikin 076911 Shotoff Valve, 316L-P | ||
13642 | Fujikin | 76911 | Fujikin 076911 Shotoff Valve, 316L-P | ||
13643 | Fujikin | A-J3SZ-000 | UJR-FP-F6 | Fujikin A-J3SZ-000, UJR-FP-F635 Fitting VCR to Swagelok Fitting | |
13644 | Fujikin | FUCL-715-9.52-0.023 | K-FIHM-000 | Fujikin FUCL-715-9.52-0.023 K-FIHM-000 Gas Line Manifold Valve | |
13645 | Fujikin | L.AQ7000 | Fujikin Valve FP-UDDEBDT-21-6.35-PI-APY, Surface Mount Pneumatic L.AQ7000, New | ||
13646 | Fujikin | 22-00612-03 | Fujikin 22-00612-03 Valve, VCR | ||
13647 | Fujikin | 316L | CAB2-4-10 | Fujikin 316L, CSN 003, CAB2-4-10, Bellow’s Valve | |
13648 | Fujikin | 316L | Fujikin 316L O.P. 4~6 KGF/CM6 | ||
13649 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L# AG7X6000, C# 023718, 1/4″ VCR | ||
13650 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L# AGBDR000, C# 023718, 1/4″ VCR | ||
13651 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L# DCTG00, C# 023718, 1/4″ VCR | ||
13652 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L.No. AGB3V000, C.No.023718 | ||
13653 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L# AGB3V000, C# 023718, 1/4″ VCR | ||
13654 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4″ VCR | ||
13655 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4″ VCR | ||
13656 | Fujikin | 316L-P | Fujikin Incorporated 316L-P, O.P. 3.5~7kgf/cm2G, type N.O., Valve, | ||
13657 | Fujikin | 316L-P | Fujikin Incorporated 316L-P, O.P. 3.5~5kgf/cm2G (0.34~0.49MPa), Valve, | ||
13658 | Fujikin | 316L-P | Fujikin 316L-P 3-Way Valve, AF4JW000, 043926 | ||
13659 | Fujikin | 316L-P | Fujikin 316L-P 3-Way Valve, AF6JT000, 043925 | ||
13660 | Fujikin | 316L-P | Fujikin 316L-P Pneumatic Valve | ||
13661 | Fujikin | 316L-P | Fujikin 316L-P Diaphragm Valve, AJ1KE000, 051786 | ||
13662 | Fujikin | 316L-P | Fujikin 316L-P Diaphragm Valve, AJ1KE000, 051386 | ||
13663 | Fujikin | 316L-P | Fujikin 316L-P Diaphragm Valve, AH0HU000, 051386 | ||
13664 | Fujikin | A9KFN000 | 521196 | Fujikin A9KFN000 Surface Mount Type N.C. Diaphragm Valve, 521196 | |
13665 | Fujikin | A9LPB000 | 521195 | Fujikin A9LPB000 Surface Mount Type N.C. Diaphragm Valve, 521195 | |
13666 | Fujikin | AGBNR000 | 41358 | Fujikin AGBNR000, 041358 Isolation Valve KF-16 Flange Fitting | |
13667 | Fujikin | AGBNR000 | Fujikin 316 Isolation Valve, KF-16, Flange, AGBNR000, 041358 | ||
13668 | Fujikin | AK00WG000 | 473462 | Fujikin L.No. AK00WG000 Pneumatic Isolation Valve, C.No. 473462, 316L-P | |
13669 | Fujikin | A7F79000 | 473462 | Fujikin L.No. A7F79000 Pneumatic Isolation Valve, C.No. 473462, 316L-P | |
13670 | Fujikin | AK02KMU00 | 521195 | Fujikin AK02KMU00 Surface Mount Type N.C. Diaphragm Valve, 521195 | |
13671 | Fujikin | APWYM000 | 454751 | Fujikin 316L-P Diaphragm Valve, APWYM000, 1/4″ VCR | |
13672 | Fujikin | APWYM000 | 457451 | Fujikin 316L Diaphragm Valve, APWYM000, 1/4″ VCR | |
13673 | Fujikin | AQ2GF000 | 422648 | Fujikin 316L-P Diaphragm Valve, AQ2GF000, Swagelok 6LVV-P452081 | |
13674 | Fujikin | AQ8MB000 | 429504 | Fujikin 316L-P Diaphragm Valve, AQ8MB000, 1/4″ VCR | |
13675 | Fujikin | AQ8MB000 | 429504 | Fujikin 316L Diaphragm Valve AQ8MB000 1/4″ VCR, KR5HY000 100499 | |
13676 | Fujikin | AQA8G000 | AMAT 3870-05315 Valve, monoblock, 3 – port, fujikin AQA8G000 Manifold | ||
13677 | Fujikin | ARHU8000 | Fujikin ARHU8000 Type N.C. Isolation Valve, 467352, O.P. | ||
13678 | Fujikin | ARHU8000 | Fujikin ARHU8000 Type N.C. Isolation Valve, 467352, O.P. | ||
13679 | Fujikin | ASK7T000 | 408651 | Fujikin ASK7T000, Isolation Valve | |
13680 | Fujikin | ASK7U000 | Fujikin ASK7U000 Type N.C. Isolation Valve, O.P. | ||
13681 | Fujikin | AT0UM000 | 429504 | Fujikin 316L Diaphragm Valve AT0UM000, 1/4″ VCR, KU6PK000 100499 | |
13682 | Fujikin | DAL71000 | 544245 | Fujikin L.No. DAL71000 Type N.O. Diaphragm Valve, C.No. 544245, 316L-P | |
13683 | Fujikin | FCS1200FDC-6J3-F120L-N2-D00 | 6900-2813-01 | Fujikin Mass Flow Controller FCS1200FDC-6J3-F120L-N2-D00 100-300kPa | |
13684 | Fujikin | FCST1050ZSC-4J2-F50L-N2-U-V-EP | LAM | 714-0126554-001 | Fujikin FCST1050ZSC-4J2-F50L-N2-U-V-EP MFC, Assy, N2,, LAM |
13685 | Fujikin | FCST1050ZSC-4J2-F50L-N2-U-V-EP | LAM | 714-0126554-001 | Fujikin FCST1050ZSC-4J2-F50L-N2-U-V-EP MFC, Assy, N2,, LAM |
13686 | Fujikin | FCST1050ZSC-4J2-F50L-N2-U-V-EP | LAM | 714-0126554-001 | Fujikin FCST1050ZSC-4J2-F50L-N2-U-V-EP MFC, Assy, N2,, LAM |
13687 | Fujikin | FCST1050ZSC-4J2-F50L-N2-U-V-EP | LAM | 714-0126554-001 | Fujikin FCST1050ZSC-4J2-F50L-N2-U-V-EP MFC Assy, N2,, LAM, 316L |
13688 | Fujikin | FCST1200SC-6J3-F100L-N2-U-V-EP | LAM | 714-0126554-001 | Fujikin FCST1200SC-6J3-F100L-N2-U-V-EP MFC Assy, N2M, LAM, 316L |
13689 | Fujikin | FCST1200SC-6J3-F100L-N2-U-V-EP | LAM | 714-0126554-001 | Fujikin FCST1200SC-6J3-F100L-N2-U-V-EP MFC Assy, N2M, LAM, 316L |
13690 | Fujikin | FCST1500FC-8J3-F250L-N2-U | Fujikin FCST1500FC-8J3-F250L-N2-U Mass Flow Controller, MFC, N2, 250 SLM | ||
13691 | Fujikin | FP-71-9.52 | Fujikin 316L Type N.C. FP-71-9.52 Valve | ||
13692 | Fujikin | FP-71-9.52-3-PI#A | Fujikin FP-71-9.52-3-PI#A Type N.O. Diaphragm Valve, Isolation, AK02F6T00 | ||
13693 | Fujikin | FP-91-9.52 | Fujikin 316L FP-91-9.52, L.AG223000, Valve, Type N.O. | ||
13694 | Fujikin | FP-UDDF-71-6-35-2-NL-UP | Fujikin FP-UDDF-71-6-35-2-NL-UP VALVE, AIR-OPERATE Valve | ||
13695 | Fujikin | FP-UDDF-71-6.35-2-316L | Fujikin FP-UDDF-71-6.35-2-316L Valve, | ||
13696 | Fujikin | FP-UDDF-71-6.35-2-NL | NEW Fujikin FP-UDDF-71-6.35-2-NL Fine Series Pure, SUS316L, 1/4 OD | ||
13697 | Fujikin | FPR-71-6.35-2 | Fujikin FPR-71-6.35-2 Solenoid Valve | ||
13698 | Fujikin | FPR-71-6.35-2 | Fujikin FPR-71-6.35-2 Solenoid Valve | ||
13699 | Fujikin | FPR-NSDBT-21-6.35-APY | Fujikin Incorporated FPR-NSDBT-21-6.35-APY | ||
13700 | Fujikin | FPR-UDDFBD-21 | Fujikin FPR-UDDFBD-21-6.35-PI-APY Diaphragm Valve 2P Surface Mount | ||
13701 | Fujikin | FUBFL-71-6.35-3 | Fujikin FUBFL-71-6.35-3 Switch Bellow Valve | ||
13702 | Fujikin | FUBFL-71-635 | TEL | 0120-064661 | Fujikin FUBFL-71-635, On/Off, Shut Off Valve, TEL 0120-064661 |
13703 | Fujikin | FUBVT-115C | Fujikin FUBVT-115C 3-Direction Ball Valve, Carp, 316, Nissin | ||
13704 | Fujikin | T1000 | Fujikin T1000 MFC, N2, FCST1500FC-8J3-F400L-N2-U-EP | ||
13705 | Fujikin | T1000 | Fujikin T1000 MFC N2 FCST1050ZSC-4J2-F50L-N2-U-V-EP, Fujikin 316L | ||
13706 | Fujikin | T1000 | Fujikin T1000 MFC, N2, 150 SLM, 715-113239-001 FCST1200FC-6J3-F150L-N2-U | ||
13707 | Fujikin | T1000 | Fujikin T1000 MFC N2 FCST1050ZSC-4J2-F50L-N2-U-V-EP, Fujikin 316L | ||
13708 | Fujikin | T1000 | Fujikin T1000 MFC, N2, FCST1500FC-8J3-F400L-N2-U-EP | ||
13709 | Fujikin | UBV-14C | Lot of 2, Manual Ball valve, SS | ||
13710 | Fujikin | UJR-316 | 3 Fujikin UJR-316 VCR Connector | ||
13711 | Fujikin | Unknown | Fujikin Gas Line Manifold Valve 16.2 MPa | ||
13712 | Fujikin | Fujikin Stop valve, FUDFL-7166-6 | |||
13713 | Fujikin | Fujikin International Gas Isolation Valve, 316L, ID | |||
13714 | Fujikin | 316 | Fujikin International, Inc 316, O.P. 3.5~5kgf/cm²G, Valve, Fitting | ||
13715 | Fujikin | 316L-P | Fujikin 316L-P, Isolation Valve, O.P 3.5~5kgf/cm²G(0.34~0.49MPa), N.C | ||
13716 | Fujikin | 316L-P | Fujikin 316L-P, Isolation Valve, O.P 3.5~5kgf/cm²G(0.34~0.49MPa), N.C | ||
13717 | Fujikin | AJ9KT000 | 101050 | Fujikin Inc AJ9KT000, Shut Off Valve, Isolation Valve. | |
13718 | Fujikin | FPR-UDDF-71-9.25 | Fujikin International, 3-Way Valve, FPR-UDDF-71-9.25, DD1024699UFV024. | ||
13719 | Fujikin | KL60M002 | 2 FUJIKIN 316L-P SURFACE MOUNT GAS DIAPHRAGM KL60M002, | ||
13720 | Fujikin | FCST1030MZFC-4J2-F5L-N2-U037-EP | Fujikin FCST1030MZFC-4J2-F5L-N2-U037-EP Mass Flow Controller, MFC, N2, 5 SLM, T1000< | ||
13721 | Fujikin | D7UA00 | 038819 | Fujikin D7UA00 Diaphram Valve, Isolation, 316L-P, 038819 | |
13722 | Fujikin | D7UA00 | 038819 | Fujikin D7UA00 Diaphram Valve, Isolation, 316L-P, 038819 | |
13723 | Fujikin | FBSDV-6.35-2B3-316LP-ASA | Applied Materials AMAT | 3870-01913 | Fujikin FBSDV-6.35-2B3-316LP-ASA 3-Way Diaphragm Valve, AMAT 3870-01913 |
13724 | Fujikin | FUBFL-71-6.35 | Fujikin FUBFL-71-6.35 Diaphragm Valve, Isolation, 316 | ||
13725 | FUJITSU | 3850 | 4248-E921 | FUJITSU DENSO 03850 (4248-E921) POWER SUPPLY DC220V-380V ID | |
13726 | Fumex | FA2SSD | Fumex FA2SSD Fume Extractor, FA140P, 120v, 12.2A, 1Ph, 60Hz, 15 AMP | ||
13727 | Furon | 1112548 | Furon 1112548 Mushroom Valve | ||
13728 | Furon | HPVM2-F34NC | 1112132 | Furon HPVM2-F34NC Pneumatic Actuated 2-Way Valve, 1112132, RS1195 | |
13729 | Furon | V2-F812-NC | 1114029 | Furon V2-F812-NC Valve, 1114029, RS1186 | |
13730 | Furon | UPM2-F1216NC-VI | 1108533 | Furon UPM2-F1216NC-VI Pneumatic Actuated 2-Way Valve, 1108533 | |
13731 | Furon | UPM2-644NC | 1102806 | Furon UPM2-644NC Flow Valve, 1102806 | |
13732 | Furon | HPVM2-F46NC | 1109138 | Furon HPVM2-F46NC Pneumatic Actuated 2-Way Valve, 1109138 | |
13733 | Furon | UPM3-F88-VI | 1108147 | Furon UPM3-F88-VI Valve, 1108147 | |
13734 | Furon | 1102853 | Furon 1102853 Diaphragm Valve, UPM3-646 | ||
13735 | Furon | QV2-F88-NC | 1114033 | Furon QV2-F88-NC Valve, 1114033, RS1324 | |
13736 | Furon | UPM3-F88-VI | 1108147 | Furon UPM3-F88-VI Valve, 1108147, NC | |
13737 | Furon | UPM3-F88-VI | 1108147 | Furon UPM3-F88-VI Valve, 1108147, NC | |
13738 | Furon | UPM30646 | 1102853 | Furon UPM3-646 Valve, 1102853, NC | |
13739 | Furon | HPVM2-F34NC | 1112132 | Furon HPVM2-F34NC Pneumatic Actuated 2-Way Valve, 1112132 | |
13740 | Furon | 1114374 | Furon 1114374, 6 Valve Manifold Assembly | ||
13741 | Furon | 1114377 | Furon 1114377, 6 Valve Manifold Assembly | ||
13742 | Furon | HPV3-F44 | Furon HPV3-F44, 3 Way Pneumatic, HPV Valve, 1/4″ Flare, 1/4″ Orifice | ||
13743 | Furon | HPV3-F44 | Furon HPV3-F44, 3 Way Pneumatic, HPV Valve, 1/4″ Flare, 1/4″ Orifice | ||
13744 | Furon | FMF66EAMN-1 | Furon FMF66EAMN-1 Elbow Adaptor, Flare Grip II | ||
13745 | Furon | MCVM-F44 | Furon MCVM-F44 Mini Check Valve, 1106614 | ||
13746 | Furon | MCVM-F44 | Furon MCVM-F44 Mini Check Valve, 1106614 | ||
13747 | Furon | MCVM-F44 | Furon MCVM-F44 Mini Check Valve, 1106614 | ||
13748 | Furon | MCVM-F44 | Furon MCVM-F44 Mini Check Valve, 1106614 | ||
13749 | Furon | 1107263-1 | FMF12128UTRN-1 | Furon 1107263-1 Flare Grip II Valve, FMF12128UTRN-1 | |
13750 | Furon | HPVM2-F34NC | Furon HPVM2-F34NC Pneumatic Actuated 2-Way Valve, 1112132 | ||
13751 | Furon | HPVM2-F34NC | Furon HPVM2-F34NC Pneumatic Actuated 2-Way Valve, 1112132 | ||
13752 | Furon | HPVM2-F34NC | Furon HPVM2-F34NC Pneumatic Actuated 2-Way Valve, 1112132 | ||
13753 | Furon | HPVM2-F34NC | Furon HPVM2-F34NC Pneumatic Actuated 2-Way Valve, 1112132 | ||
13754 | Furon | HPVM2-F34NC | Furon HPVM2-F34NC Pneumatic Actuated 2-Way Valve, 1112132 | ||
13755 | Furon Saint Gobain | 109780 | Furon 109780 Union Elbow, 3/4″ | ||
13756 | Furon Saint Gobain | 501036 | 3 Furon Fitting TBG tee RDCR 1/2T x 1/2T x 3/8T Male, AMAT 3300-05475 | ||
13757 | Furon Saint Gobain | 1102691 | UPRM-060-M | Furon 1102691 Regulator, Teflon, UPRM-060-M | |
13758 | Furon Saint Gobain | 1102691 | UPRM-144-060-M-G | Furon 1102691 Regulator w/ Gauge, UPRM-144-060-M-G, Teflon | |
13759 | Furon Saint Gobain | 1102691 | UPRM-144-060-M | Furon 1102691 Regulator w/ Gauge, UPRM-144-060-M, Teflon | |
13760 | Furon Saint Gobain | 1102691 | UPRM-144-060-M | Furon 1102691 Regulator, UPRM-144-060-M, 2475-109-308, 2805-112678 | |
13761 | Furon Saint Gobain | 1102691 | IPEC Speedfam Novellus | 2475-109308 | New UPRM-144-M with gauge port, Teflon high performance Regulator |
13762 | Furon Saint Gobain | 1102843 | UPM3-188 | Furon 1102843 Diaphragm Valve, UPM3-188 | |
13763 | Furon Saint Gobain | 1102853 | UPM3-646 | Furon 1102853 Diaphragm Valve, UPM3-646 | |
13764 | Furon Saint Gobain | 1102910 | 5 Furon 1102910 Bulkhead Union, FMP450, AMAT 3300-04267 | ||
13765 | Furon Saint Gobain | 1102922 | Furon 1102922 Bulkhead Union; other | ||
13766 | Furon Saint Gobain | DV2-144NCD2 | Furon DV2-144NCD2, P/N 1103307 solenoid valve, 24 VDC, S298 | ||
13767 | Furon Saint Gobain | DV2-144NCD2 | 10 Furon DV2-144NCD2, P/N 1103307 solenoid, 24 VDC, S4244 | ||
13768 | Furon Saint Gobain | 1107300-1 | 3 Furon 1107300-1 Flare Grip II Elbow Male Adapter, AMAT 3300-05831 | ||
13769 | Furon Saint Gobain | DV2-144NCD2 | 3 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 | ||
13770 | Furon Saint Gobain | DV2-144NCD2 | 6 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 | ||
13771 | Furon Saint Gobain | DV2-144NCD2 | 8 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 | ||
13772 | Furon Saint Gobain | DV2-144NCD2 | 10 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, S077 | ||
13773 | Furon Saint Gobain | DV2-144NCD2 | Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, S5051 | ||
13774 | Furon Saint Gobain | DV2-144NCD2 | 3 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, S5067 | ||
13775 | Furon Saint Gobain | DV2-144NCD2 | Lot of 8 Furon DV2-144NCD2, P/N 1103307 solenoid valve, 24 VDC,Looks new | ||
13776 | Furon Saint Gobain | DV2-144NCD2 | 4 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 | ||
13777 | Furon Saint Gobain | DV2-144NCD2 | 5 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 | ||
13778 | Furon Saint Gobain | DV2-144NCD2 | 7 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 | ||
13779 | Furon Saint Gobain | E82001 | Furon E82001, PFA, Teflon Manual Valve. | ||
13780 | Furon Saint Gobain | NA | Furon 3/4″ NPT, 3/4″ Female | ||
13781 | Furon Saint Gobain | NA | AMAT | 3300-05283 | 2 Furon 1/2″ Union Elbow, AMAT 3300-05283 |
13782 | Furon Saint Gobain | NA | Furon 3/4″ Female Union Elbow | ||
13783 | Furon Saint Gobain | PP3-1 | Furon PP3-1, Head, Connector. , | ||
13784 | Furon Saint Gobain | RV3-LP | Ipec speedfam Novellus | 931669 | Regulator valve, RV, 3/16 or, 1/4 F, |
13785 | Furon Saint Gobain | UPM2-646NC-ADJ | Furon UPM2-646NC-ADJ PTFE Diaphragm Valve | ||
13786 | Furon Saint Gobain | UPM2-646NC-ADJ | Furon UPM2-646NC-ADJ PTFE Diaphragm Valve | ||
13787 | Furon Saint Gobain | UPM2-F1212-M | novellus | 952452 | 2 way diaphragm valve, PTFE |
13788 | Furon Saint Gobain | UPM2-F1212-M | novellus | 952452 | Lot of 2, PTFE, 2 way diaphragm valves, looks new |
13789 | Furon Saint Gobain | WB-2W8P-C | Furon WB-2W8P-C, White Bandit 2-Way Pneumatic Valve W/ Solenoid | ||
13790 | Furon Saint Gobain | Furon 1/2″ Female NPT | |||
13791 | Furon Saint Gobain | UPRM-144-060-M | 1102691 | Furon Saint Gobain UPRM-144-060-M Regulator, 1102691 | |
13792 | Furon Saint Gobain | UPRM 144-060 M W/G | 1102691 | Furon Saint Gobain UPRM 144-060 M W/G Regulator, 1102691 | |
13793 | Furon Saint Gobain | UPRM-144-060-M | 1102691 | Furon Saint Gobain UPRM-144-060-M Regulator, 1102691 | |
13794 | Fusion Systems | 8086 | 248411 | FUSION SYSTEMS 8086 CPU CARD, PWB ASSY, 248411, PCB | |
13795 | Fusion Systems | 30327 | Fusion Systems 30327 PWB Assembly, Wafer Detection Tower Board, | ||
13796 | Fusion Systems | 51970 | Fusion Systems Assembly 051970 Sensor PCB, 052141 PWB, | ||
13797 | Fusion Systems | 52141 | 51970 | Fusion Systems 052141 PCB Assembly 051970 | |
13798 | Fusion Systems | 61971 | 323244 | FUSION SYSTEMS ASSY, 61971 REV.C | |
13799 | Fusion Systems | 61971 | 323244 | FUSION SYSTEMS ASSY, 61971 REV.C | |
13800 | Fusion Systems | 400361 | Fusion Systems Worm Gear Ball Screw | ||
13801 | Fusion Systems | G03 | Fusion Semiconductor G03 Ozone Asher, Dual Chamber, Dual Robot Arm | ||
13802 | Fusion Systems | G03 | Fusion Semiconductor G03 Ozone Asher, Dual Chamber, Dual Robot Arm | ||
13803 | Fusion Systems | MC06R | P-300 | Fusion System Curing Epoxy Adhesives UV curing MC-6R and P 300 Power supply | |
13804 | Fusion UV Systems | I300MB | Fusion Systems I300MB Curing Irradiator System, I300M, RS1020 | ||
13805 | Futurestar | 218-0035 | Futurestar 218-0035 Flowmeter w/ Teflon Float, 3.5 GPM, Odyssey Series | ||
13806 | GAGE | 1602 | Compuscope 1602 16bit dual channel A?D and Oscope card for PCI., with AH248, AH 214, 0032214 | ||
13807 | Galil Motion Control | DMC-1540 | Galil DMC-1540, 4-Axis Motion Controller. | ||
13808 | Galil Motion Control | DMC-8230 | Galil Motion Control DMC-8230, LG-EE, 3-Axis ISA Motion Control. | ||
13809 | Gamma Vacuum | 360895 | Gamma Vacuum 360895 Titan Ion Pump | ||
13810 | Gamma Vacuum | 360895 | Gamma Vacuum 360895 Titan Ion Pump | ||
13811 | Gamma Vacuum | 360895 | Gamma Vacuum 360895 Titan Ion Pump | ||
13812 | Gamma Vacuum | 360895 | Gamma Vacuum 360895 Titan Ion Pump | ||
13813 | Gamma Vacuum | 360895 | Gamma Vacuum 360895 Titan Ion Pump | ||
13814 | Gamma Vacuum | 360895 | Gamma Vacuum 360895 Titan Ion Pump | ||
13815 | Gamma Vacuum | 360895 | Gamma Vacuum 360895 Titan Ion Pump | ||
13816 | Gardner Denver | VC 100 (21) | Eaton | PNL-H1/19,21,23 | Gardner Denver VC 100 (21) Vacuum Pump w/ Control Box, Elmo Rietschle, RS1310 |
13817 | Gas line | 258-19371-00/A | 2 Gas Line 258-19371-00/A Cal-Weld | ||
13818 | Gasket | 7310-9308-01 | 31 Gasket Front Plenum 7310-9308-01 | ||
13819 | Gaskleen | GLFF4000VMM8 | Pall Gaskleen GLFF4000VMM8 In-Line Gas Filter, 2051936, 70886-11 | ||
13820 | Gasonic | 91-00605 | Quartz Ring, 91-00605 | ||
13821 | Gasonics | 90100207 | Gasonic 90100207, PCB, Board Valve Control. | ||
13822 | Gasonics | 14738-01 | Gasonics 14738-01 Bubbler, Quartz, 190mm | ||
13823 | GaSonics | 15698-02 | ENI | GaSonics Quartz Chamber Plasma Asher 15698-02, ENI OEM-12B-06 RF Generator | |
13824 | Gasonics | 16532-01 | Asher | L3510 | Gasonics 16532-01 Plate Quartz Lamp, Asher L3510 |
13825 | Gasonics | 17069-01 | Gasonics 17069-01 Panel Cover | ||
13826 | GaSonics | 2000LL | Gasonic IPC Asher 2000LL Plasma Asher Etch System cassette to Cassette Automatic | ||
13827 | Gasonics | 71005-68 | Lot of 6 Gasonics 71005-68 O-Ring, 9.725 ID x .210 VITON, Novellus | ||
13828 | Gasonics | 73333-05 | Gasonics 73333-05 Kit Door Cylinder, Clippard UDR-17-2-M | ||
13829 | Gasonics | 73797-05 | C12294 | Gasonics 73797-05 PWB Assembly SCR Control Broad, PCB, C12294 | |
13830 | Gasonics | 73797-6 | A-1000 | Gasonic 73797-6 Magnetron A1000, Microwave, Water Cooled; we sell as: new | |
13831 | GaSonics | 89-1048-01 | GASONICS 89-1048-01 PCB HIPOX POWER CONTROL 90-1048-01 | ||
13832 | GaSonics | 90-1001-01 | Gasonics 90-1001-01 PC Board Assy, Alarm Hipox, PWB 89-1001-01, ID | ||
13833 | GaSonics | 90-1033-02 | Gasonics 90-1033-02 Abort Reset Over Temp Card, PCB, FAB 89-1033-01, | ||
13834 | GaSonics | 90-1036-01 | 16495-71REVF | Gasonics 90-1036-01, 16495-71REVF, MFC/MFM Interface, PCB, Controller. | |
13835 | GaSonics | A90-031-03 | 2 GaSonics A90-031-03 PCB Plasma/Lamp Failure Detection | ||
13836 | GaSonics | N/A | GASONICS AURA,SIGN, PLATE, PANEL 14’x10′ | ||
13837 | Gast MFG | D0A-P135-AA | 2Z866 | Gast MFG D0A-P135-AA Compressor Vacuum Pump, 2Z866 | |
13838 | Gast MFG | 0523-1010-G582DX | Emerson | G582DEX | Gast MFG 0523-1010-G582DX Vacuum Pump, Emerson G582DEX Motor |
13839 | Gast MFG Corp. | K478 | Gast MFG Corp. K478 Rebuild Kit, ID | ||
13840 | Gauge | FAS848741 | Conductor Gauge FAS848741 | ||
13841 | GE Fanuc General Electric | 388 | 10 new general electrics miniature lamps model 388 | ||
13842 | GE Fanuc General Electric | 5KE49WN8226 | GE Motor 5KE49WN8226 A-C Brake Motor, 3 HP, 3 PH, 60 Hz, 3450 RPM, | ||
13843 | GE Fanuc General Electric | 783166-40816 | 2 GE 30 Amp 3 Poles-3 Overloads 783166-40816 | ||
13844 | GE Fanuc General Electric | 90-30 | GE FANUC SERIES 90-30 PROGRAMMABLE CONTROLLER, GE PLC | ||
13845 | GE Fanuc General Electric | AWA8NAC | GE Automation Model AWA8NAC Waferaligner | ||
13846 | GE Fanuc General Electric | CR104PBM01R6 | 7 new General Electrics CR104PBM01R6 mushroom head 1 NC contact red button | ||
13847 | GE Fanuc General Electric | CR354AC3AB3 | 2 General Electric CR354AC3AB3, 30 Amp, 3 Poles, 3 Overloads without Enclosure | ||
13848 | GE Fanuc General Electric | D30A | Leybold-Heraeus | LEYBOLD-HARAEUS D30A VACUUM PUMP, GE 5K45SG2257 AC MOTOR | |
13849 | GE Fanuc General Electric | IC610MDL180A | GE Fanuc IC610MDL180A, Programmable Relay Output Module, 8 Circuits. | ||
13850 | GE Fanuc General Electric | IC693CHS391 | GE Fanuc IC693CHS391 Base 10 Slot, Programmable Controller, ID | ||
13851 | GE Fanuc General Electric | IC693CHS391 | GE Fanuc IC693CHS391 Base 10 Slot, Programmable Controller, ID | ||
13852 | GE Fanuc General Electric | IC693CHS398D | 2 PLC Series 90-30 IC693CHS398D Programmable Controller Base-5-Slot Exp | ||
13853 | GE Fanuc General Electric | IC693CPU341T | FSI | 306159-001 | GE Fanuc IC693CPU341T CPU Module, Controller, FSI 306159-001, |
13854 | GE Fanuc General Electric | IC693MDL753 | GE Fanuc IC693MDL753, PLC Module, Genius Bus Controller | ||
13855 | GE Fanuc General Electric | IC697BEM713G | GE Fanuc IC697BEM713G Bus Expansion, PCB, ASM 44A730317-G001, FAB 44A730318-001R00/0 | ||
13856 | GE Fanuc General Electric | IC697BEM713G | ASM | 44A730317-G01 | GE Fanuc IC697BEM713G Bus Expansion, ASM 44A730317-G01 FAB 44A730318-001, |
13857 | GE Fanuc General Electric | IC697BEM713G | GE Fanuc IC697BEM713G Bus Expansion, ASM 44A730317-G01 FAB 44A730318-001 | ||
13858 | GE Fanuc General Electric | IC697BEM731 | GE Fanuc IC697BEM731 Genius Bus Controller 1 Channel, ASM 44A729723-G01 | ||
13859 | GE Fanuc General Electric | IC697BEM731N | GE Fanuc IC697BEM731R Genius Bus Controller 1 Channel, ASM 44A729723-G01 | ||
13860 | GE Fanuc General Electric | IC697BEM731R | GE Fanuc IC697BEM731N Genius Bus Controller 1 Channel, ASM 44A729723-G01 | ||
13861 | GE Fanuc General Electric | IC697BEM731T | ASM | 44A729723-G01 | GE Fanuc IC697BEM731T Genius Bus Controller 1 Channel, ASM 44A729723-G01, |
13862 | GE Fanuc General Electric | IC697CH5790F | GE Fanuc IC697CH5790F Rack 9-Slot Rear Mount w/IC697PWR711G Power Supply | ||
13863 | GE Fanuc General Electric | IC697CMM741L | GE Fanuc IC697CMM741L Ethernet Controller, PCB, ASM 44A732252-G01 | ||
13864 | GE Fanuc General Electric | IC697RCM711C | ASM | 44A731760-G01 | GE Fanuc IC697RCM711C Redundancy Communication Module, |
13865 | GE Fanuc General Electric | IC697RCM711C | GE Fanuc IC697RCM711C Redundancy Communication Module | ||
13866 | GE Fanuc General Electric | IC69BEM331 | GE FANUC IC69BEM331 Series 90-30 Genius Bus Controller | ||
13867 | GE Fanuc General Electric | SEHA36AT0100 | GE SEHA36AT0100 Spectra RMS Hi-Break Circuit Breaker600 VAC | ||
13868 | GE Fanuc General Electric | TED113015 | New Circuit breaker, 15A, 277 VAC, 125 VDC | ||
13869 | GE Fanuc General Electric | TED113015 | Circuit breaker, 15A, 277 VAC, 125 VDC Looks new but | ||
13870 | GE Fanuc General Electric | TQL100F | GE | TQL100S | GE Circuit Breaker Enclosure, TQL100F, TQL100S |
13871 | GE Fanuc General Electric | GE FANUC 90-30 SERIES IC693CHS391H CHASSIS W/ POWER SUPPLY AND 10 MODULES | |||
13872 | GE Fanuc General Electric | GE Fanuc PLC Controller Assy | |||
13873 | GE Fanuc General Electric | IC610MDL180A | GE Fanuc IC610MDL180A Programmable Relay Output Module 8 Circuits Channel | ||
13874 | Gelman | 49850 | 2 new Gelman sciences carbon capsule 49850 | ||
13875 | Gemini | 422 | GEMINI 1.5″ BRASS BALL VALVE MODELEUMATIC ACTUATOR FOR EBARA | ||
13876 | Gemini Valve | 76 Series | Gemini Valve 76 Series Screwed End Bar Stock Ball Valve | ||
13877 | Gems Sensors | 27052 | Gems 27052 Flow Switch | ||
13878 | Gems Sensors | 74780 | amat | 6566-00 | 2 AMAT 6566-00 Switch Assy, GEMS 74780 Level Sensor, Liquid Level, Pump Cavity |
13879 | Gems Sensors | 122341 | Gems 122341 Type SF-4 Flow Switch | ||
13880 | Gems Sensors | 122341 | Gems 122341 Type SF-4 Flow Switch | ||
13881 | Gems Sensors | 177100 | Gems 177100 Float Level Switch, 20, 575-00040-00 | ||
13882 | Gems Sensors | B2214-S25 | Gems sensors and controls B2214-S25, VAC 7, 24 VDC | ||
13883 | Gems Sensors | FS-380 | Gems Sensors, FS-380 Flow Switch, 183431, 1.0 GPM | ||
13884 | Gems Sensors | GPM-FS-200 | Gems Sensors GPM-FS-200 Flow Switch, SSU, 20 V.A., VAC | ||
13885 | Gems Sensors | 142505 | Gems Sensors 142505 Liquid Float Level Sensor Switch | ||
13886 | Gems Systems | 184948 | Gems Systems 184948 FS-380 Flow Switch | ||
13887 | Gems Systems | 184948 | Gems Systems 184948 FS-380 Flow Switch, 1.0 GPM | ||
13888 | General Dynamics | 753020-003 | Descrambler CCA board Has a broken capacitor and some bent componenets | ||
13889 | General Electric | 5KC49RN0666X | General Electric GE 5KC49RN0666X A-C Motor01Q-G608NGX | ||
13890 | General Electric GE | 5KH36KNA510X | 0523-V350Q-G588Dx | General Electric GE 5KH36KNA510X A-C Motor, 0523-V350Q-G588DX | |
13891 | General Electric GE | P9B11VN | General Electric GE P9B11VN 3 Position Selector Switch, CEMA | ||
13892 | General Electric GE | 5705004 | 6 General Electric GE 5705004 Electronic Vacuum Tube, 12 Pins | ||
13893 | General Microsystems, Inc. | GMSSRPX-01-B | Applied Materials AMAT | 0190-40086 | General Microsystems GMSSRPX-01-B GMSV36-01-E Controller PCB, 0190-40086 |
13894 | General Microsystems, Inc. | GMSSRPX-01-B | Applied Materials AMAT | 0190-40086 | General Microsystems GMSSRPX-01-B GMSV36-01-E Controller PCB, 0190-40086 |
13895 | General Microsystems, Inc. | GMSSRPX-01-B | Applied Materials AMAT | 0190-40086 | General Microsystems GMSSRPX-01-B GMSV36-01-E Controller PCB, 0190-40086 |
13896 | General Microsystems, Inc. | GMSSRPX-01-B | Applied Materials AMAT | 0190-40086 | General Microsystems GMSSRPX-01-B GMSV36-01-E Controller PCB, 0190-40086 |
13897 | General Microsystems, Inc. | GMSSRPX-01-B | Applied Materials AMAT | 0190-40086 | General Microsystems GMSSRPX-01-B GMSV36-01-E Controller PCB, 0190-40086 |
13898 | General Radio | 1644-A | General Radio 1644-A Megohm Bridge | ||
13899 | General Radio | 1432-A | General Radio 1432-A Decade Resistor | ||
13900 | General Signal | TEMPRESS 92697 | General Signal, TEMPRESS 92697 Front Panel LED distribution Board assy. | ||
13901 | Generic Item | Metal Bracket | |||
13902 | Genesis Vacuum Technologies | 623-4202 | Novellus | 60-125932-00 | Genesis 623-4202 ICP 200 Quick Regen Cryopump, 8″, Ebara, 60-125932-00. |
13903 | Genmark | 5064340 | Genmark Precision Automated Transfer Systems, Robot,0 | ||
13904 | Genmark | 7S/3L | Genmark Gencobot 7S/3L Wafer Robot, 7S050009, 3L7S050005 | ||
13905 | Genmark | GB8-MT-98030074 | MGC | 2234-MB3320 | Genmark GB8-MT-98030074 Robot Wafer Assy, MGC 2234-MB3320 Motor Encoder |
13906 | Genmark | 400298338 | Wafer handler, Robot, For parts | ||
13907 | Genrad | 874-PB8A | 2 RF Coax Bulkhead Connector GenRad 874-PB8A, | ||
13908 | Genuine cisco | XENPAK-10GB-LW | 3 Genuine Cisco XENPAK-10GB-LW+ 10GBASE-LW7-01 | ||
13909 | GENUS | 3779-01 | Solenoid valves with Driver board assy, 8 valves Looks new in sealed bag, surplus inventory from major semiconductor factory | ||
13910 | Georg Fischer | 148150963 | amat | 0050-41569 | George Fischer 148150963 PVDF valve, 0050-41569 |
13911 | Georg Fischer | 161546342 | Georg Fischer 161546342, Ball Valve, Type 546, 1/2″ DN15, PVC-U, PN16. | ||
13912 | Georg Fischer | 198-150-778 | Georg Fischer 198-150-778 2-Way Pneumatic Ball Valve, AMAT 3870-02501 | ||
13913 | Georg Fischer | 198-150-778 | Georg Fischer 198-150-778 2-Way Pneumatic Ball Valve, AMAT 3870-02501 | ||
13914 | Georg Fischer | 198-150-778 | Georg Fischer 198-150-778 2-Way Pneumatic Ball Valve, AMAT 3870-02501 | ||
13915 | Georg Fischer | 801-010 | AMAT | 0190-04810 | 4 GEORGE FISCHER 801-010, AMAT 0190-04810 CDO, TEE 1″ SOC ID |
13916 | Georg Fischer | DN50 | Georg Fischer DN50 Valve Assy, 198150182 Electrical Actuator, 198151298 | ||
13917 | Georg Fischer | DN50 | Georg Fischer DN50 Valve Assy, 198150182 Electrical Actuator, 167482692 Signet | ||
13918 | Georg Fischer | Type 546 DN40 | Ryan Herco Flow Solutions | 50641.015 | Georg Fischer Type 546 DN40 Ball Valve, PVC-C, Ryan Herco015 |
13919 | Georg Fischer | Type 546 | Georg Fischer Type 546 Ball Valve, PVC-C, EPDM, 11/2″ DN40, +GF+ | ||
13920 | Georg Fischer | Type 546 | Georg Fischer Type 546 Ball Valve, PVC-C, EPDM, 11/2″ DN40, PN16, +GF+ | ||
13921 | Georg Fischer +GF+ | 161375002 | Georg Fischer 161375002 Ball Valve, 1/2″ DN15, PVC-U, EPDM | ||
13922 | Gespac | GESMFI-1 9119 | Gespac GESMFI-1 9119, PCB | ||
13923 | Gespac | GESPIA-4 | Gespac GESPIA-4, PCB, Board | ||
13924 | Get Control | FAI5101 | Get Control FAI05101, PCB, | ||
13925 | Gewinde Ziegler | Gewinde Ziegler ZMV Locking Nut, 70 x 2 mm | |||
13926 | GHF | GHDPT102X1E | GHF GHDPT102X1E PTFE Filter, 0.01 MicronS1163 | ||
13927 | Gilbert | G874 | RF Low Freq. Union Gilbert Connector G874 | ||
13928 | Gilson | distritip | 2 new boxes ofson distritip syringes 125 uL capacity | ||
13929 | Glassman High voltage inc. | PS/LH003R1.7 | Glassman High Voltage PS/LH003R1.7J71, serial interface PS 3kV 1.7 A, | ||
13930 | Glenair | 153-18551-00 | Glenair 153-18551-00 Assembly, N/F, Controller, Cable | ||
13931 | Glenair | 153-18556-00 | Glenair 153-18556-00 Assembly, N/F, Controller, Cable | ||
13932 | Glentek | SMA8315-144-013A-1A-1-03 | Glentek SMA8315-144-013A-1A-1-03 Motion Controller | ||
13933 | Glentek | SMA8315-144-013A-1A-1-03 | Glentek SMA8315-144-013A-1A-1-03 Motion Controller | ||
13934 | Glentek | SMA8315-144-013A-1A-1-03 | Glentek SMA8315-144-013A-1A-1-03 Motion Controller | ||
13935 | Glentek | SMA8315-144-013A-1A-1-03 | Glentek SMA8315-144-013A-1A-1-03 Motion Controller | ||
13936 | Glitch Master | 230 | Glitch Master 230 Short-Duration Uninterruptiple Power Supply | ||
13937 | Global | 9701-5578-01 | NEW GLOBAL CONNECTIVITY 9701-5578-01, TDC005-2 | ||
13938 | Global electronics | GBL-uheat | IPEC PLANAR Heat exchanger controller PCB. Assy p/n 2808-106043 | ||
13939 | Globe Motors | 403A696 | Globe Motors6 Motor, 15.1 VDC, ID | ||
13940 | Globe Motors | D36-B10A-05W3-000 | 2 Globe Motors D36-B10A-05W3-000 Cooling Fan, 24VDC, 0.17A | ||
13941 | GMP | C-143-JA-902 | 5 GMP Seal Forces Tester Lamps 6 Volts C-143-JA-902 | ||
13942 | GO | PRI-1L11A3D114 | GO PRI-1L11A3D114 Single Stage Pressure Regulator Looks New | ||
13943 | Goldstar | IO3KHO-IDEIOCKU | KT3062908 | Goldstar IO3KHO-IDEIOCKU, KT4928419, IDE Controller ISA Card. | |
13944 | Good Will | PSP-2010 | Good Will Instrument Co. GW inSTEK PSP-2010 Programmable Power Supply | ||
13945 | Good Year | 14205M-15 | 2 Good Year 14205M-15 Timing Belt | ||
13946 | Good Year | 14205M-15 | 2 Good Year 14205M-15 Timing Belt | ||
13947 | Goodyear | 522908003 | Goodyear 522908003 V-Belt Tension Testers | ||
13948 | Gordos Arkansas | PB-8 | Gordos Arkansas PB-8 PCB Board, 0AC5-120904 | ||
13949 | Gould Pumps | 2×2 1/2-8 | Baldor Reliance | JMM1106TSH | Gould Pumps G&L Series SSH 2×2 1/2-8 Process Chill Water Pump, 8SHK6, RS1311 |
13950 | Granville Phillips | 275 | Granville-Phillips 275 MINI-convectron lots of 3 used | ||
13951 | Granville Phillips | 275 | Granville-Phillips 275 Convectron Gauge, 115 VAC,zts. | ||
13952 | Granville Phillips | 275 | Granville-Phillips 275 Convectron Gauge, 115 VAC,zts. | ||
13953 | Granville Phillips | 275 | Granville phillips 275 Convectron Guage | ||
13954 | Granville Phillips | 316 | Granville Phillips 316 Vacuum Gauge Controller | ||
13955 | Granville Phillips | 332 | 3 Granville Phillips 332 Ion Gauuge Controller with Mount | ||
13956 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp,uge Controller, | ||
13957 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp,uge Controller, | ||
13958 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp,uge Controller, | ||
13959 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp,uge Controller, | ||
13960 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp,uge Controller, 20352001 | ||
13961 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp,uge Controller, | ||
13962 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp,uge Controller, | ||
13963 | Granville Phillips | 275098 | Granville-Phillips 275098 Convectron, Digital Readout Controller, Gauge | ||
13964 | Granville Phillips | 303001 | Granville Phillips 303 Vacuum Process Controller 303001, 2130,GP | ||
13965 | Granville Phillips | 303001 | Granville Phillips 303 Vacuum Process Controller 303001 | ||
13966 | Granville Phillips | 343004 | Granville Phillips 343004 Mini ION gauge controller | ||
13967 | Granville Phillips | 343004 | Granville Phillips 343004 Mini ION gauge controller | ||
13968 | Granville Phillips | 20347057 | Granville-Phillips 20347057, Helix Technology Corp Stabil-Ion Module 339739 | ||
13969 | Granville Phillips | 20347057 | Granville-Phillips 20347057, Helix Technology Corp Stabil-Ion Module 339738 | ||
13970 | Granville Phillips | 0096006-108 | Granville-Phillips 307, 0096006-108, Process Control Board, PCB. | ||
13971 | Granville Phillips | 20275-499 | AMAT | 3310-01089 | Convectron gauge, self contained dual setpoint |
13972 | Granville Phillips | 247 028 | Granville-Phillips, GP, 274 Series 274 028, 9384, Ionization Gauge | ||
13973 | Granville Phillips | 274 028 | Granville-Phillips, GP, 274 Series 028, 11374, Ionization Gauge | ||
13974 | Granville Phillips | 275-615-07 | Granville-Phillips 275-615-07, Mini-Convectron, Analog | ||
13975 | Granville Phillips | 275-807-EU | Granville-Phillips 275-807-EU, Mini-Convectron | ||
13976 | Granville Phillips | 343-004 | Model 343 mini ION Gauge controller 343004 | ||
13977 | Granville Phillips | 350504-G-T2 | Granville-Phillipscuum Gauge Controller-G-T2 | ||
13978 | Granville Phillips | 350504-G-T2 | Granville-Phillipscuum Gauge Controller-G-T2 | ||
13979 | Granville Phillips | 354075-TE-T | Granville-Phillips-TE-T micro Ion gauge module BROOKS automation | ||
13980 | Granville Phillips | 356008-YG-T | Granville phillips-YG-T Micro Ion Plus module | ||
13981 | Granville Phillips | 20275-499 | AMAT | 3310-01089 | Granville Phillips 20275-499 Convectron Gauge, AMAT 3310-01089 |
13982 | Granville Philllips | 275 | Granville Phillips 275 Mini Convectron, 275801 | ||
13983 | Granville Philllips | 275 | Granville Phillips 275 Mini Convectron, 275801 | ||
13984 | Granville-Phillips | 352 | Granville-Phillipsuge Controller, 20352001 | ||
13985 | Granville-Phillips | 122077-G2 | Granville-Phillips 122077-G2 216 Servo Driven Valve Assy, MKS 245-15121 | ||
13986 | Granville-Phillips | 275801-E4 | Granville-Phillips 275801-E4 Gauge, 275 Mini-Convection | ||
13987 | Granville-Phillips | 20275-500 | Granville-Phillips 20275-500 Type 275 Mini-Convectron | ||
13988 | Granville-Phillips | 275106 | Granville-Phillips 275106 Convectron Gauge, Type 275 | ||
13989 | Granville-Phillips | 275071 | Granville-Phillips 275071 Convectron Gauge, Type 275 | ||
13990 | Granville-Phillips | 360120 | Granville-Phillips 360120 Stabil-Ion Inonization Gauge, 360/370 | ||
13991 | Granville-Phillips | 275071 | Granville-Phillips 275071 Convectron, Type 275, Brooks, Thermocouple | ||
13992 | Granville-Phillips | 307 | Granville-Phillips 307 Vacuum Gauge Controller, 307502-A10-T1 | ||
13993 | Graphic Control Recorder Supplies | 82-09-1032-05C | Graphic Control Recorder Supplies 82-09-0132-05C Red MKR | ||
13994 | Graphic Controls | 10548312 | 4 Graphic Controls 10548312 Linear Instruments | ||
13995 | Graphics card | 54-23184-01 | GRAPHICS CARD OEC DIGITAL EQUIPMENT 54-23184-01, KA705TRMRS,83-01 | ||
13996 | Green Heat Technology | Type 4X | Green Heat Nema Type 4X Watertight Digital Thermostatic Controller, RS1259 | ||
13997 | Greene Tweed | 9014-SD505 | AS-568A-014 | Greene Tweed 9014-SD505 Chemraz Oring, AS-568A-014, CPD 505 | |
13998 | Greene Tweed | 9117-SD505 | AS-568A-117 | 2Greene Tweed 9117-SD505 O-ring, AS-568A-117, CPD 505 | |
13999 | Greene Tweed | 9005-SS513 | AS-568A-005 | Greene Tweed 9005-SS513 O-ring, AS-568A-005, CPD 513 | |
14000 | Greene Tweed | 9006-SC513 | AS-568A-006 | 2 Greene Tweed 9006-SC513 O-ring, AS-568A-006, CPD 513 | |
14001 | Greene Tweed | 3700-01709 | Applied Materials AMAT | Greene Tweed 3700-01709 Chemraz Oring, CPD 513, Plasmapro, AMAT | |
14002 | Greene Tweed | 9904-SC513 | Applied Materials AMAT | 3700-01709 | Greene Tweed 9904-SC513 Chemraz Oring, AS-568-904, , AMAT 3700-01709 |
14003 | Greene Tweed | 9346-SC520 | AS-569A-346 | Greene Tweed 9346-SC520 O-ring, AS-568A-346, CPD 520 | |
14004 | Greene Tweed | 9346-SC520 | AS-569A-346 | 3 Greene Tweed 9346-SC520 O-ring, AS-568A-346, CPD 520 | |
14005 | Greene Tweed | AS-568A-222 | Greene Tweed AS-568A-222 Oring, 9222-SD653, CPD 653, Chemraz | ||
14006 | Greene Tweed | AS-568A-227 | Greene Tweed AS-568A-227 Oring, 9227-SD653, CPD 653, Chemraz | ||
14007 | Greene Tweed | AS-568A-014 | 7 Greene Tweed AS-568A-014 Chemraz Oring, CPD 550, 9014-SD550 | ||
14008 | Greene Tweed | N017800429SS513 | AMAT | 3700-02353 | Greene Tweed N017800429SS513 Chemraz Oring, CPD 513, AMAT 3700-02353 |
14009 | Greene Tweed | AS-568A-904 | AMAT | 3700-01709 | 4 Greene Tweed AS-568A-904 Chemraz Oring, 9904-SC513, AMAT 3700-01709 |
14010 | Greene Tweed | AS-568A-127 | 2 Greene Tweed AS-568A-127 Chemraz Oring, CPD127-505 | ||
14011 | Greene Tweed | AS-568A-238 | Greene Tweede AS-568A-238 Chemraz Oring, CPD 513, 9238-SS513 | ||
14012 | Greene Tweed | AS-568A-011 | 2-011-550SD | 13 Greene Tweed AS-568A-011 Chemraz Oring, CPD 550, 9011-SD550 | |
14013 | Greene Tweed | AS-568A-127 | Greene Tweede AS-568A-127 Chemraz Oring, CPD 550, 9127-SD550 | ||
14014 | Greene Tweed Chemraz | 9248-SC520 | Chemraz | 5 new Greene Tweed Chemraz 9248-SC520 4.734 x 0.139 in., O-ring 22-174296-00 | |
14015 | Greene Tweed Chemraz | 9278-SC51 | Chemraz | Chemraz 9278-SC513, 2-278, Compound 513, 11.984 x 0.139 inch 22-136504-00 | |
14016 | Greene Tweed Chemraz | 2-269 | 9269-SC513 | Greene Tweed 2-269 Oring, 8.734 ID X .139 CX IN, 9269-SC513 | |
14017 | Greene Tweed Chemraz | 22-136505-00 | Chemraz | 3 NEW Chemraz 112 Oring, 2-112 chemraz SC513, 22-136505-00 | |
14018 | Greene Tweed Chemraz | 5641-0320-SC512 | AMAT | 0030-09050 | Greene Tweed 5641-0320-SC512, Compound 512, AMAT 0030-09050, Chemraz |
14019 | Greene Tweed Chemraz | 5641-0449-SC513 | AMAT | 0030-09055 | Chemraz 5641-0449-SC513, AMAT 0030-09055, CPD 513, 5 IN, Seals, O-Ring |
14020 | Greene Tweed Chemraz | 5641-0476-SC513 | CHEMRAZ SC513 O-RING MVP-220 GT 5641-0476-SC513 GREEN TWEED MUSHROOM SEAL | ||
14021 | Greene Tweed Chemraz | 5641-0477-SC513 | CHEMRAZ SC513 O-RING MVP-200 GT 5641-0477-SC513 GREENE TWEED | ||
14022 | Greene Tweed Chemraz | 5641-0477-SC513 | Greene Tweed | CHEMRAZ SC513 O-RING MVP-200 GT 5641-0477-SC513 GREENE TWEED | |
14023 | Greene Tweed Chemraz | 5641-0478-SC513 | CHEMRAZ SC513 O-RING MVP-205 GT 5641-0478-SC513 GREENE TWEED | ||
14024 | Greene Tweed Chemraz | 5641-0854-SSE38 | Novellus | 12-128529-21 | 3 Greene Tweed 5641-0854-SSE38, CPD E38, Novellus 12-128529-21, Oring |
14025 | Greene Tweed Chemraz | 78-2573 | Greene Tweed6201SS592 O-Ring, CPD 592, 78-2573 | ||
14026 | Greene Tweed Chemraz | 78-2763 | Chemraz | NEW Chemraz Greene tweed 78-2763 Oring N053338926SC513,15.325 ID x 0.210 CX INCH | |
14027 | Greene Tweed Chemraz | 8527301186SS592 | Greene Tweed 8527301186SS592, Compound 592, Chemraz, O-ring | ||
14028 | Greene Tweed Chemraz | 9003-SS571 | Greentweed 9003-SS571 Chemraz O-Ring 0.056×0.06in 1.42×1.52mm 185001-588 | ||
14029 | Greene Tweed Chemraz | 9006-SSE38 | Novellus | 22-128529-00 | Greene Tweed 9006-SSE38, AS-568A-006, CPD E38, 2.90X1.78mm Oring |
14030 | Greene Tweed Chemraz | 9010-SD550 | Greene Tweed 9010-SD550 Chemraz O-Ring, AS-568A-010 CPD 550, 147124 | ||
14031 | Greene Tweed Chemraz | 9010-SD550 | Greene Tweede 9010-SD550 Chemraz O-ring, AS-568A-010, CPD 550 | ||
14032 | Greene Tweed Chemraz | 9012-SC513 | Chemraz | 2 new Chemraz 9012-SC513 Compound 513, 9.25 x 1.78mm, 78-2732 Oring | |
14033 | Greene Tweed Chemraz | 9012-SD505 | Chemraz 9012-SD505, AS-568A-012vCPD.364 IN X 0.070 CX IN, O-Ring | ||
14034 | Greene Tweed Chemraz | 9012-SD550 | Greene Tweed 9012-SD550 O-Ring, AS-568A-012 Compound 550, ID | ||
14035 | Greene Tweed Chemraz | 9016-SD505 | AS-568A-016 CPD 505 | Green Tweed 9016-SD505, (AS-568A-016 CPDAquapro, 0.614 ID x 0.070 CX in , | |
14036 | Greene Tweed Chemraz | 9019-SD505 | Chemraz 9019-SD505, AS-568A-019 CPD.801 ID X 0.070 CX IN, O-Ring | ||
14037 | Greene Tweed Chemraz | 9031-SC513 | Greene Tweed 9031-SC513, AS-568A, 44.17X1.78mm, Chemraz, O-ring | ||
14038 | Greene Tweed Chemraz | 9031-SC513 | Greene Tweed 9031-SC513, AS-568A, 44.17X1.78mm, Chemraz, O-ring | ||
14039 | Greene Tweed Chemraz | 9031-SC513 | Chemraz 9031-SC513, AS-568A-031 CPD 513, 1.73 ID X 0.070 CX IN, O-Ring | ||
14040 | Greene Tweed Chemraz | 9031-SC513 | Greene Tweed 9031-SC513 AS-568A-031, Compound 513, 1.73 ID X 0.070 CX IN | ||
14041 | Greene Tweed Chemraz | 9031-SC513 | Greene Tweed, GT 9031-SC513, Chemraz, O-Ring | ||
14042 | Greene Tweed Chemraz | 9031-SC513 | Greene Tweed 9031-SC513 1.73X.070, Chemraz, O-Ring | ||
14043 | Greene Tweed Chemraz | 9031-SC513 | Greene Tweed 9031-SC513, Compound 513, 44.17 X 1.78mm, Chemraz, O-Ring | ||
14044 | Greene Tweed Chemraz | 9038-SD550 | Chemraz 9038-SD550 O-ring, Seal, | ||
14045 | Greene Tweed Chemraz | 9039-SD505 | Green Tweed 9039-SD505, AS-568A-039 CPD82-52039, Seal, O-Ring. | ||
14046 | Greene Tweed Chemraz | 911-SC520 | AS-568A-121 | Chemraz 911-SC520, AS-568A-121 CPD049 ID x 0.103 CX IN, O-Ring | |
14047 | Greene Tweed Chemraz | 9112-56513 | Chemraz | Chemraz 9112-56513, 2-112, Compound 513, 22-136505-00 Oring Seal | |
14048 | Greene Tweed Chemraz | 9120-SD550 | Chemraz 9120-SD550, AS-568A-120 CPD 550, 0.987 ID X .103 CX IN, O-Ring | ||
14049 | Greene Tweed Chemraz | 9120-SD550 | Greene Tweede 9120-SD550 Chemraz O-ring, AS-568A-120, CPD 550 | ||
14050 | Greene Tweed Chemraz | 9123-SD550 | Greene Tweed P/N 9123-SD550 (AS-568A-123 CPD 550) Windows O-ring | ||
14051 | Greene Tweed Chemraz | 9125-SS513 | Greene Tweed 9125-SS513 AS-568A-12 Compound 513, 1.299 ID X 0.103 CX IN | ||
14052 | Greene Tweed Chemraz | 9160-SC513 | Greene Tweed 9160-SC513, Compound 513, 133.02X2.62mm, Chemraz, Oring | ||
14053 | Greene Tweed Chemraz | 9169-SS513 | 3 Greene Tweed 9169-SS513 7.487X.103, Chemraz, O-Ring | ||
14054 | Greene Tweed Chemraz | 9220-SS513 | Greene Tweed 9220-SS513, AS-568A-220, CPD 513, 34.52X3.53mm, Oring | ||
14055 | Greene Tweed Chemraz | 9232-SC513 | Greene Tweed, 9232-SC513, Chemraz O- Ring, White Chemraz | ||
14056 | Greene Tweed Chemraz | 9233-SC513 | Greene Tweed 9233-SC513 O-Ring AS-568A-233 CPD 513 | ||
14057 | Greene Tweed Chemraz | 9233-SD550 | Greene Tweed 9233-SD550 O-ring, AS-568A-233, CPD 550, Chemraz | ||
14058 | Greene Tweed Chemraz | 9238-SD653 | Green Tweed 9238-SD653, 815015-766, AS-568A-238 CPD 653, Chemraz, O-Ring. | ||
14059 | Greene Tweed Chemraz | 9243-SC513 | Greene Tweed, 9243-SC513, 4.109X.139, Chemraz, O-Ring | ||
14060 | Greene Tweed Chemraz | 9246-SS513 | Green Tweed 9246-SS513 4.484 ID x 0.139 CX IN, Chemraz O-Ring | ||
14061 | Greene Tweed Chemraz | 9246-SS513 | Chemraz 9246-SS513, AS-568A-246, 4.484 ID X 0.139 CX IN, Seal, O-Ring | ||
14062 | Greene Tweed Chemraz | 9248-SC520 | Chemraz | 3 new Greene Tweed Chemraz 9248-SC520 4.734 x 0.139 in., 22-174296-00 | |
14063 | Greene Tweed Chemraz | 9248-SC520 | Chemraz | 3 new Greene Tweed Chemraz 9248-SC520 4.734 x 0.139 in. | |
14064 | Greene Tweed Chemraz | 9255-SC520 | AS-568A-255 CPD 520 | Green Tweed 9255-SC520(AS-568A-255 CPD 520), 5.609IDx0.139CX In, O-Ring . | |
14065 | Greene Tweed Chemraz | 9258-SC520 | Green Tweed, 9258-SC520, AS-568A-258, CPD 520, O-Ring, 151.99in x 3.53cx. | ||
14066 | Greene Tweed Chemraz | 9264-SC513 | Greene Tweed 9264-SC513 O-Ring, AS-568A-264, CPD 513, Chemraz, | ||
14067 | Greene Tweed Chemraz | 9264-SC513 | AMAT | 3700-01715 | Greene Tweed 9264-SC513 O-ring, AS-568A-264 CPD 513, Chemraz, 3700-01715 |
14068 | Greene Tweed Chemraz | 9265-SC513 | AS-568A-265 CPD 513 | Chemraz 9265-SC513, Greene Tweed 2-513, Seal, O-Ring . | |
14069 | Greene Tweed Chemraz | 9265-SC513 | AS-568A-265 CPD 513 | Chemraz 9265-SC513, Greene Tweed 2-513, O-Ring, Seal. | |
14070 | Greene Tweed Chemraz | 92740SC520 | Chemraz | Chemraz 92740SC520 2-274 Compound 520 O Ring Seal 78-2710 | |
14071 | Greene Tweed Chemraz | 9277-SS592 | Chemraz | NEW Chemraz Greene tweed 9277-SS592, 11.484 ID x 0.139 CX INCH, 78-2796 compound | |
14072 | Greene Tweed Chemraz | 927B9 | Chemraz 927B9 Compound 513 12.623 ID X 0.139 CX, | ||
14073 | Greene Tweed Chemraz | 9312-SC520 | Chemraz | Chemraz 9312-SC520, 2-312, Compound 520, 0.600 x 0.210 inch | |
14074 | Greene Tweed Chemraz | 9330-SC513 | Greene Tweed 9330-SC513 Chemraz O-Ring, AS-568A-330, CPD 513, NM003-2853 | ||
14075 | Greene Tweed Chemraz | 9363-SC515 | Greene Tweed 9363-SC515, AS-568A-363, CPD 515, 164.47X5.33mm, Oring | ||
14076 | Greene Tweed Chemraz | 9363-SC520 | Chemraz | Chemraz 9363-SC520, 2-363, Compound 520 Oring Seal 78-2738 | |
14077 | Greene Tweed Chemraz | 9363-SS513 | Chemraz | 2-263 | Greene Tweed, Chemraz 2-263 9363-SS513 O-Ring |
14078 | Greene Tweed Chemraz | 9381-SD570 | Greene Tweed 9381-SD570, O-Ring, ID | ||
14079 | Greene Tweed Chemraz | 9381-SD570 | AS-568A-381 | Green Tweed 9381-SD570, AS-568A-381 CPD 570, 11.975 ID x 0.210 CX In. O-Ring | |
14080 | Greene Tweed Chemraz | 9381-SD570 | AS-568A-381 | Green Tweed 9381-SD570, AS-568A-381 CPD 570, 11.975 ID x 0.210 CX In. O-Ring | |
14081 | Greene Tweed Chemraz | 9383-SC513 | Chemraz | NEW Chemraz greene tweed 9383-SC513 Oring 2-383 compound 513, 78-2766 | |
14082 | Greene Tweed Chemraz | 9901-SC513 | AS-568-904 CPD 513, 3700-01709 | Chemraz 9901-SC513 (AS-568-904 CPD 513), Seal, O-Ring, AMAT 3700-01709. ,,,,, | |
14083 | Greene Tweed Chemraz | 9906-SC513 | AMAT | 3700-01708 | Green, Tweed 9906-SC513, Chemraz, O-Ring, 9906-SC513, 3700-01708 |
14084 | Greene Tweed Chemraz | AS-0568A-230-SC513 | Greene Tweed AS-0568A-230-SC513, Chemraz O-Ring | ||
14085 | Greene Tweed Chemraz | AS-568A-276 | Greene Tweed 9276-SC513, AS-568A-276 Compound 513, ID | ||
14086 | Greene Tweed Chemraz | AS-568A-276 | Greene Tweed 9276-SC513, AS-568A-276 Compound 513, ID | ||
14087 | Greene Tweed Chemraz | AS-568A-276 | Greene Tweed 9276-SC513, AS-568A-276 Compound 513, ID | ||
14088 | Greene Tweed Chemraz | E17020270 | 10 new rubber gaskets, (looks like silicone), 811-52480 | ||
14089 | Greene Tweed Chemraz | GT 9373-SC513 | AMAT | 3700-03047 | Greene Tweed GT 9373-SC513, AMAT 3700-03047, Chemraz, O-Ring |
14090 | Greene Tweed Chemraz | M024002160SD70 | 12 CHEMRAZ AQUAPRO O-RING M024002160SD570 21.60 x 240 .850 x .094 | ||
14091 | Greene Tweed Chemraz | M040003950SC513 | Chemraz | V-40 | Chemraz V-40 O-ring, Greene Tweed, GT M040003950SC513 |
14092 | Greene Tweed Chemraz | M057010960SC513 | Greene Tweed M057010960SC513, Compound 5135.7mm, Chemraz, Oring | ||
14093 | Greene Tweed Chemraz | M084024450 | CHEMRAZ SC513 O-RING P-245 GT M084024450 SC513 GREEN TWEED | ||
14094 | Greene Tweed Chemraz | N026207874 | Chemraz | 2 Chemraz N026207874, SC520 Compound 520, 3.10 x 0.103 inch Oring, 78-2879 | |
14095 | Greene Tweed Chemraz | NO17835083SS592 | Chemraz | 5 new Greene Tweed Chemraz NO17835083SS592 x 1.78 mm | |
14096 | Greene Tweed Chemraz | SC513 | Chemraz | V-15 | Chemraz V-15 O-ring, Greene Tweed, GT M040001450SC513, CPD 513 |
14097 | Greene Tweed Chemraz | SC513 | 4 Chemraz 129 SC513, O-Ring, 1.549 x 103. | ||
14098 | Greene Tweed Chemraz | SC513 | 2 Greene Tweed, SC513, 4.109 X .139, Chemraz, O-ring | ||
14099 | Greene Tweed Chemraz | SC513 P-65 | Greene Tweed GT M057006460SC513 O-Ring SC513 P-65, Chemraz | ||
14100 | Greene Tweed Chemraz | SO04557 | Chemraz P5358 Chamber Outside O-Ring SO04557 | ||
14101 | Greene Tweed Chemraz | Chemraz O-ring 2.1 ID X .210 CX | |||
14102 | Greene Tweed Chemraz | Chemraz O-ring 1.34 I.D X .133 CX | |||
14103 | Greene Tweed Chemraz | Chemraz O-ring 2.165 ID X .197 CX | |||
14104 | Greene Tweed Chemraz | 3 Chemraz O-ring 1.359 x 0.139 | |||
14105 | Griswold | 4902H | Griswold 4902H Flow Controller | ||
14106 | Griswold Controls | 304 | Griswold Controls Flow Controller, 304, 150, 3/4″ x 1/2″ | ||
14107 | Griswold Controls | 4902H | Griswold Controls 4902H Flow Controller, Water, 12 GPM, 1300 PSI | ||
14108 | Grundfos | CRN5-8 | Baldor Reliancer | 84Z04053 | Grundfos CRN5-8 Pump End w/ Baldor 84Z04053 Super-E Motor, ANSI / NSF61 |
14109 | GSI Lumonics | 6050066 | GSI Lumonics Assy 6050066, CW50 Laser Controller, PCB, ID | ||
14110 | GSI Lumonics | 6050066 | GSI Lumonics Assy 6050066, CW50 Laser Controller, PCB, ID | ||
14111 | GSI Lumonics | 6050066 | GSI Lumonics Assy 6050066, CW50 Laser Controller, PCB, ID | ||
14112 | GTK | Glentek | SMA8315-1a | GTK Glentek Motion Controller, SMA8315-1a-1, Motion Control Solutions | |
14113 | GWI Engineering | LMF 2000 | GWI Engineering LMF 2000 Web Laser Marking System, Miyachi Uniteck, Fumex | ||
14114 | Hager | CE 440B | Hager CE 440B Circuit Breaker | ||
14115 | Hager | L 116 | 3 Hager L 116 Circuit Breaker, L116 | ||
14116 | Hager | MU 216A | Hager MU 216A Circuit Breaker | ||
14117 | Hager | MU 332A | Hager MU 332A Circuit Breaker | ||
14118 | Hakko | 191 | 191B | Solder Tip Thermometer Hakko 191, Thermocouple; Type K, 191B | |
14119 | Hakko Electronics Co, LTD | V606eM10 | Hakko Electronics Co, LTD, V606eM10, FX2N 64DNET, FX2N-2LC, FX2N-4AD | ||
14120 | HAMA Laboratories | DD-50 | HAMA LABORATORIES DD-50 LASER SENSOR WATER MAPPING | ||
14121 | Hamamatsu | 2976 | Hamamatsu 2976 Compact Fiber Light Source Replacement Lamp, L10296 | ||
14122 | Hamamatsu | C4251 | Technical Instruments Company | 292-0059 | Hamamatsu C4251 Starter Unit For Continuous Mode Xenon Lamp, 292-0059 |
14123 | Hamamatsu | C5510 | Hamamatsu C5510 Argus Image Processor, | ||
14124 | Hamamatsu | L2D2 | Hamamatsu L2D2 Deuterium Lamp, Type L729 | ||
14125 | Hamamatsu | L5662 | HAMAMATSU UV SPOT LIGHT SOURCE L5662 | ||
14126 | Hamamatsu | L6722 | NEW Hamamatsu Mercury Xenon lamp L6722 | ||
14127 | Hamamatsu | L7212-01 | Working Hamamatsu UV lamp source Lightning Cure 200 L7212-01 w new L6722 lamp | ||
14128 | Hamamatsu Photonics K.K. | C2741 | Hamamatsu C2741 IR Vidicon Camera Head | ||
14129 | Hamamtsu | L10804 | Hamamatsu L10804 Deuterium Lamp | ||
14130 | Hamilton | 81520 | Hamilton 81520 SyringeL, 5.0 mL rev E | ||
14131 | Hamilton | 81620 | Hamilton 81620 SyringeLL rev E | ||
14132 | Hamilton | 7858-04-GPI | Hamilton 7858-04-GPI Motorized Syringe Pump09D-0451D-07 | ||
14133 | Hamilton | 7858-04-GPI | Hamilton 7858-04-GPI Motorized Syringe Pump09D-0451D-07 | ||
14134 | Hamilton | 7858-04-GPI | Hamilton 7858-04-GPI Motorized Syringe Pump09D-0451D-07 | ||
14135 | HAM-LET | 2LD8Q-57358 | Ham-let 2LD8Q-57358 Shut Off Valve, 1/2″ VCR | ||
14136 | HAM-LET | 2LD8Q-57358 | Ham-let 2LD8Q-57358 Shut Off Valve, 1/2″ VCR | ||
14137 | HAM-LET | 2LDS8Q-57358 | Ham-Let 2LDS8Q-57358 Manual Valve | ||
14138 | HAM-LET | 7108L SS Tube | 2 Ham-Let SS Tube Caps, 3/8″, 7108L, SS 3/8 | ||
14139 | HAM-LET | 7108L SS Tube | 3 Ham-Let SS Tube Caps, 3/8″, 7108L, SS 3/8 | ||
14140 | HAM-LET | EV80-V-EP | 2 Ham-Let EV80-V-EP Ultra Clean Diaphragm Valves | ||
14141 | HAM-LET | EV80-V-EP | 4 Ham-Let UCV EV80-V-EP Diaphragm Valve | ||
14142 | HAM-LET | EV80-V-EP | 2 Ham-Let UCV EV80-V-EP Diaphragm Valve | ||
14143 | HAM-LET | EV8C-FV-L83-EP | Ham-let EV8C-FV-L83-EP Pneumatic Diaphragm Valve, UCV ECO, 1/2-1MPA EP | ||
14144 | HAM-LET | EV8C-FV-L83-EP | 2 Ham-Let EV8C-FV-L83-EP Diaphragm Valve, UCV Eco Series | ||
14145 | HAM-LET | EV8C-FV-L83-EP | Ham-Let UCV EV8C-FV-L83-EP Diaphragm Valve | ||
14146 | HAM-LET | EV8C-FV-L83-EP | HAM-LET EV8C-FV-L83-EP Diaphragm Valve w/ NASclean N-400 PTFE Gas Filter | ||
14147 | HAM-LET | EV8C-FV-L83-EP | Ham-Let UCV EV8C-FV-L83-EP Isolation Valve, Filter, Tee Connection 1/2 inch VCR | ||
14148 | HAM-LET | EV8C-V-EP | Ham-Let EV8C-V-EP Diaphragm Valve | ||
14149 | HAM-LET | FS3-E HTC316L | 6 Ham-let FS3-E HTC316L VCR Face Seal Fitting, Plug | ||
14150 | HAM-LET | H-700-99-L-1″ | Superlok | 316 | Ham-Let H-700-99-L-1″ 701519 AM2 Superlok 316 1/2 Water Manifold w Valve + Gauge |
14151 | HAM-LET | H-700-SS-L-1″-T-LD | Griswold Controls | Ham-Let H-700-SS-L-1″-T-LD Manual Lever Valve Assembly, Griswold Controls | |
14152 | HAM-LET | H6800SSL1/4PSS | Ham-Let H6800SSL1/4PSS, Ball Valve | ||
14153 | HAM-LET | H6800SSL1/4PSS | Ham-Let H6800SSL1/4PSS, Ball Valve | ||
14154 | HAM-LET | HM20 4VKLC GF4 150 PSI | Ham-let HM20 4VKLC GF4 150 PSI Shut Off Valve | ||
14155 | HAM-LET | HM20 4VKLC GF4 150 PSI | Ham-let HM20 4VKLC GF4 150 PSI Shut Off Valve | ||
14156 | HAM-LET | HM20 4VKLC GF4 150 PSI | Ham-let HM20 4VKLC GF4 150 PSI Shut Off Valve | ||
14157 | HAM-LET | HM20 4VKLC GF4 150 PSI | Ham-let HM20 4VKLC GF4 150 PSI Shut Off Valve | ||
14158 | HAM-LET | HM20 4VKLC GF4 150 PSI | Ham-let HM20 4VKLC GF4 150 PSI Shut Off Valve | ||
14159 | HAM-LET | HM20 4VKLO GF4 150 PSI | Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve | ||
14160 | HAM-LET | HM20 4VKLO GF4 150 PSI | Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve | ||
14161 | HAM-LET | HM20 4VKLO GF4 150 PSI | Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve | ||
14162 | HAM-LET | HM20 4VKLO GF4 150 PSI | Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve | ||
14163 | HAM-LET | HM20 4VKLO GF4 150 PSI | Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve | ||
14164 | HAM-LET | HM20 4VKLO GF4 150 PSI | Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve | ||
14165 | HAM-LET | HM20-4VKLC-GF4 | 2 NEW HAM-LET HM20-4VKLC-GF4 UCV HM SERIES DIAPHRAGM VALVE | ||
14166 | HAM-LET | HM20-4VKLC-GF4 | Ham-Let HM20-4VKLC-GF4 Diaphragm Valve | ||
14167 | HAM-LET | HMB1-4VKLC-GM4GF4GF4-2.35 | Ham-Let UCV Series HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, 2709156 | ||
14168 | HAM-LET | HMB1-4VKLC-GM4GF4GF4-235 | Ham-let HMB1-4VKLC-GM4GF4GF4-235 Diaphragm Valve, N/C, 60-90 PSI | ||
14169 | HAM-LET | HMB1-4VKLC-GM4GF4GF4-235 | Ham-let HMB1-4VKLC-GM4GF4GF4-235 Diaphragm Valve, N/C, 60-90 PSI | ||
14170 | HAM-LET | HMB1-4VKLC-GM4GF4GF4-235 | Ham-let HMB1-4VKLC-GM4GF4GF4-235 Diaphragm Valve, N/C, 60-90 PSI | ||
14171 | HAM-LET | HMB1-4VKLC-GM4GF4GF4-235 | Ham-let HMB1-4VKLC-GM4GF4GF4-235 Diaphragm Valve, N/C, 60-90 PSI | ||
14172 | HAM-LET | HMB1-4VKLC-GM4GF4GF4-235 | Ham-let HMB1-4VKLC-GM4GF4GF4-235 Diaphragm Valve, N/C, 60-90 PSI | ||
14173 | HAM-LET | HMB1-4VKLC-GM4GF4GF4-235 | Ham-let HMB1-4VKLC-GM4GF4GF4-235 Diaphragm Valve, N/C, 60-90 PSI | ||
14174 | HAM-LET | HMB1-4VKLC-GM4GF4GF4-235 | Ham-let HMB1-4VKLC-GM4GF4GF4-235 Diaphragm Valve, N/C, 60-90 PSI | ||
14175 | HAM-LET | UCV 2LDS8Q-57358 | 2 Ham-LET UCV 2LDS8Q-57358 Shutoff Valve, 1/2 inch VCR Conn | ||
14176 | HAM-LET | UCV HM20 4VKLC GF4 | Ham-LET UCV HM20 4VKLC GF4 150 PSI Shut off Valve | ||
14177 | HAM-LET | UCV HM20 4VKLQ GF4 ISLT | 3 HAM-Let UCV HM20 4VKLQ GF4 ISLT, 1/4 inch VCR connection | ||
14178 | HAM-LET | UCV HM20 4VKLQ GF4 ISLT | Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4″ VCR, 316L, 300 PSI | ||
14179 | HAM-LET | UCV HM20 4VKLQ GF4 ISLT | Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4″ VCR, 316L, 300 PSI | ||
14180 | HAM-LET | UCV HM20 4VKLQ GF4 ISLT | Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4″ VCR, 316L, 300 PSI | ||
14181 | HAM-LET | VD4-E HTC316L | 9 Ham-let VD4-E HTC316L VCR Face Seal Fitting, Plug | ||
14182 | Ham-Let | H6800SSL3/8ICSS | Ham-Let H6800SSL3/8ICSS Ball Valve, 3/8″, 6000 PSIG, RS1323 | ||
14183 | Ham-Let | H6800SSL1/4CSS | Ham-Let H6800SSL1/4CSS Ball Shutoff Valve, Isolation, P6000 PSI,R | ||
14184 | Ham-Let | H6800SSL3/8IPSS | Ham-Let H6800SSL3/8IPSS 3/8″ Tube 316SS Ball Valve, 3000 PSIG | ||
14185 | Hamlin | 7739001 | 07739001 hamlin PCB board | ||
14186 | Hammond | 7500-4092-01 | Hammond 7500-4092-01 Cable Mount Ring 1U | ||
14187 | Hammond Manufacturing | 166L20 | JS1163 | 2 Hammond Man. 166L20, JS1163, 115V80Hz, Rectifier,Low-Voltage | |
14188 | Hammond Manufacturing | RMZ095L | Hammond Manufacturing, RMZ095L Enclosure, Box, Abs, 9.84″ x 7.09 x 3.94″ | ||
14189 | Harmonic Drive Systems | 50090060 | 050090060 Harmonic Drive Component Set, Motor, HDE-20-60-2AG | ||
14190 | Harris Calorific Co. | 425-50 | Harris Pressure Regulator, 60SI8000 kPa | ||
14191 | Harris Products Group | 425-200 | Harris0 Pressure Regulator000 PSI, 2800, 28000 kPa | ||
14192 | Harris Products Group | 425-50 | Harris Pressure Regulator, 60SI8000 kPa | ||
14193 | Harris Products Group | 425-125 | Harris5 Pressure Regulator, 200SI, 1400, 28000 kPa | ||
14194 | Harris Products Group | 25-100C | Harris 25-100C Pressure Regulator, 150SI28000 kPa, 650L | ||
14195 | Harris Products Group | 25-100C | Harris 25-100C Pressure Regulator, 150SI28000 kPa, 650L | ||
14196 | Harris Products Group | 425-125 | Harris5 Pressure Regulator, 200SI, 1400, 28000 kPa | ||
14197 | Harris Products Group | 425-200 | Harris0 Pressure Regulator000 PSI, 2800, 28000 kPa | ||
14198 | Harris Specialty Gas | NA | Harris Specialty Gas Brass Isolation Valve,SI Max | ||
14199 | Harting | HAN 10B-GG-R-21 | Lot of 15 New Power connector housing | ||
14200 | Harting | HAN 10B-GG-R-21 | New Power connector housing | ||
14201 | Harting | HAN 10B-GG-R-21 | Lot of 10 New Power connector housing | ||
14202 | Haskel | DSF-60 | Haskel DSF-60 Air Driven Fluid Pump, 60:1, 150 PSI | ||
14203 | Haskris | R050 | Haskris R050 Recirculating Chiller, R050-BH, 115V-10-60Hz | ||
14204 | Haskris | Haskris Chiller | |||
14205 | Hastings | AFC-303 | Hastings teledyne MFC, AFC-303, Propane 34 SLPM, 175 PSIG up stream | ||
14206 | Hatings | BG-6 | teledyne Hastings BG-6, Vacuum gauge controller | ||
14207 | Haws | 50A-W5-40WH | Haws-40WH SIgnal Beacon with Audio Alarm | ||
14208 | Hayward | SV10100STV | Asahi AV | Hayward SV10100STV True Union Solenoid Valve, PVC/CPVC, 120VAC,z, 19W | |
14209 | Hayward | Hayward PVC 1.5″ Full-FLO True Union Ball Valve Socket Connection, 3-Way | |||
14210 | Hayward | Hayward PVC 1.5″ Full-FLO True Union Ball Valve Socket Connection, 3-Way | |||
14211 | Hayward | SOC/THD | Hayward Ball Valve Socket Connection Type 21a 2″ SOC/THD ANSI C-PVC FKM | ||
14212 | Hayward | 2 Hayward PVC/CPVC True Union Solenoid Valve | |||
14213 | Hayward | TB1100STE | Hayward TB1100STE True Union Ball Balve, 1″ PVC SOC/THD EPDM, NSF/ANSI61 | ||
14214 | HBC | 558 | 61-338317-00 | Lot of 7, new Heater controller assy, with 2 opto 22 480D-12-NOV120 solid state relays mounted on heat sinks | |
14215 | HBC | 824-3 | 61-354184-03 | Lot of 7 new Heater controller assy, with 2 opto 22 480D-12-NOV120 solid state relays mounted on heat sinks | |
14216 | HBC | HBC-558 | 12 new HBC-558 solid state relay opto 22 480D45-12-NOV120 novellus 61-338317-00 | ||
14217 | HBC controls | HBC-824-3 | 3 new HBC controls HBC-824-3, heater solid state relays on heat sink | ||
14218 | HEATEFLEX | 725762 | HEATEFLEX 725762 SP1996-5458 HEATER TANK INTEGRATED CIRCUIT DEVELOPMENT | ||
14219 | Heater | A31-49272 | A31-49272, Circle Heater, 2KW | ||
14220 | Heater | SP-GF32 | 2 SP-GF32, Transfer Paddle, Cover, SC11048 | ||
14221 | HEDLAND | 705014 | HEDLAND 705014 FLOWMETER 0-14 GALLONS PER MINUTE | ||
14222 | Hedland | 705014 | Hedland 705014 Liquid Flow Meter, | ||
14223 | HEDLAND | 705014 | HEDLAND 705014 FLOWMETER 0-14 GALLONS PER MINUTE4 | ||
14224 | Hedland | H213A | HEDLAND H213A-010 FLOW METER, 0- 1.0 GPM, AMAT Flow Meter | ||
14225 | Heidenhain | MT12B | Heidenhain MT12B Incremental Length Gauge | ||
14226 | Heidenhain | MT12B | Heidenhain MT12B Incremental Length Gauge | ||
14227 | Heidenhain | VRZ 720 B | Heidenhain VRZ 720 B XY Axis Controller | ||
14228 | Heidenhain | EXE 610 C | 2 Heidenhain EXE 610 C Interpolation Digitizing Box | ||
14229 | Heinemann | AM1-A8-A | 4 Heinemann AM1-A8-A Circuit Breaker 1 Pole, 12.5 Amps | ||
14230 | Heinemann | AM2-A8-A | Heinemann AM2-A8-A Circuit Breaker, Gasonics 73185-53, 15A,z, 250V | ||
14231 | Heinemann | AM3A8AB0025-10G | 2 Heinemann AM3A8AB0025-10G Breaker-Curcuit 25A 480V | ||
14232 | HEKEDA | TY 250 | HEKEDA TY 250 Glass Cleaner | ||
14233 | Helical | 3005M-10M-10MM | Helical 3005M-10M-10MM Miki Pulley Coupling 10mm, 3609-10mm-10mm | ||
14234 | Helical | 507704-00 | Helical Flexible Shaft Coupling-00 110-24 | ||
14235 | Helical | HCR 100-8-5mm | Helical HCR 100-8-5mm couplings | ||
14236 | Helical | 3005M-10MM-10MM | 3609-10MM-10MM | Helical 3005M-10MM-10MM Miki Pulley Coupling, 3609-10MM-10MM | |
14237 | Helix | CTI-Cryogenics | Helix CTI-Crygenics, Weldment VCR Connection, KF-25, Vacuum | ||
14238 | Hengfu | HF150W-SF-7.5 | Hengfu HF150W-SF-7.5 Power Supply0VAC, 3.2A, 200/240VAC, 1.6A | ||
14239 | Hengfu | HF150W-SF-7.5 | Hengfu HF150W-SF-7.5 Power Supply0VAC, 3.2A, 200/240VAC, 1.6A | ||
14240 | Hengfu | HF150W-SF-7.5 | Hengfu HF150W-SF-7.5 Power Supply0VAC, 3.2A, 200/240VAC, 1.6A | ||
14241 | Hengfu | HF150W-SF-7.5 | Hengfu HF150W-SF-7.5 Power Supply0VAC, 3.2A, 200/240VAC, 1.6A | ||
14242 | Henry Electronics | 2000D | 2 Henry Electronics 2000 D Sampling Box, Mounted, ID | ||
14243 | Henry Electronics | 9600-0200 | Henry Electronics 9600-0200, Linear Amplifier | ||
14244 | Hermos | TLG-I1-AMAT-R1 | ASC-I1 | Hermos TLG-I1-AMAT-R1 Transponder Reader ASC-I1 | |
14245 | Hersteller | Unknown | 2 Heated solvent tanks Hersteller Thielmann container systems | ||
14246 | Hewlett Packard | 3488A | HP 3488A Switch/Control Unit, 4476A Microwave Switch, 44470A Relay Multiplexer | ||
14247 | Hewlett Packard | 9876A | HP 9876A Fan-Fold Thermal Printer Plotter, 5×7 Dot Cell Matrix 480LPM | ||
14248 | Hewlett Packard | 5517C | Hewlett Packard HP 5517C Laser Head, M8102 | ||
14249 | Hewlett Packard HP | 8140 | HP 8140A Loss Test Set | ||
14250 | Hewlett Packard HP | 05372-60006 | HP DESC BOARD 05372-60006 | ||
14251 | Hewlett Packard HP | 08350-60053 | Hewlett Packard HP 08350-60053, Sweep Oscillator Board, PCB. | ||
14252 | Hewlett Packard HP | 10432A | Hewlett Packard, HP 10:1 Miniature Passive Probe | ||
14253 | Hewlett Packard HP | 10701A | Hewlett Packard 10701Aam Splitter | ||
14254 | Hewlett Packard HP | 15464-69601 | LOT OF 8 NEW Hewlett Packard TTL POD 15464-69601 PRICE IS FOR 8 | ||
14255 | Hewlett Packard HP | 16072-26561 | HP Impedence Analyzer 16072-26561, A-3030, 16072A, OPT.001 | ||
14256 | Hewlett Packard HP | 268496-002 | HP 268496-002 ProLiant NC7771 PCI-X Gigabit server Adapter | ||
14257 | Hewlett Packard HP | 317453-001 | HP 317453-001 317459-001 2 Port Network Interface Card | ||
14258 | Hewlett Packard HP | 37203A | Hewellet Packard 37203A HP-IB Extender | ||
14259 | Hewlett Packard HP | 3852A | Hewlett Packard 3852A, HP DAQ with 5 44708A 20 Channel relay multiplex modules | ||
14260 | Hewlett Packard HP | 412799-001 | HP-001 012891-001 Smart Array 8 channel E200/64 SAS RAID CONTROLLER and-000 | ||
14261 | Hewlett Packard HP | 41420-61601 | Hewlett Packard61601 Quadrax Cable (3m) | ||
14262 | Hewlett Packard HP | 454319-001 | HP-Compaq-001 Multimedia Graphics Card 256MB | ||
14263 | Hewlett Packard HP | 54504A | HEWLETT PACKARD 54504A DIGITIZING OSCILLOSCOPE | ||
14264 | Hewlett Packard HP | 54720A | HEWLETT PACKARD HP 54720A oscilloscope mainframe with no modules | ||
14265 | Hewlett Packard HP | 6115A | Precision power supply 0-50V @ 8A,V @ 4A | ||
14266 | Hewlett Packard HP | 6227B | Hewlett Packard HP 6227B Dual DC Power Supply, 0-25V, 0-2A | ||
14267 | Hewlett Packard HP | 6440600-50 | Hewlett Packard HP 6440600-50, IM/NSR Library Card Cage Assy, ESL-E. | ||
14268 | Hewlett Packard HP | 6651A | HP Hewlett Packard 6651A System DC power Supply system 0-8V, 0-50A | ||
14269 | Hewlett Packard HP | 6651A | HP Hewlett Packard 6651A System DC power Supply system 0-8V, 0-50A | ||
14270 | Hewlett Packard HP | 6651A | HP Hewlett Packard 6651A System DC power Supply system, 220V | ||
14271 | Hewlett Packard HP | 8712B | HP 8712B RF Network Analyzer | ||
14272 | Hewlett Packard HP | 98624-66501 | Hewlett Packard HP 98624-66501 PCB, HP-IB, 98624A | ||
14273 | Hewlett Packard HP | A3495-60001 | HP A3495-60001, HP-PB 10/100Base-TX, HP Hewlett Packard, H04525-007, REV C02 | ||
14274 | Hewlett Packard HP | A6685-6200 | A6685A | HP A6685A HP-HSC Fibre channel, 1 Gbps, A6685-6200 Assembly PCB board | |
14275 | Hewlett Packard HP | AD90367 | HP AD90367, Quantum Controller Server Module, 5 Crossroads Systems, PCB. | ||
14276 | Hewlett Packard HP | D135 | HP Officejet D135 All-In-One Multi-function Printer | ||
14277 | Hewlett Packard HP | FCLSD-0501 | L1940A | HP Scanjet 7650, Regulatory Model FCLSD-0501, Product L1940A | |
14278 | Hewlett Packard HP | 10433A | Hewlett Packard HP 10433A 10:1 Probe Headm100393 | ||
14279 | Hewlett Packard HP | HEDS-6300 | Applied Materials AMAT | 0010-00071 | Hewlett Packard HP HEDS-6300 Encoder Stepper Motor Assy, AMAT 0010-00071 |
14280 | Hewlett Packard HP | 28696-60001 | 401102HNBR | Hewlett Packard HP 28696-60001 Board, PCBHNBR | |
14281 | Hewlett Packard HP | SG4-6212-08 | Hewlett Packard HP SG4-6212 Main S2 Board, PCB | ||
14282 | Hewlett Packard HP | 10833C | HP Agilent 10833C GPIB Male/Female Interface Cable, 4M, 4 Meter | ||
14283 | Hewlett Packard HP | 10833C | HP Agilent 10833C GPIB Male/Female Interface Cable, 4M, 4 Meter | ||
14284 | Hewlett-Packard | 0950-3807 | Hewlett-Packard HP 0950-3807 AC/DC Adapter | ||
14285 | HGS | HGS – 101 | HGS9911-0100F-M | Temp Control and EMO Box, HGS – 101, HGS9911-0100F-M | |
14286 | High Vacuum Apparatus | 125-0250 | High Vacuum Apparatus 125-0250 Gate Valve, HVA | ||
14287 | High Vacuum Pparatus MFG | 101-6000MSB | High Vacuum Pparatus MFG, HVA 101-6000MSB, Gate Valve. | ||
14288 | High Yield Technology | 233-3019-30 | High Yield Technology 233-3019-30, On-Board Controller, PM-200 HYT PM200. | ||
14289 | High Yield Technology | 233-3019-30 | High Yield Technology 233-3019-30, On-Board Controller, PM-200 HYT PM200. | ||
14290 | Hine Design | 778-11 | HINE DESIGN BOARD ASSY 778-11 | ||
14291 | Hine Design | 778-11 | HINE DESIGN BOARD ASSY 778-11, FAB 777, REV H | ||
14292 | Hine design | 94-1118 | Hine Design Process Chamber Elevator P/N 94-1118 | ||
14293 | Hine Design | 00108-001 | Hine Design 00108-001 PCB, PWB 000-252, ABX-82965-32-00 | ||
14294 | Hine Design | 0070 | LAM | Hine Design 0070 Indexer Dual Sensor PCB, 000-061, LAM | |
14295 | Hine Design | 810-2140-005 | Hine Design 810-2140-005 Control Lift Board, PCB | ||
14296 | Hine Design | 00077-006 | Lam Research LAM | Hine Design 00077-006 Indexer Dual Sensor PCB, 000-061, LAM | |
14297 | Hirschmann | Stak 3 | Hirschmann Stake 3 Rectangular Receptacle Cable, Connector | ||
14298 | Hitachi | 2-816006-A | Hitachi 2-816006-A Heater, M-308ATE | ||
14299 | Hitachi | 2-816006-B | Hitachi 2-816006-B Heater, Sensor, Thermocouple, M-308ATE | ||
14300 | Hitachi | 2SK221 | Hitachi 2SK221 , Silicon N V-MOSFET, E501-42, Transistor. | ||
14301 | Hitachi | 3-819580-A | Hitachi 3-819580-A Magnetron, M-308ATE | ||
14302 | Hitachi | 3-819580-A | Hitachi 3-819580-A Magnetron, M-308ATE | ||
14303 | Hitachi | 3-823146-L | Hitachi 3-823146-L O-Ring V175, M308ATE | ||
14304 | Hitachi | 3-829223-H | Hitachi 3-829223-H O-Ring AS568-456, M308ATE | ||
14305 | Hitachi | 3-830027-A | 2 New Hitachi 3-830027-A, Asher Reflector- Legacy | ||
14306 | Hitachi | 3-837424-D | Hitachi 3-837424-D O-Ring AS568-382, M308ATE | ||
14307 | Hitachi | 4-817835-A | Hitachi 4-817835-A Reflector, M-308ATE | ||
14308 | Hitachi | 545-1114 | 2 Hitachi 545-1114 Aperture Plate, Objective Lens | ||
14309 | Hitachi | 568-5530 | Hitachi 568-5530 COL-DCPS Board for Hitachi CD SEM | ||
14310 | Hitachi | 830-1583 | Hitachi 830-1583 Aperture Plate 0.4-0.4-0.3-0.3mm | ||
14311 | Hitachi | 830-1583 | Hitachi 830-1583 Aperture Plate 0.4-0.4-0.3-0.3mm | ||
14312 | Hitachi | DK221A-34 | A3K-210A232 | A3K-210A232 PCB, FD Control HDD Board, Hitachi DK221A-34, Hard Disk Drive. | |
14313 | Hitachi | DK221A-34 | A3K-210A232 | A3K-210A232 PCB, FD Control HDD Board, Hitachi DK221A-34, Hard Disk Drive. | |
14314 | Hitachi | ET-30E 2P | Hitachi ET-30E 2P, Earth-Leakage Breaker0/200-200 V, 15A. | ||
14315 | Hitachi | HM62256BLP-7 | HM62256BLP-7 HM62256 62256 256KBIT CMOS SRAM – | ||
14316 | Hitachi | J017006 | 4 Hitachi J017006 O-Ring P60, M-308ATE | ||
14317 | Hitachi | J017818 | 2 Hitachi J017818 O-Ring G200, M308ATE | ||
14318 | Hitachi | J024225 | Hitachi J024225 O-Ring V1055, M308ATE | ||
14319 | Hitachi | J032484 | 2 Hitachi J032484 O-Ring P85, M308ATE | ||
14320 | Hitachi | J032572 | 4 Hitachi J032572 O-Ring V225, M308ATE | ||
14321 | Hitachi | KP-113 | HITACHI KP-113, ALL SOLID STATE CAMERA | ||
14322 | Hitachi | KP-113U | NEW HITACHI DENSHI KP-113U CAMERA ALL SOLID STATE, AC117V 60Hz, 5.5W | ||
14323 | Hitachi | M-308ATE | Hitachi M-308ATE Metal Etch System w/ Power Rack, Delatech Scrubber Gas Cabinet | ||
14324 | Hitachi | MES-30C | Hitachi MES-30C Circuit Breaker | ||
14325 | Hitachi | W-SS50 | Hitachi W-SS50 Color Video Printer, Video Printer Kit | ||
14326 | Hitachi | HDS25050KLA360 | 0A32993 | Hitachi Deckstar HDS725050KLA360 Hard Drive, 0A32993, 7200 RPM | |
14327 | HiTech | E89-1209 | 4 HiTech Welding E89-1209 Metal Hose, HP, 3/4″ Inch | ||
14328 | Hivertec | PPD2A | Hivertec PPD2A PMC Board, PCB, PPD2A-2X. | ||
14329 | HNL | 0190-01132 | HNL 0190-01132 Weldment, Alum Flange, AMAT | ||
14330 | Hoffman | HDP300 | Novellus | 19-260538-00 | Hoffman 84″ Generator rack for HDP300, modified |
14331 | Hoffman | DAH2001A | 55303-1745 | Hoffman DAH2001A Electric Enclosure Heater, 55303-1745 | |
14332 | Hoke | 73870045 | Hoke 73870045 ValveIG, 316 SST/ EPDM | ||
14333 | Hoke | 73870045 | Hoke 73870045 ValveIG, 316 SST/EPDM | ||
14334 | Hoke | 7387004R | Hoke 7387004R, Valve 100 PSIG, 316 SST/ EPDM. | ||
14335 | Hoke | 7387004R | Hoke 7387004R Valve 100 PSIG, 316 SST / EPDM | ||
14336 | Hoke | 7387004R | Hoke 7387004R Valve,100 PSIG, 316 SST/EPDM | ||
14337 | Hoke | 7387004R | Hoke 7387004R Valve,100 PSIG, 316 SST/EPDM | ||
14338 | Hokuyo | BRC-G2BR | Hokuyo Automation BRC-G2BR Sensor module | ||
14339 | Hokuyo | DMS-HB1-Z1 | Hokuyo DMS-HB1-Z1 OMRON XM2S-25 | ||
14340 | Hokuyo Automatic Co | PB9-10 | Hokuyo Automatic Co PB9-10 Obstruction Sensor Assy, RPB9007, DC24V | ||
14341 | Honda | 12341-PR4-A00 | Honda 12341-PR4-A00 Gasket | ||
14342 | Honda | 38920-PR4-A01 | 3 Honda V-Belt 38920-PR4-A01 | ||
14343 | Honeywell | 9306479 | Honeywell 9306479 Model SA Pressure Transducer, Gasonics 93-2696 | ||
14344 | Honeywell | 702N11A2BN | Honeywell 702N11A2BN Skinner Valve, 24VDC | ||
14345 | Honeywell | 718N4 | Honeywell 718N4 5/8″ skinner valve, looks new | ||
14346 | Honeywell | 7321KBN3SN00A0J322C2 | Skinner valve | ||
14347 | Honeywell | 75332BN52NOD | skinner valve | ||
14348 | Honeywell | BZ-2RQ-A2 | HONEYWELL BZ-2RQ-A2 MICRO SWITCH | ||
14349 | Honeywell | DCP711 | Honeywell DCP711 Digital Control Programmer, DCP700, 8KTF15000HO | ||
14350 | Honeywell | FE-LS1C | HONEYWELL MICRO SWITCH FE-LS1C SR5000528 8719 | ||
14351 | Honeywell | LD2P4LVV100M | Lot of 2 Honeywell LD2P4LVV100M, Ai6BiP3l, Display Transducer | ||
14352 | Honeywell | MS16106-1 | Honeywell MS16106-1 Basic Switch, 2AC59 | ||
14353 | Honeywell | TSQUTIDBX-05377 | 4111260X14 | Honeywell target TSQUTIDBX-05377, .500X4.460X13.050″ ,0X14 | |
14354 | Honeywell | TSQUTIDBX-05377 | 4116933X05 | Honeywell target TSQUTIDBX-05377, .500X4.460X13.050″ ,3X05 | |
14355 | Honeywell | TSQUTIDBX-05377 | 4116933X06 | Honeywell target TSQUTIDBX-05377, .500X4.460X13.050″ ,3X06 | |
14356 | Honeywell | UDC3300 | Honeywell UDC3300 Temperature Controller | ||
14357 | Honeywell | 702N11A2BN | Honeywell 702N11A2BN Skinner Valve, 702N11A2B, 24VDC, 22W | ||
14358 | Honeywell | V3L-139-D8 | Honeywell V3L-139-D8 Switch, Micro Switch | ||
14359 | Honle UV Technology | EVG EPS SOSI HQI | Honle EVG EPS SOSI HQI Lamp Power Supply, 2000W, 16A, RS1162 | ||
14360 | Horiba STEC | 331074 | Horiba Stec 331074 Flow Sensor, 960525, 8905290306 | ||
14361 | Horiba STEC | 331074 | Horiba Stec 331074 Flow Sensor, 8517930105 | ||
14362 | Horiba STEC | 2811809 | STEC 2811809 Type CA-H Cable Assembly | ||
14363 | Horiba STEC | 2805801005 | STEC 2805801005, Type CA-H, Cable Assembly. | ||
14364 | Horiba STEC | 507B | Avaya Communication07 B Sneak Current Protector | ||
14365 | Horiba STEC | LF-310A-EVD | Stec LF-310A-EVD Liquid Mass Flow Controller TEB 0.25g/min | ||
14366 | Horiba STEC | LF-310A-EVD | Stec LF-310A-EVD Liquid Mass Flow Controller TEB 0.25g/min | ||
14367 | Horiba STEC | LF-310A-EVD | Stec LF-310A-EVD Liquid Mass Flow Controller TEPO 0.2g/min, S4708 | ||
14368 | Horiba STEC | LF-310A-EVD | Stec LF-310A-EVD Liquid Mass Flow Controller TEPO 0.2g/min, S4708 | ||
14369 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, Mass Flow Control | ||
14370 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, Mass Flow Control | ||
14371 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, Mass Flow Controller, 11126 | ||
14372 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, Mass Flow Controller, 11126 | ||
14373 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, Unknown gas | ||
14374 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, Unknown gas | ||
14375 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEB, 3.0 g/min, 9711182, mass flow control | ||
14376 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEB, 3.0 g/min, 9711182, mass flow control | ||
14377 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEOSin, Mass Flow Control | ||
14378 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEOSin, Mass Flow Control | ||
14379 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, 604723, Missing valve | ||
14380 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min, 604723, Missing valve | ||
14381 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEO, 3.0 g/min, Mass Flow Controller, 210144 | ||
14382 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEO, 3.0 g/min, Mass Flow Controller, 210144 | ||
14383 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-510 Liquid MFC, H2O, 20 CCM, Mass Flow Controller, X199303 | ||
14384 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-510 Liquid MFC, H2O, 20 CCM, Mass Flow Controller, X199303 | ||
14385 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min1, mass flow control | ||
14386 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 3.0 g/min1, mass flow control | ||
14387 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEB, 3.0g/min | ||
14388 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEB, 3.0g/min | ||
14389 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEPO, 1.5g/min, Mass Flow Controller, 110828 | ||
14390 | Horiba STEC | LF-510A | Horiba Stec LF-510A Liquid MFC, TEOSin, Mass Flow Controller, 2385 | ||
14391 | Horiba STEC | LF-510A | Horiba Stec LF-510A Liquid MFC, TEOSin, Mass Flow Controller, 2385 | ||
14392 | Horiba STEC | SEC-4400 | AMAT | 3030-06956 | Horiba Stec SEC-4400 MFC, SEC-4400M, SiH4, 30 SCCM, AMAT 3030-06956, S2814032 |
14393 | Horiba STEC | SEC-4400 | Horiba Stec SEC-4400 MFC, SiH4, 30 SCCM, S282305545 | ||
14394 | Horiba STEC | 2410AV | 2101625-10 | STEC IV-2410AV, 2101625-10, liquid, Injection Valve. | |
14395 | Horiba STEC | 2410AV | 2101625-10 | STEC IV-2410AV, 2101625-10, liquid, Injection Valve. | |
14396 | Horiba STEC | 3870-02238 | STEC AMAT 3870-02238 INJECTION VALVE IV2410AV 1069260821 | ||
14397 | Horiba STEC | 3870-02238 | STEC AMAT 3870-02238 INJECTION VALVE IV2410AV 1069260821 | ||
14398 | Horiba STEC | 3870-02238 | METAL SEAT UCV SUP 0.39~0.58MPa 4~6K INJECTION VALVE IV-2410AV 1082080522 | ||
14399 | Horiba STEC | 3870-02238 | METAL SEAT UCV SUP 0.39~0.58MPa 4~6K INJECTION VALVE IV-2410AV 1082080522 | ||
14400 | Horiba STEC | CM-100 | HORIBA CM-100 Digital Control Unit, Surplus | ||
14401 | Horiba STEC | IV-2410AV | Horiba STEC IV-2410AV Injection Valve | ||
14402 | Horiba STEC | IV-2410AV | Horiba STEC IV-2410AV Injection Valve, | ||
14403 | Horiba STEC | IV-2410AV | Horiba Stec IV-2410AV Injection Valve, | ||
14404 | Horiba STEC | IV-2410AV | STEC IV-2410AV Injection Valve | ||
14405 | Horiba STEC | IV-2410AV | STEC IV-2410AV Injection Valve | ||
14406 | Horiba STEC | IV-2410AV | STEC IV-2410AV, Injection Valve | ||
14407 | Horiba STEC | IV-2410AV | STEC IV-2410AV, Injection Valve | ||
14408 | Horiba STEC | IV-2410AV | AMAT | 3870-02238 | STEC IV-2410AV, Injection Valve, AMAT 3870-02238 |
14409 | Horiba STEC | IV-2410AV | AMAT | 3870-02238 | STEC IV-2410AV, Injection Valve, AMAT 3870-02238 |
14410 | Horiba STEC | IV-2410AV | STEC IV-2410AV, Injection Valve | ||
14411 | Horiba STEC | IV-2410AV | STEC IV-2410AV, Injection Valve | ||
14412 | Horiba STEC | IV-2410AV | STEC IV-2410AV Injection Valve | ||
14413 | Horiba STEC | IV-2410AV | STEC IV-2410AV Injection Valve | ||
14414 | Horiba STEC | IV-2410AV | Horiba Stec IV-2410AV Injection Valve, | ||
14415 | Horiba STEC | IV-2410AV-02H | Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237 | ||
14416 | Horiba STEC | IV-2410AV-02H | Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237 | ||
14417 | Horiba STEC | IV-2410AV-02H | Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237 | ||
14418 | Horiba STEC | IV-2410AV-02H | Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237 | ||
14419 | Horiba STEC | IV-2410AV-02H | Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237 | ||
14420 | Horiba STEC | IV-2410AV-02HW | STEC IV-2410AV-02HW, Injection Valve. | ||
14421 | Horiba STEC | IV-2410AV-02HW | STEC IV-2410AV-02HW, Injection Valve. | ||
14422 | Horiba STEC | IV-2410AV-02HW | STEC IV-2410AV-02HW, Injection Valve. | ||
14423 | Horiba STEC | IV-2410AV-02HW | STEC IV-2410AV-02HW, Injection Valve. | ||
14424 | Horiba STEC | IV-2410AV-02HW | STEC IV-2410AV-02HW, Injection Valve. | ||
14425 | Horiba STEC | IV-2410AV-02HW | STEC IV-2410AV-02HW, Injection Valve. | ||
14426 | Horiba STEC | IV-2410AV-03 | HORIBA STEC injection valve IV-2410AV-03 used | ||
14427 | Horiba STEC | IV-2410AV-03 | HORIBA STEC injection valve IV-2410AV-03 used | ||
14428 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1 g/min, Mass Flow Controller, 3331 | ||
14429 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1 g/min, Mass Flow Controller, 3331 | ||
14430 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, Ta(OC2H5)5, 0.05 g/min, Extra connector | ||
14431 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, Ta(OC2H5)5, 0.05 g/min, Extra connector | ||
14432 | Horiba STEC | LF-210A-EVD | Stec LF-210A-EVD Liquid Mass Flow Controller TDEAHf, 0.02g/min, Horiba Stec | ||
14433 | Horiba STEC | LF-210A-EVD | Stec LF-210A-EVD Liquid Mass Flow Controller TDEAHf, 0.02g/min, Horiba Stec | ||
14434 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1 g/min missing isolation valve | ||
14435 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1 g/min missing isolation valve | ||
14436 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD, Liquid Mass Flow Meter, TDMAT, 0.1g/ min LF-210A | ||
14437 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid Mass Flow Controller, LFC, TDMAT 0.1g/min | ||
14438 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD, LFC, TDMAT, 0.1g/min, LF-210A | ||
14439 | Horiba STEC | LF-210A-EVD | IV-LF-Combo-02 | Horiba Stec LF-210A-EVD, LFC, TDMAT, 0.1g/min, IV-LF-Combo-02 | |
14440 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD, Liquid Mass Flow Meter, TDMAT, 0.1g/min | ||
14441 | Horiba STEC | LF-210A-EVD | IV-LV-Combo-02 | Horiba Stec, LF-210A-EVD, LFC, TDMAT, 0.1g/min, IV-LF-Combo-02 | |
14442 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid Mass Flow Controller, LFC, TDMAT 0.1g/min | ||
14443 | Horiba STEC | LF-210A-EVD | Horiba Stec, LF-210A-EVD, Liquid Mass Flow Meter, TDMAT, 0.1g/min | ||
14444 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, Ta(OC2H5)5, 0.05 g/min, Mass Flow Controller | ||
14445 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, Ta(OC2H5)5, 0.05 g/min, Mass Flow Controller | ||
14446 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1 g/min, 111325, Missing valve | ||
14447 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, TDMAT, 0.1 g/min, 111325, Missing valve | ||
14448 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, TDEAHf, 0.05 g/min, Mass Flow Controller | ||
14449 | Horiba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, TDEAHf, 0.05 g/min, Mass Flow Controller | ||
14450 | Horiba STEC | LF-310 | LF-310 | Horiba Stec LF-310, Mass Flow Controller, LF-310, TA(OC2H5)5 0.2 CCM | |
14451 | Horiba STEC | LF-310-A-EVD | Horiba Stec LF-310A-EVD LFC, TEPO, 0.5g/min, AMAT 3030-08009 | ||
14452 | Horiba STEC | LF-310A | Horiba Stec LF-310A, Mass Flow Controller, LF-310A-EVD, TEPO 0.1g/min | ||
14453 | Horiba STEC | LF-310A | Horiba Stec LF-310A, Mass Flow Controller, LF-310A-EVD, TEPO 0.1g/min | ||
14454 | Horiba STEC | LF-310A | Horiba Stec LF-310A, Mass Flow Controller, LF-310A-EVD, TEPO 0.2g/min | ||
14455 | Horiba STEC | LF-310A | LF-310A-EVD | Horiba Stec LF-310A, Mass Flow Controller, LF-310A-EVD, TEB and 0.5g/min. | |
14456 | Horiba STEC | LF-310A | LF-310A-EVD | Horiba Stec LF-310A, Mass Flow Controller, LF-310A-EVD, TEB and 0.5g/min. | |
14457 | Horiba STEC | LF-310A | LF-310A-EVD | Horiba Stec LF-310A, Mass Flow Controller, LF-310A-EVD, TEB and 0.5g/min. | |
14458 | Horiba STEC | LF-310A | LF-310A-EVD | Horiba Stec LF-310A, Mass Flow Controller, LF-310A-EVD, TEB and 0.5g/min. | |
14459 | Horiba STEC | LF-310A | LF-310A-EVD | Horiba Stec LF-310A, Mass Flow Controller, LF-310A-EVD, TEB and 0.5g/min. | |
14460 | Horiba STEC | LF-310A | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.1g/min | ||
14461 | Horiba STEC | LF-310A | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.1g/min, | ||
14462 | Horiba STEC | LF-310A | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEB, 0.5g/min | ||
14463 | Horiba STEC | LF-310A | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.1g/min | ||
14464 | Horiba STEC | LF-310A | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.1g/min | ||
14465 | Horiba STEC | LF-310A | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.1g/min | ||
14466 | Horiba STEC | LF-310A | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.1g/min | ||
14467 | Horiba STEC | LF-310A-EVD | AMAT | 3030-08009 | Horiba Stec LF-310A-EVD Liquid Flow Controller LFC, TEPO AMAT 3030-08009 |
14468 | Horiba STEC | LF-310A-EVD | Horiba stec LF-310A MFC, LF-310A-EVD, TEB, 0.5 g/min, S7865 | ||
14469 | Horiba STEC | LF-310A-EVD | Horiba stec LF-310A MFC, LF-310A-EVD, TEB, 0.5 g/min, S7865 | ||
14470 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A Liquid MFC, TEPO, 0.1 g/min, Mass Flow Controller, 950405 missing interface control | ||
14471 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A Liquid MFC, TEPO, 0.1 g/min, Mass Flow Controller, 950405 missing interface control | ||
14472 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.2 g/min, 210376, mass flow control | ||
14473 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.2 g/min, 210376, mass flow control | ||
14474 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.2 g/min, mass flow control | ||
14475 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Flow Controller, TEB gas, 0.5 g/min Range, 23884 | ||
14476 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Flow Controller, TEB gas, 0.5 g/min Range, 23884 | ||
14477 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min | ||
14478 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min | ||
14479 | Horiba STEC | LF-310A-EVD | 3030-05744 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min, 3030-05744 | |
14480 | Horiba STEC | LF-310A-EVD | 3030-05744 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min, 3030-05744 | |
14481 | Horiba STEC | LF-310A-EVD | AMAT | 3030-07719 | Horiba Stec LF-310A-EVD, LFC, TEPO, 0.25g/min, 3030-07719. |
14482 | Horiba STEC | LF-310A-EVD | AMAT | 3030-07719 | Horiba Stec LF-310A-EVD, LFC, TEPO, 0.25g/min, 3030-07719. |
14483 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller LFC TEB 0.5g/min AMAT 3030-05744 | ||
14484 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller LFC TEB 0.5g/min AMAT 3030-05744 | ||
14485 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller, LFC, TEB, 0.5g/min | ||
14486 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A, Mass Flow Controller, LF-310A-EVD, TEPO, 0.2g/min. | ||
14487 | Horiba STEC | LF-310A-EVD | Stec LF-310A-EVD Liquid Mass Flow Controller TEPO 0.5g/min | ||
14488 | Horiba STEC | LF-310A-EVD | Stec LF-310A-EVD Liquid Mass Flow Controller TEPO 0.5g/min | ||
14489 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25 g/min, Mass Flow Controller, 1068 | ||
14490 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25 g/min, Mass Flow Controller, 1068 | ||
14491 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.2 g/min, Mass Flow Controller | ||
14492 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.2 g/min, Mass Flow Controller | ||
14493 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.2 g/min, Mass Flow Controller | ||
14494 | Horiba STEC | LF-310A-EVD | Horiba Stec LV-310 Liquid MFC, OCTANE, 0.2 g/min, Mass Flow Controller, 210439 | ||
14495 | Horiba STEC | LF-310A-EVD | Horiba Stec LV-310 Liquid MFC, OCTANE, 0.2 g/min, Mass Flow Controller, 210439 | ||
14496 | Horiba STEC | LF-310A-EVD | Horiba Stec LV-310 Liquid MFC, BUTYL ACETATE, 0.2 g/min, Mass Flow Controller | ||
14497 | Horiba STEC | LF-310A-EVD | Horiba Stec LV-310 Liquid MFC, BUTYL ACETATE, 0.2 g/min, Mass Flow Controller | ||
14498 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25 g/min, 110956, mass flow control | ||
14499 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25 g/min, 110956, mass flow control | ||
14500 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25 g/min, S110777030 liquid flow | ||
14501 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25 g/min, S110777030 liquid flow | ||
14502 | Horiba STEC | LF-310A-EVD | AMAT | 3030-05748 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEPO 0.2 g/min AMAT 3030-05748 |
14503 | Horiba STEC | LF-310A-EVD | AMAT | 3030-05748 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEPO 0.2 g/min AMAT 3030-05748 |
14504 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Mass Flow Meter MFC TEPO 0.2 g/min | ||
14505 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Mass Flow Meter MFC TEPO 0.2 g/min | ||
14506 | Horiba STEC | LF-310A-EVD | AMAT | 3030-05748 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEPO 0.2 g/min, AMAT 3003-05748 |
14507 | Horiba STEC | LF-310A-EVD | AMAT | 3030-05748 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEPO 0.2 g/min, AMAT 3003-05748 |
14508 | Horiba STEC | LF-310A-EVD | 3030-05744 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min, 3030-05744 | |
14509 | Horiba STEC | LF-310A-EVD | 3030-05744 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min, 3030-05744 | |
14510 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller, LFC, TEPO, 0.1 g/min | ||
14511 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller, LFC, TEPO, 0.1 g/min | ||
14512 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller, LFC, TEPO, 0.1 g/min | ||
14513 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller, LFC, TEB, 0.5g/min | ||
14514 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller, LFC, TEB, 0.5g/min | ||
14515 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller LFC TEB 0.25g/min AMAT 3030-07719 | ||
14516 | Horiba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, Liquid Mass Flow Controller, TiCl4, 0.2g/min | ||
14517 | Horiba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, Liquid Flow Controller, TEPO, 0.2g/min | ||
14518 | Horiba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, Liquid Flow Controller, TiCl4, 0.5g/min | ||
14519 | Horiba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, Liquid Mass Flow Controller, TiCl4, 0.2g/min | ||
14520 | Horiba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, LFC, Ta(OC2H5)5, 02g/min | ||
14521 | Horiba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, Liquid Flow Controller, LFC, TEPO, 0.25g/min | ||
14522 | Horiba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, LFC, Ta(OC2H5)5, 0.2g/min | ||
14523 | Horiba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, Liquid Flow Controller, TEBPO, 0.5g/min | ||
14524 | Horiba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, LFC, TEB, 0.5g/min, AMAT 3030-05744 | ||
14525 | Horiba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, MFC, TEPO, 0.25g/min, AMAT 3030-07719 | ||
14526 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD, TEPO 0.1 g/min Liquid Flow Controller | ||
14527 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD, TEPO 0.1 g/min Liquid Flow Controller | ||
14528 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD, TEB 0.25 g/min Liquid Flow Controller | ||
14529 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD, TEB 0.25 g/min Liquid Flow Controller | ||
14530 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD, TEB 0.5 g/min Liquid Flow Controller | ||
14531 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD, TEB 0.5 g/min Liquid Flow Controller | ||
14532 | Horiba STEC | LF-310A-EVD | STEC 0.2g/min TEPO LFC LF-310A-EVD | ||
14533 | Horiba STEC | LF-310A-EVD | STEC 0.2g/min TEPO LFC LF-310A-EVD | ||
14534 | Horiba STEC | LF-310A-EVD | Horiba stec LF-310A MFC, LF-310A-EVD, TEPO, 0.25 g/min, S0403 | ||
14535 | Horiba STEC | LF-310A-EVD | Horiba stec LF-310A MFC, LF-310A-EVD, TEPO, 0.25 g/min, S0403 | ||
14536 | Horiba STEC | LF-310A-EVD | Horiba stec MFC LF-310A, LF-310A-EVD, TEPO 0.25 g/min S0404 | ||
14537 | Horiba STEC | LF-310A-EVD | Horiba stec MFC LF-310A, LF-310A-EVD, TEPO 0.25 g/min S0404 | ||
14538 | Horiba STEC | LF-310A-EVD | Stec LF-310A-EVD Liquid Mass Flow Controller TEB, 0.5g/min, Horiba Stec | ||
14539 | Horiba STEC | LF-310A-EVD | Stec LF-310A-EVD Liquid Mass Flow Controller TEB, 0.5g/min, Horiba Stec | ||
14540 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25g/min, Mass Flow Controller, 10716 | ||
14541 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEPO, 0.25g/min, Mass Flow Controller, 10716 | ||
14542 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.5 g/min, Mass Flow Controller, 210429 | ||
14543 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.5 g/min, Mass Flow Controller, 210429 | ||
14544 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.5 g/min, 60209304, mass flow control | ||
14545 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.5 g/min, 60209304, mass flow control | ||
14546 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min | ||
14547 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min | ||
14548 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min | ||
14549 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Flow MFC TEB 0.5 g/min | ||
14550 | Horiba STEC | LF-310A-EVD | AMAT | 3030-05748 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEPO 0.2 g/min, AMAT 3030-05748 |
14551 | Horiba STEC | LF-310A-EVD | AMAT | 3030-05748 | Horiba Stec LF-310A-EVD Liquid Flow MFC TEPO 0.2 g/min, AMAT 3030-05748 |
14552 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Flow MFC TEPO 0.2 g/min | ||
14553 | Horiba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Flow MFC TEPO 0.2 g/min | ||
14554 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller, LFC, TEB, 0.5g/min | ||
14555 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller, LFC, TEB, 0.5g/min | ||
14556 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller, LFC, TEB, 0.5g/min | ||
14557 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller, LFC, TEB, 0.25g/min | ||
14558 | Horiba STEC | LF-310A-EVD | STEC LF-310A-EVD Liquid Flow Controller, LFC, TEB, 0.25g/min | ||
14559 | Horiba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, Liquid Flow Controller, TEPO, 0.2g/min | ||
14560 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.25g/min | ||
14561 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.25g/min | ||
14562 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.25g/min | ||
14563 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.25g/min | ||
14564 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEB, 0.5g/min | ||
14565 | Horiba STEC | LF-310A-EVD | AMAT | 3030-07719 | STEC LF-310A LFC, LF-310A-EVD, TEPO, 0.25g/min, AMAT 3030-07719 |
14566 | Horiba STEC | LF-310A-EVD | AMAT | 3030-08009 | STEC LF-310A LFC, LF-310A-EVD, TEPO, 0.5g/min, AMAT 3030-08009 |
14567 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.2g/min | ||
14568 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.2g/min | ||
14569 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.25g/min | ||
14570 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEB, 0.5g/min | ||
14571 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.25g/min | ||
14572 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.25g/min | ||
14573 | Horiba STEC | LF-310A-EVD | STEC LF-310A Liquid Flow Controller, LFC, LF-310A-EVD, TEPO, 0.2g/min | ||
14574 | Horiba STEC | LF-410A | STEC LF-410A, TEPO 4.0 g/min Liquid Flow Controller | ||
14575 | Horiba STEC | LF-410A | TEOS | Stec MFC LF-410A, TEOS 3g/min, LF-410A-EVD, SMC VJ114 | |
14576 | Horiba STEC | LF-410A | TEOS | Stec MFC LF-410A, TEOS 3g/min, LF-410A-EVD, SMC VJ114 | |
14577 | Horiba STEC | LF-410A | AMAT | 3030-07663 | STEC LF-410A LFC, LF-410A-EVD, TEOS, 4g/min, AMAT 3030-07663, |
14578 | Horiba STEC | LF-410A | AMAT | 3030-07663 | STEC LF-410A LFC, LF-410A-EVD, TEOS, 0.5g/min, AMAT 3030-07663 |
14579 | Horiba STEC | LF-410A | AMAT | 3030-07663 | STEC LF-410A LFC, LF-410A-EVD, TEOS, 4g/min, AMAT 3030-07663 |
14580 | Horiba STEC | LF-410A | AMAT | 3030-07663 | STEC LF-410A LFC, LF-410A-EVD, TEOS, 4g/min, AMAT 3030-07663 |
14581 | Horiba STEC | LF-410A-EVD | Stec LF-410A-EVD Liquid Mass Flow Controller TEOS 3g/min, S3814 | ||
14582 | Horiba STEC | LF-410A-EVD | Stec LF-410A-EVD Liquid Mass Flow Controller TEOS 3g/min, S3814 | ||
14583 | Horiba STEC | LF-410A-EVD | AMAT | 3030-08008 | Horiba STEC LF-410A-EVD Liquid Flow Controller, TEOS, 3g/min, 3030-08008 |
14584 | Horiba STEC | LF-410A-EVD | AMAT | 3030-08008 | Horiba STEC LF-410A-EVD Liquid Flow Controller, TEOS, 3g/min, 3030-08008 |
14585 | Horiba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 4g/min | ||
14586 | Horiba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 4g/min | ||
14587 | Horiba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 7g/min | ||
14588 | Horiba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 7g/min | ||
14589 | Horiba STEC | LF-410A-EVD | STEC LF-410A-EVD Liquid Mass Flow Meter, LFC, TEOS, AMAT 3030-06043 | ||
14590 | Horiba STEC | LF-410A-EVD | Stec LF-410A-EVD Liquid Mass Flow Controller TEB 3g/min, S0101 | ||
14591 | Horiba STEC | LF-410A-EVD | Stec LF-410A-EVD Liquid Mass Flow Controller TEB 3g/min, S0101 | ||
14592 | Horiba STEC | LF-410A-EVD | Stec LF-410A Liquid Mass Flow Controller TEOS 8.0 CCM, S0112 | ||
14593 | Horiba STEC | LF-410A-EVD | Stec LF-410A Liquid Mass Flow Controller TEOS 8.0 CCM, S0112 | ||
14594 | Horiba STEC | LF-410A-EVD | AMAT | 3030-08436 | Horiba Stec LF-410A-EVD, Liquid Flow Controller, TEB, 1.5g/Min 3030-08436. |
14595 | Horiba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 3g/min | ||
14596 | Horiba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 3g/min | ||
14597 | Horiba STEC | LF-410A-EVD | AMAT | 3030-07663 | Horiba STEC LF-410A-EVD Liquid Flow Controller, TEOS, 4g/min, 3030-07663 |
14598 | Horiba STEC | LF-410A-EVD | STEC LF-410A-EVD Liquid Flow Controller, LFC, TEOS, AMAT 3030-06043 | ||
14599 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, LFC, TEOS, 7.0G/min, LF-410A | ||
14600 | Horiba STEC | LF-410A-EVD | AMAT | 3030-08008 | Horiba Stec LF-410A-EVD Liquid Mass Flow Controller, LFC, TEOS, 7.-g/min, LF410A, AMAT 3030-08008 |
14601 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD, Liquid Flow Meter, TiCI4 2.0g/min | ||
14602 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD, Liquid Mass Flow Controller, TEOS 3g/min. | ||
14603 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD, Liquid Mass Flow Controller, TEOS, 3g/min | ||
14604 | Horiba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, Liquid Mass Flow Controller, TEOS, 3g/min | ||
14605 | Horiba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, Liquid Mass Flow Controller, TEOS, 3g/min | ||
14606 | Horiba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, LFC, TEOS, 3g/min, AMAT 3030-06043 | ||
14607 | Horiba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, LFC, TEOS, 4.0g/min, AMAT 3030-07663 | ||
14608 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, LFC, TEOS, 7.0g/min, LF-410A | ||
14609 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD, MFC, TEOS, 7g/min, LF-410A | ||
14610 | Horiba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, Liquid Mass Flow Controller, TEB, 2g/min | ||
14611 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD, Liquid Mass Flow Meter,TEOS, 3.0g/min | ||
14612 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD, Liquid Mass Flow Controller, TEOS, 3.0g/min. | ||
14613 | Horiba STEC | LF-410A-EVD | Horiba Stec LF-210A-EVD, Liquid Mass Flow Controller, TDMAT, 0.1g/min | ||
14614 | Horiba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, Liquid Mass Flow Controller, TEOS, 7g/min | ||
14615 | Horiba STEC | LF-410A-EVD | AMAT | 3030-08008 | Horiba Stec, LF-410A-EVD, LFC, TEOS, 7g/min, AMAT 3030-08008 |
14616 | Horiba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, Liquid Mass Flow Controller, TEOS, 3g/min | ||
14617 | Horiba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, Liquid Mass Flow Controller, TEOS, 3.0g/min | ||
14618 | Horiba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, Liquid Mass Flow Controller, TEOS, 3g/min | ||
14619 | Horiba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, LFC, LFM, TEOS, 4g/min, AMAT 3030-07663W | ||
14620 | Horiba STEC | LF-410A-EVD | AMAT | 3030-08008 | Horiba Stec, LF-410A-EVD, LFC, TEOS, 7g/min, AMAT 3030-08008 |
14621 | Horiba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, Liquid Mass Flow Controller, LFC, TEOS, 4g/min | ||
14622 | Horiba STEC | LF-410A-EVD | STEC 4.0g/min TEOS LFC LF-410A-EVD | ||
14623 | Horiba STEC | LF-410A-EVD | STEC 4.0g/min TEOS LFC LF-410A-EVD | ||
14624 | Horiba STEC | LF-410A-EVD | STEC LF-410A-EVD,TEOS, 1.0g/min liquid flow controller | ||
14625 | Horiba STEC | LF-410A-EVD | Stec LF-410A-EVD Liquid Mass Flow Controller TEOS 5g/min, S0216 | ||
14626 | Horiba STEC | LF-410A-EVD | AMAT | 3030-08436 | Horiba Stec LF-410A-EVD, Liquid Flow Controller, TEB, 1.5g/Min 3030-08436. |
14627 | Horiba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 3g/min | ||
14628 | Horiba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 3g/min | ||
14629 | Horiba STEC | LF-410A-EVD | AMAT | 3030-07663 | Horiba STEC LF-410A-EVD Liquid Flow Controller, TEOS, 4g/min, 3030-07663 |
14630 | Horiba STEC | LF-410A-EVD | AMAT | 3030-07663 | Horiba STEC LF-410A-EVD Liquid Flow Controller, TEOS, 4g/min, 3030-07663 |
14631 | Horiba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 4g/min | ||
14632 | Horiba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 4g/min | ||
14633 | Horiba STEC | LF-410A-EVD | STEC LF-410A-EVD Liquid Flow Controller LFC TEOS 3.0 g/min VJ114-6LS-M3 | ||
14634 | Horiba STEC | LF-410A-EVD | STEC LF-410A-EVD Liquid Flow Controller LFC TEOS 3.0 g/min VJ114-6LS-M3 | ||
14635 | Horiba STEC | LF-410A-EVD-LG | Horiba Stec,VD-LG, Liquid Mass Flow Controller, TEOS, 7g/min | ||
14636 | Horiba STEC | LF-A30M-A-EVD | STEC LF-A30M-A-EVD, TEB .2g/Min0229 | ||
14637 | Horiba STEC | LF-A30M-A-EVD | STEC LF-A30M-A-EVD, TEB .2g/Min0229 | ||
14638 | Horiba STEC | LF-A30M-A-EVD | STEC LF-A30M-A-EVD, TEPO .2g/Min, 1120271000 | ||
14639 | Horiba STEC | LF-A30M-A-EVD | STEC LF-A30M-A-EVD, TEPO .2g/Min, 1120271000 | ||
14640 | Horiba STEC | LF-A40-A-EVD | Horiba Stec LF-A40M-A-EVD Liquid Flow Controller LFC, BTBAS, 1g/min | ||
14641 | Horiba STEC | LF-A40M-A-EVD | STEC LF-A40M-A-EVD, TEPO .25g/Min, | ||
14642 | Horiba STEC | LF-A40M-A-EVD | STEC LF-A40M-A-EVD, TEPO .25g/Min, | ||
14643 | Horiba STEC | LF-A40M-A-EVD | Horiba STEC LF-A40M-A-EVD Liquid Mass Flow Meter, LFC, BTBAS, 1g/min | ||
14644 | Horiba STEC | LF-A4OM-A-EVD | MFC LF-A4OM-A-EVD, Liquid: TEB, Flow Rate: 2.0g/min | ||
14645 | Horiba STEC | LF-A4OM-A-EVD | MFC LF-A4OM-A-EVD, Liquid: TEB, Flow Rate: 2.0g/min | ||
14646 | Horiba STEC | LF-F20M-A-EVD | MFC LF-F20M-A-EVD, Liquid TDEAHf, flow rate .002g/min | ||
14647 | Horiba STEC | LF-F20M-A-EVD | MFC LF-F20M-A-EVD, Liquid TDEAHf, flow rate .002g/min | ||
14648 | Horiba STEC | LF-F40-A-EVD | STEC | Horiba STEC LF-F40-A-EVD Liquid Flow Controller, LFC, mDEOS, 3/min | |
14649 | Horiba STEC | LV-F50PO | Horiba STEC LV-F50PO Liquid Mass Flow Controller LFC, IPA, 20g/Min, LV-F | ||
14650 | Horiba STEC | LV-F50PO | 2 Horiba Stec LV-50PO LFC 1@25 ccm, and 1@ 20 ccm TEOS | ||
14651 | Horiba STEC | LV-F50PO | Horiba STEC LV-F50PO Liquid Mass Flow Controller LFC, TEOS 25 CCM, LV-F | ||
14652 | Horiba STEC | LV-F50PO | Horiba STEC LV-F50PO Liquid Mass Flow Controller LFC, TEOS 20 CCM, LV-F | ||
14653 | Horiba STEC | MA-10 | Horiba MA-10 Micro Amp Meter | ||
14654 | Horiba STEC | PAC-3E | STEC, Horiba PAC-3E Power Supply, MFC | ||
14655 | Horiba STEC | PAC-3H | STEC PAC-3H FLOLINE INTERFACE MODULE AC100/115VZ 780701 | ||
14656 | Horiba STEC | PAC-D2 | Horiba STEC PAC-D2 Digital Read Out Module, Power Supply | ||
14657 | Horiba STEC | PAC-S5 | STEC PAC-S5 Massflow Meter Controller | ||
14658 | Horiba STEC | PV-2000 | Stec PV-2000 PIEZO VALVE PV-2103MC | ||
14659 | Horiba STEC | SEC 4400M | STEC SEC4400M, MFC,cm, SiH4, label also says 1.5.L. | ||
14660 | Horiba STEC | SEC V110DM | Horibas Stec, SEC V110DM, MFC,cm, gas Ar, minor dent | ||
14661 | Horiba STEC | SEC-3400S | Horiba Stec, SEC-3400S MFC, SEC-3400SL, WF6 gasM Range, S1750 | ||
14662 | Horiba STEC | SEC-3400S | STEC SEC-3400S Mass Flow Controller, MFC, SEC-3400SL-SP, AR, 20 SCCM | ||
14663 | Horiba STEC | SEC-3400SL-UC | STEC SEC-3400SL-UC Mass Flow Controller, MFC, N2CM, AMAT 3030-01614 | ||
14664 | Horiba STEC | SEC-3400SL-UC | Stec SEC-3400SL-UC, Mass Flow Controller, MFC, N2CM, | ||
14665 | Horiba STEC | SEC-3400SL-UC | NEW STEC MFC SEC-3400SL-UC, N2M, In the box. | ||
14666 | Horiba STEC | SEC-400 | STEC MFC MASS FLOW CONTROLLER SEC-4400MC N2 100 SCCM | ||
14667 | Horiba STEC | SEC-400 | STEC MFC MASS FLOW CONTROLLER SEC-4400M SEC-4400MC N2 100 SCCMM | ||
14668 | Horiba STEC | SEC-400 | STEC MFC MASS FLOW CONTROLLER SEC-4400 SEC-4400M N2 100 SCCM | ||
14669 | Horiba STEC | SEC-4400 | Horiba STEC SEC-4400 Mass Flow Controller MFC, CH3OH 200 SCCM, SEC-4400M | ||
14670 | Horiba STEC | SEC-4400 | STEC MFC SEC-4400, Ar, 1 SLM WITH CALABRATION. | ||
14671 | Horiba STEC | SEC-4400 | Stec SEC-4400 MFC, SEC-4400 O2CM range S8951 | ||
14672 | Horiba STEC | SEC-4400 | Horiba Stec SEC-4400 MFC, SEC-4400MC, SiH4, 30 SCCM, S460318 | ||
14673 | Horiba STEC | SEC-4400 | Horiba Stec SEC-4400 MFC, SEC-4400M, N2, 1 SLM | ||
14674 | Horiba STEC | SEC-4400 | SEC-4400M | Horiba Stec MFC SEC-4400, SEC-4400M, SiH4 gas, 200 SCCM, S2517983 | |
14675 | Horiba STEC | SEC-4400 | SEC-4400MC-RUC | Horiba Stec MFC SEC-4400, SEC-4400MC-RUC, Ar gas, 200 SCCM, S281504299 | |
14676 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC, CF4 gas, 200 SCCM, S2245097 | ||
14677 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC-186 SiH2Cl2 gasCM, S730718 | ||
14678 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M (CH3)SiH3 gas, 300 SCCM, S271302878 | ||
14679 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC, C3H2F6 gas,M, S651026 | ||
14680 | Horiba STEC | SEC-4400 | Micron | STEC SEC-4400 Mass Flow Controller, MFC, O2CM, Micron | |
14681 | Horiba STEC | SEC-4400 | Horiba Stec, SEC-4400M, Mass Flow Controller, SIH4 300 SCCM | ||
14682 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC SiF4 gas,M range, S520344 | ||
14683 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M, H2 gas, 1 SLM range, S252300424 | ||
14684 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400RC, C3F8 gas,M, S2263363 | ||
14685 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400RC, C3F8 gas,M, S2263363 | ||
14686 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M, C4F8 gas,M, S282406823 | ||
14687 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M, CF4 gas,M, S271403549 | ||
14688 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M-SUC, SiF4 gasCM, S610746 | ||
14689 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MO, SiH2Cl2 gas, 300 SCCM, S2344191 | ||
14690 | Horiba STEC | SEC-4400 | SEC-4400MC-UC | Horiba Stec MFC SEC-4400, SEC-4400MC-UC, CH3F gasCM, S2362084 | |
14691 | Horiba STEC | SEC-4400 | AMAT | 3030-06074 | Horiba Stec MFC SEC-4400, SEC-4400M, SiF4 gasCM, AMAT 3030-06074, S271304850 |
14692 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC, He gas, 300 SCCM, S272101012 | ||
14693 | Horiba STEC | SEC-4400 | Horiba STEC SEC-4400 Mass Flow Controller, MFC, SiH2Ci2, 200 SCCM, SEC-4400MC | ||
14694 | Horiba STEC | SEC-4400 | STEC MFC MASS FLOW CONTROLLER SEC-4400MC-UG-G2 AR GAS 300 SCCM 631208 | ||
14695 | Horiba STEC | SEC-4400 | STEC MFC MASS FLOW CONTROLLER SEC-4400 AR GAS 300 SCCM 740548 | ||
14696 | Horiba STEC | SEC-4400 | Horiba Stec SEC-4400 MFC, SEC-4400MC, SiH4,M, S35013 | ||
14697 | Horiba STEC | SEC-4400 | AMAT | 2030-06956 | Horiba Stec SEC-4400 MFC, SEC-4400M, SiH4, 30 SCCM, AMAT 2030-06956 |
14698 | Horiba STEC | SEC-4400 | AMAT | 3030-06264 | Horiba Stec MFC SEC-4400, SEC-4400M Ar gas, 300 SCCM range, AMAT 3030-06264 |
14699 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC-UC, C4F8 gas, 20 SCCM, S251603236 | ||
14700 | Horiba STEC | SEC-4400 | AMAT | 3030-06074 | Horiba Stec MFC SEC-4400, SEC-4400M, SiF4 gasCM, AMAT 3030-06074 |
14701 | Horiba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M, CH3OH gas, 200 SCCM, S252202506 | ||
14702 | Horiba STEC | SEC-4400 | AMAT | 3030-08516 | Horiba Stec MFC SEC-4400, SEC-4400M Si(CH3)H3 gas, 150 SCCM, AMAT 3030-08516 |
14703 | Horiba STEC | SEC-4400 | Micron | 810-25410 | STEC SEC-4400 Mass Flow Controller, MFC, O2CM, Micron 810-25410 |
14704 | Horiba STEC | SEC-4400 | Horiba Stec, SEC-4400, MFC, ARCM | ||
14705 | Horiba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller MFC Cl2CM SEC-4400M SEC-4400MC | ||
14706 | Horiba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, N2,CM, SEC-4400MC | ||
14707 | Horiba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, HEM, SEC-4400MC | ||
14708 | Horiba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, O2, 2 SLM, SEC-4400MC-UC | ||
14709 | Horiba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, O2, 3000 SCCM, SEC-4400MC-G2 | ||
14710 | Horiba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, Ar,M, SEC-4400MC-UC-G2, SEC-4400MC | ||
14711 | Horiba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, O2CM, AMAT 3030-08488 | ||
14712 | Horiba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, Cl2, 200 SCCM, SEC-4400MC | ||
14713 | Horiba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, Ar, 3 SLM, SEC-4400MC-SUC | ||
14714 | Horiba STEC | SEC-4400 | SEC-4400M | Stec SEC-4400 MFC, SEC-4400M, WF6 gasM flow rate, S9938 | |
14715 | Horiba STEC | SEC-4400 | SEC-4400MC | Stec SEC-4400 MFC, SEC-4400MC, WF6 gas, 30 SCCM flow rate | |
14716 | Horiba STEC | SEC-4400-MC | STEC SEC-4400-MC Mass Flow Controller, MFC, BCl3CM, SEC-4400 | ||
14717 | Horiba STEC | SEC-4400-MC | STEC SEC-4400-MC Mass Flow Controller, MFC, NH3, 300 SCCM, SEC-4400 | ||
14718 | Horiba STEC | SEC-4400-MO | Stec SEC-4400 MFC, SEC-4400-MO HF GasCM Range, S1423 | ||
14719 | Horiba STEC | SEC-4400BC | Stec SEC-4400 MFC, SEC-4400BC, CF4 20 SCCM | ||
14720 | Horiba STEC | SEC-4400M | 281500972, 810-18314R | Horiba Stec SEC-4400M, 281500972, 810-18314R, 2 SLM, Ar. | |
14721 | Horiba STEC | SEC-4400M | Stec SEC-4400 MFC, SEC-4400M NF3 Gas,CM Range, S4879 | ||
14722 | Horiba STEC | SEC-4400M | Stec SEC-4400 MFC Mass Flow Controller, SEC-4400M SF6 Gas, 300 CCM Range | ||
14723 | Horiba STEC | SEC-4400M | NEW Stec SEC-4400 MFC, SEC-4400M, WF6 gasM flow rate, S0219 | ||
14724 | Horiba STEC | SEC-4400M | AMAT | 3030-09771 | Stec SEC-4400M, MFCM, 02,AR, AMAT 3030-09771, VCR 1/4 Gasket 2 Pieces |
14725 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, O2,M, Calibrated | ||
14726 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2CM, Calibrated | ||
14727 | Horiba STEC | SEC-4400M | AMAT | 3030-06264 | STEC SEC-4400M Mass Flow Controller, MFC, Ar, 300 SCCM, AMAT 3030-06264 |
14728 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller MFC He,CM | ||
14729 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller MFC WF6CM | ||
14730 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, AR, 300 SCCM, SEC-4400 | ||
14731 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 20 SCCM, SEC-4400,; we are selling as parts | ||
14732 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4,CM, SEC-4400,; we are selling as parts | ||
14733 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, PH3,CM, SEC-4400,; we are selling as parts | ||
14734 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, HeM, SEC-4400 | ||
14735 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiF4CM, SEC-4400 | ||
14736 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4CM, SEC-4400 | ||
14737 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, WF6, 5 SCCM, SEC-4400 | ||
14738 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, WF6CM, SEC-4400 | ||
14739 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, NH3, 300 SCCM, SEC-4400 | ||
14740 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH2Cl2, 200 SCCM, SEC-4400 | ||
14741 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC,CM, SEC-4400 | ||
14742 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, Cl2, 200 SCCM, SEC-4400 | ||
14743 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, BCl3CM, SEC-4400 | ||
14744 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, BCl3CM, SEC-4400 | ||
14745 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, NH3, 300 SCCM, SEC-4400 | ||
14746 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, SiH4CM, SEC-4400, AMAT 3030-04798 | ||
14747 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, SiH4CM, SEC-4400, AMAT 3030-04798 | ||
14748 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, NH3, 300 SCCM, SEC-4400 | ||
14749 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, NH3, 300 SCCM, SEC-4400 | ||
14750 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 | ||
14751 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 | ||
14752 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiF4CM, SEC-4400 | ||
14753 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, SiF4, 200 SCCM, SEC-4400, AMAT 3030-04784 | ||
14754 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, SiH4, 200 SCCM, SEC-4400 | ||
14755 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, SiH4, 200 SCCM, SEC-4400 | ||
14756 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, SiH4, 200 SCCM, SEC-4400 | ||
14757 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4CM, SEC-4400 | ||
14758 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4CM, SEC-4400 | ||
14759 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, WF6, 300 Sccm | ||
14760 | Horiba STEC | SEC-4400M | Horiba Stec, SEC-4400, SEC-4400M, MFC, ARCM | ||
14761 | Horiba STEC | SEC-4400M | Horiba Stec, SEC-4400M, Mass Flow Controller, AR 5 SLM | ||
14762 | Horiba STEC | SEC-4400M | AMAT | 3030-09771 | Stec SEC-4400M, MFCM, 02/AR, AMAT 3030-09771, VCR 1/4 Gasket Quantity 2 |
14763 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, AR,CM, Calibrated | ||
14764 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, O2CM, Calibrated | ||
14765 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, Ar, 300 SCCM, SEC-4400, | ||
14766 | Horiba STEC | SEC-4400M | AMAT | STEC SEC-4400M Mass Flow Controller, MFC, He, 300 SCCM, AMAT 0226-44706 | |
14767 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400 | ||
14768 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400 | ||
14769 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller MFC He,CM | ||
14770 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller MFC He,CM | ||
14771 | Horiba STEC | SEC-4400M | STEC SEC-4400MC Mass Flow Controller MFC N2, 200 SCCM | ||
14772 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, He, SEC-4400, ; we are selling as parts | ||
14773 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, He,CM, SEC-4400,; we are selling as parts | ||
14774 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, WF6, 200 SCCM, SEC-4400, AMAT 3030-02663 | ||
14775 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, NH3, 300 SCCM, SEC-4400, AMAT 3030-04780 | ||
14776 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, WF6, 200 SCCM, SEC-4400 | ||
14777 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, BCl3CM, SEC-4400 | ||
14778 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, BCl3CM, SEC-4400 | ||
14779 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4CM, SEC-4400 | ||
14780 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4CM, SEC-4400 | ||
14781 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, NH3, 300 SCCM, SEC-4400 | ||
14782 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, NH3, 300 SCCM, SEC-4400 | ||
14783 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 | ||
14784 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 | ||
14785 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 | ||
14786 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiF4CM, SEC-4400 | ||
14787 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, SiF4, 200 SCCM, SEC-4400, AMAT 3030-04784 | ||
14788 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, SiF4, 200 SCCM, SEC-4400, AMAT 3030-04784 | ||
14789 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, SiF4, 200 SCCM, SEC-4400, AMAT 3030-04784 | ||
14790 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, SiH4, 200 SCCM, SEC-4400 | ||
14791 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, HCl, 200 SCCM, SEC-4400 | ||
14792 | Horiba STEC | SEC-4400M | Horiba Stec, SEC-4400, SEC-4400M, MFC, ARCM | ||
14793 | Horiba STEC | SEC-4400M | Horiba Stec, SEC-4400M, Mass Flow Controller, N2 5 SLM | ||
14794 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, Cl2, 200 SCCM | ||
14795 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFCCM, SiF4, | ||
14796 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFCCM, SiF4, | ||
14797 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFCCM, SiF4, | ||
14798 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFCCM, SiF4, | ||
14799 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFCCM, SiF4, | ||
14800 | Horiba STEC | SEC-4400M | STEC SEC-4400M, Mass Flow Controller, MFC, Ar, 300 SCCM, | ||
14801 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFCCM, SiF4, | ||
14802 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFCCM, SiF4, | ||
14803 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFCCM, SiF4, | ||
14804 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFCCM, SiF4, | ||
14805 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, 20 SCCM, SiF4, | ||
14806 | Horiba STEC | SEC-4400M | AMAT | 3030-04438 | STEC SEC-4400M, Mass Flow Controller, MFC, CHF3CM, |
14807 | Horiba STEC | SEC-4400M | Stec SEC-4400 MFC, SEC-4400M SF6 300 SCCM with calibration sheet | ||
14808 | Horiba STEC | SEC-4400M | Stec SEC-4400 MFC, SEC-4400M SiH4 Gas, 300 SCCM Range, S3911 | ||
14809 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, 200 sccm SiF4 | ||
14810 | Horiba STEC | SEC-4400M | STEC MFC, Mass Flow Controller, SEC-4400, SiH4CM | ||
14811 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, Cl2CM, Calibrated | ||
14812 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, CO2, 200 SCCM, SEC-4400 | ||
14813 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, O2,CM, SEC-4400 | ||
14814 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, AMAT 3030-12519, | ||
14815 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller MFC Cl2,CM | ||
14816 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller MFC He,CM | ||
14817 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller MFC He,CM | ||
14818 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400 | ||
14819 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, He, 300 SCCM, SEC-4400; we are selling as seller refurbished | ||
14820 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, He, SEC-4400,; we are selling as parts | ||
14821 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400,; we are selling as parts | ||
14822 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, AR, 5 SLM, SEC-4400 | ||
14823 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, HeM, SEC-4400 | ||
14824 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4,CM, SEC-4400 | ||
14825 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4,CM, SEC-4400 | ||
14826 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 300 SCCM, SEC-4400 | ||
14827 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiF4CM, SEC-4400 | ||
14828 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4CM, SEC-4400 | ||
14829 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 | ||
14830 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 | ||
14831 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, WF6CM, SEC-4400 | ||
14832 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, WF6CM, SEC-4400, 810-03990R | ||
14833 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, SiF4CM, SEC-4400, AMAT 3030-06074 | ||
14834 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, BCl3CM, SEC-4400 | ||
14835 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 | ||
14836 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiF4CM, SEC-4400 | ||
14837 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiF4CM, SEC-4400 | ||
14838 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, SiF4, 200 SCCM, SEC-4400, AMAT 3030-04784 | ||
14839 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, SiF4, 200 SCCM, SEC-4400, AMAT 3030-04784 | ||
14840 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, SiH4, 200 SCCM, SEC-4400 | ||
14841 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, SiH4, 200 SCCM, SEC-4400 | ||
14842 | Horiba STEC | SEC-4400M | STEC SEC-4400M MFC, SiH4,CM, SEC-4400, AMAT 3030-04804 | ||
14843 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4CM, SEC-4400 | ||
14844 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, HCl,CM, SEC-4400 | ||
14845 | Horiba STEC | SEC-4400M | Horiba Stec Sec-4400, SEC-4400M, BF3 5 SCCM | ||
14846 | Horiba STEC | SEC-4400M | STEC MFC SEC-4400M NH3, 1 SLM, With CALABRATION SHEET | ||
14847 | Horiba STEC | SEC-4400M | NEW Stec MFC sec-4400, SEC-4400M, SiH4,CM, A4589 | ||
14848 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, XeCM, SEC-4400 | ||
14849 | Horiba STEC | SEC-4400m | STEC SEC-4400M Mass Flow Controller MFC O2CM | ||
14850 | Horiba STEC | SEC-4400M | STEC SEC-4400M, Mass Flow Controller, MFC, Ar, 300 SCCM, | ||
14851 | Horiba STEC | SEC-4400M | STEC SEC-4400M, Mass Flow Controller, MFC, N2, 200 SCCM, | ||
14852 | Horiba STEC | SEC-4400MC | Stec MFC SEC-4400, SEC-4400MC, N2,CM, S0482 | ||
14853 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC MFC, Mass Flow Controller, AR,CM, Calibrated | ||
14854 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC MFC, Mass Flow Controller, AR,CM, Calibrated | ||
14855 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC MFC, Mass Flow Controller, AR,CM, Calibrated | ||
14856 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, AR,M, SEC-4400 | ||
14857 | Horiba STEC | SEC-4400MC | |||
14858 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, AR,CM, SEC-4400 | ||
14859 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, WF6, 300 SCCM, SEC-4400 | ||
14860 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, WF6CM, SEC-4400 | ||
14861 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, AR,CM, SEC-4400 | ||
14862 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, CF4,CM, SEC-4400 | ||
14863 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, CF4CM, SEC-4400 | ||
14864 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, WF6CM, SEC-4400 | ||
14865 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, Cl2CM | ||
14866 | Horiba STEC | SEC-4400MC | Horiba STEC 4400 MFC Mass Flow Controller,CM SiH4, STEC SEC-4400MC | ||
14867 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, N2, 20 SCCM, SEC-4400,; we are selling as parts | ||
14868 | Horiba STEC | SEC-4400MC | AMAT | 3030-01062 | STEC SEC-4400MC, MFC, SiH4,CM, SEC-4400, AMAT 3030-01062 |
14869 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiF4CM, SEC-4400 | ||
14870 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, AR,CM, SEC-4400 | ||
14871 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiF4CM, SEC-4400 | ||
14872 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, CF4,M, SEC-4400 | ||
14873 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, NH3CM, SEC-4400 | ||
14874 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 | ||
14875 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, HClCM, SEC-4400 | ||
14876 | Horiba STEC | SEC-4400MC | Stec SEC-4400MC, Mass Flow Controller, MFC, AR,CM, | ||
14877 | Horiba STEC | SEC-4400MC | 0224-00767, 560242 | Stec SEC-4400MC, 0224-00767, 560242, AR, 2 SLM, AR C.F. 1.000. | |
14878 | Horiba STEC | SEC-4400MC | Stec SEC-4400 MFC, SEC-4400MC, WF6 gasCM flow rate, S2448, rebuilt | ||
14879 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, HE, 200 SCCM, SEC-4400 | ||
14880 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, AR,M, SEC-4400, | ||
14881 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiH4,CM, SEC-4400 | ||
14882 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiH4, 300 SCCM, SEC-4400 | ||
14883 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiH4, 300 SCCM, SEC-4400 | ||
14884 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiH4, 300 SCCM, SEC-4400 | ||
14885 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, WF6, 200 SCCM, SEC-4400 | ||
14886 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, WF6CM, SEC-4400 | ||
14887 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, WF6CM, SEC-4400 | ||
14888 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SF6,M, SEC-4400 | ||
14889 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiF4, 20 SCCM, SEC-4400 | ||
14890 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, WF6, 200 SCCM | ||
14891 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiF4cm | ||
14892 | Horiba STEC | SEC-4400MC | STEC SEC-4400MC-UC-G2 Mass Flow Controller, MFC, N2CCM | ||
14893 | Horiba STEC | SEC-4400MC | Stec MFC Mass Flow Controller SEC-4400MC CH3F gas 20 SCCM range | ||
14894 | Horiba STEC | SEC-4400MC | STEC MFC SEC-4400MC-UC, O2 100 SCCM | ||
14895 | Horiba STEC | SEC-4400MC-146 | STEC SEC-4400MC-146 Mass Flow Controller, MFC, SiH2Cl2CM, SEC-4400 | ||
14896 | Horiba STEC | SEC-4400MC-G2 | STEC SEC-4400MC-G2 Mass Flow Controller, MFC, N2, 300 SCCM, SEC-4400 | ||
14897 | Horiba STEC | SEC-4400MC-RUC | STEC SEC-4400MC-RUC Mass Flow Controller, MFC, Ar, 200 SCCM, SEC-4400 | ||
14898 | Horiba STEC | SEC-4400MC-RUC | STEC SEC-4400MC-RUC MFC, Mass Flow Controller, O2CM, SEC-4400 | ||
14899 | Horiba STEC | SEC-4400MC-RUC | STEC SEC-4400MC-RUC MFC, WF6, 200 SCCM, SEC-4400, AMAT 3030-04784 | ||
14900 | Horiba STEC | SEC-4400MC-RUC | STEC SEC-4400MC-RUC Mass Flow Controller, MFC, Ar, 200 SCCM, SEC-4400 | ||
14901 | Horiba STEC | SEC-4400MC-RUC | STEC SEC-4400MC-RUC Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 | ||
14902 | Horiba STEC | SEC-4400MC-RUC-G | STEC SEC-4400MC-RUC-G Mass Flow Controller, MFC, O2CM, SEC-4400 | ||
14903 | Horiba STEC | SEC-4400MC-RUC-G1 | Stec SEC-4400MC-RUC-G1, N2, 200 SCCM, Mass Flow Controller (Calibrated). 330206 | ||
14904 | Horiba STEC | SEC-4400MC-RUC-G2 | Horiba Stec SEC-4400, MFC, SEC-4400MC-RUC-G2, AR 1000 SCCM | ||
14905 | Horiba STEC | SEC-4400MC-RUG-G2 | STEC SEC-4400M Mass Flow Controller MFC HeM | ||
14906 | Horiba STEC | SEC-4400MC-RUG-G2 | STEC SEC-4400MC-RUG-G2 Mass Flow Controller MFC N2O,CM | ||
14907 | Horiba STEC | SEC-4400MC-RVC | Stec SEC-4400, MFC Mass Flow Controller, SEC-4400MC-RVC SiF4 20SCCM N2 | ||
14908 | Horiba STEC | SEC-4400MC-SUC | STEC SEC-4400MC-SUC Mass Flow Controller, MFC, HBR, 200 SCCM, SEC-4400 | ||
14909 | Horiba STEC | SEC-4400MC-SUC | STEC SEC-4400MC-SUC, Mass Flow Controller, MFC, CHF3, 300 SCCM, | ||
14910 | Horiba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC Mass Flow Controller, MFC, CF4CM, SEC-4400 | ||
14911 | Horiba STEC | SEC-4400MC-UC | Stec SEC-4400MC-UC, NF3,CM, MFC, Mass Flow Controller (Calibrated).422511 | ||
14912 | Horiba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC MFC, Mass Flow Controller, N2, 200 SCCM, SEC-4400M | ||
14913 | Horiba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC Mass Flow Controller, MFC, C2F6,M, SEC-4400 | ||
14914 | Horiba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC Mass Flow Controller, MFC, SF6,M, SEC-4400 | ||
14915 | Horiba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC, Mass Flow Controller, MFC, CH3FCM, | ||
14916 | Horiba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC MFC, Mass Flow Controller, CL2,CM, SEC-4400 | ||
14917 | Horiba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC Mass Flow Controller, MFC, C2F6,M, SEC-4400 | ||
14918 | Horiba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC Mass Flow Controller, MFC, BCl3CM, SEC-4400 | ||
14919 | Horiba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC Mass Flow Controller, MFC, BCl3CM, SEC-4400 | ||
14920 | Horiba STEC | SEC-4400MC-UC-G1 | STEC SEC-4400MC-G1 Mass Flow Controller, MFC, N2, 300 SCCM, SEC-4400 | ||
14921 | Horiba STEC | SEC-4400MC-UC-G2 | STEC SEC-4400MC-UC-G2 Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400 | ||
14922 | Horiba STEC | SEC-4400MC-UC-G2 | STEC SEC-4400MC-UC-G2 Mass Flow Controller MFC N2, 200 SCCM, 3030-05388 | ||
14923 | Horiba STEC | SEC-4400MO-SUC | Stec SEC-4400 MFC, SEC-4400MO-SUC CF4 Gas, 3 SLM Range | ||
14924 | Horiba STEC | SEC-4400MSR-MO | STEC SEC-4400MSR-MO Mass Flow Controller, MFC, WF6CM, SEC-4400 | ||
14925 | Horiba STEC | SEC-4400MSR-MO | STEC SEC-4400MSR-MO Mass Flow Controller, MFC, WF6CM, SEC-4400 | ||
14926 | Horiba STEC | SEC-4400R | STEC SEC-4400R Mass Flow Controller, MFC, SiH4,CM, SEC-4400 | ||
14927 | Horiba STEC | SEC-4400RC | STEC SEC-4400RC Mass Flow Controller, MFC, C3F8,M, SEC-4400 | ||
14928 | Horiba STEC | SEC-4400RC | Horiba Stec SEC-4400 MFC, SEC-4400RC, Ar GasM, S740252 | ||
14929 | Horiba STEC | SEC-4400RC | STEC SEC-4400RC Mass Flow Controller, C3F8,M, SEC-4400,; we are selling as parts | ||
14930 | Horiba STEC | SEC-4400RC | STEC SEC-4400RC Mass Flow Controller, C3F8,M, SEC-4400,; we are selling as parts | ||
14931 | Horiba STEC | SEC-4400RC | STEC SEC-4400RC Mass Flow Controller, MFC, C3F8,M, SEC-4400 | ||
14932 | Horiba STEC | SEC-4400RC | STEC SEC-4400RC Mass Flow Controller, MFC, HBR, 200 SCCM, SEC-4400 | ||
14933 | Horiba STEC | SEC-4400RC-291 | Stec SEC-4400 MFC, SEC-4400RC-291 SiH4 Gas,CM Range, S520011 | ||
14934 | Horiba STEC | SEC-4400RO | STEC SEC-4400RO Mass Flow Controller, MFC, AR, 5 SCCM, SEC-4400,; we are selling as parts | ||
14935 | Horiba STEC | SEC-4500 | SEC-4500MC-197 | Horiba STEC SEC-4500 Mass Flow Controller MFC, N2 20 SLM, SEC-4500MC-197 | |
14936 | Horiba STEC | SEC-4500 | Horiba Stec, SEC-4500, SEC-4500MO-UC MFC, O2 gas range, S24261 | ||
14937 | Horiba STEC | SEC-4500M | Horiba Stec, SEC-4500M, Mass Flow Controller, 20 SLM H2 | ||
14938 | Horiba STEC | SEC-4500M | Horiba Stec, SEC-4500M, Mass Flow Controller, 20 SLM H2 | ||
14939 | Horiba STEC | SEC-4550 | STEC SEC-4550 MFC, N2, 30 SLM, used | ||
14940 | Horiba STEC | SEC-4550 | STEC SEC-4550 MFC, N2, 30 SLM, used | ||
14941 | Horiba STEC | SEC-4550 | STEC SEC-4550 MFC, N2, used | ||
14942 | Horiba STEC | SEC-4550 | STEC SEC-4550 MFC, O2,, used | ||
14943 | Horiba STEC | SEC-4550 | STEC SEC-4550MC Mass Flow Controller, MFC, O2,; | ||
14944 | Horiba STEC | SEC-4600R | NEW Stec SEC-4600 MFC, SEC-4600R, N2, S2797 | ||
14945 | Horiba STEC | SEC-4600R | STEC SEC-4600R Mass Flow Controller, MFC, N2 | ||
14946 | Horiba STEC | SEC-7330 | Horiba Stec, SEC-7330 MFC, SEC-7330M, SiH2Cl2 Gas, 200 SCCM Range, S2821011 | ||
14947 | Horiba STEC | SEC-7330 | Horiba Stec, SEC-7330 MFC, SEC-7330M, SiH4 Gas, 300 SCCM Range, S1312402 | ||
14948 | Horiba STEC | SEC-7330M | Horiba Stec, SEC-7330M, Mass Flow Controller, SIH4 200 SCCM | ||
14949 | Horiba STEC | SEC-7330M | SEC-7330MC | Horiba STEC SEC-7330 Mass Flow Controller, SIH4, 1 SLM, SEC-7330M | |
14950 | Horiba STEC | SEC-7330M | Horiba Stec, SEC-7330M, Mass Flow Controller, SIH4 200 SCCM | ||
14951 | Horiba STEC | SEC-7330M | Horiba STEC SEC-7330 Mass Flow Controller, MFC, HE,CM, SEC-7330M | ||
14952 | Horiba STEC | SEC-7330M | SEC-7330MC | Horiba STEC SEC-7330 Mass Flow Controller, SIH4, 1 SLM, SEC-7330M | |
14953 | Horiba STEC | SEC-7340 | STEC MFC Mass Flow Controller SEC-7340 10SLM Gas N2 ID | ||
14954 | Horiba STEC | SEC-7340 | STEC MFC Mass Flow Controller SEC-7350 15SLM Gas N2 ID | ||
14955 | Horiba STEC | SEC-7340 | Horiba Stec, SEC-7340, Mass Flow Controller, AR 2 SLM | ||
14956 | Horiba STEC | SEC-7340 | Horiba Stec, SEC-7340, Mass Flow Controller HE, 22-132267-00 | ||
14957 | Horiba STEC | SEC-7340M | STEC MFC Mass Flow Controller SEC-7340M 3SLM Gas NF3 ID | ||
14958 | Horiba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller HE | ||
14959 | Horiba STEC | SEC-7340M | 22-186058-00 | Horiba Stec, SEC-7340M, Mass Flow Controller, 5 SLM N2, 22-186058-00 | |
14960 | Horiba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller, SIF4 2SLM, 22-120356-00 | ||
14961 | Horiba STEC | SEC-7340M | 22-186058-00 | Horiba Stec, SEC-7340, Mass Flow Controller, 5 SLM N2, 22-186058-00 | |
14962 | Horiba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller, N2 2SLM | ||
14963 | Horiba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller, SIF4 2SLM | ||
14964 | Horiba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller HE | ||
14965 | Horiba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller HE | ||
14966 | Horiba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller, 5 SLM N2 | ||
14967 | Horiba STEC | SEC-7340M | 22-186060-00 | Horiba Stec, SEC-7340M, Mass Flow Controller, N2 10 SLM, 22-186060-00 | |
14968 | Horiba STEC | SEC-7340M | STEC SEC-7340M Mass Flow Controller, MFC, Ar, 3 SLM, AMAT, 3030-10980 | ||
14969 | Horiba STEC | SEC-7340MC | Horiba Stec, SEC-7340M, Mass Flow Controller, N2 2SLM | ||
14970 | Horiba STEC | SEC-7340MC | 22-186060-00 | Horiba Stec, SEC-7340M, Mass Flow Controller, N2 10 SLM, 22-186060-00 | |
14971 | Horiba STEC | SEC-7350 | Horiba STEC SEC-7350 Mass Flow Controller, MFC, SEC-7350M, NH3 | ||
14972 | Horiba STEC | SEC-7350 | Horiba STEC SEC-7350 Mass Flow Controller, MFC, SEC-7350M, NH3 | ||
14973 | Horiba STEC | SEC-7350 | Horiba STEC SEC-7350 Mass Flow Controller, MFC, SEC-7350M, NH3 | ||
14974 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC CF4, 5 SLM, SEC-7350MC, SEC-7350M | ||
14975 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC NH3 20 SLM SEC-7350M C, SEC-7350M | ||
14976 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC, O2 20 SLM, SEC-7350MC, SEC-7350M | ||
14977 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC N2O 20 SLM SEC-7350M C, SEC-7350M | ||
14978 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC, O2 20 SLM, SEC-7350MC, SEC-7350M | ||
14979 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC, O2 20 SLM, SEC-7350MC, SEC-7350M | ||
14980 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC, O2 20 SLM, SEC-7350MC, SEC-7350M | ||
14981 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC, O2 20 SLM, SEC-7350MC, SEC-7350M | ||
14982 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC N2O 20 SLM SEC-7350M C, SEC-7350M | ||
14983 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC N2O 20 SLM SEC-7350M C, SEC-7350M | ||
14984 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC N2O 20 SLM SEC-7350M C, SEC-7350M | ||
14985 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC N2O 20 SLM SEC-7350M C, SEC-7350M | ||
14986 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC, O2 20 SLM, SEC-7350MC, SEC-7350M | ||
14987 | Horiba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC, O2 20 SLM, SEC-7350MC, SEC-7350M | ||
14988 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, C2F6, 5 SLM | ||
14989 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, NH3 10 SLM | ||
14990 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, NH3 10 SLM | ||
14991 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, C2F6, 5 SLM | ||
14992 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, C2F6, 5 SLM | ||
14993 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, C2F6, 5 SLM, 22-023873-00 | ||
14994 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, NH3 10 SLM | ||
14995 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, C2F6, 5 SLM | ||
14996 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, O2, 20 SLM | ||
14997 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, N2, 20 SLM | ||
14998 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, N2, 20 SLM, 01-08311 | ||
14999 | Horiba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, NH3 10 SLM | ||
15000 | Horiba STEC | SEC-7350MC | Horiba Stec Sec-7350, SEC-7350MC, C2F6, 5 SLM, 22-023873-00 | ||
15001 | Horiba STEC | SEC-7440 | Horiba Stec, SEC-7440 MFC, SEC-7440MC, He Gas, 200 SCCM Range, S234237 | ||
15002 | Horiba STEC | SEC-7440M | STEC SEC-7440M Mas Flow Controller, MFC, O2, 5 SLM, AMAT 3030-02717 | ||
15003 | Horiba STEC | SEC-7440M | STEC SEC-7440M Mas Flow Controller, MFC, O2, 5 SLM, AMAT 3030-02717 | ||
15004 | Horiba STEC | SEC-7440MC | AMAT | 3030-02384 | Stec MFC ,mass flow controller, SEC-7440MC, O2 100 SCCM, AMAT 3030-02384 |
15005 | Horiba STEC | SEC-7440MC | Stec SEC-7440 MFC, SEC-7440MC, He,CM gas range, S 8471 | ||
15006 | Horiba STEC | SEC-7440MC | Stec SEC-7440 MFC, SEC-7440MC, He, 20 SCCM gas range, S3754 | ||
15007 | Horiba STEC | SEC-E60 | Horiba STEC SEC-E60 Mass Flow Controller, MFC, N2, | ||
15008 | Horiba STEC | SEC-F730M | Horiba Stec, SEC-F730M A1 MFC, SiH4 Gas, 20 SCCM Range, S 281301 | ||
15009 | Horiba STEC | SEC-F730M | Horiba STEC SEC-F730M Mass Flow Controller, MFC, N2, 20 SCCM | ||
15010 | Horiba STEC | SEC-F730M | Horiba STEC SEC-F730M Mass Flow Controller, MFC, N2, 20 SCCM | ||
15011 | Horiba STEC | SEC-F730M | Horiba STEC SEC-F730M Mass Flow Controller, MFC, N2, 20 SCCM | ||
15012 | Horiba STEC | SEC-F730M | STEC SEC-F730M Mass Flow Controller, MFC, N2, 20 SCCM | ||
15013 | Horiba STEC | SEC-F740M | Stec MFC SEC-F740M A1, H2 looks clean | ||
15014 | Horiba STEC | SEC-V100 | SEC-V121DM | Stec SEC-V100 MFC Ar, SEC-V121DM Model, Clean | |
15015 | Horiba STEC | SEC4400MC-UC | Horiba Stec, SEC-4400MC-UC, Mass Flow Controller, BCI3 100 SCCM | ||
15016 | Horiba STEC | SEF-1R | 2422032 | Stec SEF-1R Floline, N2, 20 SLM, 2422032, Flow, Meter. | |
15017 | Horiba STEC | SEF-1R | 2422032 | Stec SEF-1R Floline, N2, 20 SLM, 2422032, Flow, Meter. | |
15018 | Horiba STEC | SEF-7350M | STEC SEF-7350M Mass Flow Meter, N2, 20 SLM, SEF-7350,; we are selling as parts | ||
15019 | Horiba STEC | SEF4400M | STEC Mass flow meter SEF4400M N2 100sccm with cal sheet | ||
15020 | Horiba STEC | STEC LF-F40M-A-EVD | Horiba STEC LF-F40M-A-EVD LFC Liquid Flow Controller, Ethanol, 3.0 g/min | ||
15021 | Horiba STEC | SV-P1000 | STEC MFC SV-P1000, TYPE SV-P1101 looks clean | ||
15022 | Horiba STEC | STEC MFC, Mass Flow Controller, SEC-4400, N2CM | |||
15023 | Horiba STEC | LF-310A-EVD | AMAT | 3030-05748 | STEC LF-310A-EVD Liquid Flow Controller LFC TEPO 0.2g/min AMAT 3030-05748 |
15024 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, O2CM, AMAT 3030-06426 | ||
15025 | Horiba STEC | SEC-4400M | Horiba Stec Sec-4400, SFC-4400M, SiH4 200 SCCM, AMAT 3030-04789 | ||
15026 | Horiba STEC | SEC-4400M | Horiba Stec Sec-4400, SEC-4400M, SiH4 200 SCCM, AMAT 3030-04789 | ||
15027 | Horiba STEC | SEC-4400M | Horiba Stec Sec-4400, SEC-4400M, SiH4 200 SCCM | ||
15028 | Horiba STEC | SEC-4400MC | Horiba Stec Sec-4400, SEC-4400MC, C2F6,M | ||
15029 | Horiba STEC | SEC-4400MC | Horiba Stec Sec-4400, SEC-4400MC, SiH4 200 SCCM | ||
15030 | Horiba STEC | SEC-4400MC-660 | Horiba Stec Sec-4400, SEC-4400MC-660, C2F6,M | ||
15031 | Horiba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, WF6M, SEC-4400 | ||
15032 | Horiba STEC | SEC-4400MC-RUC-G2 | STEC SEC-4400MC-RUC-G2 Mass Flow Controller, MFC, N2CCM, SEC-4400 | ||
15033 | HP | 4396B | HP 4396B Network Spectrum Impedance Analyzer | ||
15034 | HP | E6996-66502 | HP E6996-66502 Relay Module, PCB, A3748-00612, Verigy; | ||
15035 | HP | 6110A | HP 6110A High Voltage DC Power Supply, 0-3000V, 0-6MA | ||
15036 | HP | 3763A | HP 3763A Error Detector, Agilent | ||
15037 | HP | 6672A | HP 6672A DC Power Supply, 0-20V/0-100A. | ||
15038 | HP | 208A | HP 208A Test Oscillator | ||
15039 | HQ Power | PS1503SBU | HQ Power PS1503SBU DC Power Supply, RS1135 | ||
15040 | HQ Power | PS3003U | HQ Power PS3003U Power Supply, 0-30V, 0-3A | ||
15041 | HQ Power | PS3003U | HQ Power PS3003U Power Supply, 0-30V, 0-3A | ||
15042 | Hroiba Stec | SEC-4400RC-291 | Stec SEC-4400RC-291 Mass Flow Controller, MFC, SiH4,CM | ||
15043 | HTC | 1000WOG | CF8M | 7660 | HTC Ball ValveG, CF8M, 7660, 2316 |
15044 | HTS | AWH-052-020D | HTS Amptek AWH-052-020D 2FT x 0.5IN, 156 Watts, 240 Volts | ||
15045 | Hubbell | HBL4720C | 10 New Vari-Size Cord Grip HUBBELL HBL4720C, 15 Amp Plug | ||
15046 | Hubbell | GF5252IA | Hubbell GF5252IA Ground Fault Device, 20A, 125VAC | ||
15047 | Hubbell | HBL2715 | Hubbell HBL2715, Flanged Inlet, Twist-Lock, Nylon, Three Pole | ||
15048 | Hubbell | HBL5266C | Lot of 3 Vari-Size Cord Grip HUBBELL HBL5266C | ||
15049 | Hubbell | GF5252GY | Hubbell GF5252GY, GFCI, Ground Fault Circuit Interrupter | ||
15050 | Hubbell | SHC1041CRNR | Hubbell SHC1041CRNR Cord Connector, Nylon, N.P.T | ||
15051 | Hubbell | HBL5279C | Hubbell HBL5279C Flanged Nylon Receptacle, Replaces 5279C | ||
15052 | Hubble | 3D209 | Graingers | HBL3578C | 5 Graingers 3D209 Inlet, 20A 125V 5-20P Flanged, Hubbel Plug,HBL5378C |
15053 | Humphery | CT-8 | New Humphery CT-8 Pneumatic cylinder Semitool 72068-185 8DPI .5m / pb84 ct8 rs3 | ||
15054 | Humphrey | 062 4e1 | Solenoid valve, general purpose, 24VAC | ||
15055 | Humphrey | 062E1-3-10-20-36-FLY | Humphrey 062E1-3-10-20-36-FLY Solenoid Valve, 24V,z 4.5W 0-125 PSI | ||
15056 | Humphrey | 250E1 3 10 20 36 | Humphrey 250E1 3 10 20 36 Solenoid Valve, 30-125 PSI | ||
15057 | Humphrey | 410 21 36 70 | Humphrey 36 70 Solenoid Valve, 24VDC, 4.5W, VAC-125 P.S.I. | ||
15058 | Humphrey | D0006-13A | Humphrey Solenoid Valve D0006-13A | ||
15059 | Humphrey | H040-4E1 | Humphrey H040-4E1 Air Valve | ||
15060 | Humphrey | H040M164 | Humphrey H040M164, Sun-X SL-MP16, BES-6771-001 RevC, 16 Station Manifold. | ||
15061 | Humphrey | H110M2A | Humphrey H110M2A, Solenoid Valve, Manifold w/ Air Logic R-7100 Regulator. | ||
15062 | Humphrey | H110M2A | Humphrey H110M2A, Solenoid Valve Mainfold, 2 HA110-4E2-PSL. | ||
15063 | Humphrey | HA040-4E1 | Humphrey HA040-4E1 Air Valve | ||
15064 | Humphrey | HG10T3-80-PS3 | Norgren | Ro7-100-RGKA | Humphrey HG10T3-80-PS3, Norgren Ro7-100-RGKA, Manifold Assembly. |
15065 | Humphrey | HRAPS5-90-S | Humphrey HRAPS5-90-S, Rotary Actuator. | ||
15066 | Humphrey | CT-8 | Semitool | 72068-185 | Humphrey CT-8 Cylinder Pneumatic .50 Bore Kit, Semitool 72068-185 |
15067 | Humphrey | CT-8 | Semitool | 72068-185 | 2 Humphrey CT-8 Cylinder Pneumatic .50 Bore Kit, Semitool 72068-185 |
15068 | Humphrey | H181E1 | 2 Humphrey H181E1 Solenoid Valves, 20-100 PSI | ||
15069 | Humphrey | H181E1 | 2 Humphrey H181E1 Solenoid Valves, 20-100 PSI | ||
15070 | Hunnington | G.450 | 10 Hunnington Conflank flange G.450, Copper Ring, 3.8″ inches. | ||
15071 | Huntington | PV-150-SF | USED HUNTINGTION ISOLATION VALVE PV-150-SF | ||
15072 | Huntington | Unknown | Huntington 4 Way Valve | ||
15073 | Huntington Labs | 29-12227-01 | Huntington Labs 29-12227-01 Linear Motion Feedthrough, High Vacuum | ||
15074 | Huntington Labs | 29-12227-01 | Huntington Labs 29-12227-01 Linear Motion Feedthrough, High Vacuum | ||
15075 | Huntington Labs | 29-12227-01 | Huntington Labs 29-12227-01 Linear Motion Feedthrough, High Vacuum | ||
15076 | Huntington Mechanical Laboratories, Inc. | CT-103 | Huntington CT-103 Coaxial Foreline Trap Sealed Body 1″ Hose w/ Tee Line | ||
15077 | HUSSEL | H320P | HUSSEL H320P Plug IECmpatible | ||
15078 | Huttinger Elektronik Trumpf | PFG 1000 RF | Huttinger Elektronik Trumpf PFG 1000 RF Generator, RS1279 | ||
15079 | HVA | 1-1210-0601 | AMAT | HVA Gate Valve, AMAT, 1-1210-060, 93-27790 | |
15080 | HVA | 1121-0601X-001 | HVA 1121-0601X-001 Vacuum Gate Valve, Isolation, Housing Flange | ||
15081 | HVA | 11211-0400X-001 | HVA 11211-0400X-001 Gate Valve, | ||
15082 | HVA | 21210-02562-002 | New HVA gate valve 21210-02562-002 | ||
15083 | HVA | 21241-0709R-001 | VK3240L | HVA 21241-0709R-001, VK3240L, Gate Valve. | |
15084 | HydePark | SM300A-460-00FP | HydePark SM300A-460-00FP Ultrasonic Sensor, 12-24 VDC, 25mA, | ||
15085 | Hyprez | 15LM115V | Enigis | Hyprez Enigis 15LM115V Wafer Polishing Lapping Machine,115v, 5A, AMT | |
15086 | I.S.I. | 350400-03 | I.S.I.-03 Board, PCB,-01 | ||
15087 | I.S.I. | 350400-03 | I.S.I.-03 Board, PCB,-01 | ||
15088 | I.S.I. | 350400-03 | I.S.I.-03 Board, PCB,-01 | ||
15089 | I.S.I. | 350400-03 | I.S.I.-03 Board, PCB,-01 | ||
15090 | I.S.I. | 350400-02 | I.S.I.-02 Board, PCB,-01 | ||
15091 | I.S.I. | 350400-03 | I.S.I.-03 Board, PCB,-01 | ||
15092 | I.S.I. | 350050-02 | I.S.I.-02 PCA Board,, PCB | ||
15093 | I.S.I. | 350050-02 | I.S.I.-02 PCA Board,, PCB | ||
15094 | I.S.I. | 350020-10 | I.S.I.-10 PCA Board, PCB PW | ||
15095 | I.S.I. | 350330-05 | I.S.I.-05 Board, PCB-01 | ||
15096 | I.T.E. | PW125RA2400F02 | I.T.E. PW125RA2400F02 Wide Range L.T.E. Power Supply | ||
15097 | IAI | RCP-C-RSAI | Robocylinder RSAIL-100 | ||
15098 | Ibiden, Co., LTD. | PGA-303U-FJC | Fujitsu | 49 Ibiden, Co., LTD. PGA-303U-FJC Chips, Fujitsu | |
15099 | IBM | 03L3051 | IBM CD Driver 1969-010, 1S1969010970004295, P/N 03L3051 | ||
15100 | IBM | 02R2373 | IBM 02R2373 PCB Board | ||
15101 | IBM | 02R2373 | IBM 02R2373 PCB Board | ||
15102 | IBM | 02R2373 | IBM 02R2373 PCB Board | ||
15103 | IBM | 02R2373 | IBM 02R2373 PCB Board | ||
15104 | IBM | 02R2373 | IBM 02R2373 PCB Board | ||
15105 | IBM | 02R2373 | IBM 02R2373 PCB Board | ||
15106 | IBM | 02R2373 | IBM 02R2373 PCB Board | ||
15107 | IBM | 287810U | IBM ThinkPad Mini-Dock 287810U | ||
15108 | IBM | AP5200IF | IBM single board computer, AP5200IF, V1.2 | ||
15109 | IBM | X345 | FRU 48P9029 | IBM X345 FRU 48P9029 Operator Information Card, PCB | |
15110 | IBM | X345 | FRU 48P9029 | IBM X345 FRU 48P9029 Operator Information Card | |
15111 | IC sensors | 3145-050 | IC Sensors 3145-050 lot’s of 2 | ||
15112 | ICE | MIO-A-2-608 | Analog voltage 2 point output module looks new | ||
15113 | ICE | MIO-IDC-32-300 | ICE MIO-IDC-32-300, 24VDCnt Input Modules | ||
15114 | ICPCON | 80188-40 | ICPCON CPU 80188-40 ETHENET 10 BASE T, C-7188EX CONTROLLER | ||
15115 | ICSensors | 3145-100 | ICSensors 3145-100 Sensor Cable Assy w/ Calibration Data Sheet | ||
15116 | ICSensors | 3145-100 | ICSensors 3145-100 Sensor Cable Assy w/ Calibration Data Sheet | ||
15117 | ICSensors | 3145-100 | ICSensors 3145-100 Sensor Cable Assy w/ Calibration Data Sheet | ||
15118 | Ideal Vacum Products | GL4303 | 2 Ideal Vacum Products GL4303, Adapt, NW16 – 1/2″ VCR, F, ID | ||
15119 | IDEC | 3104600 | IDEC Type NRBM 3111 Circuit Protect, Metron 3104600, CB, 15A, 3P, VDE | ||
15120 | IDEC | BNH15LW P | IDEC BNH15LW Pces | ||
15121 | IDEC | HWIB-V4F02-R | Idec Corporation HWIB-V4F02-R Pushbutton, Lot of two | ||
15122 | IDEC | 4H-23-NE | 9 IDEC 4H-23-NE Lamp Pilot Leo Square | ||
15123 | IDEC | ABD111N | IDEC ABD111N Industrial push button switch with black, green, and red covers | ||
15124 | IDEC | BNH30W | 25 idec BNH30W TERMINAL BLOCK, DIN RAIL, 1POS, 18-10AWG | ||
15125 | IDEC | GT3A-6AD24 | IDEC GT3A-6AD24, Electronic Timer, DPDT, 24VAC/DC. | ||
15126 | IDEC | HW4B-V401-R EMO-2-NVLS | IDEC HW4B-V401-R EMO-2-NVLS Switch | ||
15127 | IDEC | NRBM3111 | Metron | 3104600 | IDEC Circuit Protector NRBM3111, Metron 3104600, 15A, 3 Phase, VDE |
15128 | IDEC | PS5R-D24 | IDEC PS5R-D24 Din Rail Mount Power Supply, WITH POWER CORD | ||
15129 | IDEC | PS5R-E24 | IDEC PS5R-E24ower Supply | ||
15130 | IDEC | PS5R-SF24 | IDEC PS5R-SF24 Power Supply, 120W | ||
15131 | IDEC | RH1B-4 | 10 IDEC RH1B-4, Relay, AC24V, 67Y19. | ||
15132 | IDEC | RTE-B1AD24 | idec RTE-B1AD24 Electronic Timer | ||
15133 | IDEC | XW1E-BV413MR | IEDEC Type XW1E-BV413MR Emergency Stop Button | ||
15134 | IDEC | XW1E-BV413MR | 2 IDEC Type XW1E-BV413MR Emergency Stop Button | ||
15135 | IDEC | RTE-B2AD24 | 2 IDEC RTE-B2AD24 Electronic Timer | ||
15136 | IDEC | SR3B-05 | 2 IDEC SR3B-05 10A 300V Relay Socket Base | ||
15137 | IDEC | SY4S-05 | 2 IDEC SY4S-05 Cube Relay 94X01 Relay Socket | ||
15138 | IDEC | RH2B-ULC | SH2B-05C | 3 Idec RH2B-ULC Cube Relay w/ SH2B-05C Relay Socket | |
15139 | IDEC | RH2B-ULC | SH2B-05C | 3 Idec RH2B-ULC Cube Relay w/ SH2B-05C Relay Socket | |
15140 | IDEC | DC24V | SY2S-05 | 1 Lot of 6 Idec DC24V Relay w/ SY2S-05 Base | |
15141 | IDEC | RH2B-ULC | SH2B-05C | 1 Lot of 3 Idec RH2B-ULC Cube Relay w/ SH2B-05C Relay Socket | |
15142 | IDEC Izumi Corp. | PS5R-SF24 | IDEC PS5R-SF24 Power Supply, 120W,z0VAC, 1.8A, RS1262 | ||
15143 | IDI | 1-140-146 | IDI 1-140-146, (IDI PUMP), Block, Bottom, 1 Output-M300. | ||
15144 | IDI | 221-962-116-000 | IDI Resist Pump Type 1000 Transducer 221-962-116-000 Integrated Design 1-130-018 | ||
15145 | IDI | 301-M6L1 | 301-M6L1-S IDI Pumpless Pump ADS Unit To Switch Between Two Bottles | ||
15146 | IDK | VAC-2000ES | 1D86-005699-13, 1D86-007576-14 | IDK Corp VAC-2000ES, RGB VIdeo Distribution Amplifier, input, output cables. | |
15147 | IFC | SE10-104 | IFC10 SE10-104 PCB BOARD AP-401A HI03298A ID | ||
15148 | IFC10 | SE10-104 | IFC10, SE10-104 PCB, BOARD CONTROLLER | ||
15149 | IFC10 | SE10-104 | IFC10, SE10-104 PCB, BOARD CONTROLLER | ||
15150 | IFC10 | SE10-104 | IFC10, SE10-104 PCB, BOARD CONTROLLER | ||
15151 | IFC10 | SE10-104 | IFC10, SE10-104 PCB, BOARD CONTROLLER | ||
15152 | IFC10 | SE10-104 | IFC10, SE10-104 PCB, BOARD CONTROLLER | ||
15153 | IFM | SM6001 | IFM Efector SM6001 Magnetic Inductive Flow Meter, RS1133 | ||
15154 | IFM | SM6001 | IFM Efector SM6001 Magnetic Inductive Flow Meter, RS1134 | ||
15155 | IFM | 0G5119 0GH-FPKG/V4A/US | 2 IFM 0G5119 0GH-FPKG/V4A/US Photo Electric Sensor Assy | ||
15156 | IFM | KG5067 | IFM KG5067 Capacitive Cylindrical Proximity Sensor | ||
15157 | IFM | IFC259 | IFM IFC259 Proximity Switch, Cable | ||
15158 | ifm elctronic | KG6000 | ifm electronic KG6000, KG-3150NFPKG/PL/2P/US/IO, AA 1904 4264 | ||
15159 | ifm elctronic | KG6000 | ifm electronic KG6000, KG-3150NFPKG/PL/2P/US/IO, AA 1904 4264 | ||
15160 | IGC | FI-5A | IGC FI-5A Polycold Cryogenic Refrigeration Unit, R2000 Series, 940068-12 | ||
15161 | IGC Polycold | 11102-05 | IGC Polycold Cryotiger water pump 11102-05 | ||
15162 | IKK | SCS316L | IKK SCS316L, Hose, NW, Vacuum Bellow, pipe 10″.,, | ||
15163 | IKO | E75 | IKO NIPON THOMPSON LWM 2 E75 LINEAR RAIL 1.5X19 | ||
15164 | IKO | LWL12C1R200H | IKO LWL12C1R200H Linear Guide, LM, Elevator | ||
15165 | IKO | LWL12C1R270H | IKO LWL12C1R270H Linear Guide, LM TT, Nippon Thompson | ||
15166 | IKO | NART5VR | 96 IKO NART5VR Needle Roller Follower Bearing, Outer Ring 16x5x12mm | ||
15167 | IKO | NAX1223 | Nippon Thompson Co. | 6 IKO NAX1223 Z Needle Bearing, Nippon Thompson | |
15168 | Illumina | 250878 | ILLUMINA ASSY 250878 CCA, COMM, ACHIMEDES, 250659, DCDC converter CPD250-4530G, PCU-201 | ||
15169 | ILX Lightwave | LDC-3722B | ILX Lightwave LDC-3722B LASER DIODE CONTROLLER | ||
15170 | Imation | NS20 | Travan | Imation Travan NS 20 GB Tape | |
15171 | IMS | Vanguard | IMS Vanguard w/ 2 AT8000B Programmable DC System 2 Agilent 6651A DC Power Supply | ||
15172 | Imtec Acculine | 10-000-0126 | QZ series accubath, Constant temperature, Quartz process bath system, QZ-A1252 00R | ||
15173 | Industrial | 790-054242-007 | Industrial gas springs 790-054242-007 | ||
15174 | Industrial Control Equipment | MIO-A-2-608 | Industrial Control Equip. MIO-A-2-608 Analog Voltage, 2 Pt Output Module | ||
15175 | Industrial Control Equipment | MIO-A-2-608 | Industrial Control Equip. MIO-A-2-608 Analog Voltage, 2 Pt Output Module | ||
15176 | Industrial Control Equipment | MIO-A-2-608 | 950626.A | Industrial Control Equip. MIO-A-2-608 Analog Voltage, 2 Pt Output Module | |
15177 | Industrial Control Equipment | MIO-A-2-608 | 950626.A | Industrial Control Equip. MIO-A-2-608 Analog Voltage, 2 Pt Output Module | |
15178 | Industrial Control Equipment | MIO-A-2-608 | 119-101-400 | Industrial Control MIO-A-2-608 Analog Voltage, Output Module 119-101-400 | |
15179 | Industrial Control Equipment | MIO-A-2-608 | 119-101-400 | Industrial Control MIO-A-2-608 Analog Voltage, Output Module 119-101-400 | |
15180 | Industrial Control Equipment | MIO-A-2-608 | Industrial Control Equip. MIO-A-2-608 Analog Voltage, 2 Pt Output Module | ||
15181 | Industrial Control Equipment | MIO-A-2-608 | Industrial Control Equip. MIO-A-2-608 Analog Voltage, 2 Pt Output Module | ||
15182 | Industrial Control Equipment | MIO-A-2-608 | 950626.A | Industrial Control Equip. MIO-A-2-608 Analog Voltage, 2 Pt Output Module | |
15183 | Industrial Control Equipment | MIO-A-2-608 | 950626.A | Industrial Control Equip. MIO-A-2-608 Analog Voltage, 2 Pt Output Module | |
15184 | Industrial control equipment / Berkeley Process, | MIO-A-2-608 | analog voltage 2 point output I/O module | ||
15185 | Industrial control equipment / Berkeley Process, | MIO-A-4-600 | Lot of 4, analog voltage 4 point input modules | ||
15186 | Industrial control equipment / Berkeley Process, | MIO-EXP10 | Industrial control equipment BP693CHS392J, MIO-EXP10, expansion boardts | ||
15187 | Industrial control equipment / Berkeley Process, | MIO-IDC-32-300 | Lot of 4nt input modules 24VDC | ||
15188 | Inertia | 1704-0041 | AMAT | 0010-03419 | Harmonic, Assy, Roller Drive, Inertia Dynamics 1704-0041, AMAT 0010-03419 |
15189 | Inertial Dynamics | 9700-9534-01 | Intertia Dynamics 9700-9534-01 Rev B | ||
15190 | INES UNLIMITED | A 21-96 | 2 INES UNLIMITED A 21-96 COMPUTER DYNAMICS VAMP FRAME BUFFER KM68257CJ-15 | ||
15191 | Inficon | 350140 | Infinicon AG0 Pirani Gauge AF LI-9496 Balzers | ||
15192 | Inficon | 600-1008-P15 | Inficon 60-1008-P15 Power Supply Extension Cable | ||
15193 | Inficon | BCG450-SD | Inficon Balzers BCG450-SD TripleGauge Vacuum Gauge,7, RS1209 | ||
15194 | Inficon | BCG450-SD | Inficon Balzers BCG450-SD TripleGauge Vacuum Gauge,7, RS1210 | ||
15195 | Inficon | BGP400 | Inficon AG BPG400 Pirani Vacuum Gauge,0, LI-9496 Balzers, | ||
15196 | Inficon | BGP400 | Inficon AG BPG400 Pirani Vacuum Gauge,0, FL-9496 Balzers, | ||
15197 | Inficon | BPG400 | 353-500 | Inficon BPG400 Pirani Vacuum Gauge,0,0, RS1211 | |
15198 | Inficon | CDG045-SD | Infinicon CDG045-SD Sky Capacitance Diaphragm Gaugeorr, 365-301 | ||
15199 | Inficon | CDG045-SD | Infinicon CDG045-SD Sky Capacitance Diaphragm Gaugeorr, 365-301 | ||
15200 | Inficon | CDG045-SD | Infinicon CDG045-SD Sky Capacitance Diaphragm Gaugeorr, 365-301 | ||
15201 | Inficon | CDG045-SD | Infinicon CDG045-SD Sky Capacitance Diaphragm Gaugeorr, 365-301 | ||
15202 | Inficon | CDG100A | PG62 | Infinicon CDG100A Capacitance Diaphragm Gauge, PG62r, FL-9496 Balzers | |
15203 | Inficon | CDG100A | INFICON AG, LI-9496 Balzers, CDG100ARR | ||
15204 | Inficon | CDG100A | Inficon Capacitance Diaphragm Gauge CDG100A , 1333.22Pa | ||
15205 | Inficon | CPM200 | Inficon transceptor CPM200 Residual gas analyzer RGA | ||
15206 | Inficon | CR090 | Inficon CR090 Capacitance Diaphram Gauge | ||
15207 | Inficon | CR090 | Inficon CR090 Capacitance Diaphram Gauge | ||
15208 | inficon | DN 40 ISO-KF | Inficon Thick Wall Vacuum Bellow, Metal hose DN-KF 250m | ||
15209 | Inficon | IPC400 | INFICON IPC400 Model # 922-602-G1 | ||
15210 | Inficon | Modul1000 | Inficon Modul1000 Leak Detector w/ BOC Edwards XDS5 Dry Scroll Dry Pump, RS1067 | ||
15211 | Inficon | PSG500-S | Balzers | 350-080 | Infinicon Balzers PSG500-S Pirani Gauge,0 |
15212 | Inficon | SKY | Inficon Sky Capacitance Diaphragm Gauge | ||
15213 | Inficon | VGC502 | 398-482 | Inficon VGC502 Vacuum Gauge Controller2, RS1155 | |
15214 | Inficon | VSA100A | Inficon VSA100A Vacuum Switch w/ 3m Cable1 | ||
15215 | Inficon | VSA100A | Inficon VSA100A Vacuum Switch w/ 3m Cable1 | ||
15216 | Inficon | XTM | Infinicon XTM Thickness monitor / Process controller | ||
15217 | Inficon | Inficon 12201 Ecotec II Helium leak detector | |||
15218 | Inficon AG | 3CD1-C5E-2300 | Balzers | Inficon AG 3CD1-C5E-2300 Capacitance Diaphragm Gaugerr, CDG100D | |
15219 | Inficon AG Balzers | BCG450-SD | 353-557 | Inficon Balzers BCG450-SD TripleGauge Vacuum Gauge,7,2 | |
15220 | Inficon AG Balzers | BCG450-SD | 353-557 | Inficon Balzers BCG450-SD TripleGauge Vacuum Gauge,7,2 | |
15221 | Inficon AG Balzers | 354-492 | 353-557 | Inficon Balzers2 Spare Sensor Head, BCG450,7 | |
15222 | Inficon AG Balzers | 354-490 | BPG400 | Inficon Balzers0 Pressure Gauge Sensor, BPG400 | |
15223 | Inficon AG Balzers | 354-492 | 353-557 | Inficon Balzers2 Spare Sensor Head, BCG450-SD,7 | |
15224 | Inficon Balzers | 354-490 | Inficon Balzers0 Pressure Gauge, BPG400, Sensor, RS1252 | ||
15225 | Inficon Balzers | 354-490 | Inficon Balzers0 Pressure Gauge, BPG400, Sensor, RS1253 | ||
15226 | Inficon Balzers | 354-490 | Inficon Balzers0 Pressure Gauge, BPG400, Sensor, RS1254 | ||
15227 | Inficon Balzers | 354-490 | Inficon Balzers0 Pressure Gauge, BPG400, Sensor, RS1255 | ||
15228 | Inficon Balzers | 353-500 | Inficon Balzers0 Pressure Gauge, BPG400, Sensor,0, RS1256 | ||
15229 | Inficon Balzers | BCG450-SD | 353-558 | Inficon Balzers BCG450-SD TripleGauge Vacuum Gauge,8,7 | |
15230 | Infineon | DDR2 | 19 memory 1 GB, Crucial , Infineon, 1GB 240-PIN DIMM 128Mx64 DDR2 | ||
15231 | Infineon | HYS72V16301GR-7.5-C2 | Infineon HYS72V16301GR-7.5-C2, 128 MB SYNCH, 133MHz, SDRAM | ||
15232 | Infineon | V23818-H18-L37 | F23059-V841 | 95/004307 | 5 Infineon V23818-H18-L37, Laser Transciever, 95/004307 |
15233 | Infotrend | 9270CmSASCab3 | Infotrend 9270CmSASCab3 Cable for Raid System | ||
15234 | Infranor | BAA-220T20A | Infranor BAA-220T20A Servo Controller, 220VAC, 3 Phase | ||
15235 | Infranor | BAA-220T20A | Infranor BAA-220T20A Servo Controller, 220VAC, 3 Phase | ||
15236 | Infranor | BAA-220T20A | Lot of 4, Servo controller. Factory surplus. Looks new | ||
15237 | Infranor | BAA-220T20A | Infranor BAA-220T20A Servo Controller, 220VAC, 3 Phase | ||
15238 | Infranor | BAA-220T20A | Infranor BAA-220T20A Servo Controller, 220VAC, 3 Phase | ||
15239 | Infranor | SMTAS-220/10 | SMTAS-220/17 | Infranor SMTAS Brushless Servo Controllers, 3 SMTAS-220/10, SMTAS-220/17 | |
15240 | Infranor | SMTAS 220/17 | Infranor SMTAS 220/17 Servo Controller, Amplifier, 957170 | ||
15241 | Infranor, Inc | SMTAS 220/17 | Infranor SMTAS 220/17 Servo Controller, Amplifier, 957170, RS1290 | ||
15242 | Infranor, Inc | SMTAS 220/17 | Infranor SMTAS 220/17 Servo Controller, Amplifier, 957170 | ||
15243 | Initia/Madge | IAP-20A | Initia/Madge IAP-20A AccessSwitch 20 Network Switch, ID | ||
15244 | Initia/Madge | IAP-20A | Initia/Madge IAP-20A Model 20 Network Switch, ID | ||
15245 | Initia/Madge | IAP-20A | Initia/Madge IAP-20A Model 20 Network Switch, ID | ||
15246 | Initia/Madge | IAP-20B | Initia/Madge IAP-20B Model 20 Network Switch, ID | ||
15247 | Initia/Teleos | IAP-20A | Initia/Teleos IAP-20A Model 20 Network Switch, ID | ||
15248 | Inmac | 385B | Inmac 385B Auto-T-Switch, 115 VAC, 60 Hz, ID | ||
15249 | instrument | 0P76003 | 0P76003 JITTER GENERATOR | ||
15250 | instrument | HT23-397 | 2 Linear stage X axis, DS4-200-C-5G-X23-OE4-LP2-HP1-BS-EO-CLN and HT23-397 | ||
15251 | Insulators | 507808-00 | 110-54 | Lot of 2 Insulators,-00, 110-54, Teflon or Nylon, | |
15252 | Integrated | INT1-APM1 | Integrated INT1-APM1 300mm Wafer Cleaner Spin Rinse Dry Module H9/10 SRDi | ||
15253 | Integrated Circuit Support | ICS-40-297-002 | Tegal | 40-297-002 | Integrated Circuit Support ICS-40-297-002 Quartz Pin, Tegal-002, |
15254 | Integrated Circuit Support | ICS-40-395-001 | Tegal | 40-395-001 | Integrated Circuit Support ICS-40-395-001 Ceramic Ring, Flat 6″, |
15255 | Integrated Circuits Dev | DT968B | 306890 | Integrated Circuits Dev DT968B, 306890, Continuous Flow Temp. Controller. | |
15256 | Integrated Measurement Systems, IMS | 110-0410-001 | IMS 110-0410-001 Loopback Fixture PCB | ||
15257 | Integrated Measurement Systems, IMS | 110-0410-000 | IMS 110-0410-000 Loopback Fixture PCB | ||
15258 | Integrated Measurement Systems, IMS | 110-0410-000 | IMS 110-0410-000 Loopback Fixture PCB | ||
15259 | Integrated Measurement Systems, IMS | 110-0410-001 | IMS 110-0410-001 Loopback Fixture PCB | ||
15260 | Integrated Measurement Systems, IMS | 110-0410-000 | IMS 110-0410-000 Loopback Fixture PCB | ||
15261 | Integrated Measurement Systems, IMS | 100-0410-000 | IMS 100-0410-000 Loopback Fixture PCB | ||
15262 | Integrated Measurement Systems, IMS | 100-0410-000 | IMS 100-0410-000 Loopback Fixture PCB | ||
15263 | Integrated Measurement Systems, Inc. IMS | 100-0353-001 | IMS 100-0353-001 CLK And Enable Distribution Slave Board, PCB | ||
15264 | Integrated Measurement Systems, Inc. IMS | 100-0353-001 | IMS 100-0353-001 CLK And Enable Distribution Slave Board, PCB | ||
15265 | Integrated Measurement Systems, Inc. IMS | 100-0352-001 | IMS 100-0352-001 CLK And Enable Distribution Slave Board, PCB | ||
15266 | Integrated Measurement Systems, Inc. IMS | 100-0352-001 | IMS 100-0352-001 CLK And Enable Distribution Slave Board, PCB | ||
15267 | Integrated Measurement Systems, Inc. IMS | 110-0352-101 | IMS 110-0352-101 CLK And Enable Distribution Slave Board, PCB | ||
15268 | Integrated Measurement Systems, Inc. IMS | 110-0352-001 | IMS 110-0352-001 CLK And Enable Distribution Slave Board, PCB | ||
15269 | Integrated Measurement Systems, Inc. IMS | 110-0353-101 | IMS 110-0353-101 CLK And Enable Distribution Slave Board, PCB | ||
15270 | Integrated Measurement Systems, Inc. IMS | 110-0353-001 | IMS 110-0353-001 CLK And Enable Distribution Slave Board, PCB | ||
15271 | Integrated Measurement Systems, Inc. IMS | 121-0357-104 | IMS 121-0357-104 PCB57-004 | ||
15272 | Integrated Measurement Systems, Inc. IMS | 110-0351-001 | IMS 110-0351-001 Bus Interface Module w/ SBS Backplane Controller Board | ||
15273 | integrated system | Unknown | Integrated Flow System, 2 position Auto Puge GAS bottle station, Micro TORR PS11 | ||
15274 | Intel | 862100 | TXN174312013F06 | ||
15275 | Intel | 869477 | Lot of 10 | ||
15276 | Intel | 233100000 | 233100000 sensor level optical 3 | ||
15277 | Intel | 233553093 | 233553093 Lamp connector XENON | ||
15278 | Intel | 10/ 100BASE-TX | INTEL 10/ 100BASE-TX Ethernet Controller | ||
15279 | Intel | 146040-001 | control board, Daughter board for Westinghouse 8MSP6 | ||
15280 | Intel | 146840-001 | INTEL PBn 146840-001 BOARD | ||
15281 | Intel | 250128-001 | 3 Intel 250128-001, P8255A-5, L4182052, E-PROM Chip, | ||
15282 | Intel | 306451-013 | Intel 8/16 LAN Adapter 306451-013 PCLAB8100,8105,8110,8120 | ||
15283 | Intel | 451548-002 | Intel-002 Multi-Bus Board, PCB, PB 146298, | ||
15284 | Intel | 451548-003 | Intel-003 Multi-Bus Board, PCB, PB 146298, | ||
15285 | Intel | A46654-X01 | Intel A46654-X01, Englewood Interim Board, PCB, A46654-X01 Rev. 1.1. | ||
15286 | Intel | CCMII V1.2.1 | Intel CCMII V1.2.1 #6, 478679-004, PCB, ISG2000 DS. | ||
15287 | Intel | E36065-03 | Intel E36065-03 PCI, PCI-E Dual port network server | ||
15288 | intel | PB D54166-002 | Intel PBD54166-002 SKU1-256MB | ||
15289 | Intel | PRO/100+ | Intel PRO/100+, MIC D33025, E-G021-01-1539, P315030-BR1, PCB, Adapter. | ||
15290 | Intel | PRO/1000 | Intel PRO/1000 GT Dual Port Server Adopter 73P5119, D12974-003 | ||
15291 | Intel | Pro/1000 | INTEL Pro/1000 MT Server Adapter | ||
15292 | Intel | PRO/1000 GT | Intel Pro/1000 GT, P322547-AR1, Single Port Server Desktop Adapter. | ||
15293 | Intel | Intel GS-021/H2BVRGF-702 Gas stick | |||
15294 | Intel | 2CL520A013600 | Intel 2CL520A013600 Assy, Belt B | ||
15295 | Intel | AXX10GBNIAIOM | Intel AXX10GBNIAIOM 10 Gigabit Dual Port I/O Module, PCB | ||
15296 | Intel | DPS-750XB A | E98791-004 | Intel DPS-750XB A 750W Switching Power Supply, E98791-004 | |
15297 | Intel | S-1100ADU00-201 | G84027-009 | Intel S-1100ADU00-201 1100W Dual Switching Power Supply Assy, G84027-009 | |
15298 | Intel | S-1100ADU00-201 | G84027-007 | Intel S-1100ADU00-201 1100W Dual Switching Power Supply Assy, G84027-007 | |
15299 | Intel | S-1100ADU00-201 | G84027-007 | Intel S-1100ADU00-201 1100W Dual Switching Power Supply Assy, G84027-007 | |
15300 | Intel | S-1100ADU00-201 | G84027-009 | Intel S-1100ADU00-201 1100W Dual Switching Power Supply Assy, G84027-009 | |
15301 | Intel | DPS-750XB A | E98791-007 | 4 Intel DPS-750XB A 750W Switching Power Supply, E98791-007 | |
15302 | Intel | S-1100ADU00-201 | G84027-009 | 5 Intel S-1100ADU00-201 1100W Server Switching Power Supply | |
15303 | Intel | S-1100ADU00-201 | G84027-007 | 7 Intel S-1100ADU00-201 1100W Server Switching Power Supply | |
15304 | Intel | DPS-750XB A | E98791-005 | Intel DPS-750XB A 750W Switching Power Supply, E98791-005 | |
15305 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15306 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15307 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15308 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15309 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15310 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15311 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15312 | Intel | Pro/1000 XF | Intel Pro/1000 XF Server Adapter V23826-K305-C63-B1, A50483-006, Class B | ||
15313 | Intel | RMS3CC040 | Intel RMS3CC040 4-Port Integrated RAID Module, SAS, PCI Express | ||
15314 | Intel | RMS3CC080 | Intel RMS3CC080 4-Port Integrated RAID Module, SAS, PCI Express | ||
15315 | Intel | 100HFA016LS | Intel 100HFA016LS Omni-Path Host Fabric Interface Card, PC, 948159 | ||
15316 | Intel | RMS3JC080 | Intel RMS3JC080 Integrated RAID Module, PCB | ||
15317 | Intel | RMS3JC080 | Intel RMS3JC080 Integrated RAID Module, PCB | ||
15318 | Intel | RMS3JC080 | Intel RMS3JC080 Integrated RAID Module, PCB | ||
15319 | Intel | SPRB23700331 | Intel SPRB23700331 Desktop Board Legacy Daughter Card 2, PCB | ||
15320 | Intel | ELFRIDA 70ETBX | Intel ELFRIDA 70ETBX PCB, PB G20912-002 | ||
15321 | Intel | ELFRIDA 70ETBX | Intel ELFRIDA 70ETBX PCB, PB G20912-002 | ||
15322 | Intel | SPRB23700338 | Intel SPRB23700338 Desktop Board Legacy Daughter Card 2, PCB | ||
15323 | Intel | SPRB23700334 | Intel SPRB23700334 Desktop Board Legacy Daughter Card 2, PCB | ||
15324 | Intel | SPRB23700210 | Intel SPRB23700210 Desktop Board Legacy Daughter Card 2, PCB | ||
15325 | Intel | SPRB23700062 | Intel SPRB23700062 Desktop Board Legacy Daughter Card 2, PCB | ||
15326 | Intel | PRO/1000MT | Intel PRO/1000MT Server Adapter Card, PCB, D33025 | ||
15327 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15328 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15329 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15330 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15331 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15332 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15333 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15334 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15335 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15336 | Intel | SPRB23700329 | Intel SPRB23700329 Desktop Board Legacy Daughter Card 2, PCB | ||
15337 | Intel | SPRB23700330 | Intel SPRB23700330 Desktop Board Legacy Daughter Card 2, PCB | ||
15338 | Intel | SPRB23700112 | Intel SPRB23700112 Desktop Board Legacy Daughter Card 2, PCB | ||
15339 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15340 | Intel | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 | ||
15341 | Intel | E46970-101 | 16 Intel E46970-101 Server Front I/O Panel Board, PCB | ||
15342 | Intel | DPS-750XB A | E98791-010 | Intel DPS-750XB A 750W Switching Power Supply, E98791-010 | |
15343 | Interface Masters | 2264L | Interface Masters Niagara 2264L Quad Port Gigabit Ethernet PCB | ||
15344 | Interface PCA | 62-505780-00 | 72-505780-00 | RIN 845 0P3894A | Interface PCA, 62-505780-00 Rev A01, 72-505780-00 Rev A03 RIN 845 0P3894A |
15345 | Interface PCB | 62-505780-00 | Interface PCB, 62-505780-00 Rev. A02 | ||
15346 | InterlinkBT | WSC WKC 572-0.4M | InterlinkBT WSC WKC 572-0.4M Bus Stop Connector Cable | ||
15347 | Intermec | 3400 | Intermec EasyCoder 3400 | ||
15348 | Intermec | 068486-003 | (Set of Two) Intermec 068486-003, Centronics Interface PCB Board . | ||
15349 | Intermec | 068487-003 | Intermec 068487-003 Centronics Parallel Interface Card PCB 056830 052713 | ||
15350 | International Fiber Systems IFS | 203-426-1180 | General Electric | IFS 203-426-1180 VR4010 FM Video Receiver, General Electric | |
15351 | International Fiber Systems IFS | 203-426-1180 | General Electric | IFS 203-426-1180 VR4010 FM Video Receiver, General Electric | |
15352 | International Fiber Systems IFS | 203-426-1180 | General Electric | IFS 203-426-1180 VR4010 FM Video Receiver, General Electric | |
15353 | International power devices | QWS2412HS-Q | Lot of 4, DC-DC converter | ||
15354 | International power services | PUP30-10 | International power services PUP30-10 adapter power supply | ||
15355 | Intersil | HIP1020CK-T | Intersil HIP1020CK-T Hot Plug Controller,411961 | ||
15356 | Intuitive Surgical Inc. ISI | 350470-01 | I.S.I.-01 Board, PCB,-02, Intuitive Surgical Inc. ISI | ||
15357 | invax | esc-5142 | Invax Tech esc-5142, 594, ESC, TZ-8DB, part # 01420-011 electrostatic chuck | ||
15358 | Invensys Eurotherm | Mini8 | Invensys Eurotherm MODBUS Mini8 Multi-Loop PID Controller | ||
15359 | IOGEAR | IOGEAR MiniLink 6′ PS2 KVM Switch Cable | |||
15360 | IOGEAR | IOGEAR MiniLink 6′ PS2 KVM Switch Cable | |||
15361 | IOMEGA | 3201-0085-01 | IOMEGA ABP-960U, assy #085-01 | ||
15362 | Ion Systems | 6115 | Ion Systems 6115 Airforce Ionizing Blow-off Gun | ||
15363 | IPEC Speedfam | AD2251A1 | Novellus | 3 IPEC Speedfam Novellus AD2251A1 Clamp Screw Cover | |
15364 | Ipitek | LT2000-110-8 | AMAT | 0190-35780 | Ipitek Lumitherm LT2000-110-8, 03-3077-0001, AMAT 0190-35780 Control FBR-OPT |
15365 | Irie | SLS-5 | Irie SLS-5 Spectral Lamp Starter, ID | ||
15366 | IRIE KOKEN | IFSV40-C-3 | 2 Inline vacuum isolation valves KF50. metal bellows | ||
15367 | Irvine Optical | Bl200 | Irvine Optical BL200 Inspection Station Mainframe Brooks Automation Macro | ||
15368 | Irvine Optical | U22BPL1 | Irvine Optical Corp. U22BPL1 PCB | ||
15369 | ISEL | 10-21-0027-70000-T002 | iselRobotik vacuum robot, isel Robotik 10-21-0027-70000-T002, | ||
15370 | ITE | BQ3B030 | ITE BQ3B030 Circuit Breaker, Type BQ, 240 VAC, 30A, 3 Pole, ID | ||
15371 | ITS | 800-0416 | Intergrated Time Systems 800-0416 Microprocessor Control Center | ||
15372 | ITS | None | Intergrated Time Systems Microprocessor Control Center | ||
15373 | ITT Industries | GH41XT2974-2 | 2 ITT GH41XT2974-2 Conoflow Differential Pressure Regulator | ||
15374 | ITT Pomona | 4283 | 2 ITT Pomonaoaxial Adapter, 9438 PJ | ||
15375 | ITT Pomona | 4286 | ITT Pomonaoaxial Adapter | ||
15376 | ITT Standard | BY540900072700 | Bell & Gossett | P21 | ITT BY540900072700 Plate Heat Exchanger, Bell & Gosset P21, RS1313 |
15377 | IVS | 0001-00060 | IVS 0001-00060 Control module for SEM | ||
15378 | IWAKI | FF-20BT2 | Iwaki FF-20BT2 Pneumatic Drive Bellows Pump | ||
15379 | IWAKI | PD-20R | Iwaki PD-20R, Pulse Dampener, Pump, Air Driver, Bellow, Pump. | ||
15380 | Iwaki | MX-251AE6 | Baldor Electric Co. | CC546712 | Iwaki MX-251AE6 Mag-Drive, Pump, 3450 RPM, Baldor CC546712 Motor, RS1277 |
15381 | Iwaki Co., LTD | MD-55LFZ-115 | Iwaki MD-55LFZ-115 Magnetic Pump, MD-55R, 13 GALS/MIN, 19 FT, RS1264 | ||
15382 | Iwaki Co., LTD | MD-55LFZ-115 | Iwaki MD-55LFZ-115 Magnetic Pump, MD-55R, 13 GALS/MIN, 19 FT, RS1265 | ||
15383 | Iwasaki Electric Co., LTD | JCR | Iwasaki Electric Type JCR Eye Projector Halogen Lamp150W | ||
15384 | Iwashita Engineering | AD3000 | Iwashita Engineering, IEI, AD3000 Pressure Regulator Automatic Dispenser, | ||
15385 | Ixia Communications | LM100TX | Ixia Communications LM100TX 4 Port 10/100 Base-T Ethernet Load Module | ||
15386 | J.A. Woollam | SSB-600-06.03 | J.A. Woollam SSB-600-06.03 PCB Board | ||
15387 | J.A. Woollam | SSB-600-06.03 | J.A. Woollam SSB-600-06.03 PCB Board | ||
15388 | J.A. Woollam | SSB-600-06.03 | J.A. Woollam SSB-600-06.03 PCB Board | ||
15389 | J.A. Woollam | SSB-600-06.03 | J.A. Woollam SSB-600-06.03 PCB Board | ||
15390 | J.A. Woollam | SSB-600-06.03 | J.A. Woollam SSB-600-06.03 PCB Board | ||
15391 | J.A. Woollam | SSB-600-06.03 | J.A. Woollam SSB-600-06.03 PCB Board | ||
15392 | J.A. Woollam | SSB-600-06.03 | J.A. Woollam SSB-600-06.03 PCB Board | ||
15393 | J.A. Woollam | SSB-600-06.03 | J.A. Woollam SSB-600-06.03 PCB Board | ||
15394 | J.A. Woollam | SSB-600.07.03 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply | ||
15395 | J.A. Woollam | SSB-600.07.03 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply | ||
15396 | J.A. Woollam | SSB-600.07.03 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply | ||
15397 | J.A. Woollam | SSB-600.07.03 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply | ||
15398 | J.A. Woollam | SSB-600.07.03 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply | ||
15399 | J.A. Woollam | SSB-600.07.03 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply | ||
15400 | J.A. Woollam | SSB-600.07.03 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply | ||
15401 | J.A. Woollam | SSB-600.07.03 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply | ||
15402 | J.A. Woollam Co. Inc. | J.A. Woollam Co Inc – AccuMap-SE UI-1500 Spectroscopic Ellipsometer | |||
15403 | J.A. Woolland | FLS-600 | J.A. Woollam Co. FLS-600 150W XE Arc Light Source Cover | ||
15404 | JA Relay | 1B-TM-DC24V-P | JA-RELAY 1B-TM-DC24V-P 250 VAC 20 Pieces, New | ||
15405 | Jabsco | 31801-0115 | Jabsco 31801-0115 Industrial Diaphragm Pump, 30649-1004, 20132-046, RS1526 | ||
15406 | Jandel | RM3-AR | Jandel RM3-AR Multiposition 4 Point Wafer Probe w/ Remote | ||
15407 | Japan Servo | MXE252C-100V01 | Japan Servo MXE252C-100V01, Rotary Encoder, Servo. | ||
15408 | JB | n/a | JB Variable Capacitor 9″ | ||
15409 | JDS Uniphase | 2211-20SLAT | JDS Uniphase 2214-30SLQRT Argon Laser Head, 30 MW | ||
15410 | JDS Uniphase | SWS16103 | JDS Uniphase SWS16103 Dual Band Switch | ||
15411 | JDS Uniphase | SWS16103 | JDS Uniphase SWS16103 Dual Band Switch | ||
15412 | JDS Uniphase JDSU | 1101P | V23-D01, W93100210 | Uniphase 1101P, V23-D01, W93100210, Laser Tube. | |
15413 | JDS Uniphase JDSU | 1107P | Uniphase 1107P, Laser Tube. | ||
15414 | JDS Uniphase JDSU | 1205-1 | JDSU 1205-1 Laser Power Supply | ||
15415 | JDS Uniphase JDSU | 2112A-4SLBK | JDS Uniphase Laser power supply 2112A-4SLBK and cyonics JDS uniphase 2212B-4SLBK | ||
15416 | JDS Uniphase JDSU | 2112A-4SLBK | JDS Uniphase Laser power supply 2112A-4SLBK and Laser JDS uniphase 2212-4SLBK | ||
15417 | JDS Uniphase JDSU | 2214-20SLUP | JDSU 2214-20SLUP Laser and Power supply 2114P-20SLUP | ||
15418 | JDS Uniphase JDSU | 2214-25MLUP | JDSU 2214-25MLUP Laser and Power supply 2114-25MLUP | ||
15419 | JDS Uniphase JDSU | 2214-25MLUP | JDS Uniphase Laser 2214-25MLUP | ||
15420 | JDS Uniphase JDSU | 2214-25MLVP | JDS Uniphase, JDSU, 2214-25MLVP Laser | ||
15421 | JDS Uniphase JDSU | OAB1552+1FA2 | JDS Uniphase OAB1552+1FA2 Erbium-Doped Fiber Amplifier, OAB, Optical, | ||
15422 | JDS Uniphase JDSU | OWB10002 | JDS Uniphase OWB10002 Optical Workbench Receiver, SWS15106, SWS15107, | ||
15423 | JDSU | 21105835 | JDSU 21105835 Laser Power Supply, 2110-MLHCH | ||
15424 | JDSU | 2111P-25MLHCH | JDSU 2111P-25MLHCH Laser Power Supply, AC, 200-240 V ~ 15AHz | ||
15425 | JDSU | 2111P-25MLHCH | JDSU 2111P-25MLHCH Laser Power Supply, AC 100-120/200-240 V | ||
15426 | JDSU | 2111P-25MLHCH | JDSU 2111P-25MLHCH Laser Power Supply, AC 100-120/200-240 V | ||
15427 | Jefferson | 2036BA03T | Jefferson 2036BA03T, G34C087U, Solenoid Valve, 3/8″ N | ||
15428 | JEI | 1040012 | 2 JEI 1040012 Motors | ||
15429 | JEL | C4000 | JEL C4000, 4 Axes Control Unit | ||
15430 | Jennings | UCS-300-7.5S | Jennings UCS-300-7.5S, Variable Vacuum CapacitorPF, 7.500 VOLTS, | ||
15431 | Jennings | UCS-300-7.5S | Jennings UCS-300-7.5S, Vacuum Variable Capacitor, 7.500 VOLTS, | ||
15432 | Jennings | UCS-300-7.5S | Jennings UCS-300-7.5S Vacuum Varible Capacitor 10-300PF | ||
15433 | Jennings | UCS-300-7.5S | Jennings UCS-300-7.5S Vacuum Varibe Capacitor 10-300PF | ||
15434 | Jennings | UCS-300-7.5S | Jennings UCS-300-7.5S Vacuum Varibe Capacitor 10-300PF | ||
15435 | Jennings Technology | CVDD-60-0015 | Jennings CVDD-60-0015 Vacuum Variable Capacitor, 9-60pF, 15/9kVp, 1037 | ||
15436 | JEOL | JSM-6400 F | JEOL JSM-6400 F SEM, scanning electron microscope | ||
15437 | Jeol | JST-10F | Jeol Controller Cabinet Assembly, JST-10F, 880-9901-1, 307702-A1B-T2 | ||
15438 | Jeol | JST-10F | Jeol JST-10F EB Source Power Supply | ||
15439 | JET | JSG-96 | JET JSG-96 Benchtop Belt Sander/Disc Grinder, 3/4HP, 1 PH, 115V, 4P | ||
15440 | Jingkong | HKT3005-301C-100B-5E | Motor Rotary Encoder HKT3005-301C-100B-5E Jingkong0G/42BLF47-2430/HK100 | ||
15441 | JIT | JKRT-90003 | JIT JKRT-90003 Ceramic, Top Ring, Big Hole | ||
15442 | J-MAR | 3012-05 | J-MAR Precision Systems 3012-05 Automated Microscope w/ Large XY Stage; selling as used | ||
15443 | JMC products | 4429711CR-4 | Lot of 10 mini box fans, 24vdc | ||
15444 | Johnson Controls | A25AN-1E | 2 Johnson Controls A25AN-1E, Warm Air Control w/ Manuel Reset. | ||
15445 | Johnson Matthey | 037-0173-23 | New Johnson Matthey Sputtering Target 59 Al, 2 Si, PN 037-0173-23 | ||
15446 | Jonaco Machine | 416442-160 | Jonaco Machine-160 Orifice, Flow Pick-up, FSI | ||
15447 | K TECH | 0140-77433 | K TECH ELECTRONICS 0140-77433, CBL,RMT STAR/STOP CONT. | ||
15448 | K.A. Schmersal | 50137-12 | K.A. Schmersal12 Switch Assembly | ||
15449 | Kachina | 233-5052-90 | 6 Cleaned shields 233-3056-07, CC, LG clamp API-492 | ||
15450 | Kachina | 233-5052-90, | 5 new kachina block shield 233-5052-90, API-707, AP1-707 | ||
15451 | Kachina | 233-5052-91 | 3 new kachina 233-5052-91 block shield | ||
15452 | Kachina | 233-5052-91 | Kachina 233-5052-91 Block Shield | ||
15453 | Kaiser Systems | S1-1-1000 | AMAT | 1140-01098 | Kaiser Systems S1-1-1000 1100595 AMAT 1140-01098 Power Supply |
15454 | Kalex | K668 | Kalex K668 Network Card PCB 94V-01500-0, E139761, E139761, 711269-004 | ||
15455 | Kalrez | 17471 | NEW Kalrez 17471 oring compond22-154780-01, 18.931 x 0.210 inch | ||
15456 | Kalrez | 90269 | NEW Klarez 90269 Oring, 2-90269 compound 8375UP, .115 x 8.737 in, 22-147921-00 | ||
15457 | Kalrez | 2-366 | NEW Klarez 366 Oring, 2-366 compound71/4 x 75/8 x 3/16 inch, 22-13948-00 | ||
15458 | Kalrez | 5-378 | Kalrez 5-378 10.475 x 0.210 inch, 78-0161 Oring seal | ||
15459 | Kalrez | T05-C12 | DU POINT | KALREZ T05-C12, O-RING, W94100088, DU POINT. 3700-01310 | |
15460 | Kalrez | 2-233 | 3 NEW Klarez 233 Oring, 2-233 compound 8085UP, 2.859 x 0.139 in, 22-324838-00 | ||
15461 | Kalrez | 2-373 | NEW Klarez Sahara2-373, 373 Oring, compound plasma 8575UP, 22-177446-00 | ||
15462 | Kalrez | 2-453 | NEW Kalrez 2-453mpoundring, 11.975 x 0.275 INCH, 22-154782-00 | ||
15463 | Kalrez | 78-0161 | Klarez 5-378 Oring 78-0161 | ||
15464 | Kalrez | 78-0161 | Kalrez Ultrapure 78-0161 Oring compound 8101UP x 10-7/8 x 3/16 INCH | ||
15465 | Kalrez | AS-568 | AMAT | 3700-01440 | 2 Kalrez AS568 O-Ring K#117 CompoundMAT 3700-01440 ID.799 CSD.103 |
15466 | Kalrez | AS-568A | Kalrez AS-568A K#222 Compound 2037 O-Ring AMAT 3700-01695, 37.69 x 3.53mm | ||
15467 | Kalrez | AS-568A | Kalrez AS-568A K#124 Compound-Ring, 1.237 x 0.103 in | ||
15468 | Kalrez | AS-568A | Kalrez AS-568A O-Ring, K#004, Compound, 5/64 x 13/64 x 1/16 In | ||
15469 | Kalrez | AS-568A | AMAT | 3700-01441 | Kalrez AS-568A O-Ring K#120 CompoundD 0.987 CSD 0.103, AMAT 3700-01441 |
15470 | Kalrez | AS-568A | AMAT | 3700-01441 | Kalrez AS-568A O-Ring K#120 CompoundD 0.987 CSD 0.103, AMAT 3700-01441 |
15471 | Kalrez | AS-568A | AMAT | 3700-01309 | Kalrez AS-568A O-Ring, K#120 CompoundID .987, AMAT 3700-01309 |
15472 | Kalrez | AS-568A | AMAT | 3700-01541 | Kalrez AS-568A O-Ring K#226 CompoundMAT 3700-01541 ID1.984 CSD.139 |
15473 | Kalrez | AS-568A | AMAT | 3700-01541 | Kalrez AS-568A O-Ring K#226 CompoundMAT 3700-01541 ID1.984 CSD.139 |
15474 | Kalrez | AS-568A | AMAT | 3700-01472 | Kalrez AS-568A O-Ring K#030 CompoundMAT 3700-01472 ID1.614 CSD.070 |
15475 | Kalrez | AS-568A | Kalrez AS-568A Oring, K#215, Compound1 1/16 x 1 5/16 x 1/18 In | ||
15476 | Kalrez | AS-568A | AMAT | 3700-01495 | Kalrez AS-568A O-Ring, K#121 CompoundID 1.049, AMAT 3700-01495 |
15477 | Kalrez | AS-568A | AMAT | 3700-01442 | Kalrez AS-568A O-Ring K#111 CompoundMAT 3700-01442 10.77 x 2.62mm |
15478 | Kalrez | AS-568A | K-024 | Kalrez AS-568A, K-024, Compound1-/8×1-1/4×1/16 in, Seal, O-Ring | |
15479 | Kalrez | AS-568A | K-14 | Kalrez AS-568A, K-14, Compound1/2 x 5/8 x 1/16 IN, Seal, O-Ring | |
15480 | Kalrez | AS-568A | K-013 | Kalrez AS-568A, K-013, Compound7/16×9/16×1/16 in, Seal, O-Ring | |
15481 | Kalrez | AS-568A | K-169 | Kalrez AS-568A, K-169, Compound 2037, 7-1/2 x 7-11/16 x 3/32 in, O-Ring | |
15482 | Kalrez | AS-568A | Kalrez AS-568A O-Ring Compound:1/16″ x 1-2/16″ x 3/16″ | ||
15483 | Kalrez | AS-568A | Kalrez AS-568A O-Ring,8101UP | ||
15484 | Kalrez | AS-568A | Kalrez AS-568A | ||
15485 | Kalrez | AS-568A | 22-127983-00 | Kalrez AS-568A, 22-127983-00 Rev.A, O-Ring, 1/8 x 1/4 x 1/16 In, | |
15486 | Kalrez | AS-568A | Kalrez AS-568A Compound 2037, 3700-01537, 2-3/8 x 2-5/8 x 1/8 in, O-Ring | ||
15487 | Kalrez | AS-568A | K-110 | Kalrez AS-568A, K-110, Compound3/8 x 9/16 x 3/32 IN, Seal, O-Ring | |
15488 | Kalrez | AS-568A | K-013 | Kalrez AS-568A, K-013, Compound7/16×9/16×1/16 in, Seal, O-Ring | |
15489 | Kalrez | AS-568A | Kalrez AS-568A O-Ring K#012, 8101UP, Nom: 3/8 x 1/2 x 1/16 IN | ||
15490 | Kalrez | AS-568A | Kalrez AS-568A O-Ring,8101UP | ||
15491 | Kalrez | AS-568A | Kelrez AS-568A, O-Ring, K#015, Compound9/16×11/16×1/16 in. | ||
15492 | Kalrez | AS-568A | AMAT | 3700-01425 | Kalrez AS-568A O-Ring, K#281, CompoundAMAT 3700-01425 |
15493 | Kalrez | AS-568A | AMAT | 3700-01425 | Kalrez AS-568A O-Ring, K#281, CompoundAMAT 3700-01425 |
15494 | Kalrez | AS-568A K#261 | Kalrez AS-568A,K#261, Compound 8085UP, 6.734X0.139in, Oring | ||
15495 | Kalrez | AS-568A K#372 | Kalrez AS-568A Oring, K# 372, Compound8-3/4 X 9-1/8 X 3/16 IN, | ||
15496 | Kalrez | AS-568A-446 | Kalrez AS-568A-446 O-Ring | ||
15497 | Kalrez | AS-568A, 1050LF | Kalrez AS-568A, Compound 1050LF, .210X3.725, O-ring | ||
15498 | Kalrez | AS-568A, K#111 | AMAT | 3700-01442 | Kalrez AS-568A, K#111, CPD62mm, Oring, AMAT 3700-01442 |
15499 | Kalrez | AS-568A, K131 | 6 Kalrez AS-568A, K131, Compound42.52X2.62mm, O-ring | ||
15500 | Kalrez | AS568 | Kalrez AS568 O-Ring, K#012, Compound424904 | ||
15501 | Kalrez | AS568 | AMAT | 3700-01445 | 2 Kalrez AS568 O-Ring, K#204, CompoundAMAT 3700-01445, ID .359, CSD .139 |
15502 | Kalrez | AS568 | Kalrez AS568 O-Ring, K#012, Compound 7075, Durometer, 75A, Black | ||
15503 | Kalrez | AS568 | AMAT | 3700-01445 | Kalrez AS568 O-Ring, K#204, CompoundAMAT 3700-01445, ID .359, CSD .139 |
15504 | Kalrez | K#906005 | AMAT | 3700-00256 | Kalrez Sahara K#906005 O-ring, Seal, Compound 8085UP, AMAT 3700-00256 |
15505 | Kalrez | K#906005 | AMAT | 3700-00256 | Kalrez Sahara K#906005 O-ring, Seal, Compound 8085UP, AMAT 3700-00256 |
15506 | Kalrez | K17120 | 3 NEW Kalrez sahara Oring K17120 8375 KALREZ, 22-10407-01, 17.680 x 0.128 INCH | ||
15507 | Kalrez | AS-568A | 78-0125 | Kalrez AS-568A O-Ring, K#120 Compound 8101UP, 1 X 1-3/16 X 3/32 IN, 78-0125 | |
15508 | Kalrez | AS-568A | 78-0124 | Kalrez AS-568A O-Ring, K#116 Compound 8101UP, 3/4 X 15/16 X 3/32 IN, 78-0124 | |
15509 | Kalrez | AS-568A | 78-0121 | Kalrez AS-568A O-Ring K#012, 8101UP, 3/8 x 1/2 x 1/16 IN, 78-0121 | |
15510 | Kalrez | AS-568A | 78-0122 | Kalrez AS-568A O-Ring, K#024 Compound 8101UP, 1-1/8 X 1-1/4 X 1/16 IN, 78-0122 | |
15511 | Kalrez | AS-568A | LAM | 734-007359-200 | Kalrez AS-568A O-Ring, K#280, Compound, LAM 734-007359-200 |
15512 | Kalrez | 2-268-KA8575 | Kalrez 2-268-KA8575 O-Ring, K#280, 3Q06, 3MNK001A, ISO 9001 | ||
15513 | Kalrez Sahara | AS568A | Novellus | 22-155842-00 | Kalrez Sahara AS568 O-ring, K# 108, Compound 8575UP, Novellus 22-155842-00 |
15514 | Kalrez Sahara | AS568A | Novellus | 22-155842-00 | Kalrez Sahara AS568 O-ring, K# 108, Compound 8575UP, Novellus 22-155842-00 |
15515 | Karl Suss | MA 200 | Karl Suss MA 200 Litho Mask Aligner 200mm wafer 210AA057-03, Suss Microtec | ||
15516 | Karl Suss | PA 200 | Karl Suss PA 200 Semiautomatic Probe Station Assy, 532/266, 210AA0074-02 | ||
15517 | Kashiyama | MU600X-005 | Kashiyama MU600X-005 Dry Vacuum Pump | ||
15518 | Kashiyama | SP80266 | Kashiyama Dry Pump Controller PC-026 SP-80266 C6-1282 | ||
15519 | Kawasaki | 3TT22-A001 | Kawasaki 3TT220-A001 Robot Blade Arm, 00-15-17-27-BA-3C, 70-00171-01 | ||
15520 | Kawasaki | MT3-028180-B | Kawasaki MT3-028180-B Robot Arm Wafer Handler Fork, End Effector | ||
15521 | Kawasaki | MT3-028180-B | Kawasaki MT3-028180-B Robot Arm Wafer Handler Fork, End Effector | ||
15522 | Kawasaki | MT3-028180-B | Kawasaki MT3-028180-B Robot Arm Wafer Handler Fork, End Effector | ||
15523 | Kawasaki Robot | DSMT3-028180-B | Kawasaki Robot DSMT3-028180-B, Wafer Handler Fork 6″ | ||
15524 | Kaydon | 15831001 | AMAT | 0190-75080 | Kaydon 15831001 Reali Slim Ball Bearing, Microcote 296, AMAT 0190-75080, |
15525 | Kaydon | 15831001 | AMAT | 0190-75080 | Kaydon 15831001 Reali Slim Ball Bearing, Microcote 296, AMAT 0190-75080, |
15526 | Kaydon | 53150001 | Kaydon 53150001 Reali Slim Ball Bearing, Microcote 296,; | ||
15527 | Kaydon | KA020XP4 | AMAT | 3060-10168 | 2 Kaydon KA020XP4 Ball Bearing, AMAT 3060-10168 |
15528 | Kaydon | KA060XP0 | Kaydon KA060XP0 Reali Slim 4-PT Ball Bearing, 6.0000 Bore,; | ||
15529 | KB Electronics (HK) Ltd | KBWC-13K | KB KBWC-13K Solid State Motor Speed Control, 2.5A, 120VAC | ||
15530 | KD Scientific | 780100 | KD Scientific 780100 KDS100 Infusion Pump, | ||
15531 | Keitheley | 53158 A, | Keitheley 616 digital electrometer 53158 A, 3AG slow blow fuse | ||
15532 | Keithley | 616 | Intel | E77258 | Keithley 616, Intel E77258, Digital Electrometer. |
15533 | Keithley | 2000 | Keithley 2000 Multimeter | ||
15534 | Keithley | 2100 | Keithley 2100 6 1/2 Digit Multimeter, RS1049 | ||
15535 | Keithley | 9720 | KEITHLEY Model 9720 Guard Test Fixture USED | ||
15536 | Keithley | 3706A | Keithley 3706A System Switch, Multimeter, | ||
15537 | Keithley | 4200-SCS | Keithleyemiconductor Characterization SystemCS, SUM | ||
15538 | Keithley | DAS-50 | PC7022 | DAS-5014 | Keithley DAS-50, PC7022, DAS-5014, DAS-50 PC7012, Memory board, PCB |
15539 | Keithley | KPC-TM | KPC-TM COML CARD TRIGGER MASTER, PC8532, Keithley Metrabyte KPC-TM manual | ||
15540 | Keithley | 707 | KEITHLEY MODEL 707 SWITCHING MATRIX | ||
15541 | Keithley | 619 | 6194 | Keithley 619 Electrometer/Multimeter w/ 2 6194 Electrometer Module | |
15542 | Kel | GP-IB | Kel GP-1B to Optical Interface System, KIS-83GP000B-P, O-Shuttle, GPIB, | ||
15543 | Kel | GP-IB | 2 Kel GP-1B to Optical Interface System, KIS-83GP000B-P, O-Shuttle, GPIB, | ||
15544 | KEL | KIS-ADP 1 | 3 KEL KIS-ADP 1 AC Adaptor | ||
15545 | Keltec | FQ300XE-CB | Quincy | CPNE00300 | Keltec FQ300XE-CB GR 6 Coalescing Element, Quicny CPNE00300 |
15546 | KELTRON | MM4081/5/TU-1 | KELTRON CORP MINI PRINTER MM4081/5/TU-1 | ||
15547 | Kemet | MEZ220041 | Kemet MEZ220041 Reel, | ||
15548 | Kensington Labs | 25-3600-0300-02 | Kensington Laboratories, 25-3600-0300-02, Wafer Prealigner. | ||
15549 | Kensington Labs | 4000-60010 | Kensington0010 PCB Board, SBC, 1984.87 | ||
15550 | Kensington Labs | 4000-6002 | 2 Kensington Labs002 Axis Board | ||
15551 | Kensington Labs | 4000-6002 | Kensington Labs002 Axis Board PCB | ||
15552 | Kensington Labs | 4000-6002 | Kensington labs002 AXIS PCB board, REV W.3 | ||
15553 | Kensington Labs | 4000-6002 | Kensington labs002 AXIS PCB board, REV W.3 | ||
15554 | Kensington Labs | 4000-6002 | Kensington labs002 AXIS PCB board, REV W.3 | ||
15555 | Kensington Labs | 4000-6002 | Kensington labs002 AXIS PCB board, REV W.3 | ||
15556 | Kensington Labs | 4000-6002 | Kensington Labs002 AXIS REV W.3 | ||
15557 | Kensington Labs | 4000-6002 | Kensington002 PCB, 36-4825-0021-01 | ||
15558 | Kensington Labs | 4000-6002 | Kensington002 PCB, 36-4826-0005-02 | ||
15559 | Kensington Labs | 4000-6002 | Kensington002 PCB, 36-4825-0002-03 | ||
15560 | Kensington Labs | 4000-6002 | Kensington002 PCB | ||
15561 | Kensington Labs | 4000-6002 | Kensington002 PCB | ||
15562 | Kensington Labs | 4000-6002 | Kensington002 PCB, 36-4825-0010-01 | ||
15563 | Kensington Labs | 4000-6002 | Kensington002 PCB | ||
15564 | Kensington Labs | 4000-6002 | Kensington002 PCB, 36-4825-0010-01 | ||
15565 | Kensington Labs | 4000-6002 | Kensington002 PCB | ||
15566 | Kensington Labs | 4000-6002 | Kensington002 PCB | ||
15567 | Kensington Labs | 4000-6002 | Kensington002 PCB | ||
15568 | Kensington Labs | 4000-6002 | Kensington002 PCB | ||
15569 | Kensington Labs | 4000-6002 | Kensington002 PCB, 36-4825-005-03 | ||
15570 | Kensington Labs | 4000-6002 | Kensington002 PCB | ||
15571 | Kensington Labs | 4000-6002 | Kensington002 PCB | ||
15572 | Kensington Labs | 4000-6002 | 5-0009-00 | Kensington Labs002 PCB, 5-0009-00, Y-Axis | |
15573 | Kensington Labs | 4000-6002 Rev. V. | Kensington Labs002 Rev. V., HR9564-05, M3000A, Axis PCB Board | ||
15574 | Kensington Labs | 4000-6002 Rev. V.1 | Kensington Labs002 Rev. V.1., HR96088-08, 3000701, Axis PCB Board | ||
15575 | Kensington Labs | 4000-6002 Rev. V.1 | Kensington Labs002 Rev. V.1., HR9662629, L3016-53, Axis PCB Board | ||
15576 | Kensington Labs | 4000-6002 Rev. W.1 | Kensington Labs002 Rev. W.1, 36-4823-0005-02, Axis PCB Board | ||
15577 | Kensington Labs | 4000-6002 Rev. W.1 | Kensington Labs002 Rev. W.1, HR9900353, 5-0009-00, Axis PCB Board | ||
15578 | Kensington Labs | 4000-6002 Rev. W.2 | Kensington Labs002 Rev. W.2, HR201882, 5-0010-00, Axis PCB Board | ||
15579 | Kensington Labs | 4000-6002 Rev. W.3 | Kensington Labs002 Rev. W.3, HR215906, 5-0010-00, Axis PCB Board | ||
15580 | Kensington Labs | 4000-6002 Rev. W.3 | Kensington Labs002 Rev. W.3, HR213422, 5-0010-00, Axis PCB Board | ||
15581 | Kensington Labs | 4000-6002 Rev. W.3 | Kensington Labs002 Rev. W.3, HR215180, 5-0010-00, Axis PCB Board | ||
15582 | Kensington Labs | 4000D-B | Kensington Laboratories Inc. ModelServo Positioning Controller | ||
15583 | Kensington Labs | 4000D-B | Kensington Laboratories Inc. ModelServo Positioning Controller | ||
15584 | Kensington Labs | 4000D-B | Kensington Laboratories Inc. ModelServo Positioning Controller | ||
15585 | Kensington Labs | 4000D-B | Kensington Laboratories Inc. ModelServo Positioning Controller | ||
15586 | Kensington Labs | 4610-0000-01 | Kensington Labs 4610-0000-01 SBC Rev K.1 Board | ||
15587 | Kensington Labs | 77-4000-6082-00 | Kensington 77-4000-6082-00 Serial Receiver Board | ||
15588 | Kensington Labs | WH4 | Kensington Newport WH4, Robot assembly WFH4Cm.TT/LR 04-6288-01-08 EMP | ||
15589 | Kensinton Labs | 77-4000-6108-00 | Kensington lab, 77-4000-6108-00 Robot axis multilink Z, PCB, 7-0003-02, Z axis | ||
15590 | Kepco | 24782 | Kepco Flushing 0024782 Power Supply | ||
15591 | Kepco | 24782 | 2 Kepco 0024782 Robot Power Supply 27-053701-00. one unit with cables. | ||
15592 | Kepco | 26248 | Novellus | 27-272441-00 | Kepco 26248 PVD Power Supply, Novellus 27-272441-00, |
15593 | Kepco | 26248 | Novellus | 27-272441-00 | Kepco 26248 PVD Power Supply, Novellus 27-272441-00, |
15594 | Kepco | 26248 | Novellus | 27-272441-00 | Kepco 26248 PVD Power Supply, Novellus 27-272441-00, |
15595 | Kepco | 26248 | Novellus | 27-272441-00 | Kepco 26248 PVD Power Supply, Novellus 27-272441-00, |
15596 | Kepco | 26248 | Novellus | 27-272441-00 | Kepco 26248 PVD Power Supply, Novellus 27-272441-00, |
15597 | Kepco | 26372 | Novellus | 27-272441-00 | Programmable Power supply with 9 DC outputs, 208VAC input 9 |
15598 | Kepco | model 0024782 | Novellus Ipec speedfam | 27-0530701-00 | Looks new for PECVD system |
15599 | Kepco | TMA VXI-27 | Kepco TMA VXI-27 Plug And Play Power Supply Controller, | ||
15600 | Kernco instruments | Goniometer | Kernco Instruments Wafer Contact angle goniometer Tool for Surface Tension | ||
15601 | Kerrex | G70P-123 | Gasonics | 78-2781 | 2 Kerrex G70P-123 Oring, G70P High Temp White Perfluoroelastomer |
15602 | KEY | BA-75 | HEY BA-75 KF16, NW16 Flange, Manual Isolation Valve, Shutoff Valve, | ||
15603 | Key | SL-150-NA113802 | Key SL-150-NA113802, Stainless Steel, 150 Series, High Vacuum Valve | ||
15604 | Key High Vacuum Products, Inc. | SSBV-50 | Key High Vacuum Products, Inc. SSBV-50 Ball Valve | ||
15605 | Keyence | 106043 | N-48 KEYENCE TERMINATOR 0106043 lots of 3 | ||
15606 | keyence | BL-185 | Keyence Corporation BL-185, Class 2, DC 5V ;300mA, Barcode scanner. | ||
15607 | keyence | BL-550H | Keyence BL-550H Bar Code Scanner BL550H | ||
15608 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15609 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15610 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15611 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15612 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15613 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15614 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15615 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15616 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15617 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15618 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15619 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15620 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor | ||
15621 | Keyence | EH 110 | New Proximity switch EH-110 | ||
15622 | Keyence | ES-32DC | Keyence ES-32DC Proximity Sensor Amplifier | ||
15623 | Keyence | EZ-12M | Keyence EZ-12M Proximity Switch | ||
15624 | Keyence | FS-17 | PH7-0517 | Keyence FS-17, PH7-0517, Fiber Optic Sensor, Amplifier. , | |
15625 | Keyence | FS-L71 | Keyence FS-L71 Fiber Optic Laser Sensor | ||
15626 | Keyence | FS-N12CP | Keyence FS-N12CP Digital Fiber Sensor, X5W-5265-02 | ||
15627 | keyence | FS-TIP | 2 KEYENCE FIBER OPTIC SENSOR FS-TIP | ||
15628 | Keyence | FS-V1 | Novellus Ipec Speedfam | 956289 | Lot of 2, used Keyence Sensors |
15629 | Keyence | FS-V11 | Keyence FS-V11, Sensor, 2347160, E813. | ||
15630 | Keyence | FS-V11 | 2 Keyence FS-V11 Fiber Optic Photoelectric Sensor | ||
15631 | Keyence | FS-V11P | Keyence FS-V11P Optic Sensor, Novellus 02-147639-02 | ||
15632 | Keyence | FS-V12P | Lot of 10, New fiber optic sensors | ||
15633 | Keyence | FS-V21 & FS-V22 | Keyence FS-V21 and FS-V22 Sensor, Optical Amplifier Assembly | ||
15634 | Keyence | FS-V21R | Keyence FS-V21R, Sensor, Optical Amplifier, | ||
15635 | Keyence | FS2 | 8 new FS2 sensors repackaged, surplus inventory | ||
15636 | Keyence | FS2-62 | Keyence FS2-62 photoelectric sensor | ||
15637 | Keyence | FU-38R | Keyence FU-38R Optical Fiber Sensor | ||
15638 | Keyence | FU-49X | Keyence FU-49X Reflective Photoelectric Digital Fiber Optic Sensor | ||
15639 | Keyence | FU-49X (300) | Keyence FU-49X Reflective Photoelectric Digital Fiber Optic Sensor | ||
15640 | Keyence | FU-5FZ | Keyence FU-5FZ Fiber Optic Sensor Head Cable | ||
15641 | Keyence | FU-5FZ | 2 Keyence FU-5FZ Fiber Optic Sensor Head Cable | ||
15642 | Keyence | FU35FA | Fiber optic cables | ||
15643 | Keyence | FU5F | Fiber optic sensor head cable | ||
15644 | Keyence | GA-233 | Keyence GA-233 Amplifier, Sensor | ||
15645 | Keyence | KCC-REM-KEY-0939A | SR-600 | Keyence SR-600, KCC-REM-KEY-0939A, 2D Code Reader | |
15646 | Keyence | KV-10T | Lot of 4 new in box Micro PLC 0125-105610 | ||
15647 | Keyence | KV10T | 2 Keyence KV10T micro PLC | ||
15648 | Keyence | LV-51M | Keyence LV-51M Digital Laser Sensor Assy, Differential, Prealigner | ||
15649 | Keyence | LV-H300T | LV-H300 R | Keyence LV-H300T and LV-H300 R Transmitter and Receiver | |
15650 | Keyence | LZ-A152 | 7 NEW Keyence LZ-A152 and LZ-A153 AMP sensors, 34-10190-00 | ||
15651 | Keyence | N-400 | Keyence | N-48 | Keyence N-400 Multi-Drop Controller Assy w/ 2 N-48 Dedicated Communication Units. |
15652 | Keyence | PS-&2P | AMAT | 1400-01403 | Keyence PS-T2P Amplifier Sensor Expansion Unit, AMAT 1400-01403, |
15653 | Keyence | PZ-51L | Keyence PZ-51L, Photoelectric Sensor, Amplifier, PZ-51LR, PZ-51LT. | ||
15654 | keyence | PZ-51L | Keyence Corporation PZ-51L (5M), Photoelectric Sensor, Transmitter | ||
15655 | keyence | PZ51L | Keyence Corporation PZ51L (5M), Photoelectric Sensor, Transmitter. | ||
15656 | keyence | Keyence LC-2100 Laster displacement meter | |||
15657 | Keyence Corporation | FS-V11 | Keyence FS-V11 Hybrid Fiberoptic Sensor, 12-24VDC00mA, 6900-1507-01 | ||
15658 | Keyense | Keyence Sensor Body, Plate | |||
15659 | Kidde-Fenwal | 06-123896-001 | Kidde-Fenwal 06-123896-001 High Sensitivity Smoke Detector Head | ||
15660 | Kikusui | PAS60-18 | Kikusui PAS60-18 Regulated DC Power Supply, 0-60V, 18A | ||
15661 | Kikusui | PAS60-18 | Kikusui PAS60-18 Regulated DC Power Supply, 0-60V, 18A | ||
15662 | Kinetics | gas stick | Kinetics high purity gas stick, Tescom 44.2213-242-010 regulator, Ametek gauge | ||
15663 | Kinetics | gas stick | Kinetics high purity gas stick, APTECH AP1006SM regulator, SPAN gauge, Parker | ||
15664 | Kinetics | gas stick | Kinetics high purity gas stick Tescom 60 regulator, Swagelok 6LV-DAVR4-P, gauge | ||
15665 | Kinetics | gas stick | Kinetics high purity gas stick,TESCOM regulator 44-2213 ,Ashcraft gauge,swagelok | ||
15666 | Kinetics | GS90-L2AVRG0-1190 | Kinetics Fluid System GS90-L2AVRG0-1190 Gas Line, Gas Stick, Tescom | ||
15667 | Kinetics | GS90-L2AVRG0-1191 | Kinetics Fluid System GS90-L2AVRG0-1191, Intel Gas Stick Assy | ||
15668 | Kinetics | Kinetics Gas Line, Regulator Tescom 44-2213-242-010 Ashcroft gauge swagelok, 847 | |||
15669 | Kinetics | Kinetics Gas Line, APtech AP1010SM, Ametek gauge, Pall Filter, bent gas line | |||
15670 | Kinetics | Kinetics Gas Line, Regulator Tescom 44-2213-242-010 Ashcroft gauge swagelok 690 | |||
15671 | Kinetics | 2 Kinetics Fluid System Gas Line, Tescom 250, Millipore C7MM2983C, USG Meter | |||
15672 | Kinetics | Kinetics Fluid System Gas Line, Tescom 250, Millipore C9EM7036, USG Meter | |||
15673 | Kinetics | Pall | SGLFPF6402VMM4 | 2 Kinetics Fluid System Gas Line, Tescom 250, Pall SGLFPF6402VMM4, USG Meter | |
15674 | Kinetics | Pall | GLFPF8202VMM8 | Kinetics Fluid System Gas Line, Pall GLFPF8202VMM8, Tescom 150, USG Meter | |
15675 | Kinetics | Kinetics Gas Line, APTech AP1010SM, Ametek Gauge, Pall Filter, Ham-Let Valve | |||
15676 | Kinetics | Tescom | Kinetics Gas Line, Tescom 74-24P1KR920-067, Ametek Gauge, Pall Filter, bent line | ||
15677 | Kinetics | Tescom | Kinetics Gas Line, Tescom 74-24P1KR920-067, Ametek Gauge, Pall Filter, swagelok | ||
15678 | Kinetics | Tescom | 44-2213-242-010 | Kinetics Gas Line, Tescom 74-24P1KR920-067, Ashcroft Gauge, Entegris filter | |
15679 | Kinetics | Swagelok | 6LV-DAVR4-PX-C | 3 Kinetics Gas Line, Swagelok 6LV-DAVR4-P, 6LV-DAVR4-PX-C, Pall, Ametek Meter | |
15680 | Kinetics | Swagelok | 6LV-DACR4-P | Kinetics Gas Line, w 2 Swagelok 6LV-DAVR4-P, Tescom 74-24P1KR920-067, Pall | |
15681 | Kinetics | Tescom | 250 | Kinetics Gas Line, Tescom 250, USG Meter | |
15682 | Kinetics | Pall | SGLFPF6402VMM4 | Kinetics Fluid System Gas Line, Pall SGLFPF6402VMM4, Tescom 250, USG Meter | |
15683 | Kinetics | Millipore | CINM0040 | Kinetics Gas Line, APTech AP1010SM 2PW FV4 FV4 SB, Swagelok 6LV-DAVR4-P | |
15684 | Kinetics | Pall | SGLFPF6402VMM4 | 2 Kinetics Gas Line, Tescom 60, Pall SGLFPF6402VMM4, Swagelok 6LV-DAVR4-P | |
15685 | Kinetics | APTech | AP1006SM 2PW FV4 FV4 SB | Kinetics Gas Line, APTech AP1006SM 2PW FV4 FV4 SB, Pall, Ametek, Titan 316L SCG | |
15686 | Kinetics | Swagelok | B-45S8 | Kinetics Gas Line, Swagelok B-45S8, Tescom 150, Pall SGLFPF6402VMM6/8 | |
15687 | Kinetics | Tescom | 44-2213-242-010 | Kinetics Gas Line, Tescom 44-2213-242-010, Pall SGLFPF6402VMM4, Ashcroft Meter | |
15688 | Kinetics | APTech | AP1010SM 2PW FV4 FV4 SB | 2 Kinetics Gas Line, Swagelok 6LV-DAVR4-P-NW, APTech AP1010SM 2PW FV4 FV4 SB | |
15689 | Kinetics | Tescom | 150 | 4 Kinetics Gas Line, Swagelok B-45S8, Tescom 150, USG Meter | |
15690 | Kinetics | Tescom | 4-2213-242-010 | 7 Kinetics Gas Line, Tescom 250 4-2213-242-010, Pall SGLFPF6402VMM4 | |
15691 | Kinetics | Tescom | 250 | Kinetics Gas Line, USG Meter, Tescom 250 | |
15692 | Kinetics | Pall | SGLFPF6402VMM68 | Kinetics Gas Line, Pall SGLFPF6402VMM68, Tescom 150, Whitey B-45S8 | |
15693 | Kinetics | Pall | GLFPF3000VMM4 | Kinetics Gas Line, Ashcroft Meter, Pall GLFPF3000VMM4, Tescom 44-2213-242-010 | |
15694 | Kinetics | Tescom | 250 | Kinetics Gas Line, Tescom 250, USG w/ 3 brackets | |
15695 | Kinetics | Nupro | SS-DLVCR4 | Kinetics Gas Line, Tescom 74-2462KRH22, Span Meter, Millipore WG2F02PR1 | |
15696 | Kinetics | Kinetics Fluid System Intel Gas Stick Assy, GS90 | |||
15697 | Kinetics | Kinetics high purity gas stick, Tescom 150 regulator, Swagelok B-45S8, myrolis | |||
15698 | Kinetics | Tescom | 150 | Kinetics Fluid System Gas Line, Tescom 150, USG Meter | |
15699 | Kinetics | Millipore | WG2F01HS1 | Kinetics Gas Line, Tescom 250, Millipore WG2F01HS1, USG Meter | |
15700 | Kinetics | Tescom | 74-2P1KR920-067 | 10 Kinetics Gas Line, Tescom 74-24P1KR920-067, Pall SGLFPF6402VMM4, Ametek | |
15701 | Kinetics | Ham-Let | HM20 4VLKQ M4 | 2 Kinetics Gas Line, Ham-Let HM20 4VKLQ M4, 316 VIM/VAR, Tescom 60, Ametek, Pall | |
15702 | Kinetics | Tescom | 44-2213-242-010 | 4 Kinetics Gas Line, Tescom 44-2213-242-010, Pall SGLFPF6402VMM4, Swagelok | |
15703 | Kinetics | Pall | SGLFPF6402VMM6/8 | 4 Kinetics Gas Line, Swagelok B-45S8, Tescom 150, Pall SGLFPF6402VMM6/8 | |
15704 | Kinetics | Hamlet | HM20 4VKLQ M4 | Kinetics Gas Line, Tescom 60 64-2661KRT20-047, Hamlet HM20 4VKLQ M4, Pall | |
15705 | Kinetics | Tescom | 44-2213-242-010 | 2 Kinetics Gas Line, Tescom 44-2213-242-010, Ashcroft, USG | |
15706 | Kinetics | Tescom | 250 | Kinetics Gas Line, Tescom 250, Pall SGLFPF6402VMM4, USG | |
15707 | Kinetics | Millipore | WG2F01HS1 | Kinetics Gas Line, Millipore WG2F01HS1, USG, Tescom 150, Whitey B-45S8 | |
15708 | Kinetics | Tescom | 250 | Kinetics Gas Line, Tescom 250, USG w/ 2 brackets | |
15709 | Kinetics | Tescom | 74-24P1KR920-067 | 2 Kinetics Gas Line, Tescom 74-24P1KR920-067, Pall SGLFPF402VMM4, Swagelok | |
15710 | Kinetics | Pall | SGLFPF6402VMM4 | Kinetics Gas Line, Tescom 60 74-24P1KR920-067, Pall SGLFPF6402VMM4 double ended | |
15711 | Kinetics | Swagelok | 6LV-DAVR4-PX-C | Kinetics Gas Line, Swagelok 6LV-DAVR4-P, 6LV-DAVR4-PX-C, Tescom 74-24P1KR920-067 | |
15712 | Kinetics | Kinetics Gas Line, Regulator Tescom 44-2213-242-010, Ashcroft gauge, swagelok | |||
15713 | Kinetics | Tescom | 250 | Kinetics Gas Line, USG Meter, Tescom 250 | |
15714 | King | n/a | King Flowmeter, SCFM, 0-25 | ||
15715 | King | SCFH-AIR-STP | King SCFH-AIR-STP Instrument Flow Meter 100789 | ||
15716 | Kings | KN-79-45-M06 | Kings KN-79-45-M06 RF Coaxial Connector | ||
15717 | Kings connectors | KN-59-29B | RF Coaxial Connector 90 Degree, Kings 81836050101 KN-59-29B, | ||
15718 | Kingston | 1Gb | 12 KINGSTON KVR MEMORY 1 GB | ||
15719 | Kinseki | 62 | Lot of 200 Oscillators 61.521186 Mhz Kinseki | ||
15720 | Kinseki | VCTCXO-203C | Kinseki, 16.8 Mhz oscillator VCTCXO-203C | ||
15721 | Kinseki, Limited KSS | HLD-85930DL | 25 Kinseki HLD-85930DL Oscillator Chips, 85.95/0.455MHz743 | ||
15722 | Kinseki, LTD. KSS | MSFB0685065GP | MSFB06-85-030K3 | 1000 Kinseki KSS MSFB0685065GP Oscillators, 85.380MHz, MSFB06-85-030K3 | |
15723 | Kinton | X18-08N-S33 | Kinton X18-08N-S33 Proximity Switch; | ||
15724 | KIP inc | 651165 | Lot of 2 new solenoid valves | ||
15725 | KLA Tencor | FLX-2908 | KLA Tencor FLX-2908 Thin Film Stress Measurement System 230v 15A 1Ph 50Hz | ||
15726 | KLA Tencor | 269972 | 2 KLA Tencor 269972 Lamp Assembly | ||
15727 | KLA Tencor Prometrix | 2020 | KLA 2020, VAC Video Line Isolator, 750-712-2494-00 | ||
15728 | KLA Tencor Prometrix | 190870 | Tencor Instruments Assy 190870 Circuit Board, 7600, FAB 101303, ID | ||
15729 | KLA Tencor Prometrix | 206539 | KLA Tencor Assy 206539 PCB, ADC, SFS75, FAB 206547 | ||
15730 | KLA Tencor Prometrix | 219403 | KLA Tencor 219403 Cable Assembly, Flex Lead, SFS7500 | ||
15731 | KLA Tencor Prometrix | 219403 | KLA Tencor 219403 Cable Assembly, Flex Lead, SFS7500, | ||
15732 | KLA Tencor Prometrix | 293571 | KLA Tencor Assy 293571 PCB, ADC, SFS7700, FAB 310484 | ||
15733 | KLA Tencor Prometrix | 0325687-000 | KLA 0325687-000, SC0306-10MM/TQC, SERVOCLASS SC030 | ||
15734 | KLA Tencor Prometrix | 36-0127 | PROMETRIX 36-0127 MOTOR DRIVE DC SERVO, PCB CIRPRO-1 11-69 | ||
15735 | KLA Tencor Prometrix | 36-0323 | 54-0323 | PROMETRIX 36-0323 REV.2, 54-0323 REV.4, ANALYZER HOME SENSOR | |
15736 | KLA Tencor Prometrix | 50-0002-11 | KLA Tencor2-11, Type G, 4-Pint Probe Head | ||
15737 | KLA Tencor Prometrix | 50-1010 | KLA Tencor0 Prometrix, Precision Light Source; other | ||
15738 | KLA Tencor Prometrix | 52-0282 | KLA Tencor 52-0282 Motor Filter Wheel, UV 1250, | ||
15739 | KLA Tencor Prometrix | 54-0220 H2 | KLA Tencor Prometrix 54-0220 H2 Theta Interface PCB, 36-0202, UV 1250. | ||
15740 | KLA Tencor Prometrix | 54-0221 | Prometrix 54-0221, PCB36-0203, PCA54-0221, Handler Shuttle Board | ||
15741 | KLA Tencor Prometrix | 54-0276 | KLA Tencor Prometrix 54-0276 PCB Door Interlock 36-0276, | ||
15742 | KLA Tencor Prometrix | 54-0288 | Prometrix Corp. PCA 54-0288, PCB 36-0288, Sensor, Z Limit, | ||
15743 | KLA Tencor Prometrix | 54-0342 | Prometrix 36-0342, 54-0342 System Status LED UV1250 PCB, | ||
15744 | KLA Tencor Prometrix | 750-370919-001 | KLA Tencor 750-370919-001 300UV Robot Arm Box w/ Plate, 750-059525-000 | ||
15745 | KLA Tencor Prometrix | 80-00100 | Tencor Instruments 80-00100 Sigmascan Profilometer, | ||
15746 | KLA Tencor Prometrix | P11 | KLA Tencor P11 surface profiler, part tool, no PC with glass stage | ||
15747 | KLA Tencor Prometrix | PCA 54-0147 | Prometrix PCA 54-0147, PCB 36-0104, PCB Edge Sensor FA, UV 1250, | ||
15748 | KLA Tencor Prometrix | PCA 54-0254 | KLA-TENCOR PROMETRIX, PCA 54-0254 REV.C, OPTICS INTERFACE, PCB 36-0254 REV.A | ||
15749 | KLA Tencor Prometrix | PCA 54-0254 | KLA-TENCOR PROMETRIX, PCA 54-0254 REV.B, OPTICS INTERFACE, PCB 36-0254 REV.A | ||
15750 | KLA Tencor Prometrix | S7600 | KLA Tencor 264156, Chuck Assembly, Shield, 200mm, S7600, Quartz | ||
15751 | KLA Tencor Prometrix | TC 480 | 161-24-001 | Tenor TC 480 48 x 48mm Analog PD Temperature Controller, 161-24-001 | |
15752 | KLA Tencor Prometrix | TC480 | 480-3-30-64 | Tenor TC480 Analog PD Temperature Controller 480-3-30-64 | |
15753 | Klinger | MC4 | MD4 | Klinger MC4 Programmable Stepping Motor Controller w/ MD4 Power Driver | |
15754 | Klinger Scientific | MD4 | Klinger | MC4 | Klinger MD4 Stepping Motor Power Driver and MC4 Programmable Controller, |
15755 | Klinger Scientific | MD4 | Klinger | MC4 | Klinger MD4 Stepping Motor Power Driver and MC4 Programmable Controller, |
15756 | Klockner Moeller | FAZNC40-3 | 3 Klockner Moeller FAZNC40-3, Circuit Breaker | ||
15757 | Klockner Moeller | K40A | 2 Klockner Moeller K40A, Circuit Breaker | ||
15758 | Klockner Moeller | FAZN-C6 | Watkins Johnson WJ | 084737-000 | Klockner Moeller FAZN-C6 Circuit Breaker 5kA-277VAC, Watkins Johnson WJ |
15759 | KLOEHN | 19311 | KLOEHN SYRINGE PUMPS MOTORIZED INJECTOR VALVES 19311 | ||
15760 | KLOEHN | 19560 | KLOEHN SYRINGE PUMPS MOTORIZED INJECTOR VALVES 19560, missing stepper motors | ||
15761 | KMET | C0603C102J5RAC7867 | Lot of 100 KMET 1000pFpacitors C0603C102J5RAC7867 | ||
15762 | Koagnei | DAS20X40-W | Koagnei DAS20X40-W Sensor Cylinder, CS5B | ||
15763 | Kobishi Electric | BZ-34W | Kobishi Electric BZ-34W, Buzzer, AC100V/100V, 3VA,z. | ||
15764 | Kobold | DF-WM | Kobold DF-WM Paddle Wheel Flow Switch, DF-DR1335 | ||
15765 | Kobold | NEK-3236N20C | Kobold NEK-3236N20C Compact Conductive Level Switch | ||
15766 | Koflo | 1/2-80-8P-6-2 | Koflo 1/2-80-8P-6-2 Static Mixer | ||
15767 | Koganei | 3.00E+02 | 030E1-PSL | Koganei 030E1 Solenoid Valve, 030E1-PSL | |
15768 | Koganei | 5.00E+02 | 2 Koganei 050E1 Solenoid Air Valve | ||
15769 | Koganei | 050-4E1 | 2 Koganei 050-4E1 Solenoid Air Valve | ||
15770 | Koganei | 050-4E1 | Koganei LTD 050-4E1, Solenoid Air Valve, DC24V, 0~7kgf/cm², | ||
15771 | Koganei | 050E1-01 | Koganei 050E1-01 Solenoid Air Valve | ||
15772 | Koganei | 0AS20X102-WWCS5B | (Lot of Three)Koganei 0AS20X102-WWCS5B, Pneumatic Air Cylinder, DIA(KOG)P/N CS5B | ||
15773 | Koganei | 200-4E2 | 200-4E2-70-100 | Koganei 200-4E2 Solenoid Valve, AC100V, 200-4E2-70-100 | |
15774 | Koganei | 25×100-2-ZG553A | Koganei 25×100-2-ZG553A Air Cylinder | ||
15775 | Koganei | 25×100-8E-I-ZG53 | Koganei 25×100-8E-I-ZG53 Air Cylinder | ||
15776 | Koganei | 299-4E2 | Koganei 299-4E2 Solenoid Valve, AC100V | ||
15777 | Koganei | 5BV001039 | 3 Koganei Pneumatic Cylinder 5BV001039,Gas Line Isolation Shut-Off Valve | ||
15778 | Koganei | A040E1 | Lot of 2, new solenoid valves | ||
15779 | Koganei | A180-4E1 | Koganei A180-4E1 Solenoid Valve | ||
15780 | Koganei | AFDPG-12 | AMAT | 3020-01222 | 2 Koganei AFDPG-12, Air Cylinder, Gripper, Actuator, Pneumatic, 20X150 |
15781 | Koganei | AFDPG-12 | AMAT | 3020-01222 | Koganei AFDPG-12, Air Cylinder, Gripper, Actuator, Pneumatic, 20X150 |
15782 | Koganei | AFDPG-12 | Koganei AFDPG-12, Air Cylinder, Gripper, Actuator, Pneumatic | ||
15783 | Koganei | CDAS12x5-R | Koganei CDAS 12 x 5-R CDAS12x5-R Jig Air Cylinder | ||
15784 | Koganei | CS5B2 | Koganei CS5B2 Sensor, Cylinder, | ||
15785 | Koganei | DAS-20X15-W-CS5B | Koganei DAS-20X15-W-CS5B, Pneumatic Air Cylinder | ||
15786 | Koganei | DSA20X40-CS5B2-W | (Lot of Three) Koganei DSA20X40-CS5B2-W, Pneumatic air cylinder, Sensor | ||
15787 | Koganei | E-15 | 5 new Koganei filter element E-15 mm | ||
15788 | Koganei | E-30 | 5 Koganei Filter Element E-30 | ||
15789 | Koganei | ETH-BGR-000007-A | Koganei ETH-BGR-000007-A, Solenoid Air Valve Assembly, 200E1, 200-4E1. | ||
15790 | Koganei | F-AV250-14W | Tokyo Electron | CT012-002089-1 | Koganei F-AV250-14W Air Operated Valve TEL Tokyo Electron CT012-002089-1 |
15791 | Koganei | F300 | Koganei F300 Filter Element | ||
15792 | Koganei | F300 | Koganei F300 Element, Filter | ||
15793 | Koganei | FR 600 | Koganei FR 600 Filter Regulator | ||
15794 | Koganei | FR 600 | Koganei FR 600 Filter Regulator | ||
15795 | Koganei | JDADS16x10 | Koganei JDADS16x10 Air Cylinder JIG | ||
15796 | Koganei | RAPS20-180-54W | Koganie RAPS20-180-54W Cylinder Unit, | ||
15797 | Koganei | RAPS20-180-54W | Disco | Koganei RAPS20-180-54W Rotary Activator, Disco EAUM-783100 | |
15798 | Koganei | RAPS20-180-54W | Disco | Koganei RAPS20-180-54W Rotary Activator, Disco EAUM-783100 | |
15799 | Koganei | RAPS5-100-S | Koganei RAPS5-100-S Rotary Activator | ||
15800 | Koganei | SM03086 | 4 Koganei SM03086 Meter, Pressure Gauge, MPa, | ||
15801 | Koganei | SP1994-159 | Koganei MEF12 Air Filter, Micro Injector SP1994-1059 | ||
15802 | Koganei | V030E1 | KOGANEI V030E1 SOLENOID VACUUM VALVE DC24V 0-750MMHG | ||
15803 | Koganei | V050LE1 | Koganei V050LE1 Solenoid Air Valve | ||
15804 | Koganei | YM4T-030-E1 | Koganei YM4T-030-E1 Solenoid Valve Assembly, 030E1 | ||
15805 | Koganei | 200-4E2 | 2 Koganei 200-4E2 Solenoid Valve AC100V | ||
15806 | Koganei | 200-4E2 | Koganei 200-4E2 Solenoid Valve AC100V, | ||
15807 | Koganei | V030E1 | Koganei V030E1 Solenoid Vacuum Valve | ||
15808 | Kokusai | 3REA059034 | Kokusai Semiconductor 3REA059034 Teflon Female Cnnctor | ||
15809 | Kokusai | 3ROT036154 | 2 new gas flex lines | ||
15810 | Kokusai Dengyo | 122 | Kokusai Dengyo Foot Switch 0122 | ||
15811 | Kokusai Electric | DN-130P | Kokusai Electric DN-130P Heater Over Temperature Protection Unit | ||
15812 | Kokusai Electric | DN-130P | Kokusai Electric DN-130P Heater Over Temperature Protection Unit | ||
15813 | Kollmorgen | 1000-0110-03 | Kollmorgen 1000-0110-03 Magnedyne Brushless Servo Amplifier | ||
15814 | Kollmorgen Servotronix | AKM24F-BSM22-02 | Kollmorgen AKM24F-BSM22-02 Servomotor, 8000 RPM, | ||
15815 | Kollmorgen Servotronix | MT1506B1-E2C1 | Novellus | 968601 | Goldline servo motor |
15816 | Kollmorgen Servotronix | MT1506B1-E2C1 | Novellus Ipec speedfam | 968601 | New servomotor, Goldline series. In box. surplus from major semiconductor fab |
15817 | Kollmorgen Servotronix | MT1506B1-E2C1 | Novellus Ipec speedfam | 968601 | 2 Servomotor, Goldline series.Looks new. surplus from major semiconductor fab |
15818 | Kollmorgen Servotronix | MT1506B1-E2C1 | Novellus | 968601 | Kollmorgen MT1506B1-E2C1 Goldline Servo motor, Novellus |
15819 | Kollmorgen Servotronix | PCB-00471000-00 | Asyst | PRD-0047000E-00 | Kollmorgen Servotronix PCB-00471000-00 PCB, Asyst Dasa PRD-0047000E-00 |
15820 | Kollmorgen Servotronix | PCB-00471000-00 | Asyst | PRD-0047000E-00 | Kollmorgen Servotronix PCB-00471000-00 PCB, Asyst DASA Digital |
15821 | Kollmorgen Servotronix | PCB-00471000-00 | Asyst | PRD-0047000C-00 | Koilmorgen Servoronix PCB-00471000-00 DASA Digital PCB, Asyst |
15822 | Kollmorgen Servotronix | PCB-00471000-00 | Asyst | PRD-0047000C-00 | Koilmorgen Servoronix PCB-00471000-00 DASA Digital PCB, Asyst |
15823 | Kollmorgen Servotronix | RBEH-01210-A14 | Heidenhain | D-83301 | Kollmorgen RBEH-01210-A14 Brushless Motor, Heidenhain D-83301 |
15824 | Kollmorgen Servotronix | RBEH-01210-A14 | Heidenhain | D-83301 | Kollmorgen RBEH-01210-A14 Brushless Motor, Heidenhain D-83301, |
15825 | Kollmorgen Servotronix | RBEH-01210-E14 | Heidenhain | D-83301 | Kollmorgen RBEH-01210-E14 Brushless Motor, Heidenhain D-83301 |
15826 | Kollmorgen Servotronix | RBEHE-01210-E17 | Heidenhain | D-83301 | Kollmorgen RBEHE-01210-E17 Brushless Motor, Heidenhain D-83301 |
15827 | Kollmorgen Servotronix | RBEHE-01210-E17 | Heidenhain | D-83301 | Kollmorgen RBEHE-01210-E17 Brushless Motor, Heidenhain D-83301 |
15828 | Kollmorgen Servotronix | SR03000-Y015 | Kollmorgen SR03000-Y015 ServoStar Amplifier Drive, 140/310 VDC | ||
15829 | Kontes | 885303-0100 | Kontes tissue grind tube sz 100 ml 885303-0100 | ||
15830 | Kontron | KIC-2 | Kontron KIC-2 Lam Controller, 2-D1C9-0001 | ||
15831 | Koyo | Direct Logic 405EX | Koyo Direct Logic D4-EX, 8 Pt Thermocouple Input, | ||
15832 | KROM | D-49018 | KROM SCHRODER D-49018 FLOW METER D3 5E 84444250 F1196 0324 | ||
15833 | KROM SCHRODER | 84444250 | KROM SCHRODER 84444250 DL5E AIR PRESSURE SWITCH, N-2 BUBBLING 1110324 | ||
15834 | Krom Schroder | Krom Schroder isf 258 controller | |||
15835 | KS Equip | TS677 | KS Equip T2677, 731 BTM, T2678, 728 BTM Cassette Holder, Mask | ||
15836 | KS Equip | TS677 | KS Equip T2677, 731 BTM, T2678, 728 BTM Cassette Holder, Mask | ||
15837 | KSI | S1-1-1000 | AMAT | 1140-01098 | NEW AMAT 1140-01098 Kaiser Systems KSI S1-1-1000, DC Power Supply, 1100595 |
15838 | Kulicke & Soffa | 1488 | Kulicke & Soffa 1488 Plus Automatic Wire Bonders | ||
15839 | Kulicke & Soffa | 1488 | Kulicke & Soffa 1488 Plus Automatic Wire Bonders | ||
15840 | Kulicke & Soffa | 0148-4083-000 | Kulicke And Soffa Industries 01418-4083-000 PCB, 1418-4057-1, | ||
15841 | Kulicke & Soffa | 01482-4003-000 | Kulicke & Soffa 01482-4003-000 PCB Board, 01482-4003-001, 01482-1031-000 | ||
15842 | Kulicke & Soffa | 01482-4011-001 | Kulicke & Soffa 01482-4011-001 Power Board, PCB, 01471-1032-00 | ||
15843 | Kulicke & Soffa | 982-6 | Kulicke & Soffa 982-6 Wafer Dicing Saw with Manual, K&S | ||
15844 | Kulicke & Soffa | 982-6 | Kulicke & Soffa 780 Wafer Dicing Saw with Manual, K&S | ||
15845 | Kulicke & Soffa | BBT20000 | Kulicke & Soffa KNS BOSS 20000 Interface Test System BBT20000 – | ||
15846 | Kulicke & Soffa | Kulicke and Soffa 01471-4012-101-00 Ultra Gen, Board, PCB | |||
15847 | Kulicke & Soffa | Kulicke and Soffa 01471-4008-001-05 PCB Board, 01471-5048-000 | |||
15848 | Kuroda | 20-13C5S KDB 124 | KURODA PRECISION INDUSTRIES 20-10C5S KDB 124 MODRX015–A. BALL SCREW | ||
15849 | Kuroda | SS-232HL | 5 Kuroda SS-232HL Solenoid Valve | ||
15850 | Kuroda | M600DF-04 | KURODA M600DF-04 AIR FILTER REGULATOR ASSEMBLY S105-4 855-2G | ||
15851 | Kuroda | M600DF-04 | KURODA M600DF-04 AIR FILTER REGULATOR ASSEMBLY S105-4 855-2G | ||
15852 | Kuroda | M600DF-04 | KURODA M600DF-04 AIR FILTER REGULATOR ASSEMBLY S105-4 855-2G | ||
15853 | Kurt I Lesker Company | QF25-100-C | 2 Kurt J. Lesker QF25-100-C Clamp, Evac, NW 25, 4-Link | ||
15854 | Kurt J Lesker | QF40-150-VP | Kurt J Lesker QF40-150-VP Viewport, Kodial Glass, QF40, Flange | ||
15855 | Kurt J Lester | KJL275808 | Kurt J. Lesker KJL275808 Transducer w/ Controller Torr/mTorr Display KF40 | ||
15856 | Kurt J Lester | QF-SDC-AL1 | 11 Kurt J Lesker Company QF-SDC-AL1 2122A211 Turbo Iso Flange Claw Clamp | ||
15857 | KVM | KVM-K104 | KVM-K104 4-Port KVM Switch | ||
15858 | Kyky | FD-600H | Kyky FD-600H Turbomolecular Pump Power Supply, RS1269 | ||
15859 | Kyky Technology Development Ltd. | FD-600H | Kyky FD-600H Turbomolecular Pump Power Supply, RS1269 | ||
15860 | Kyocera | 06035C103JAT2A | Lot ofVX Kyocera Chip Capacitor 1000pF 06035C103JAT2A | ||
15861 | Kyocera | TAJC106K020R | Lot of 1100 AVX Kyocera Tantalum capacitor 10uF TAJC106K020R | ||
15862 | Lab Safety | 25513 | Lot of 12 LOTO 25513 Lab Safety Supply Clamps | ||
15863 | Label | 951745 | 195 Chemical Hazard Labels, 951745 | ||
15864 | Lacie | 300647 | Lacie 300647, External Optical Drive, USB, | ||
15865 | Lager Module | PM7 | 670-10 | E970970 | Lager Module PM7, 670-10, E970970, Wavelength 670nm |
15866 | Laird | MRC150DH2-HT-DV | Laird Technologies MRC150DH2-HT-DV Chiller | ||
15867 | LAM | NA | LAM Rainboweramic Chamber Insert Liner, Ring, Chuck | ||
15868 | LAM | NA | LAM Rainboweramic Chamber Liner, Ring, Chuck | ||
15869 | LAM | NA | LAM Rainboweramic Ring, Liner, Chuck | ||
15870 | LAM | ICS-24669909 | LAM ICS-24669909 Ceramic Ring, Rainbowiner, Cover, Chuck | ||
15871 | LAM | NA | LAM Rainboweramic Chamber Insert, Liner, Chuck | ||
15872 | LAM | 720-090943-010 | LAM 720-090943-010 Screw | ||
15873 | LAM | 716-011651-005 | LAM 716-011651-005 Ring, Edge, Wafer Clamp, 5.00″ | ||
15874 | LAM | 684-090023-006 | 2 LAM 684-090023-006 CA, Mod Cord | ||
15875 | LAM | 853-029461-001 | LAM 853-029461-001 Assy, Photosensor INSTL | ||
15876 | Lam Research LAM | 734-092358-001 | LAM 734-092358-001 O-ring, 1-3/16ID X 1/8, FSILICON | ||
15877 | LAM Research | 79-374606-00 | LAM 79-374606-00 Dual Robot Arm, Blade, Wafer Transfer, PECVD, Novellus | ||
15878 | LAM Research | 839-810333-001 | MKS | 640A-13581 | LAM 839-810333-001 Weldment Assy w/ MKS 640A-13581 Pressure Controllerr |
15879 | LAM Research | 839-810333-001 | MKS | 640A-13581 | LAM 839-810333-001 Weldment Assy w/ MKS 640A-13581 Pressure Controllerr |
15880 | LAM Research | 853-802770-002 | LAM 853-802770-002 AC Power Cable, 49P-X2, 2P3 | ||
15881 | LAM Research | LAM 3-Way Isolation Valve, Gas Manifold | |||
15882 | LAM Research | Novellus | LAM Novellus Robot Blade | ||
15883 | LAM Research | UNIT | UFC-1660 | LAM Gas Manifold Assembly, UNIT UFC-1660, APTech Valve | |
15884 | LAM Research | 2101288 | LAM 2101288, Process Chamber, Bellows Heater | ||
15885 | LAM Research | 130616800 | 2 LAM 130616800 Rev.003, 125B, Clamping Collar. | ||
15886 | LAM Research | 500055389 | LAM389, Electrode, one piece, ground | ||
15887 | LAM Research | 715-071309-001 | Novellus | 06-14850-00 | Novellus 06-14850-00, LAM 715-071309-001, Shield, Target, In-Situ, CVD |
15888 | LAM Research | 839-009888-003 | LAM 839-009888-003 ASSY, WLDMT, 2300 MWV STPR SPI. Weldment, Line, Pipe | ||
15889 | Lam Research | 02-389101-00 | 06-338505-00 | LAM 02-389101-00 Robot Transverser Controller, 06-338505-00 23-338505-00 | |
15890 | LAM Research | 033-9020-84 | TRILLIUM PE50-860-5238-05-01 PCB, (MN+/DM/BIMOS), LAM 033-9020-84. | ||
15891 | LAM Research | 033-9020-84 | TRILLIUM PE50-860-5238-05-01 PCB, (MN+/DM/BIMOS), LAM 033-9020-84. | ||
15892 | LAM Research | 033-9020-84 | TRILLIUM PE50-860-5238-05-01 PCB, (MN+/DM/BIMOS), LAM 033-9020-84. | ||
15893 | LAM Research | 1331 021954 | CRO, 7803A, Z80 | LAM 1331 021954, BOARD PCB, CRO, 7803A Z80 PROCESSOR. | |
15894 | LAM Research | 203-140148-308 | LAM 203-140148-308 Electrode Cap, JDA, ESC 8″ MONO. Very clean | ||
15895 | LAM Research | 210-70305-00 | Process Alarm Board | ||
15896 | LAM Research | 230-140148-308 | LAM 230-140148-308 CAP, Electrode, JDA, ESC, 8″, Mono | ||
15897 | LAM Research | 233-2700-79 | 233-2700-79 Outer Shield | ||
15898 | LAM Research | 28-8875-038 | ONTRAK SYSTEMS LAM 28-8875-038 PCB PC ASSEMBLY SIMF RELAY ASSY CE96 3099 | ||
15899 | LAM Research | 33-0010-00 | LAM 33-0010-001 Bearing, 9mm (W), 15mm Bore(OD) | ||
15900 | LAM Research | 3800-33545-1 | LAM 3800-33545-1, Disk Drive Mount Bracket. | ||
15901 | LAM Research | 490B | LAM 490B AutoEtch 490 Plasma Etcher w/ ENI OEM-650A XL, M&W Chiller | ||
15902 | LAM Research | 490B | LAM 490B AutoEtch 490 Plasma Etcher w/ ENI OEM-6L, M&W Chiller | ||
15903 | LAM Research | 490B | LAM 490B AutoEtch 490 Plasma Etcher w/ ENI OEM-6M-01, M&W Chiller | ||
15904 | LAM Research | 492-17304-00 | 2 NEW LAM 492-17304-00 Manifold, Solenoid, M6, 793713-ECOSYS | ||
15905 | LAM Research | 515-011835-001 | LAM 515-011835-001 Tool, Domed Electrode Level, | ||
15906 | LAM Research | 515-011835-001 | LAM 515-011835-001 Tool, Domed Electrode Levelin, | ||
15907 | LAM Research | 518-093762-001 | LAM 518-093762-001 Hydrometer | ||
15908 | LAM Research | 533-00647-00 | Helium feedthrough assy | ||
15909 | LAM Research | 605-109114-102 | LAM 605-109114-102 PCB, PMC422-LAM D2, 14-2170D0010007668-100 | ||
15910 | LAM Research | 673-092355-006 | LAM 673-092355-006, Term, STP, TB1, AC INP Box, CF. Phoenix Contact. | ||
15911 | LAM Research | 678-009953-001 | LAM 678-009953-00120026, Heater Cartridge, 200W. | ||
15912 | LAM Research | 685-094680-001 | Novellus | LAM 685-094680-001 Light, Pen, Novellus | |
15913 | LAM Research | 685-094680-001 | Novellus | LAM 685-094680-001 Light, Pen, Novellus | |
15914 | LAM Research | 7015-011531-0011 | Lam 7015-011531-0011 Electrode DI Sealed | ||
15915 | LAM Research | 713-071681-009 | 734-061280-271 | LAM 713-071681-009, 734-061280-271, Isolator, Esc BP, Redcap, O-Ring | |
15916 | LAM Research | 713-221495-001 | LAM 713-221495-001 Plate, Label Mounting | ||
15917 | LAM Research | 714-017336-002 | LAM 714-017336-002 Fan Unit, Cover, Panel | ||
15918 | LAM Research | 714-017336-002 | LAM 714-017336-002 Fan Unit, Cover, Panel | ||
15919 | LAM Research | 714-017336-002 | LAM 714-017336-002 Fan Unit, 44xx, Cover, Panel | ||
15920 | LAM Research | 714-017336-002 | LAM 714-017336-002 Fan Unit, 44xx, Cover, Panel | ||
15921 | LAM Research | 714-017336-002 | LAM 714-017336-002 Fan Unit, 44xx, Cover, Panel | ||
15922 | LAM Research | 714-017336-002 | LAM 714-017336-002 Fan Unit, 44xx, Cover, Panel | ||
15923 | LAM Research | 714-025052-104 | LAM 714-025052-104, Cover ABC Power Supply. | ||
15924 | LAM Research | 714-025052-107 | LAM 714-025052-107 Cover, ABC Power Supply, ESCTPPH2 | ||
15925 | LAM Research | 714-071727-00 | LAM 714-071727-004 Shield Trans Side R/H, 06-14744-00,; other | ||
15926 | LAM Research | 715-002040-001 | LAM 715-002040-001 Capacitor, Shunt Drill Mod, RF Match | ||
15927 | LAM Research | 715-008221-001 | LAM 715-008221-001 Rev.A, Bracket, New World Machining, Offset. | ||
15928 | LAM Research | 715-008221-001 | LAM 715-008221-001 Bracket, Offset | ||
15929 | LAM Research | 715-011123-001 | LAM 715- 011123- 001 Clamp Ball Nut Qty 1 Each | ||
15930 | LAM Research | 715-011531-001 | TWO LAM RESEARCH 715-011531-001 ELECTRODE ALUMINUM ANODIZED | ||
15931 | LAM Research | 715-011531-011 | 2 LAM 715-011531-011 LRC Electrode | ||
15932 | LAM Research | 715-011531-016 | Lam research 715-011531-016 Electrode aluminium anodized degrease. | ||
15933 | LAM Research | 715-011531-102 | LAM 715-011531-102 Electrode Aluminum Anodized | ||
15934 | LAM Research | 715-011639-008 | NEW LAM 715-011639-008 Cap electrode thin edge, cleaned | ||
15935 | LAM Research | 715-011640-006 | 1002770-07-28-0017 | LAM 715-011640-0060-07-28-0017, 6″ Anodized Focus Ring. | |
15936 | LAM Research | 715-011912-084 | Lam 715-011912-084 Plate, Baffle, Upper 8.3in | ||
15937 | LAM Research | 715-011913-501 | LAM 715-011913-501 PL BAF LWR 8.3″ Meltron | ||
15938 | LAM Research | 715-012113-001 | LAM 715-012113-001 Collar Arm | ||
15939 | LAM Research | 715-012113-001 | LAM 715-012113-001 Collar Arm | ||
15940 | LAM Research | 715-018611-117 | LAM 715-018611-117 , LOWER, Electrode, 8″ CHUCK | ||
15941 | LAM Research | 715-020916-002 | Anodized Aluminum electrode | ||
15942 | LAM Research | 715-140184-8-E1 | Wafer holder, 8″ tightest pocket | ||
15943 | LAM Research | 715-140403-001 | LAM 715-140403-001 Plate, Baffle, Upper 8.3in Looks New | ||
15944 | LAM Research | 715-221532-140 | 2 LAM 715-221532-140 Rev.E2, Clamp, BLKHD, NW40. | ||
15945 | LAM Research | 715-221532-140-1 | LAM 715-221532-140-1, Long’s Mfg, Clamp, BLKHD, NW40. | ||
15946 | LAM Research | 715-221532-140-1 | LAM 715-221532-140-1 Clamp, BLKHD, NW40, Longs MFG | ||
15947 | LAM Research | 715-221532-140-1 | LAM 715-221532-140-1, Long’s Mfg, Bracket. | ||
15948 | LAM Research | 715-443130-001 | LAM 715-443130-001 Ring, Focus, ESC, outer, AL, 8″02-0077 | ||
15949 | LAM Research | 715-704170-001 | SMC | NCQ2B20-25D-XB9 | LAM 715-704170-001 Wafer Chuck Lift Assy, SMC NCQ2B20-25D-XB9 |
15950 | LAM Research | 715-704170-001 | SMC | NCQ2B20-25D-XB9 | LAM 715-704170-001 Wafer Chuck Lift Assy, SMC NCQ2B20-25D-XB9 |
15951 | LAM Research | 716-006711-001 | LAM 716-006711-001 Shower Head 5.5″ DFP-2 Upper Electrode Silicone | ||
15952 | LAM Research | 716-006711-001 | LAM 716-006711-001 Shower Head 5.5″ DFP-2 Upper Electrode Silicone | ||
15953 | LAM Research | 716-011036-001 | Ring filler orifice, Alumina Looks new, but | ||
15954 | LAM Research | 716-011536-001 | LAM 716-011536-001. ceramic ring, cleaned | ||
15955 | LAM Research | 716-020904-015 | LAM 716-020904-015, Ring Edge Focus, Lower wafer clamp, Cleaned | ||
15956 | LAM Research | 716-021894-001 | 2 LAM 716-021894-001 Gasket, Bell Jar Clamp, Lower, RGS LAR01-1251-0005 | ||
15957 | LAM Research | 716-022495-002 | Lam Research 716-022495-002 Ring Edge | ||
15958 | LAM Research | 716-0258721-268 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY | ||
15959 | LAM Research | 716-0258721-268 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY | ||
15960 | LAM Research | 716-0258721-268 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY | ||
15961 | LAM Research | 716-0258721-268 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY | ||
15962 | LAM Research | 716-0258721-268 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY | ||
15963 | LAM Research | 716-027740-001 | LAM 716-027740-001 Window, | ||
15964 | LAM Research | 716-028447-002 | LAM 716-028447-002 Window, Ceramic, TCP, 06-14212-00, ID | ||
15965 | LAM Research | 716-028721-268 | LAM 716-028721-268, Plate, Shadow Clamp, Wafer, Jeida, Bottom Assembly | ||
15966 | LAM Research | 716-028721-268 | LAM 716-028721-268, Plate, Shadow Clamp, Wafer, Jeida, Bottom Assembly | ||
15967 | LAM Research | 716-028721-268 | LAM 716-028721-268, Plate, Shadow Clamp, Wafer, Jeida, Bottom Assembly | ||
15968 | LAM Research | 716-028721-268 | LAM 716-028721-268, Plate, Shadow Clamp, Wafer, Jeida, Bottom Assembly | ||
15969 | LAM Research | 716-028739-001 | New, Gas Ring Protection Sleeve | ||
15970 | LAM Research | 716-051050-001 | 5 new LAM 716-051050-001 QTZ, outer spacer, PLT, react UPP | ||
15971 | LAM Research | 716-051050-001 | LAM 716-051050-001, QTZ, Outer Spacer, PLT, React UPP. | ||
15972 | LAM Research | 718-094756-081 | Electrode cap ESC | ||
15973 | LAM Research | 718-094756-081 | LAM 718-094756-081, ELECTD, Cap, GD Ring, ESC, 8IN, NCH | ||
15974 | LAM Research | 734-007334-001 | LAM 734-007334-001 O-Ring 3 I.D. X 3/32 C/S. Viton | ||
15975 | LAM Research | 734-009868-001 | LAM 734-009868-001 ORING 2 3/4ID x 1/8 VITON | ||
15976 | LAM Research | 734-009992-001 | 77 LAM 734-009992-001, .987X.103mm, Viton O-ring | ||
15977 | LAM Research | 754-092082-002 | LAM 754-092082-002 Assembly Guide Bearing and Springm | ||
15978 | LAM Research | 754-092082-002 | LAM 754-092082-002 Assembly, GDE Bearing and SPR | ||
15979 | LAM Research | 766-077821-101 | LAM 766-077821-101 Valve, Hybrid-Purge, FVCR-IN, C-Seal Out, FJ, Fujikin | ||
15980 | LAM Research | 796-008976-003 | MKS | 2 LAM 796-008976-003 Centering, NW100, Seal Assembly, SS/V, MKS | |
15981 | LAM Research | 796-009363-003 | LAM 796-009363-003, Flange, Blank-Off, NW25. | ||
15982 | LAM Research | 796-009363-004 | LAM 796-009363-004, Flange, Blank-Off, MKS HPS. | ||
15983 | LAM Research | 796-009364-001 | 2 vacuum bellows assemblies, NW 80, 20 : long | ||
15984 | LAM Research | 796-09638-001 | 2 LAM 796-09638-001 Bellows Seal Kit | ||
15985 | LAM Research | 796-099665-001 | VAT | 96073-R1 | LAM 796-099665-001, Gate, Bonded Sit Valve Door, Chemraz VAT 96073-R1. |
15986 | LAM Research | 796-220745-001 | LAM 796-220745-001, Flange, CF, 1.33, Blank, Non-Rotatable. | ||
15987 | LAM Research | 796-220745-001 | 2 LAM 796-220745-001, Flange, CF, 1.33, Blank, Non-Rotatable, MKS HPS. | ||
15988 | LAM Research | 810-001314-002 | LAM 810-001314-002 TLT I/O Board, PCB , missing attachment plate | ||
15989 | LAM Research | 810-001314-002 | LAM 810-001314-002 TLT I/O Board, PCB | ||
15990 | LAM Research | 810-001489-015 | LAM 810-001489-015, Rocker Valve Interface Board, FAB 710-001489-015. | ||
15991 | LAM Research | 810-017016-001 | Stepper motor driver PCB | ||
15992 | LAM Research | 810-017016-001 | LAM 810-017016-001 Stepper motor driver PCB board, BV9612305 | ||
15993 | LAM Research | 810-017021-001 | LAM 810-017021-001 Assembly PCB Lifter Filter | ||
15994 | LAM Research | 810-017075-003 | LAM 810-017075-003, FAB 710-017075-003, PCB Weldbox Panel | ||
15995 | LAM Research | 810-031325-004 | 710-031325-003 | LAM 810-031325-004, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-003. | |
15996 | LAM Research | 810-031325-004 | 710-031325-003 | LAM 810-031325-004, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-003. | |
15997 | LAM Research | 810-031325-104 | 710-031325-104 | LAM 810-031325-104, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-104. | |
15998 | LAM Research | 810-031325-104 | 710-031325-104 | LAM 810-031325-104, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-104. | |
15999 | LAM Research | 810-031325-104 | 710-031325-104 | LAM 810-031325-104, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-104. | |
16000 | LAM Research | 810-031325-104 | 710-031325-104 | LAM 810-031325-104, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-104. | |
16001 | LAM Research | 810-035270-004 | 710-035270-003 | LAM 810-035270-004, DSO 1.5 IGS, DGF, Motherboard, PCB, 710-035270-003. | |
16002 | LAM Research | 810-048219-019 | 710-048219-018 | LAM 810-048219-019 PCB Board, FAB 710-048219-018, | |
16003 | LAM Research | 810-059223-312 | 855-059223-312 | LAM 810-059223-312 V3 Cleaner Interlock Board, PCB, 855-059223-312, | |
16004 | LAM Research | 810-059223-313 | 855-059223-312 | LAM 810-059223-313 V3 Cleaner Interlock Board, PCB, 855-059223-312, | |
16005 | LAM Research | 810-084427-002 | 710-084427-002 | LAM 810-084427-002 PCB, FAB 710-84427-002, | |
16006 | LAM Research | 810-102171-002 | 810-056663-007 | LAM 810-102171-002 C360 LRP Motherboard w/ 810-056663-007 Daughter Board | |
16007 | LAM Research | 810-1314-003 | LAM, 810-1314-003, PCB, DIGITAL I/O | ||
16008 | LAM Research | 810-17004-001 | LAM 810-17004-001 Solenoid Interlock Board, PCB, | ||
16009 | LAM Research | 810-17004-004 | Solenoid interlock PCB assy, some bent pins in connector | ||
16010 | LAM Research | 810-17012-001 | Heartbeat PCB | ||
16011 | LAM Research | 810-190401-001 | LAM 810-190401-001 PCB, Autoloader VME I/O Motherboard, ID | ||
16012 | LAM Research | 810-190401-001 | LAM 810-190401-001 Autoloader VME I/O Motherboard, PCB, ID | ||
16013 | LAM Research | 810-2140-005 | Control Lift PCB, look new in sealed bag | ||
16014 | LAM Research | 810-802901-317 | LAM 810-802901-317 Node 1, PM, Common Fan Detect PCB, FAB 710-802901-317, | ||
16015 | LAM Research | 810-802902-208 | 710-802902-208 | LAM 810-802902-208 NODE2 Mother Board, PCB, FAB 710-802902-208, | |
16016 | LAM Research | 839-006601-001-F | LAM 839-006601-001-F Weldment, Manifold, Gas-Line, BACKFI | ||
16017 | LAM Research | 839-014705-001 | LAM 839-014705-001 Gas Line, Veriflo 944AOPLPNC4569 | ||
16018 | LAM Research | 839-019631-001 | LAM 839-019631-001, WLDMT, SRC Gas Line 2800 MW ST. | ||
16019 | LAM Research | 839-071625-001 | LAM 839-071625-001 Horn, 8″ Gas Ring, Epic In-Sit | ||
16020 | LAM Research | 839-071625-001 | LAM 839-071625-001 Horn, 8″ Gas Ring, Epic In-Sit | ||
16021 | LAM Research | 839-073168-002 | LAM 839-073168-002 WLDMT, UPC Valve | ||
16022 | LAM Research | 839-073168-002 | LAM 839-073168-002 WLDMT, UPC Valve | ||
16023 | LAM Research | 839-073168-002 | Swagelok | 6LV-BNBW4-C | LAM 839-073168-002, Swagelok 6LV-BNBW4-C, WCDMT, Weldment UPC Valve. |
16024 | LAM Research | 839-073168-002 | Nupro | 6LV-BNBW4-C | LAM 839-073168-002, Weldment UPC Valve, Nupro 6LV-BNBW4-C. |
16025 | LAM Research | 839-073168-002 | LAM 839-073168-002 Weldment UPC Valve, Swagelok 6LV-BNBW4-C, | ||
16026 | LAM Research | 839-073168-002 | Swagelok | 6LV-BNBW4-C | LAM 839-073168-002, Swagelok 6LV-BNBW4-C, WCDMT, Weldment UPC Valve. |
16027 | LAM Research | 839-073168-002 | Nupro | 6LV-BNBW4-C | LAM 839-073168-002, Nupro 6LV-BNBW4-C, WCDMT, Weldment UPC Valve. |
16028 | LAM Research | 839-073168-002 | LAM 839-073168-002 Weldment UPC Valve, Swagelok 6LV-BNBW4-C, | ||
16029 | LAM Research | 839-073168-002 | LAM 839-073168-002 Weldment UPC Valve, Swagelok 6LV-BNBW4-C, | ||
16030 | LAM Research | 839-101612-887/F | LAM 839-101612-887/F ESC Chuck w/ Distribution Board PCB 710-101965-010 | ||
16031 | LAM Research | 839-103684-002 | LAM 839-103684-002 Indicator Panel, PM3C4FJ-AAAAAAA, 38552P, 3000MR | ||
16032 | LAM Research | 839-226267-001 | LAM 839-226267-001 Heater Chuck, 300MM1-3515-001, Novellus | ||
16033 | LAM Research | 839-360162-001 | gas manifold, looks new | ||
16034 | LAM Research | 839-480183-002 | LAM 839-480183-002 Vacuum Weldment, Lower, Bypass Manifold, TCP, Oxide, | ||
16035 | LAM Research | 839-480183-002 | LAM 839-480183-002, Vacuum Weldment, Lower Bypass, Manifold, TCP, Oxide. | ||
16036 | LAM Research | 839-702281-001 | Aptech | 714-702245-001 | LAM 839-702281-001 Valve Manifold Assy, 714-702245-001, Aptech, HPS |
16037 | LAM Research | 839-800325-202 | LAM | 716-800951-001 | LAM 839-800325-202 Chuck Pedestal ESC, 716-800951-001 Quartz Ring, Cover |
16038 | LAM Research | 846-029194-001 | 846-029194-001 KIT TWIST lock emo switch spec | ||
16039 | LAM Research | 849-099344-002 | LAM 849-099344-002 Kit, Valve Repair, Int, Assy, (MKS NW50). | ||
16040 | LAM Research | 852-014681-583 | LAM 852-014681-583 Rainbow Etcher RF Generator Rack, OEM-650A | ||
16041 | LAM Research | 852-014681-583 | LAM 852-014681-583 Rainbow Etcher RF Generator Rack, OEM-650A | ||
16042 | LAM Research | 853-00577-001 | LAM 853-00577-001, Phase + Magnet Detector, 967407-0500 | ||
16043 | LAM Research | 853-012123-001 | Lam 853-012123-001 Harmonic Arm Driver, Etcher, 853-017633-003, | ||
16044 | LAM Research | 853-013929-003 | LAM 853-013929-003 Assembly, Chamber, Manifold, HTD, HYT-70 | ||
16045 | LAM Research | 853-015030-001-C | Lam Research 853-015030-001-C-3611 Assembly, Manual, Match Control, MiniMatch | ||
16046 | LAM Research | 853-015130-002-P | LAM 853-015130-002-P RF match, used | ||
16047 | LAM Research | 853-015982-001 | LAM 853-15982-001 Alignment Box | ||
16048 | LAM Research | 853-015982-001-A-C131 | 119534 | Lam Research 853-015982-001-A-C131, 119534. TCP, Switch, Tune, Load | |
16049 | LAM Research | 853-017480-002 | LAM Research (LAM) 853-017480-002 Assembly Vacuum Switch Torr 100 | ||
16050 | LAM Research | 853-017634-101 | LAM 853-017634-101 Rev.C, MDFT, Assembly Solenoid Release. | ||
16051 | LAM Research | 853-017805-55 | LAM 853-017805-55 RF cable assy , 55 foot. | ||
16052 | LAM Research | 853-07633-00 | LAM 853-07633-00 Optical Sensor Assembly | ||
16053 | LAM Research | 853-11076-001-B | LAM 853-11076-001-B, 196 D6344, Strap Changed RF. | ||
16054 | LAM Research | 853-17632-001 | Wasco | SP128-81W2A-X/6688 | LAM 853-17632-001 Wasco SP128-81W2A-X/6688 Pressure Switch |
16055 | LAM Research | 853-220402-003 | LAM 853-220402-003, Photo, I/O Unit, ENVSN A/L, Hokuyo Automatic DM-HB1. | ||
16056 | LAM Research | 853-370395-001 | 853-370395-001, Assembly, Harn AC Power Lim DI H20 Cord | ||
16057 | LAM Research | 853-900986-010 | LAM 853-900986-010 Industrial Computer Assy, 010 PC | ||
16058 | LAM Research | 856-190050-001 | LAM 856-190050-001, Kit, Retrofit, Floopy Drive. | ||
16059 | LAM Research | 865-5235-00 | trillium PREF3 PCB | ||
16060 | LAM Research | 920-094661-034 | 2 LAM 920-094661-034 Fitting Connector, Male, 3/4″ | ||
16061 | LAM Research | Cable | LAM 853-490993-048 RF Cable | ||
16062 | LAM Research | KG7-M4520-010 | Yamaha | KG7-M4521-010 | LAM KG7-M4520-010 I/O Board Assy, Yamaha Motor KG7-4521-010 |
16063 | LAM Research | LF 4400 | M&W | RPC2/28W-2/DWTR-DI2x10″-AA-RM-HF | LAM LF 4400 Plasma Etcher, M &W RPC2 Chiller, ENI OEM-650A RF Generator |
16064 | LAM Research | LF 4500 | M&W | LAM LFlasma Etcher, LAM Chiller, Advanced Energy RF Generator | |
16065 | LAM Research | n/a | Aptech | AP3550S 3PWD TW4 TW4 TW4 | LAM Valve Manifold Assembly, 3 Aptech AP3550S 3PWD TW4 TW4 TW4 Valve |
16066 | LAM Research | n/a | Aptech | AP3550S 4PWN TW4 TW4 TW4 MV4 | LAM Valve Manifold Assembly, 3 Aptech AP3550S 4PWN TW4 TW4 TW4 MV4 Valve |
16067 | LAM Research | N/A | Unit, Aera, APTech | 1200A, 7700CU, AP3550S, AP3625S, HP691-091896 | LAM Gas Manifold Assembly, UNIT UFC-1200A, Area 7700CU, APTech Valve. |
16068 | LAM Research | N/A | Unit, APTech | 1660, AP3550S, AP3625S, HP691-091896 | LAM Gas Manifold Assembly, (6) UNIT UFC-1660, 18 Valve, 5 Isolation Valve.422949 |
16069 | LAM Research | NA | LAM Ceramic Upper Liner, Cover, Chuck, | ||
16070 | LAM Research | NA | LAM RF Match, Custom | ||
16071 | LAM Research | Robot | Novellus | LAM Novellus Dual Robot Arm, Blade, Wafer | |
16072 | LAM Research | Unknown | LAM 8″ cathode ceramic and anodized aluminum | ||
16073 | LAM Research | V100-97-11A | LAM Pneumatic Manifold, V100-97-11A, SMC | ||
16074 | LAM Research | V100-97-11A | LAM Pneumatic Manifold, V100-97-11A, SMC | ||
16075 | LAM Research | V100-97-11A | LAM Pneumatic Manifold, V100-97-11A, SMC | ||
16076 | LAM Research | V100-97-11A | LAM Pneumatic Manifold, V100-97-11A, SMC | ||
16077 | LAM Research | Aptech | AP3550S 3PWD TW4 TW4 TW4 | LAM Valve Manifold Assembly, 3 Aptech AP3550S 3PWD TW4 TW4 TW4 Valve | |
16078 | LAM Research | 715-011039-004 | 06-14008-00 | LAM 715-011039-004, Retainer, Heated Endpoint, Window, 06-14008-00. | |
16079 | LAM Research | 853-810342-001 | Parker Veriflo | LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves07 | |
16080 | LAM Research | 853-810342-001 | Parker Veriflo | LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves07 | |
16081 | LAM Research | 853-810342-001 | Parker Veriflo | LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves07 | |
16082 | LAM Research | 853-810342-001 | Parker Veriflo | LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves07 | |
16083 | LAM Research | 853-810342-001 | Parker Veriflo | LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves07 | |
16084 | LAM Research | 853-810342-001 | Parker Veriflo | LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves07 | |
16085 | LAM Research | 853-810342-001 | Parker Veriflo | 944SSFSMMPM | LAM 853-810342-001 Assy, Parker Veriflo 944SSFSMMPM Diaphragm Valves07 |
16086 | Lam Research LAM | 853-170184-100 | LAM 853-170184-100 Assembly, Weldment, HE, Valve, | ||
16087 | Lam Research LAM | 853-170184-100 | LAM 853-170184-100 Assembly, Weldment, HE, Valve, | ||
16088 | Lam Research LAM | 853-170184-100 | LAM 853-170184-100 Assembly, Weldment, HE, Valve, | ||
16089 | Lam Research LAM | 853-170184-100 | LAM 853-170184-100 Assembly, Weldment, HE, Valve, | ||
16090 | Lam Research LAM | 853-170184-100 | LAM 853-170184-100 Assembly, Weldment, HE, Valve, | ||
16091 | Lambda | DLP180-24 | Lambda DLP180-24-1/E Power Supply | ||
16092 | Lambda | DLP180-24-1/E | Tdk Lambda Dlp180-24-1/E 100-240 VAC, 2.7A max,Hz, power supply | ||
16093 | Lambda | DPP50-24 | Lambda DPP50-24, DIN Rail Power Supply, 50W | ||
16094 | Lambda | H11089 | NEW Lambda Power Supply Unit Alpha 1000W H11089 OEM BOX | ||
16095 | Lambda | LFS-42-28-K | Ipec speedfam Novellus | QT330174 | New 28V, 5A power supply |
16096 | Lambda | LFS-42-28-K | Ipec speedfam Novellus | QT330174 | New 28V, 5A power supply |
16097 | Lambda | LFS-43-15 | NEW LAMBDA LFS-43-15 REGULATED POWER SUPPLY | ||
16098 | Lambda | LFS-45A-28 | Lambda LFS-45A-28 Power Supply, QT330134, LFS45A28, | ||
16099 | Lambda | LFS-48-48 | novellus | QT330136 | 3 new in box power supply 48V 20.5A, LFS4848 |
16100 | Lambda | LFS39-12 | Lot of 10, New, 12VDC power supplies | ||
16101 | Lambda | LFS40-12 | Novellus Ipec speedfam | 0150-700476 | Lot of 6 New, 12VDC 5Amp power supplies |
16102 | Lambda | LFS4812 | Lambda LFS 48-12, Power Supply, LFS4812 | ||
16103 | Lambda | LLS6008 | Lambda LLS6008 Regulated Power Supply | ||
16104 | Lambda | LLS6008-GPIB | IEEE-488 | Lambda IEEE-488 Programmable Power Supply, LLS6008-GPIB, 0-8V, 20A | |
16105 | Lambda | LPD-421A-FM | Lambda LPD-421A-FM Dual Regulated DC Power Supply 0-20 VDC | ||
16106 | Lambda | LQ-520 | Lambda LQ-520 Regulated Power Supply, powers on | ||
16107 | Lambda | LRS-56-24 | Lambda LRS-56-24 Regulated Power Supply | ||
16108 | Lambda | LSS-38-24 | Varian | 402002 | Switcher power supply, 24VDC, 100W |
16109 | Lambda | LZS150-1 | Lamba LZS150-1 Regulated Power Supply | ||
16110 | Lambda | LPD423A-FM | Lambda LPD423A-FM Dual DC Power Supply, EMI, TDK | ||
16111 | Lambda | LQ532 | Lambda LQ532 Regulated DC Power Supply | ||
16112 | Lambda Electronics Inc | LUS-8A-12 | Lambda Electronics Inc, LUS-8A-12, Power Supply, MAX DC 1.3 A, 85-132V | ||
16113 | LAN | LMC-1504P | LAN Media LMC 1504P, PCI-Quad T1/E1 Ethernet Network Card, | ||
16114 | Lantronix | EPS1 | Lantronix EPS1, 00-80-a3-56-50-77 Rev.U17, Print Server. | ||
16115 | Lapp Kabel | 73220111 | Lapp Kabel 73220111 Cable, 3 Wire Coiled | ||
16116 | Lapp Kabel | 73220111 | Lapp Kabel 73220111 Cable, 3 Wire Coiled | ||
16117 | Lapp Kabel | 73220111 | Lapp Kabel 73220111 3 Wire Coiled Cable | ||
16118 | Lapp Kabel | 73220111 | Lapp Kabel 73220111 3 Wire Coiled Cable | ||
16119 | Laurell | WS-200-8T2 | Laurell WS-200-8T2 RPM/SEQ/VAC Controller | ||
16120 | Layerzero Power Systems | 20-11-10150101 | Layerzero Power Systems 20-11-10150101 PCB | ||
16121 | L-COM | SP44199 | novellus | 38-352183 | Data Cable, 15p F – 9p M, Celerity, RFC100 |
16122 | L-Com | CIB Series | L-Com CIB Series 8M IEEE-488 GPIB Male/Female Connector Cable | ||
16123 | L-Com Global Connectivity | TRD815BLK-3 | L-Com TRD815BLK-3 Patch Cable, 6900-2808-01 | ||
16124 | LDI | LDI Generic TM Transmition Module PCB | |||
16125 | Lecroy | P9020 | Lecroy P9020 Oscilloscope Passive Probe Assy, M15 X10HF,z | ||
16126 | Legris | 3109-60-14 | 50 legris fittings 3109-60-14 90 degree, 3/8 tube | ||
16127 | Legris | 3175-36-11 | 100 legris fittings 3175-36-11 | ||
16128 | Legris | 200 Legris 4 5/32″ Elbow Fitting | |||
16129 | Leica | 9640070 | MMC-BOARD 3033-31202L 9548B0 LEIGA PCB 9640070 ID | ||
16130 | Leica | 30111250 | Leica 30111250 CLS 100x Lamp Power Supply, powers on | ||
16131 | Leica | 16603013354 | RSO858841 | Leica 16603013354, Polytronik-Ringkerntransformator, RSO858841, Power Supply Kit | |
16132 | Leica | 3033-11202 | Leica 3033-11202 MMC-Board, 9408B0 PCB | ||
16133 | Leica | 3033-11202 | Leica 3033-11202 MMC-Board, 9408B0 PCB | ||
16134 | Leica | INM20 | Leica INM20 Trinocular Microscope Wafer Inspection & 5 objective W/ DIC Optics | ||
16135 | Leica | Stereozoom SZ-4 | Leica Stereozoom SZ-4, Microscope head. | ||
16136 | Leitz Wetzlar | PL Fluotar 50 x | PL Fluotar 0.85 D 8/0, 567017 Leitz Wetzlar Germany | ||
16137 | LEM | HA 200-SRU | LEM HA 200-SRU Module, 60.59.44.000.0, | ||
16138 | LEP | 7300503 | LEP MDMSP 7300503 PCB, 60-000164H, Motor AMP Hi-speed module | ||
16139 | LEP | 73000503 | LEP 73000503 AC2 Motor Driver LUDL Electronics XY Motor AMP PCB Module | ||
16140 | LEP | 73000503 | LEP 73000503 AC2 Motor Driver LUDL Electronics XY Motor AMP PCB Module | ||
16141 | LEP | 73000503 | LEP 73000503 AC2 Motor Driver LUDL Electronics XY Motor AMP PCB Module | ||
16142 | LEP | 0002-00129-00 | Lot of 4, LUDL electronics XY Motor amp PCB module MDMSP 73000503 | ||
16143 | Lesco | MK II | Lesco Super Spot MK II Lamp | ||
16144 | Lesco | Super Spot MK III | Lesco Super Spot MK III, High Intensity Ultraviolet Light Curing System | ||
16145 | Lesker | QF63-SAVR | KURT J. LESKER P/N QF63-SAVR SEAL CENTERING RING NW63 | ||
16146 | Leviton | 2310 W-C-596F | 7 New Leviton Receptacle 2310 W-C-596F | ||
16147 | Leybold | 890ND MRV LSM | Leybold 890ND MRV LSM Connector Cable | ||
16148 | Leybold | D16B | BOC Edwards | EMF10 | Leybold D16B TRIVAC Vacuum Pump w/ BOC Edwards EMF10 Oil Mist Filter, GE |
16149 | Leybold | D4B | Leybold | AF 4-8 | Leybold D4B TRIVAC Vacuum Pump w/ AF 4-8 Exhaust Filter, GE |
16150 | Leybold | D60 | General Electric GE | 5K184FX3440 | Leybold D60 Vacuum Pump, General Electric GE 5K184FX3440 Motor |
16151 | Leybold | D30A | Dayton | 1K075C | Leybold D30A TRIVAC Vacuum Pump, MDC KTX-150-2, KDFT-4150-2, Dayton |
16152 | Leybold | D65B | Leybold | WSU250 | Leybold D65B TRIVAC Vacuum Pump w/ RUVAC WSU250 Blower Booster |
16153 | Leybold | D60AC | General Electric GE | 5K184FL3443A | Leybold D60AC Vacuum Pump, General Electric GE 5K184FL3443A Motor |
16154 | Leybold | SV65.95007 | Brook Hansen | 712.18.208 | Leybold SV65.95007 SOGEVAC Vacuum Pump, Brook Hansen 712.18.208 Motor |
16155 | Leybold | D60A | Baldor | VM3614T | Leybold D60A TRIVAC Vacuum Pump, Baldor VM3614T Industrial Motor |
16156 | Leybold Inficon | 757-500-G1 | Leybold Inficon 757-500-G1 Deposition Process Controller | ||
16157 | Leybold Inficon | 757-500-G1 | Leybold Inficon 757-500-G1 Deposition Process Controller | ||
16158 | Leybold Oerlikon | 16375 | Leybold Vakuum GMBH Ionization Sensor Transmitor, ITR 100-D CF40, 16375 | ||
16159 | Leybold Oerlikon | 26817 | Leybold 26817 10″ Centering Ring Seal, Turbo or Gate Seal | ||
16160 | Leybold Oerlikon | 85401 | Leybold Vacuum GMBH, Oerikon TurbovacPbopump 85401 | ||
16161 | Leybold Oerlikon | 85401 | Leybold Vacuum GMBH, Oerikon TurbovacPbopump 85401 | ||
16162 | Leybold Oerlikon | 85401 | Leybold Vacuum GMBH, Oerikon TurbovacPbopump 85401 | ||
16163 | Leybold Oerlikon | 85401 | Leybold Vacuum GMBH, Oerikon TurbovacPbopump 85401 | ||
16164 | Leybold Oerlikon | 85402 | Leybold Oerlikon TMPbo Vacuum Pump w/ Fan Turbocvac402, 054 06 A11. | ||
16165 | Leybold Oerlikon | 89850 | Leybold Vacuum Equipment 89850, Filter Cartridge. | ||
16166 | Leybold Oerlikon | 194631 | Leybold 00194631 Purge Vent Valve Assembly 24VDC, 36 SCCM | ||
16167 | Leybold Oerlikon | 898507 | Leybold 898507 Filter Cartridge 10 Micron | ||
16168 | Leybold Oerlikon | 72127705 | Leybold 72127705 Turbo Controller Cable, Part of 72127704, | ||
16169 | Leybold Oerlikon | 72142056 | LEYBOLD 72142056 DIGITAL TEMPERATURE GAUGE, PROCESS PUMP | ||
16170 | Leybold Oerlikon | 887061027 | 2 Leybold seal, turbo ISO ring 887061027 | ||
16171 | Leybold Oerlikon | 887061050 | Leybold 887061050 Seal, O-Ring, K66 HV, | ||
16172 | Leybold Oerlikon | NT 450 | Leybold-Heraeus Turbotronik NTrbo Pump Controller | ||
16173 | Leybold Oerlikon | 194631 | Leybold 00194631 Purge Vent valve assy 24VDC, 36 sccm looks new | ||
16174 | Leybold Oerlikon | 19132 1 109 | Leybold 19132 1 109 Pump Repair Kit, Seals, Gasket, Orings | ||
16175 | Leybold Oerlikon | 200-09-092 | Varian | E37000087 | Leybold 200-09-092 Replacement Filter, Varian E37000087, ID |
16176 | Leybold Oerlikon | 200.80.976 | Leybold AG 200.80.976 TE Box | ||
16177 | Leybold Oerlikon | 200.80.976 | Leybold AG 200.80.976 TE Box | ||
16178 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16179 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16180 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16181 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16182 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.019 Temp-Box Controller | ||
16183 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16184 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16185 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16186 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16187 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16188 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16189 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16190 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16191 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16192 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16193 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16194 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16195 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16196 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16197 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16198 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16199 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16200 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16201 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16202 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16203 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16204 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16205 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16206 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16207 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16208 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16209 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16210 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller | ||
16211 | Leybold Oerlikon | 23F20073 | Leybold 23F20073 8″ target and backing plate. NiV material | ||
16212 | Leybold Oerlikon | 400110V0017 | LeyboldV0017 Turbovac Turbo Pump, MAG W 1300 C, AMAT 3620-00304 | ||
16213 | Leybold Oerlikon | 43 351 396 | Lot of 2 Leybold 436 Rocker switch assy | ||
16214 | Leybold Oerlikon | 728A11TCE2FA | Nor-Cal | GNV-102-P1O | Leybold Turbo Pump Foreline, With Heater Jackets and MKS728H11TCE2FA Baratron |
16215 | Leybold Oerlikon | 88706 1 029 | Leybold 88706 1 029, Leybold AG, FWL .6 HV, Seal, O-Ring. | ||
16216 | Leybold Oerlikon | 902-001-G1 | Leybold Inficon 902-001-G1, Quadrex Head Selector | ||
16217 | Leybold Oerlikon | 902-001-G1 | Leybold Inficon 902-001-G1, Quadrex Head Selector | ||
16218 | Leybold Oerlikon | CT 200 ECE | Leybold CT 200 ECE Turbo Pump Controller | ||
16219 | Leybold Oerlikon | CT 200 ECE | Leybold CT 200 ECE Turbo Pump Controller | ||
16220 | Leybold Oerlikon | CT 200 ECE | Leybold CT 200 ECE Turbo Pump Controller | ||
16221 | Leybold Oerlikon | DA-10-028 | Leybold DA-10-028 230x5mm Oring | ||
16222 | Leybold Oerlikon | HSQ300 | 51547 | Heraeus HSQ, 51547, Tubingr, HSQ300, 63.5 X 76.2 X 1220 | |
16223 | Leybold Oerlikon | KAT-NR 28912 | Leybold Vacuum gate valve, Leybold KAT-NR 28912, F-No 096189Y004 | ||
16224 | Leybold Oerlikon | NA | Leybold Turbo Pump Controller Cable, 97″, | ||
16225 | Leybold Oerlikon | NT 20 | Leybold Turbotronik NT 20 Turbo Pump Controller, U= 100/120, 220/240V AC, RS1144 | ||
16226 | Leybold Oerlikon | NT20 | Leybold Turbotronik NT 20, Turbo Pump Controller | ||
16227 | Leybold Oerlikon | NT20 | Leybold TurboTronik NT20 turbo controller NT 20, S 857. | ||
16228 | Leybold Oerlikon | Q100 | Leybold Quadruvac Q100 mass spectrometer, with cables | ||
16229 | Leybold Oerlikon | TCU-1000 | Leybold TCU-1000 Temperature Controller, 934.00.998 Temp Regulator, | ||
16230 | Leybold Oerlikon | TCU-1000 | Leybold TCU-1000 Temperature Controller, 934.00.998 Temp Regulator PT100, | ||
16231 | Leybold Oerlikon | TCU-1000 | Leybold TCU-1000 Temperature Controller, 934.00.998 Temp Regulator, | ||
16232 | Leybold Oerlikon | TCU-1000 | Leybold TCU-1000 Temperature Controller, 934.00.998 Temp Regulator, | ||
16233 | Leybold Oerlikon | TR 301 | Leybold TR 301 Thermovac Vacuum Guage | ||
16234 | Leybold Oerlikon | TR 301 | Leybold TR 301 Thermovac Vacuum Guage | ||
16235 | Leybold Oerlikon | TR 301 | Leybold TR 301 Thermovac Vacuum Guage | ||
16236 | Leybold Oerlikon | TR 301 | Leybold TR 301 Thermovac Vacuum Guage | ||
16237 | Leybold Oerlikon | TW701 | Leybold TW 701 Turbo Pump, 800051V0025, 59V48000rpm | ||
16238 | Leybold Oerlikon | TW701 | Leybold TW 701 Turbo Pump, 800051V0025, 59V 48000 rpm | ||
16239 | Leybold Oerlikon | WS251 | 91729 | Leybold RUVAC WS251 91729 VUC 90/2-100 02692410 52702200 | |
16240 | Leybold Oerlikon | Leybold “DRIS” Dry Remote Inducator System | |||
16241 | Leybold Oerlikon | Leybold ITR 100-D 16374 Ionization Sensor Transmitter Vakuum GMBH Vakuum/Vacuum | |||
16242 | Leybold Oerlikon | LEYBOLD TLV A 92 11 00019 CHECK VALVE EXHAUSTV | |||
16243 | Leybold Oerlikon | 26747 | Leybold 4″ Flanges 26747 | ||
16244 | Leybold Oerlikon | 2 Leybold Mag 2000 Turbo pumps, one MAG drive 2000 controller + 2 set of cables | |||
16245 | LG | 6870T154A10 | Industrial video board | ||
16246 | LH Research | IM803-133-115AZ | LH Research IM803-133-115AZ, PowersupplyV, 849090.102 | ||
16247 | LH Research | IM803-133-115AZ | LH Research IM803-133-115AZ Power Supply, 3A, 115V,z, 849080.102 | ||
16248 | Liebert | 02-792212-02 | 12-792212-00 | Liebert PWA Voltage Clamp PCB Circuit Board 02-792212-02 | |
16249 | Liebert | 02-792214-03 | Emerson | 12-792214-00 | Emerson Liebert Inverter Base Drive Assy Board 02-792214-03, 12-792214-00 |
16250 | Liebert | 02-792214-03 | Emerson | 12-792214-00 | Emerson Liebert Inverter Base Drive Assy Board 02-792214-03, 12-792214-00 |
16251 | Liebert | 02-792214-03 | Emerson | 12-792214-00 | Emerson Liebert Inverter Base Drive Assy Board 02-792214-03, 12-792214-00 |
16252 | Liebert | 02-792225-00 | 12-792225-00 | Liebert 02-792225-00 Processor Board Assy, 12-792225-00 | |
16253 | Liebert | GX1500RT | LIEBERT GXT1500RT-120 0.7POWER FACTOR 120V-AC | ||
16254 | Liebert | XGT1500RT-120 | LIEBERT GXT1500RT-120 0.7POWER FACTOR 120V-AC,z | ||
16255 | LightSouce | I150 | Light Source I 150, Looks new, | ||
16256 | LIN ENGINEERING | 416-07-80D-01R0 | LIN ENGINEERING-80D-01R0 PCB229-01 9701-2143-01. | ||
16257 | Lin Engineering | 416-07-80D-01RO | Asyst | 3000-1229-01 | Lin Engineering-80D-01RO, Asyst 3000-1229-01, SunX FX-301-NK2, |
16258 | Lin Engineering | 8718S-17-01R0 | Lin Engineering 8718S-17-01R0 Servo Motor, 9701-3854-01 | ||
16259 | Lindberg Blue | GO1305A-1 | Lindberg | 9071027 | Lindberg Blue GO1305A-1 Gravity Oven, 9071027 |
16260 | Lindberg/Blue M | MO1450A | Lindberg/Blue M MO1450A Mechanical Oven°C In 24x22x16 Ex 46x34x23 | ||
16261 | Lindgren RF Enclosures | T/T | Lindgren RF Enclosures T/T Rack Mount RF/EMI Chamber, 12x12x12″ | ||
16262 | Linear | DC1613A | Linear DC1613A USB to I2/SMBus/PMBus Controller | ||
16263 | Linear Actuator | NP105, 95086-52 | 2 NEW Linear actuatorrail two long NP105, 95086-52 | ||
16264 | Linear Actuator | NP105, 95086-52 | 4 NEW Linear actuatorrail two long NP105, 95086-52 | ||
16265 | Linemaster switch corp | SP-9970214-035000XXI | footswitch accessory | ||
16266 | Linemaster switch corp | T-91-SC36 | Linemaster T-91-SC36 Treadlite II | ||
16267 | Linemaster Treadlite | T-91-S | Linemaster Treadlite 2 T-91-S, Foot Pedal, Switch, Button | ||
16268 | Linflo | VM20BE1ZX4-1 | Linflo VM20BE1ZX4-1 Valve | ||
16269 | Linflo | VM20BEXZX4-3 | Linflo VM20BEXZX4-3 Valve | ||
16270 | Linflo | VM20BEXZX4-3 | USPAT4003405 | Linflo VM20BEXZX4-3 Gas Regulator Valve, 1/2″ VCR Connector, USPAT4003405 | |
16271 | Linksys | BEFSR41 | Linksys EtherFast Cable / DSL Router with 4-port switch Model BEFSR41 | ||
16272 | Linksys | EF2S24,V2 | 2 Linksys EF2S24,V2 EtherFast II 10/100 24-Port Networking Switch | ||
16273 | Linksys | EFAH24 | Linksys EFAH24 EtherFast 10/100 Auto-Sensing 24-Port Hub, Networking | ||
16274 | Lintec | HX-10A-200-T230 | Lintec HX-10A-200-T230 Compact Heat Exchanger | ||
16275 | Lintec | HX-10A-200-T230 | Lintec HX-10A-200-T160 Compact Heat Exchanger | ||
16276 | Lintec | Unknown | LINTEC Liquid flow controller, TEOS, 0.1g/min used | ||
16277 | Liteon | 4MB70NS-SIMM-C9 | 6 Liteon 20v0, 4MB70NS-SIMM-C9, 1×36-70, ID | ||
16278 | Lithograpy | Lithograpy Photo Resist Catch Cup, Track | |||
16279 | Littelfuse | 03455LS2H | 5 Littelfuse 03455LS2H Fuseholders, 3453LF2 040, 3455LST 020, H903 097, | ||
16280 | LIttelfuse | V251BA60 | Littelfuse V251BA60 Metal Oxide Varistor | ||
16281 | Littelfuse | LPSJ | 6 Littelfuse LPSJ Power-Safe Class J Fuseholder Assy, LPSJ60-3ID | ||
16282 | Littlefuse | V251BA60 | Littlefuse V251BA60 Metal Oxide Varistor | ||
16283 | LJB | 0093310-001 | 8 new L.J.B. MFG. 0093310-001 water fitting kits | ||
16284 | LM | 3BR00882 | 4 3BR00882 Linear Motion Bearing, Sleeve, S/L, LM | ||
16285 | LM76 Inc | L408-6 | 9 LM76 Inc L408-6 Ceramic Coated Liner Motion Bearings | ||
16286 | LM76 Inc | L408-6 | 10 LM76 Inc L408-6 Ceramic Coated Liner Motion Bearings | ||
16287 | LM76 Inc | L408-6 | 20 LM76 Inc L408-6 Ceramic Coated Liner Motion Bearings | ||
16288 | Logitech | 1CM51 | Logitech 1CM51 Chemical Delayering & Planarization Tool, CDP | ||
16289 | Loomis | 38 LI | Loomis Industries Precision Wafer Scriber 38 LI | ||
16290 | Lovato | DPBF3200A | Lovato DPBF3200A 3-Pole Contactor, 55A, 600VAC, 120V | ||
16291 | LPC | LPC-LUM | LPC LPC-LUM LASER POWER CONTROLLER 110AC | ||
16292 | LSA | 233-2700-02 | LSA 233-2700-02 Ceramic Insert | ||
16293 | LSI | PCBX518-B1 | 2 LSI LOGIC PCBX518-B1 SCSI RAID Controller Card with 128MB of RAM | ||
16294 | LSI Corporation | L1-01119-04 | LSI L1-01119-04 RAID Controller PCB w/ L3-01119-08C Daughter Board | ||
16295 | LSI Logic | 70800211-002 | LSI Logic 70800211-002 PCB Board, SAS3442X-R, L3-00116-01A | ||
16296 | LSI Logic | L1-01013-03 | LSI Logic L1-01013-03, 68-Pin RAID Controller, Tundra TSI 310A-133CEY. | ||
16297 | LSI Logic | SAS3442X | LSI Logic SAS3442X Raid Controller PCB, 03-01085-02C | ||
16298 | LTD Ceramics | 14607900 | LTD Ceramics 14607900, AMAT 0200-09066 Support Susceptor 125mm Teos | ||
16299 | LTX | 865-5246 | LTX 865-5246 PCB MDCN | ||
16300 | LTX Credence | 96152150 | LTX Credence 96152150, Tester Board PCB, PCA, INST D4064 16GIG DPI A | ||
16301 | LTX Credence | 96152150 | LTX Credence 96152150, Tester Board PCB, PCA, 272-76782-00, 97173162-01 | ||
16302 | LTX Credence | 96152150 | LTX Credence 96152150, Tester Board PCB, PCA, INST D4064 16GIG DPI A | ||
16303 | LTX Credence | 672-7366 | LTX Credence 672-7366, Tester Board PCB, PCA, D6436, IDM0M | ||
16304 | LTX Credence | 96152054 | LTX Credence 96152054 Tester Board PCB, PCA, 96152054-0736043R, A4000205 | ||
16305 | LTX Credence | 96152095 | LTX Credence 96152095 Tester Board PCB, PCA, 96152095-0750002FM, A4000205 | ||
16306 | LTX Credence | 96152054 | LTX Credence 96152054 Tester Board PCB, PCA, Instrument, DPS-6A, 76S | ||
16307 | LTX Credence | 96152095 | LTX Credence 96152095 Tester Board PCB, PCA, 125DPS, Sapphire | ||
16308 | LTX Credence | 96152095 | LTX Credence 96152095 Tester Board PCB, PCA, Instrument, 125DPS, 4400270 | ||
16309 | LTX Credence | 96152150 | LTX Credence 96152150 Tester Board PCB, PCA, Inst D4064, 16GIG, DPI A | ||
16310 | LTX Credence | 96152095 | LTX Credence 96152095 Tester Board PCB, PCA, 125DPS, Sapphire | ||
16311 | LTX Trillium | 865-5995 | LAM | 033-9024-72 | LTX Trillium 865-5995 HIPer PEC (DM/HIPER) PCB, LAM 033-9024-72 |
16312 | Ludlow | MIL-B-131G | Ludlow MIL-B-131G Shell and Filter | ||
16313 | Ludlow | MIL-B-131G | Ludlow MIL-B-131G Shell | ||
16314 | Lufran Inc / Process Technologies | AN3-3-5C | Annunciator PCB with 5 position display | ||
16315 | Lumina Power, Inc. | XLB-1500-70-25 | Lumina Power XLB-1500-70-25 Xenon Lamp Ballast, 11001193 | ||
16316 | LUMONICS | 6050011 | LUMONICS EXTENDER BOARD 6050011 REV A | ||
16317 | Luxtron | 712 | LUXTRON 712 Fluoroptic Thermometer, temperature probe | ||
16318 | Luxtron | 1104 | AMAT | 1100-01002 | Luxtron Model 1104, AMAT 1100-01002 Monochromator, PC end point system |
16319 | Luxtron | 2810 | LUXTRON 2810 Process endpoint monitor/controller | ||
16320 | Luxtron | 0150-02632 | LUXTRON 1015 ENDPOINT CONTROLLER NEW IN THE BOX | ||
16321 | Luxtron | 100C | Luxtron Model 100C Optical Fiber Temperature Control System S4S-B REV. 2.73 | ||
16322 | Luxtron | M-100 | TWO Luxtron 100C M-100 Temperature Control 0190-35236 | ||
16323 | Luxtron | Xinix | 1014 | Luxtron Xinix 1014 Endpoint Controller | |
16324 | Luxtron | Xinix | 1014 | Luxtron Xinix 1014 Endpoint Controller | |
16325 | Luxtron | Xinix | 1014 | Luxtron Xinix 1014 Endpoint Controller | |
16326 | Lytron | MCS20G01AB1 | Lytron MCS20G01AB1 Modular Cooling System | ||
16327 | Lytron | RC006G03BB1C020 | Lytron Chiller RC006G03BB1C020 | ||
16328 | Lytron | RC011G03CC3M059 | Lytron RC011G03CC3M059 Recirculating Chiller | ||
16329 | Lytron | RC022J03CC3C24 | Lytron RC022J03CC3C24 Recirculating Chiller | ||
16330 | Lytron | RC022J03FB3C045 | Lytron RC022J03FB3C045 Recirculating Chiller | ||
16331 | Lytron | RC045J03FB3C019 | Lytron RC045J03FB3C019 Recirculating Chiller, Heat Exchanger | ||
16332 | M AND W SYSTEMS M&W | 017-018-3522 | M AND W SYSTEMS 017-018-3522 NORRILL MOTORS | ||
16333 | M AND W SYSTEMS M&W | 017-018-3522 | M AND W SYSTEMS 017-018-3522 NORRILL MOTORS | ||
16334 | M AND W SYSTEMS M&W | 017-018-6063 | M&W Systems 017-018-6063 Filter, TCU 10″ Deionizing | ||
16335 | M AND W SYSTEMS M&W | 017-018-6063 | M&W Systems 017-018-6063 Filter, TCU 10″ Deionizing | ||
16336 | M AND W SYSTEMS M&W | 17-A-D-DI2X10″-LICMII-HE | M and W Systems RPCX 17-A-D-DI2X10″-LICMII-HE Flowrite Recirculating | ||
16337 | M AND W SYSTEMS M&W | RPC2/28W-RNB | M AND W SYSTEMS, RPC2/28W-RNB, FLOWRITE RECIRCULATING COOLING SYSTEM | ||
16338 | M AND W SYSTEMS M&W | RPC2/28W-RNB | M AND W SYSTEMS, RPC2/28W-RNB, FLOWRITE RECIRCULATING COOLING SYSTEM | ||
16339 | M AND W SYSTEMS M&W | RPCE17A | M & W Systems RPCE17A Flowrite Recirculating Cooling System, chiller M & W Systems RPCE17A Flowrite Recirculating Cooling System, chiller |
||
16340 | M AND W SYSTEMS M&W | RPCE17A-TS | M&W Systems RPCE17A-TS Flowrite Recirculating Cooling System, Chiller | ||
16341 | M AND W SYSTEMS M&W | RPCE17A-TS | M & W Systems RPCE17A-TS Flowrite Recirculating Cooling System, chiller | ||
16342 | M AND W SYSTEMS M&W | RPCE17A-TT | M & W Systems RPCE17A-TT Flowrite Recirculating Cooling System, chiller | ||
16343 | M AND W SYSTEMS M&W | RPCE17A-TT | M & W Systems RPCE17A-TT Flowrite Recirculating Cooling System | ||
16344 | M AND W SYSTEMS M&W | RPCE17A-TT | M & W Systems RPCE17A-TT Flowrite Recirculating Cooling System, Chiller | ||
16345 | M AND W SYSTEMS M&W | RPCE17A-TT | M & W Systems RPCE17A-TT Flowrite Recirculating Cooling System | ||
16346 | M AND W SYSTEMS M&W | RPCE17A-TT | M & W Systems RPCE17A-TT Flowrite Recirculating Cooling System, Chiller | ||
16347 | M AND W SYSTEMS M&W | RPCE17A-TT | M & W Systems RPCE17A-TT Flowrite Recirculating Cooling System, Chiller | ||
16348 | M&E | MZE0217-18 | M&E MZE0217-18 Technology O-Ring Nanopure, K12466 | ||
16349 | M&E | MZE0218-23 | M&E MZE0218-23 Technology O-Ring Nanopure, K12466 | ||
16350 | M&E Technologies | AS568A-111 | M&E AS568A-111 O-Ring Nanopure, Compound #9080, Nanopure, | ||
16351 | M.E.C. Tech | MEC81105-208L | 398 M.E.C. Tech MEC81105-208L Clip Support Spacer | ||
16352 | M.E.C. Tech | MEC81105-208L | 72 M.E.C. Tech MEC81105-208L Clip Support Spacer | ||
16353 | M.E.C. Tech | MEC81156-207L | 9 M.E.C. Tech MEC81156-207L Cap Shield Composite, Extd. | ||
16354 | M.E.C. Tech | MEC81105-208L | 72 M.E.C. Tech MEC81105-208L Clip Support Spacer | ||
16355 | MAC | PPC5A-AAA-NGCB-DBA-E0 | MAC PPC5A-AAA-NGCB-DBA-E0 Pneumatic Control Valve, 0-10 PSI | ||
16356 | MAC Valves inc. | 35A-B00-DDDJ-1FN | MAC0-DDDJ-1FN, Pneumatic Manifold w/ Circuit Bar. | ||
16357 | MAC Valves inc. | 45A-GA2-DDAJ-1KE | MAC2-DDAJ-1KE, Pneumatic Manifold Assembly. | ||
16358 | MAC Valves inc. | PPC5A -AAA-NGCB-DBA-E0 | Lot of 5 PNEUMATIC CONTROL VALVE | ||
16359 | MAC Valves inc. | PPC5A-AAA-NGCB-DBA-E0 | MAC PPC5A-AAA-NGCB-DBA-E0 Pneumatic Control Valve, 0-10 PSI | ||
16360 | MAC Valves inc. | PPC5A-AAA-NGCB-DBA-E0 | MAC PPC5A-AAA-NGCB-DBA-E0 Pneumatic Control Valve, 0-10 PSI | ||
16361 | MAC Valves inc. | PPC5A-AAA-NGCB-DBA-EO | MAC PPC5A-AAA-NGCB-DBA-EO Pneumatic Control Valve, 0-10 PSI, | ||
16362 | MAC Valves inc. | PPC5B-AAA-NGCB-DBA-E0 | MAC PPC5B-AAA-NGCB-DBA-E0 Pneumatic Control Valve, 0-10 PSI, | ||
16363 | MAC Valves inc. | PPC5B-AAA-NGCB-DBA-E0 | MAC PPC5B-AAA-NGCB-DBA-E0 Pneumatic Control Valve, 0-10 PSI, | ||
16364 | MAC Valves inc. | PPC5B-AAA-NGCB-DBA-E0 | MAC PPC5B-AAA-NGCB-DBA-E0 Pneumatic Control Valve, 0-10 PSI, | ||
16365 | MAC Valves inc. | PPC5B-AAA-NGCB-DBA-E0 | MAC PPC5B-AAA-NGCB-DBA-E0 Pneumatic Control Valve, 0-10 PSI, | ||
16366 | Mace | 851-1144-1 | Mace 851-1144-1 Pneumatic Valve M190675 | ||
16367 | MACH | E14000140 | E15000440, 8528132592395 | MACH E14000140, Rev.C, E15000440 Rev.D, 8528132592395, Daughter Board. | |
16368 | Macromatic | SS-61928 | Macromatic SS-61928 Time Ranger | ||
16369 | Macromatic | SS-61928 | Macromatic SS-61928 Time Ranger | ||
16370 | Macromatic Time Ranger | SS-61928 | Macromatic Time Ranger, Time Delay Relay SS-61928 Off-Delay, Programmable | ||
16371 | Macromatic Time Ranger | SS-61928 | Macromatic Time Ranger, Time Delay Relay SS-61928 Off-Delay, Programmable | ||
16372 | MACSA | K-1030 PLUS | MACSA K-1030 PLUS Marking Laser, RS1014 | ||
16373 | Mactronix | HZN-650P5 | Mactronix Inc HZN-650P5, Wafer Sensor. | ||
16374 | Mactronix | LBJ600P5 | Mactronix LBJ600P5, MGI 4 Rail QB (52 Slots), Wafer Cassette Loader | ||
16375 | Mactronix | LTP650P5 | Mactronix LTP650P5, 4 Rail QB (52 Slots), Wafer Cassette Loader | ||
16376 | Madison | M8750 | Madison M8750 Plastic Side-Mounted Liquid Level Float Switch | ||
16377 | MAGNATRAN | 002-6678-01 | BROOKS MAGNATRAN 70 ROBOT POWER SUPPLY 002-6678-01 | ||
16378 | Magnecraft | W389ACX-10 | 3 Magnecraft W389ACX-10 Relay, 298XBXC1-240A, 240VAC,z | ||
16379 | Magnet | 0020-20300 | Magnet 0020-20300, Endura, PVD Hollow Pole Piece | ||
16380 | MagneTek | GPD505V-B011 | MagneTek GPD505V-B011 Variable Speed Drive3 Phase, | ||
16381 | MagneTek Triad | F-24U | MagneTek Triad F-24U Filament Transformer | ||
16382 | Magnetron | 200P/1/1501 | MAGNETRON, M200PC, 200P/1/1501, Low Frequency | ||
16383 | Magrfhelic | 1403T12299 | Magrfhelic 1403T12299 Differential Pressure Gage | ||
16384 | Malema | 233128072 | Malena, Flow, Switch 233128072 | ||
16385 | Malema | AMW-855B-2T-002 | MALEMA SENSORS AMW-855B-2T-002 MINI WHEEL FLOWMETER, MALEMO MRR-841 FLOW SENSOR | ||
16386 | Malema | M-10000-T2021-00 | Novellus Ipec Speedfam | 954258 | Lot of 2 New Flowmeters, .3-3.0 L/m, 0-10VDC output |
16387 | Malema | M-10000-T2021-00 | Novellus Ipec Speedfam | 954258 | Lot of 3 Flowmeters, .3-3.0 L/m, 0-10VDC output |
16388 | Malema | USC-771-11 | Malema USC-771-11 Ultrasonic Flowmeter, USC-771, F07-720005-1-19 | ||
16389 | Malema Sensors | M-2111-F6115-D-U-005 | Malema M-2111-F6115-D-U-005 Ultrasonic Flowmeter, 0-10 VD 4-20 mA, RS1136 | ||
16390 | Malema Sensors | M-2111-F6115-D-U-005 | Malema M-2111-F6115-D-U-005 Ultrasonic Flowmeter, 0-10 VD 4-20 mA, RS1137 | ||
16391 | Malema Sensors | SC630D | Malema SC630D Ultrasonic Flow Meter, M-2111-F6115-D-U-005,, RS1146 | ||
16392 | Malema Sensors | SC630D | Malema SC630D Ultrasonic Flow Meter, M-2111-F6115-D-U-005,, RS1034 | ||
16393 | Malema Sensors | USC-731-12 | Malema Sensors USC-731-12 Ultrasonic Flowmeter / Converter, RS1147 | ||
16394 | Malema Sensors | M-2111-F6115-D-U-005 | Malema M-2111-F6115-D-U-005 Ultrasonic Flowmeter, 0-10 VD 4-20 mA, RS1136 | ||
16395 | Malema Sensors | M-64-S31-000-023 | Malema Sensors M-64-S31-000-023 Flow Switch | ||
16396 | Malema Sensors | M-2111-F6115-D-U-005 | SC630D | Malema M-2111-F6115-D-U-005 Ultrasonic Flowmeter Assy, SC630D, | |
16397 | Malema Sensors | M-2100-T3103-41-Z-002 | F07-720005-1-19 | Malema M-2100-T3103-41-Z-002 Ultrasonic Flowmeter, F07-720005-1-19 | |
16398 | MALIS | CMC-III | Malis Bipolar CMC-III Electrosurgical System and CMC-II Irrigation Module, Parts | ||
16399 | Mallory | SC110 | Mallory SC110 Sonalert Audio Indicator, Gasonics 713353-02 | ||
16400 | Mallory | SC648H | 3 Mallory Sonalert Buzzer SC648H | ||
16401 | Mallroy | SC628AN | Mallroy SC628AN SONALERT Transducer | ||
16402 | Manhattan | M13190 | Manhattan M13190 Cable W/ Connectivity Plug | ||
16403 | Manifold | 2250-111349 | 2 fittings 2250-111349 1/8 tubing 3 way tees | ||
16404 | Manifold | 03-F99-R303-42B | Block Manifold 03-F99-R303-42B | ||
16405 | Manifold | 180M 15 A | W92305345 | 180M 15 A 446, W92305345. Solenoid Manifold | |
16406 | Manifold | 451-0720 | Semiconductor | 451-0720 PURGE GAS PRESSURE SWITCH 60PSI NEO-DYN SWITCH PRESS ASSY06592 | |
16407 | Manifold | A6880 | Aviza A6880 Water Switch | ||
16408 | Manifold | Fitting | Water hose quick disconnect fitting | ||
16409 | Manifold | Unknown | 12 Position gas manifold W/ Mott filters, Tescom Pneumtic valve, Nupro valve | ||
16410 | Manifold | 4 Water Manifold, 3/4 & 3/8″ Pipe Thread Compression Fitting | |||
16411 | Manifold lucas | K9234-311 | 525 | Manifold lucas KF40 Foreline with valve Varian cold cathode gauge 525, K9234-311 | |
16412 | Manostar | FR-51 | Manostar Gage FR-51 Pressure Gauge, Type H-VT | ||
16413 | Manostat Preston | 72-372-000 | Manostat Preston 72-372-000 Varistaltic Power Pump, Thermo Scientific, RS1107 | ||
16414 | MANSON | C01-01129 | MANSON C01-01129 BRACKET, HOUSING, CORNER 20″ | ||
16415 | MANSON | C01-01129 | MANSON C01-01129 BRACKET, HOUSING, CORNER 20″ | ||
16416 | Manu Caru | Manu Caru Stencil Tension Measuring Gauge, N/CM | |||
16417 | Marangoni | IPA Vapor Dryer (Marangoni Drying), Wafer, Hot N2 Dryer | |||
16418 | Marathon Power | VRTE-1001-02 | Marathon Power Vault UPS VRTE-1001-02 Uninterrupted Power Supply | ||
16419 | Marinco | L15-20 | Marinco L15-20, 20A, 250V, 30, Plug | ||
16420 | Markez | Z1213-206 | Markez Z1213-206 O-ring, Z1213, Marco | ||
16421 | Markson | 7452 | Markson 7452 Colorimeter Used | ||
16422 | Martin | 20XL037 | Martin 20XL037 DF-1 Style, 1/5″ Pitch, Extra Light, 1/4 And 3/8″ | ||
16423 | Maruyama Risshi | YR-8030SC (L) | LAM | Maruyama Risshi YR-8030SC (L) Chiller, LAM 778-039851-002 | |
16424 | Mass-Vac | 300911 | Mass-Vac 300911, Stainless Steel, Filter, Gauze. | ||
16425 | MasterFlex | L/S | Masterflex L/S Quick Load Pump Head | ||
16426 | MasterFlex | MasterFlex Wash-Down Modular Controller, 7553-07 | |||
16427 | MasterFlex | Masterflex Solid State Speed Control with Masterflex Easy-Load Pump, Used | |||
16428 | Matchlett | ML-6257 | Matchlett ML-6257 High Voltage Power Vacuum Tube, Raytheon | ||
16429 | Matheson | 3320 | Matheson 3320 Pressure Gauge Regulator | ||
16430 | Matheson | 19-540 | Matheson 19-540 Gas Cylinder, Regulator, 3000 PSI, 20000 kPa, 63-3133, | ||
16431 | Matheson | 1L-350 | Matheson 1L-350 Gas Regulator W/63-3133; | ||
16432 | Matheson | 6164-T4FF | MATHESON GAS 6164-T4FF PARTICLE FILTER, 250 PSI | ||
16433 | Matheson | FM4374A | Matheson Gas FM4374A Implant Gas Cab, S/S Turn, ID | ||
16434 | Matheson | FM4374A | Matheson Gas FM4374A Implant Gas Cab, S/S Turn, ID | ||
16435 | Matheson | FM4374A | Matheson Gas FM4374A Implant Gas Cab, S/S Turn, ID | ||
16436 | Matheson | L-500 | Matheson L-500 Tri Gas Corrosive Purification System, 038-0111, Nanochem | ||
16437 | Matheson | 3537-580 | Wika | 111.11.68 | Matheson80 Regulator Assy w/ 2 Wika Gauges |
16438 | Matheson | 3810A-540 | Matheson 3810A-540 Dual Stage High-Purity Regulator | ||
16439 | Matrix | 0992-60419 | MATRIX 0992-60419 GM 660-9260419 10″ QUARTZ BAFFLE | ||
16440 | Matrix | 0993-20408 | Matrix 0993-20408 Wafer Etch | ||
16441 | Matrix | 7911/MPD | MPD card, surplus inventory from major semiconductor facility. | ||
16442 | Matrox | 576-06 | PCI video card 2 Mb with video grabber daugheter board 581-03 with software and manuals | ||
16443 | Matrox | 750-0201 | Meteor2/4 Frame capture video board | ||
16444 | Matrox | GP60/F/64/F/64 | Matrox Gen/Pro GP60/F/64/F/64 Processor Board, | ||
16445 | Matrox | GP60/F/64/F/64 | Matrox Gen/Pro GP60/F/64/F/64 Graphics Board, w/ Daughter PCB | ||
16446 | Matrox | GPRO60/F/64/F/64 | Matrox Gen/Pro GPRO60/F/64/F/64 Processor Board, | ||
16447 | Matrox | 644-03 | Matrox 644-03 Video Graphics Card, PCB, 201391-A-00 | ||
16448 | Matsuhita | ETU-5E200 | 5 volt 20Amp power supply | ||
16449 | Matsushita | AFP87432 | Matsushita NAIS AFP87432 FP I/O Terminal Relay Board | ||
16450 | Matsushita | AFP87432 | Matsushita NAIS Panasonic AFP87432 FP I/O Terminal Relay Board | ||
16451 | Matsushita | ANE103 | NAIS Panasonic | XDEMO-LIGHTPIX | NAIS Panasonic ANE103 XDEMO-LIGHTPIX AE10 Vision Sensor Kit, ANE11, ANE12 |
16452 | Matsushita | ANE1030 | Matsushita NAIS ANE1030 LightPix AE10, Vision Sensor Kit | ||
16453 | Matsushita | ANE1030 | Matsushita NAIS ANE1030 LightPix AE10, Vision Sensor Kit | ||
16454 | Matsushita | ANE1030 | Matsushita NAIS ANE1030 LightPix AE10, Vision Sensor Kit | ||
16455 | Matsushita | ANUP5255V2 | Matsushita NAIS Aicure ANUP5255V2 UV Curing System0V,z, | ||
16456 | Matsushita | BFV80374FPT | NAIS Matsushita BFV80374FPT Compact Inverter, VF-8FAC, 3.7kW | ||
16457 | Matsushita | BFV80374FPT | NAIS Matsushita BFV80374FPT Compact Inverter, VF-8FAC, 3.7kW | ||
16458 | Matsushita | KDCL | Matsushita KDCL Cable | ||
16459 | Matsushita | NAIS ANE 1040 | Matsushita NAIS ANE 1040 LightPix AE10 Vision Sensor, Kit | ||
16460 | Matsushita | NAIS ANE 1040 | Matsushita NAIS ANE 1040 LightPix AE10 Vision Sensor, Kit | ||
16461 | Matsushita | UZF2101 | Matsushita NAIS UZF2101 Optical Fiber Photoelectric Sensor | ||
16462 | Matsushita | WF3524 | 6 Matsushita WF3524 Hook Corner Cap, 20A, 250V; | ||
16463 | Matsushita | FP1E24RACUS | Matsushita NAIS FP1-E24, FP1E24RACUS, AFP13217, Expansion Unit 16 Input | ||
16464 | Matsushita Electric Works, Ltd. | AFC12342-F | Matsushita AFC12342-F NAIS Micro Controller Board, PCB, FP-M-C32T, C32T | ||
16465 | Mattson | 811776 | 3 Mattson 0811776 Pusherhead, 8″ C VC (M106395) | ||
16466 | Mattson | 2411931 | Mattson 2411931, AWP Piranha, Cover PVDF Complete. | ||
16467 | Mattson | 2411931 | Mattson 2411931, AWP Piranha, Cover PVDF Complete. | ||
16468 | Mattson | 255-12307-00 | ATM robot Z-axis interface PCB | ||
16469 | Mattson | Aspen | Mattson Aspen III, Front End Transfer chamber, Robot, Controller, | ||
16470 | Mattson | Unknown | Mattson 15 x 15 Quartz Insulator, Used | ||
16471 | Mattson | Mattson Rear Chamber Assembly, RF30S, LF-10, Astex Astron, Brooks, Unit | |||
16472 | Mattson | Mattson Rear Chamber Assembly, RF30S, LF-10, Astex Astron, Trazar | |||
16473 | Mattson | Mattson Rear Chamber Assembly, LF-10WC, RF30S, Astex Astron, Trazar | |||
16474 | Mattson | Mattson Rear Chamber Assembly, RF-30, LF-10, Trazar RFDS-1, AE RF30S RF | |||
16475 | Mattson | Mattson Rear Chamber Assembly, LF-10WC, RF30S, Astex Astron, Trazar | |||
16476 | Mattson | Mattson Rear Chamber Assembly, New Power Plasma, Unit, Brooks | |||
16477 | Mattson | Mattson Rear Chamber Assembly, MKS, Trazar RDFS-1, Celerity, Brooks | |||
16478 | Mattson | Mattson Rear Chamber Assembly, RF-30, LF-10, Astex Astron | |||
16479 | Mattson | Mattson Rear Chamber Assembly, RF-30, LF-10, Astex Astron, Trazar | |||
16480 | Mattson | Mattson Rear Chamber Assembly, LF-10WC, RF30S, Astex Astron, Trazar | |||
16481 | Mattson | Mattson Rear Chamber Assembly, AE LF-10WC, RF30S, Trazar AMU10H-1 | |||
16482 | Mattson | Mattson Rear Chamber Assembly, Trazar, New Power Plasma, Mattson | |||
16483 | Mattson Wet Products | 0930894-0028 | Festo | 510318 | Mattson Wet Products 0930894-0028 Festo Pneumatic Valve VL/O-3-1/4 0510318 |
16484 | Matushita | BMK9-04-8 | Matsushita BMK9-04-8, KT-11S, Thermal Relay | ||
16485 | Max-Air | GPI-64-VM | Max-Air GPI-64-VM AWT Quiet Brushless Vacuum Motor w/ GPI-64-VRV Relief Valve | ||
16486 | Maxon | 345295 | Maxon 345295 EC Motor w/ AMAT Bracket 167292-1 | ||
16487 | Maxon Motor | 43.025.000-22.007 | Nikon | 45602-275 | Maxon Motor 43.025.000-22.00-007 DC Motor, Blinds, Nikon275 |
16488 | Maxserver | 1620 | Xyplex MRV Maxserver 1620 Terminal Server | ||
16489 | Maxserver | 1620 | Xyplex MRV Maxserver 1620 Terminal Server | ||
16490 | Maxserver | 1620 | Xyplex MRV Maxserver 1620 Terminal Server | ||
16491 | Maxtor | 7L250S0 | SATA150 | Maxtor Maxline III 7L250S0 Hard Drive, SATA150, HDD, 250GB | |
16492 | Maxtor | 6l080M0 | SATA150 | Maxtor DiamondMax 10 6l080M0 Hard Drive SATA150 HDD, 80GB, 6l080M002AL1A | |
16493 | MC Electronics | LM8SMGA | MC Electronics LM8SMGA Linear Bush | ||
16494 | McBain Instruments | FOI-150 | McBain Instruments FOI-150 Lamp EKE, Light Source, FoI-1 | ||
16495 | McDaniel Controls Inc. | 2900-0051-05 | 15 NEW McDaniel Controls SDLK Pressure Gauge 2900-0051-05, 0-100 PSi | ||
16496 | McDaniel Controls Inc. | 2900-0051-06 | 20 NEW McDaniel Controls SDLK Pressure Gauge 2900-0051-06 | ||
16497 | McDaniel Controls Inc. | AB 00724 | 15 McDaniel Controls Inc. AB 00724 0-100 PSI Gauge 1/8″ NPT | ||
16498 | McDaniel corp | Unknown | AMAT | 3310-01261 | Lot of 8, new Pressure gauges, 1.5″ diameter, 0-15 PSI, 1/8 NPT |
16499 | McDowell & Company | 2-475-B70 | McDowell & Company 2-475-B70 Buna O-ring, 4Q98 | ||
16500 | McDowell & Company | 2-160-TF/S70 | 2 McDowell & Company 2-160-TF/S70 Oring, 2002399, FSI | ||
16501 | MCG | 2282-ME3776 | MCG 2282-ME3776, Servo Motor, 4.76A, 7.50 LB-In Torque. | ||
16502 | MCG | 2282-ME3776 | MCG 2282-ME3776, Servo Motor, 4.76A, 7.50 LB-In Torque. | ||
16503 | MCG | DMC6D | MCG DMC6D Brush Type PWM Servo Amplifier Drive, 37583-0016, 12A8K-MC1 | ||
16504 | MCG | IB23821 | MCG IB23821 brushless Servo Motor | ||
16505 | McGill | 03-4070-95 | AMAT | 3150-01001 | 8MCGILL CF 1/2 N S CAMROL PRECISION BEARING, 03-4070-95, AMAT 3150-01001 |
16506 | Mclean | UES17H115529 | AMAT | 0190-70066 | APW McLean UES17H115529 Fantray, AMAT 0190-70066, 300 CFM, 115VAC |
16507 | Mclean | UES17H115529 | AMAT | 0190-70066 | APW McLean UES17H115529 Fantray, AMAT 0190-70066, 300 CFM, 115VAC |
16508 | Mclean | UES17H115529 | AMAT | APW McLean UES17H115529 Fantray, AMAT 0190-70066, 300 CFM, 115VAC | |
16509 | Mclean | UES17H115529 | AMAT | 0190-70066 | APW McLean UES17H115529 Fantray, AMAT 0190-70066, 300 CFM, 115VAC |
16510 | Mclean | UES17H115529 | AMAT | 0190-70066 | APW McLean UES17H115529 Fantray, AMAT 0190-70066, 300 CFM, 115VAC |
16511 | Mclennon | 5D6MDC30600 | P532-ST001-G11L81 | 2 Mclennon stepper servo motor, 5D6MDC30600, P522-DC024-G23-2450 | |
16512 | Mclennon | P532-ST001-G11L81 | Mclennon stepper servo motor, P532-ST001-G11L81 | ||
16513 | McMaster-Carr | 4088K3 | (Set of Three) MCM Master, Liquid filled Gauge | ||
16514 | McMaster-Carr | 4112K3 | McMaster-Carr Flowmeter, | ||
16515 | MCR | 884-07-000 | MRC 884-07-000 PCB, RF DRIVE 884-07-101 ID | ||
16516 | MCR | 884-07-000 | MRC 884-07-000 PCB, RF DRIVE 884-07-101 ID | ||
16517 | MCSI Technologies | 71800 | 71800301 | MCSI Technologies 71800 ISA Passive Backplane PCB, 71800301 PWB, | |
16518 | MCT | 151173 | MCT 151173 PCB, has broken transistor | ||
16519 | MD control systems | 676 LWR C2C | Speedfam 2220-717273 rev g, 16 port pneumatic control valve assembly with main air switch, and filter | ||
16520 | MDA Scientific | 872096 | control CPU 06-04004-00, PCB, surplus inventory from major semiconductor facility. | ||
16521 | MDA Scientific | 872234 | Power supply dual output PCB, 06-04005-00, surplus inventory from major semiconductor facility. | ||
16522 | MDA Scientific | 06-04009-00 | MDA 872360 backplance PCB assy, some bent pins or componenets due to storage and handling, surplus inventory from major semiconductor facility. | ||
16523 | MDA Scientific | 872107-1 | Analyzer, HF/Minera, looks refurbished | ||
16524 | MDC | 507140-00 | New MDC bellows assembly for vacuum isolation valve-00 | ||
16525 | MDC | 997330 019-40017 | MDC Isolation Bellow 997330 019-40017 | ||
16526 | MDC | AV-075-P-01-10 | MDC AV-075-P-01-10 isolation valve with cable, has two conflat flanges | ||
16527 | MDC | AV-100-P | MDC AV-100-P Pneumatic Angle Valve | ||
16528 | MDC | AV-150M-P | MDC AV-150M-P pneumatic angle valve | ||
16529 | MDC | KAV-050-P | MDC KAV-050-P, Pneumatic Right Angle Valve, | ||
16530 | MDC | KAV-100 | AMAT | 3870-02742 | MDC KAV-100 Manual Operated Angle Isolation Valve, AMAT 3870-02742, |
16531 | MDC | KAV-100 | AMAT | 3870-02742 | MDC KAV-100 Manual Operated Angle Isolation Valve, AMAT 3870-02742 |
16532 | MDC | KAV-100-P-OPT-02 | BRV25 | MDC Isolation valve, Edward Isolation valve, used | |
16533 | MDC | KAV-150-P 311074 | MDC Isolation Bellow KAV-150-P 311074 | ||
16534 | MDC | KAV-150-P-NVLLUS | MDC, Isolation Valve, KAV-150-P-NVLLUS | ||
16535 | MDC | KAV-150-P-NVLLUS-311074-1002 | MDC KAV-150-P-NVLLUS-311074-1002, pneumatic vacuum angle isolation valve | ||
16536 | MDC | KAV-150-PSP | 0190-40017 | MDC KAV-150-PSP 0190-40017 | |
16537 | MDC | KAV-150-PSP 019-40017 | MDC Isolation Bellow KAV-150-PSP 019-40017 | ||
16538 | MDC | KIV-150-P | USED MDC VACCUM INLINE VALVE KIV-150-P | ||
16539 | MDC | LAV-250-PAA 1MC | MDC LAV-250-PAA 1MC Right Angle Isolation Valve, ISO 250 Flange | ||
16540 | MDC | LAV-250-PAA 1MC | MDC LAV-250-PAA 1MC Right Angle Isolation Valve, ISO 250 Flange | ||
16541 | MDC | Omega | 2 MDC 1″ Dual TC Feed Through, Omega | ||
16542 | MDC | 2 MDC feedthrough ABLM-133-1-MOD with fabco pancake cylinder FPS1341 | |||
16543 | MDC MFG Inc. | AMAT | MDC MFG Inc. Spindle, RF, AMAT Endura | ||
16544 | MDS | L300-22 | MDC L300-22 Elbow, Vacuum, Flange, 90 Degree | ||
16545 | Mean Well | MDR-20-24 | MEAN WELL MDR-20-24 AC/DC POWER SUPPLY0 VAC, 24V | ||
16546 | Mean Well | MDR-60-24 | Mean Well MDR-60-24 AC to DC DIN-Rail Power Supply, 24V, 2.5A | ||
16547 | Measurement Computing | PCI-DI096H | Measurement Computing PCI-DI096H PCB Board | ||
16548 | MEC Tech | GMC-22 | LS MEC GMC-22 Contactor, GMC(D)-22 | ||
16549 | MEC Tech | GMD-18 | LS MEC GMD-18 Contactor | ||
16550 | MEC Tech | MEC126286-086 | 8310, 8330 | MEC Tech, MEC126286-086, Etch Chamber Pedestal, Chunk, 8310, 8330. ,, | |
16551 | MEC Tech | MEC50006-765-9 | M.E.C. Tech MEC50006-765-9 Clamp, Ring, Ceramic, 6″, AMAT Etch Chamber | ||
16552 | MEC Tech | MEC50008-763-5 | M.E.C. Tech MEC50008-763-5, Insulating Washer Ardel AMAT 0020-09031. | ||
16553 | MEC Tech | MEC50008-763-5 | M.E.C. Tech MEC50008-763-5, Insulating Washer Ardel AMAT 0020-09031. | ||
16554 | MEC Tech | MEC50008-763-5 | MEC Tech MEC50008-763-5 Washer, Insulating, Ardel, | ||
16555 | MEC Tech | MEC80210389-2 | M.E.C. Tech MEC80210389-2 Cap Insulator, Thick, ID | ||
16556 | MEC Tech | MEC81105-205L | AMAT | 0020-01020 | 4 M.E.C. Tech MEC81105-205L Spacer, .26, AMAT 0020-01020, |
16557 | MEC Tech | MEC83106-1021 | 48 M.E.C. Tech MEC83106-1021 Stud, #7-32 x 5/8 Vented | ||
16558 | MEC Tech | MEC83106-1040L | 48 M.E.C. Tech MEC83106-1040L Cover, Screw, 1/4-20. | ||
16559 | MEC Tech | MEC83106-1040L | 187 M.E.C. Tech MEC83106-1040L Cover, Screw, 1/4-20. | ||
16560 | MEC Tech | MEC83106-1073L | M.E.C. Tech MEC83106-1073L Upper Cap Insulator, Thick, A/A, MBC, | ||
16561 | MEC Tech | MEC83106-1074L | MEC83106-1074L Ground Plate Insulator A/A | ||
16562 | MEC Tech | MEC83106-1074L | M.E.C. Tech MEC83106-1074L Insulator, A/A Ground Plate, | ||
16563 | MEC Tech | MEC83106-1074L | M.E.C. Tech MEC83106-1074L Insulator, A/A Ground Plate, | ||
16564 | MEC Tech | MEC83106-1074L | M.E.C. Tech MEC83106-1074L Insulator, A/A Ground Plate, ID | ||
16565 | MEC Tech | MEC83106-1074L | M.E.C. Tech MEC83106-1074L Insulator, A/A Ground Plate, ID | ||
16566 | MEC Tech | MEC83106-1075L | M.E.C. Tech MEC83106-1075L Insulator, Hexode, A/A | ||
16567 | MEC Tech | MEC83106-1075L | M.E.C. Tech MEC83106-1075L Insulator, A/A Hexode, | ||
16568 | MEC Tech | MEC83106-1075L | M.E.C. Tech MEC83106-1075L Insulator, A/A Hexode, | ||
16569 | MEC Tech | MEC83106-1076L | 24 M.E.C. Tech MEC83106-1076L, Ground Plate Ring, A/A. | ||
16570 | MEC Tech | MEC83106-1078L | 96 MEC Tech MEC83106-1078L Screw Cover, ID | ||
16571 | MEC Tech | MEC83106-1138L | 3 M.E.C. Tech, Inc., MEC83106-1138L, Pedestal Ring, Flush, P/I BUT, RND | ||
16572 | MEC Tech | MEC83106-1138L | M.E.C. Tech MEC83106-1138L Pedestal Ring Assy | ||
16573 | MEC Tech | MEC83106-1138L | M.E.C. Tech MEC83106-1138L Pedestal Ring Assy | ||
16574 | MEC Tech | MEC83106-1138L | 3 M.E.C. Tech MEC83106-1138L Pedestal Ring Assy | ||
16575 | MEC Tech | MEC83106-1139L | M.E.C. Tech MEC83106-1139L, Pedestal Ring, Bot, Flush, P/I But, RDN. | ||
16576 | MEC Tech | MEC83304-1040 | M.E.C. Tech MEC83304-1040 Ground Insulator | ||
16577 | MEC Tech | MEC83306-1028R | MEC Tech MEC83306-1028R Insulator, Top Cap | ||
16578 | MEC Tech | MEC83306-1028R | MEC Tech MEC83306-1028R Insulator, Top Cap | ||
16579 | MEC Tech | MEC83306-1028R | MEC Tech MEC83306-1028R Insulator, Top Cap | ||
16580 | MEC Tech | MEC83306-1041L | M.E.C. Tech MEC83306-1041L Pedestal Ring, P/I BUT, SNAP | ||
16581 | MEC Tech | MEC83306-1060L | 14 M.E.C. Tech MEC83306-1060L Cover, Screw, #8-32, Lowpec, | ||
16582 | MEC Tech | MEC83306-1060P | 34 M.E.C. Tech MEC83306-1060P Cover, Screw, #8-32, Lowpec, | ||
16583 | MEC Tech | MEC83306-1060P | AMAT | 0030-00118 | 175 M.E.C. Tech MEC83306-1060P Cover, Screw, #8-32, Lowpec, |
16584 | MEC Tech | MEC83306-3017L | M.E.C. Tech MEC83306-3017L Assembly, Focus Ring, Bottom, POSI-SNAP, TEX | ||
16585 | MEC Tech | MEC83306-3017L | M.E.C. Tech MEC83306-3017L Assembly, Pedestal Ring, POSI-SNAP, TEX | ||
16586 | MEC Tech | MEC83306-3017L | M.E.C. Tech MEC83306-3017L Assembly, Focus Ring, Bottom, POSI-SNAP, TEX | ||
16587 | MEC Tech | MEC83306-3018L | M.E.C Tech MEC83306-3018L Assembly, Focus Ring, Posi-snap, Tex | ||
16588 | MEC Tech | MEC83306-3018L | M.E.C. Tech MEC83306-3018L Assembly, Pedestal Ring, P/I BUT, POSI-SNAP | ||
16589 | MEC Tech | MEC83306-3018L | M.E.C. Tech MEC83306-3018L Assembly, Pedestal Ring, POSI-SNAP, TEX | ||
16590 | MEC Tech | MEC83306-3041L | M.E.C. Tech MEC83306-3041L Assembly, Pedestal Ring, P/I BUT, POSI-SNAP | ||
16591 | Mechanical | 957330 | 957330 Pivot Assembly Revision A | ||
16592 | MECS | CS7000 | MECS Transfer Robot Track YC505, MECS CS7000 controller | ||
16593 | MECS | UTM3500NS | MECS UTM3500NS Wafer Handling Robot and cables | ||
16594 | MECS | UTV430 | MECS UTV430 Control System, UTC 100A, TEGV1.2; we are sellings as parts | ||
16595 | MECS | UTX-2000A | ME03-44P-D4LT1-A1 | MECS UTX-2000A Robot Controller Board, PCB, ME03-44P-D4LT1-A1 | |
16596 | Mectrol | M24-2265:1 | Mectrol M24-2265:1 Dojen Speed Reducer, Zero Backlash | ||
16597 | Mega Kinetics | RS-1000FAP | Mega Kinetics RS-1000FAP, Liquid Leak Detection Sensor, 122716100, BRA. | ||
16598 | Mega systems and chemicals | LCK1000-NO | Leak indicator, normally open | ||
16599 | MEI | 1007-0052 | MEI 1007-0052 PCB, Motion Control Danaher, ESC-4, T006-0002, ID | ||
16600 | MEI | 1007-0052 | MEI 1007-0052 PCB, Motion Control Danaher, T006-0002, ID | ||
16601 | Meiden | MU24A30756 | MEIDEN MU24A30756 PCB Board, SU22A31138, 19801004800874 JZ29A-01; | ||
16602 | Meissner | CSMN0.1-662 | Meissner CSMN0.1-662 Filter, Vangard, 0.1 MICRON, 3.8″ MNPT, Vent | ||
16603 | MeiVac | 531S-S | MeiVac 531S-S, Thermocouple Vacuum Gauge. | ||
16604 | Meivac | VQ-200-ISO-U-SM | MeiVac VQ-200-ISO-U-SM, Vari-Q Throttle Valve | ||
16605 | Meivac | VQ-200-ISO-U-SM | MeiVac VQ-200-ISO-U-SM, Vari-Q Throttle Valve | ||
16606 | Melcher | VEW 25-12 | Melcher VEW 25-12, AC-DC converter, 12VDC output | ||
16607 | Melles Griot | 040AS008 | Melles Griot 040AS008, Microscope Objective Lens, 6.3x, Tencor 209074. | ||
16608 | Melles Griot | 05LPL 235 | Melles Griot 05LPL 235 Laser Power SupplyC, 2450V, DC, 6.5mA, | ||
16609 | Melles Griot | 176B-208B | Melles Griot 176B-208B Ion Laser Power Supply | ||
16610 | Melles Griot | 322H-C-56 | Melles Griot-56, Laser Light, 5mW at 632.8nm, Class IIIa Laser. | ||
16611 | Melles Griot | LPL 235 | MELLES GRIOT 05 LPL 235 LASER POWER SUPPLY, KBB01015-503 100V AC | ||
16612 | Melsec | AD-58 | MELSEC AD-58, PROGRAMMABLE CONTROLLER, MITSUBISHI. | ||
16613 | Merck | 10024 | Merck 10024 Ammonium Test Kit | ||
16614 | Merlin | VCF PWA 4586 | Merlin engineering works, VCF PWAFAB, ME1006A | ||
16615 | Merlin Gerin | C60N | 8 Merlin Gerin, Multi 9, C60N, 3A, 1 pole | ||
16616 | Merlin Gerin | C60N | 2 Merlin Gerin C60N, Circuit Breaker 1 Pole, 15 Amps | ||
16617 | Merlin Gerin | NSJ600 | Merlin Gerin NSJ600 N Circuit Breaker Assy, 600V,z 600A, Novellus | ||
16618 | Merlin Gerin | Vigi NC100 | Merlin Gerin, Multi9, Vigi NC100, Circuit Breaker | ||
16619 | Merlin Gerin | C60N | 15A-type D | Merlin Gerin C60N Circuit Breaker Assembly, 15A-type D, 277 VaC, 480 VAC | |
16620 | Mesa | 2B-10-241 | Bearing, WFR Roller, MESA 2B-10-241, Used, Lot of 4 | ||
16621 | Met One | 2082835-2 | Met One 2082835-2 PCM Particle Concentration Meter, 1A, 9V, .3uM, .1 CFM | ||
16622 | Metara | LMS-300 TCA | Metara LMS-300 TCA Trace Contaminant Analysis Tool, 208VAC, 30A,z | ||
16623 | Metron | 0221-12 | Metron 0221-12 Elbow, Male/Comp. WHT PP, 1/2 MNPT x 1/2T | ||
16624 | Metron | 0429-01 | 2 Metron 0429-01 Switch, Liq Lev, PP, NO/NC, 1/4P, Horiz Float | ||
16625 | Metron | 200-0005-00 | Metron 200-0005-00 Gauge, 160 PSI, 1/4 NPT Male | ||
16626 | Metron | 483-11984-01 | Metron 483-11984-01 Switch, Pressure, Gas, 1-4PSI, Autoreset | ||
16627 | Metron | 492-17176-00 | Metron 492-17176-00 Pressure Switch | ||
16628 | metron | A119756 | 2 metron manifold weld mount ETCH A119756 | ||
16629 | Metron | D112307 HUB | Tokyo Electron | Metron D112307 HUB, Tokyo Electron Driven Gear | |
16630 | Metron | D124729-U | 4 Metron D124729-U Clamp, MTG | ||
16631 | Metron | A129242-G | Metron A129242-G Semiconductor Equipment Labels | ||
16632 | Metrowerks | CWCODDETEST4MIC | Metrowerks CWCODDETEST4MIC Codetest Data Collection Unit, | ||
16633 | MG Electronics | ST121A | MG Electronics ST121A, I.T.E. Switching Power Supply, 12 Volt DC | ||
16634 | MGI Systems | 002-0422 | MGI Systems, 002-0422, PX372, Panel Interface Controller | ||
16635 | MICRO 7000 | MCD112KIGI | Micro 7000 Hi speed controller MCD112KIGI | ||
16636 | MICRO computer specialists | 66700901 REV B | MICRO COMPUTER specialists, PROMDISK 66700901 REV B, | ||
16637 | Micro Instrument | 500-102376-300 | LAM | Micro Instrument Co.2376-003 AUX I/O Board, PCB, LAM | |
16638 | Micro Instrument | 510-204740-002 | LAM | Micro Instrument Co. 510-204740-002 PCB Board, LAM | |
16639 | Micro Memory | MM5425CN512M | Micro Memory MM5425CN512M 512MB Battery Backed, Non-Volatile Memory | ||
16640 | Micro Torr | PS11-MC3000-N-F-V | APTech | AP3800SM FV8 MV8 00 | Micro Torr PS11-MC3000-N-F-V, APTech AP3800SM FV8 MV8 00, Mykrolis Gas Line |
16641 | Microfab | NA | Microfab AG 6″ Robot Blade End Effector, | ||
16642 | Microfab | WR-300 | Microfab AG WR-300, Wafter Handler, Robot. | ||
16643 | Micromanipulator | 6000 | Micromanipulator 6000 4″ Wafer Probe Station, Bausch and Lomb | ||
16644 | Micromega | ILX | Micromega Temperature controller with ILX lightwave 5991186 RTD converter | ||
16645 | Micron | 1RX8 PC2-6400F-555-11-A0 | 5 Micron 512 MB server memory, 1RX8 PC2-6400F-555-11-A0 | ||
16646 | Micron | 810-00347R | Micron 810-00347R KF40 Isolation Valve Staggered Port | ||
16647 | Micron | PC3-8500P-7-00-AP | 150 Micron 1 GB memory, 1RX8 PC3-8500P-7-00-AP | ||
16648 | Micron Technology Inc. | MT49H16M36BM-18:B-ND | Micron Technology Inc MT49H16M36BM-18:B-ND IC DRAM | ||
16649 | Micropump | L16516 | MODEL GJ-N25 JF1SA | Micropump L16516, MODEL GJ-N25 JF1SA, Pump Head, SS/PEEK/PTFE; 0.91 mL. | |
16650 | microscope | 31-15-64 | 31-15-64 20x WF | ||
16651 | Microscope | Unknown | MICROSCOPE OBJECTIVE PLAN 10/0.25 160/0.17 | ||
16652 | Microscope | Unknown | MICROSCOPE OBJECTIVE 4/0.10 160/- | ||
16653 | Microscope | Unknown | Microscope Objective Lens SP 100X/1.25 OIL 0.17 | ||
16654 | Microscope | Unknown | Microscope objective5 160/0.17 | ||
16655 | Microscope | Unknown | Microscope objective 100/1.25 oil 160/0.17 | ||
16656 | Microscope | Unknown | Microscope objective5 160/0.17 | ||
16657 | Microscope | Unknown | Microscope objective PL 3.2x/0.06 | ||
16658 | Microscope | Unknown | MICROSCOPE OBJECTIVE 10/0.25 160/- | ||
16659 | Microscope | Unknown | 2 Eye Pieces | ||
16660 | MicroSwitch | BZ-2RW82-A2 | Honeywell | 8 MicroSwitch BZ-2RW82-A2 Limit Switch, Honeywell | |
16661 | MicroTech | MicroTech Multi-tank Wet Bench (2 Tanks) | |||
16662 | Microtech Systems | MT-550TV | Microtech Systems MT-550TV Screen Printer | ||
16663 | MICROTEK | 1263 | MICROTEK 1263 120VAC 60HZ LINEMASTER SWITH CORP | ||
16664 | MICROTEK | MP60284 | Microtech Zip Floppy Disk Drive MP60284 | ||
16665 | Midwest Control | EAD-25 | Midwest Control MC EAD-25 Timed Electric Condensate Drain Valve | ||
16666 | Miele | 2638714 | Miele 2638714, level control switch | ||
16667 | Mikroprecision | RS-O2-CFM125 | MikroPrecision Automation XY stage RS-O2-CFM125 | ||
16668 | Millipore | 4117955 | Millapore Filter5,927704, 12STK, Phob Gas | ||
16669 | Millipore | C7DM7778 | Millipore gas filter C7DM7778 | ||
16670 | Millipore | CDLD-21S06E | MILLIPORE CDLD-21S06E Baratron Pressure Transducer, CDLD2106Err; | ||
16671 | Millipore | IPS 122 | Millipore Pressure Gauge IPS 122 Type 1 8-30VDC 12W | ||
16672 | Millipore | 5FL00294 | WGFG-06WR-1 | Millipore 5FL00294, Waferguard Filter WGFG-06WR-1. , | |
16673 | Millipore | BA33753BE000 | Mass flow controllerm, CF4 gas | ||
16674 | Millipore | BA3375CR000 | MFCcm, CHF3 gas | ||
16675 | Millipore | BA3375KEH000 | MFC,cm, NF3 gas | ||
16676 | Millipore | BA3375KEH000 | Mass flow controller,m, NF3 gas | ||
16677 | Millipore | C6NM7391 | Millipore gas filter C6NM7391 | ||
16678 | Millipore | C7JM1835 | Millipore C7JM1835 Wafergard Filter, 0.1 Micron | ||
16679 | Millipore | C7JM2226 | Millipore C7JM2226 Wafergard Filter, 0.1 Micron | ||
16680 | Millipore | C7PM3465 | 2 Millipore C7SM5364 + C7PM3465 Wafergard Filters, 0.2 Mircon w/ Orings | ||
16681 | Millipore | C7SM5364 | 2 Millipore C7SM5364 + C7PM3465 Wafergard Filters, 0.2 Mircon w/ Orings | ||
16682 | Millipore | CDH80-M11V06 | Mykrolis | Millipore CDH80-M11V06 Baratron Pressure Transducerrr, CDH80M11V06, | |
16683 | Millipore | CDHD80-M11S06 | Millipore CDHD80-M11S06 Baratron Pressure Transducerrr, CDHD80M1106, | ||
16684 | Millipore | CDL-21S06 | Millipore CDL-21S06 capacitance manometer 100 torr | ||
16685 | Millipore | CDLC-21S06-EMC | Millipore CDLD-21S06-EMC, Pressure Transducerrr | ||
16686 | Millipore | CDLD-21S06 | MILLIPORE CDLD-21S06, 0-100 TORR | ||
16687 | Millipore | CDLD-21S06-EMC | Novellus | 60-054373-00 | Millipore CDLD-21S06-EMC Manometer, Novellus 60-054373-00, 0-100 Torr |
16688 | Millipore | CDLD-21S06-EMC | Novellus | 60-054373-00 | Millipore CDLD-21S06-EMC Manometer, Novellus 60-054373-00, 0-100 Torr |
16689 | Millipore | CDLD-21S06E | Novellus | 60-054373-00 | Millipore CDLD-21S06E Manometer, 0-100 Torr, Novellus 60-054373-00 |
16690 | Millipore | CDLD-21S06E | Novellus | 60-054373-00 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr |
16691 | Millipore | CDLD-21S06E | Novellus | 60-054373-00 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr |
16692 | Millipore | CDLD-21S06E | Novellus | 60-054373-00 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr |
16693 | Millipore | CDLD-21S06E | Novellus | 60-054373-00 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr |
16694 | Millipore | CDLD-21S06E | Novellus | 60-054373-00 | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr |
16695 | Millipore | CDLD2106E | Used Millipore CDLD2106E, cold cathode gauge, 0-100 Torr CDLD-21S06E | ||
16696 | Millipore | CHUV2L0P1 | Millipore CHUV2L0P1, Optimizer Filter, 0.1 Micron. | ||
16697 | Millipore | CMH0106E | Millipore CMH0106E Capacitance Manometer, 0-10 VDC, 0-1 Torr | ||
16698 | Millipore | CMH21E | Millipore CMH21E, Manometer, Baratron | ||
16699 | Millipore | CMH4-M11S06 | Millipore CMH4-M11S06, Baratron 0-10 VDC, 0-0.1 Torr | ||
16700 | Millipore | CMH4-M11V | Millipore CMH4-M11V gauge, 0-100 M torr, S98027 | ||
16701 | Millipore | CMH4M1106E | Celerity CMH4M1106E, Baratron, Manometer | ||
16702 | Millipore | CTFGOSTPE | Millipore CTFGOSTPE, Fluroguard II Filter, 0.2 Micron A2U00004737 | ||
16703 | Millipore | CTFVOSTPE | Millipore CTFVOSTPE Filter, 0.1 Micron, A2U00004737 | ||
16704 | Millipore | CWUV40KL1 | Millipore CWUV40KL1, Microgard Minichemter, 0.1 Micron, Kalrez. | ||
16705 | Millipore | EISPAN GCS305 | Millipore EL0002912834 Gas Cylinder Scale EISPAN GCS305 | ||
16706 | Millipore | FC-22900M-4V | Millipore FC-22900M-4V Mass Flow Controller MFC BCL3 200 SCCM Tylan 2900 | ||
16707 | Millipore | FC-260KZ | AMAT | 0190-00029 | NEW Millipore FC-260KZ, AMAT 0190-00029 MFC with AFC, 200 SCCM, BCl3 gas |
16708 | Millipore | FC-2900 | Tylan | Millipore FC-2900, CL2CM, MFC, Mass Flow Controller (Calibrated). 330125 | |
16709 | Millipore | FC-2900M | Millipore FC-2900M, Mass Flow Controller, HBR 200 SCCM | ||
16710 | Millipore | FC-2900M | Millipore Tylan 2900 Series, Mass Flow Controller, FC-2900M, 20 SCCM HE | ||
16711 | Millipore | FC-2900M-4V | Millipore FC-2900M-4V MFC, Mass Flow Controller, O2CM | ||
16712 | Millipore | FC-2900MEP5-4V | Millipore FC-2900MEP5-4V Mass Flow Controller MFC, BCl3, 200 SCCM, Tylan | ||
16713 | Millipore | FC-2900V | NEW Millipore MFC FC-2900VCM, CHF3, BA33753CR000 mass flow controller | ||
16714 | Millipore | FC-2900V | NEW Millipore MFC FC-2900V, 20 SCCM, CHF3, BA33753NCR000 mass flow controller | ||
16715 | Millipore | FC-2900V | Millipore FC-2900V, Mass Flow Controller, HE 20 SCCM | ||
16716 | Millipore | FC-2901V-J | Millipore FC-2901V-J Mass Flow Controller, MFC, O2, 5 SLPM | ||
16717 | Millipore | FC-2902MEP-T | MILLIPORE FC-2902MEP-T, SIH4, 1.5 SLPM | ||
16718 | Millipore | FC-2902MEP-T | Millipore FC-2902MEP-T Mass Flow Controller MFC, H2, 2 SLPM, Tylan 2900M | ||
16719 | Millipore | FC-2950MEP5 | Millipore FC-2950MEP5 MFC Mass Flow Controller,CM, AR, Calibrated | ||
16720 | Millipore | FC-2951M0EP5 | NEW Millipore MFC, FC-2951M0EP5, DE02273002, 20 SLPM, N2 gas | ||
16721 | Millipore | FC-2951M0EP5 | NEW Millipore MFC, FC-2951M0EP5, DE02273001, 20 SLPM, N2 gas | ||
16722 | Millipore | FC-2952MEP5-T | Millipore MFC FC-2952MEP5-T, O2 GAS, 600 SCCM Range | ||
16723 | Millipore | FC-2952MEP5-T | Millipore FC-2952MEP5-T Mass Flow Controller CL2 200 SCCM AMAT 3030-04152 | ||
16724 | Millipore | FC-2952MEP5-T | Millipore FC-2952MEP5-T, Mass Flow Controller, CL2 200 SCCM | ||
16725 | Millipore | FC-2952MEP5-T | Millipore FC-2952MEP5-T, Mass Flow Controller, C2F6 100 SCCM | ||
16726 | Millipore | FC-2979MEP5 | NEW Millipore MFC FC-2979MEP5, C5F8, 30 SCCM, ML01233002 | ||
16727 | Millipore | FC-2979MEP5 | Millipore MFC Tylan 2979, FC-2979MEP5, O2,M w/ Calibration Sheet; | ||
16728 | Millipore | FC-2979MEP5 | Millipore FC-2979MEP5 Mass Flow Controller CH2F2 30 SCCM MFC Tylan 2979M | ||
16729 | Millipore | FC-2979MEP5 | NEW millipore MFC Tylan 2979 FC-2979MEP5, ArCM with calibration sheet; other | ||
16730 | Millipore | FC-2979MEP5 | Millipore FC-2979MEP5 Mass Flow Controller O2 1SLPM MFC Tylan 2979M | ||
16731 | Millipore | FC-2979MEP5 | Millipore FC-2979MEP5 Mass Flow Controller O2 1SLPM MFC Tylan 2979M | ||
16732 | Millipore | FC-2979MEP5-W | Millipore FC-2979MEP5-W Mass Flow Controller, MFC, O2CM | ||
16733 | Millipore | FC-2979MEP5-W | Millipore MFC Tylan 2979 series, FC-2979MEP5-W, O2CM, looks very clean | ||
16734 | Millipore | FC2952M | Millipore FC2952M 4V Mass Flow Controller, MFC, CHF3, 150 SCCM | ||
16735 | Millipore | FM-3900MEP | Millipore FM-3900MEP, Mass Flow Controller, N2 1 SPLM | ||
16736 | Millipore | FSCAD100N600 | MILLIPORE IntelliFlow FSCAD100N600 MFC, AR, N2CM | ||
16737 | Millipore | FSCGD1000H00 | Millipore FSCGD1000H00, Mass Flow Controller, MFC, N2,M | ||
16738 | Millipore | FSCGD1000T00 | Millipore MFC, O2 GAS,M RANGE, Intelliflow DeviceNet, FSCGD1000T00 | ||
16739 | Millipore | FSCGD100B600 | Millipore MFC, O2 GASCM RANGE, Intelliflow DeviceNet, FSCGD100B600 | ||
16740 | Millipore | FSDAE100FU05 | Millipore FSDAE100FU05 Mass Flow Controller, MFC, SiF4CM, Intelliflow | ||
16741 | Millipore | FSDG1000X00 | Millipore MFC, CF4 GASCM RANGE, Intelliflow DeviceNet, FSDG1000X00 | ||
16742 | Millipore | FSDG100QU00 | Millipore FSDGD100QU00, Mass Flow Controller, MFC, N2CM | ||
16743 | Millipore | FSDGD100AQ00 | Millipore FSDGD100AQ00, Mass Flow Controller, MFC, N2, 200 SCCM | ||
16744 | Millipore | FSDGD100B800 | Millipore MFC, O2 GAS, 200 SCCM RANGE, Intelliflow DeviceNet, FSDGD100B800, FLOW | ||
16745 | Millipore | FSDGD100CE00 | Millipore MFC, AR GASCM RANGE, Intelliflow DeviceNet, FSDGD100CE00 | ||
16746 | Millipore | FSDGD100GM00 | Millipore MFC, NF3 GASCM RANGE, Intelliflow DeviceNet, FSDGD100GM00 | ||
16747 | Millipore | FSDGD100HU00 | Millipore MFC, SF6 GAS, 30 SCCM RANGE, Intelliflow DeviceNet, FSDGD100HU00 | ||
16748 | Millipore | FSDGD100LG00 | Millipore MFC, FSDGD100LG00, CH2F2 GAS, 200 SCCM RANGE, Intelliflow DeviceNet | ||
16749 | Millipore | FSDGD100YA00 | Millipore MFC, FSDGD100YA00, C4F6 GASCM RANGE, Intelliflow DeviceNet | ||
16750 | Millipore | FSEGD1000R00 | Millipore MFC, CL2 GASCM RANGE, Intelliflow DeviceNet, FSEGD1000R00 | ||
16751 | Millipore | FSEGD100B700 | AMAT | 3030-00837 | Milliper MFC FSEGD100B700, AR 1000 SCCM, AMAT 3030-00837, Intelliflow, DNet |
16752 | Millipore | FSFAE100D406 | Millipore FSFAE100D406 Mass Flow Controller, MFC, N2,CCM, Intelliflow | ||
16753 | Millipore | INGEN1PUO | Millipore Resist Pump Dispenser | ||
16754 | Millipore | LR300 | NEW MILLIPORE LR300, AMAT 0225-33884 SPAN P.T DISPLAY LR300 | ||
16755 | Millipore | MPGL10CB3 | Millipore MPGL10CB3 Filter, Millipak 100, 0.22 Micron | ||
16756 | Millipore | N/A | Millipore Waferguard Filter, W/2 O-Rings. | ||
16757 | Millipore | N/A | 4 Millipore Waferguard Filter, W/4 O-Rings. | ||
16758 | Millipore | N/A | 2 Millipore Waferguard Filter , 0.2 micron+ 0.1 micron W/4 O-Rings. | ||
16759 | Millipore | N/A | Millipore Waferguard Filter 0.1 micron, W/2 O-Rings. | ||
16760 | Millipore | SLGVS25XS | 12 Millipore SLGVS25XS Sterile DUALEX Filter 0.2 MICRON N2 Gun | ||
16761 | Millipore | SPT 204 | Millipore SPT 204 Pressure TransducerIG, 4 to 20 MA TR0001344985 | ||
16762 | Millipore | VC-4900MEPR | Millipore Mass Flow Controller, VC-4900MEPR, H20 VAPOR 750 SCCM | ||
16763 | Millipore | Wafergard | Electroglas | 302922-008 | Lot of 5 new Wafergard .1um resist filters |
16764 | Millipore | Wafergard2 | MILLIPORE, WAFERGUARD II FILTER NEW | ||
16765 | Millipore | WCDI 00020 | Millipore WCDI 00020, WCDS Interface Style #2 Cable | ||
16766 | Millipore | WCDI00020 | Millipore, WCDI00020, WCDS Interface Style #2, S2C, ID | ||
16767 | Millipore | WDFG80PTF | Filter, PTFE, .2um, PF-80-Tl line DSP O/R Type, | ||
16768 | Millipore | WDFV40W1F | Mykrolis | Millipore WDFV40W1F Mykrolis Filter | |
16769 | Millipore | WG2F01HC1 | AMAT | 0050-09789 | Millipore WG2F01HC1 Wafergard II Inline Gas Filter 1/4″ O-Ring Seal AMAT, |
16770 | Millipore | WG2F01HC1 | Millipore WG2F01HC1 Wafergard II Inline Gas Filter F-Mini 1/4′ ORing Seal | ||
16771 | Millipore | WG2F01ITC1 | Millipore WG2F01ITC1, II F-Mini Inline Gas Filter, 1/4″ O-Ring Seal. | ||
16772 | Millipore | WG2F02PS1 | Novellus | 20-102707-00 | Millipore WG2F02PS1 Inline Gas Filter 1/4″ Swagelok Novellus 20-102707-00 |
16773 | Millipore | WG3NS5RR2 | Millipore Filter WG3NS5RR2 VCR, 1/4″ M/M, Wafergaurd III | ||
16774 | Millipore | WGFA80P01 | Millipore WGFA80P01, Wafergard PF-80 Filter Unit, 1.0 Micron. | ||
16775 | Millipore | WGFA80P01 | Millipore WGFA80P01 Wafergard PF-80 Filter unit, 1.0 Micron, | ||
16776 | Millipore | WGFG-02P-51 | Millipore Filter, Wafergaurd, WGFG-02P-51 | ||
16777 | Millipore | WGFG06WR1 | Millipore WGFG06WR1 Wafergard In-Line Gas Filter, 1/4″ | ||
16778 | Millipore | WGFG36WS1 | Millipore Wafergard WGFG36WS1 Inline Gas Filter | ||
16779 | Millipore | WGGB06S2 | Millipore WGGB06S2 Filter, Cap, Bridge, C0SM7644 | ||
16780 | Millipore | WGMXMBPRF2 | Millipore WGMXMBPRF2 Waferguard Max Filter, 1/4″, VRC, Male/Female, Bronze | ||
16781 | Millipore | WG2F01HC1 | Millipore WG2F01HC1, Waferguard II F-Mini Inline Gas Filter, 1/4″ O-ring. | ||
16782 | Millipore | WPSLC0C1L | Millipore WPSLC0C1L Waferpure C0-Micro Purifier, New | ||
16783 | Millipore | YY4600001 | Millipore YY4600001 Chemgard PFA Toolkit | ||
16784 | Millipore | Millipore WG2F36WS1 Wafergard In-line Gas Filter, 1UN2-H.5N-0701E | |||
16785 | Millipore | CN3H01E | Novellus | 94-93001-00 | Milipore polygard 10 inch,filter, CN3H01E, 94-93001-00 |
16786 | Millipore | WG2F02PS1 | Novellus | 20-102707-00 | Millipore WG2F02PS1 Inline Gas Filter 1/4″ Swagelok, Novellus 20-102707-00 |
16787 | Millipore | WG2FO2RR2 | Millipore WG2FO2RR2 High Purity In-Line Gas Filter, 3000 PSI, COJN3329 | ||
16788 | Millipore | WGFG01HR1 | C5MM6360 | Millipore WGFG01HR1 Wafergard Inline Filter, 3000 PSI, C5MM6360 | |
16789 | Millipore | WG2F02PS1 | Novellus | 20-102707-00 | Millipore WG2F02PS1 Inline Gas Filter 1/4″ Swagelok Novellus 20-102707-00 |
16790 | Millipore | WGGB12S02 | 2 Millipore WGGB12S02 T-Line Gas Filters, .05 Micron, 12 STK | ||
16791 | Millipore | CDLD-21S06E | Millipore CDLD-21S06E Capacitance Monometer, CDLD2106Err | ||
16792 | Millipore | WGVL40S01 | Millipore WGVL40S01 Wafergard-40 Cartridge Filter, 0.1 Micron | ||
16793 | Millipore | WG2F02RR2 | Swagelok | 6LV-DAVR4-P | Millipore Valve Assembly, Regulator, Shutoff Valve, Pressure Gauge |
16794 | Millipore | SSWP 01300 | 100 Millipore SSWP 01300 Filter, 3.0 Micron, Nikon EP-6710-503 | ||
16795 | Millipore | WGFG01HB1 | Millipore WGFG01HB1 Wafergard, Inline Gas Filter | ||
16796 | Minarik | WP6211-AA-AA | Minarik MicroMaster WP6211-AA-AA PLC Controller, WP6211AAAA, WP6211 | ||
16797 | Minarik | WP6211-AA-AA | Minarik MicroMaster WP6211-AA-AA PLC Controller, WP6211AAAA, WP6211 | ||
16798 | Minarik | WP6311-AAAA | Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311 | ||
16799 | Minarik | WP6311-AAAA | Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311 | ||
16800 | Minarik | WP6311-AAAA | Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311 | ||
16801 | Minebea | 17PM-K101-04V | Minebea 17PM-K101-04V Motorized stage with renishaw RGH sensor | ||
16802 | Minebea Astrosyn | 23PM-C108 | Minebea Astrosyn 23PM-C108 Miniangle Stepper Motor | ||
16803 | Mini-circuits | ZMSC-4-1 | 2 Mini-Circuits Splitter ZMSC-4-1, 0.1-200 Mhz | ||
16804 | Miracle Movement | MPT41908 | Miracle Movement Dial Indicator MPT41908 EI-0003-508, Used | ||
16805 | MIS | 900063 | MIS 900063 Opt-Outrd, PCB, 9330009 | ||
16806 | MIS | 9000001 | MIS PIO-A PCB Board, 9000001 | ||
16807 | MIS | 9000063 | MIS 9000063, Opt-Outrd, PCB, Output, Popt-out, NEC. | ||
16808 | MIS | OPT-IN32 | MIS OPT-IN32 Rev.B 9000057, NEC, Input Board, PCB Board | ||
16809 | Misc. | 5515 | 2 New Lighted Pick-Up Tools Replacement Lamp 05515 | ||
16810 | Misc. | 7580 | Rear Upper Cross Piece 7580, Lot of 4 | ||
16811 | Misc. | 500062645 | Lot of 6 Angled, Anode, Ring, Sleeve645 cp-050. price is for 6 | ||
16812 | Misc. | 4507346594 | LSA CleanPart Ceramic Ring With Lining6594 | ||
16813 | Misc. | CT2985-486379 | Cleaned WCU, SOG, Cover LHP CT2985-486379 | ||
16814 | Misc. | 01-2833 | 01-2833 Mounting bracket | ||
16815 | Misc. | 1110596-CP-06-04C | Heat Sealing Element 1110596-CP-06-04C | ||
16816 | Misc. | 114-4048-02 | Lift Comb , 114-4048-02, wafer holder, New, lot of 3 | ||
16817 | Misc. | 1150-000-009 | 300mm ceramic chuck, AL191, 1150-000-009 | ||
16818 | Misc. | 1A-06-11 | Teflon, Wafer, Pans 1A-06-11, looks new, | ||
16819 | Misc. | 201-093 | Wheel Guide Rail 201-093 | ||
16820 | Misc. | 24-8014-8402 | tape 1″W-3402 Acrylic ADH Black POlyim 24-8014-8402 24-884-3402 20010013P524 | ||
16821 | Misc. | 25320-077 | Potentiometer, offset, auto focus 233331051, 25320-077 | ||
16822 | Misc. | 26-81544-00 | 4 New 26-81544-00 Nut, spring support, | ||
16823 | Misc. | 44103-00 | 30 new dump beam, con 44103-00 | ||
16824 | Misc. | 90066-01 rev 2 Platform SS | rev 2 Platform SS | ||
16825 | Misc. | AH-005-C | AH-005-C Assy,Roller,Gripper,Lower,FRU, | ||
16826 | Misc. | CGA-500 | Brass Nipple for gas bottle connection, new in bag, CGA-500 | ||
16827 | Misc. | P2DH-088-CES90223 | 60 units of 5410006 P2DH-088-CES90223 PCB board edge connector | ||
16828 | Misc. | TIL 144 | 100 TIL 144 L8019 slotted optical switch | ||
16829 | Misc. | TL-00043 | Tonsil Blade TL-00043 | ||
16830 | Misc. | Unknown | Lot of 7 Center Pivot Guide | ||
16831 | Misc. | Unknown | 2 Way Data Transfer Switch Box Network Connectors | ||
16832 | Misio | HG12063A | Misio HG12063A, SEIO-004, AP-458A, PCB Board | ||
16833 | Misio | SEIO-004 | Misio SEIO-004 Circuit Board, PCB, AP-458A, | ||
16834 | Miso | SEIO-004 | Misio SEIO-004 Board, PCB, AP-458A, | ||
16835 | Miso | SEIO-004 | Misio SEIO-004 Board, PCB, AP-458A, | ||
16836 | Mitsubishi | A0J2-E56DR | A0J2E56DT13K601 | Mitsubishi A0J2-E56DR Programmable Controller T/O Module, A0J2E56DT13K601 | |
16837 | Mitsubishi | A0J2-E56DR | Mitsubishi A0J2-E56DR Programmable Controller T/O Module | ||
16838 | Mitsubishi | A0J2-E56DT13K601 | Mitsubishi A0J2-E56DT13K601, Melsec, Controller Programmable T/O Module | ||
16839 | Mitsubishi | A1S68B | Mitsubishi A1S68B Base unit power supply, A1S61PN, A1SX42, A1SD75P2-S3, A1SJ71QC | ||
16840 | Mitsubishi | A1SHCPU | Mitsubishi MELSEC A1SHCPU PLC Module Assy, A1SY81 Output Unit, A1S62PN. | ||
16841 | Mitsubishi | A3ACPUR21 | Mitsubishi A3ACPUR21 MELSEC PLC Programmable Controller | ||
16842 | Mitsubishi | A3NMCA16 | Mitsubishi MELSEC A3NMCA16, Memory Module; We sell as: new | ||
16843 | Mitsubishi | A68ADC | Mitsubishi A68ADC A/D Converter Unit | ||
16844 | Mitsubishi | AAMITB3Q-031 | Mitsubishi AAMITB3Q-031 Switch (Magnet) | ||
16845 | Mitsubishi | AJ71C21 | Mitsubishi AJ71C21-A MELSEC PLC Programmable Controller | ||
16846 | Mitsubishi | AJ71C21-B-S1 S2 | Mitsubishi AJ71C21-B-S1 S2 MELSEC PLC Programmable Controller | ||
16847 | Mitsubishi | AJ71C21-S1 | Mitsubishi AJ71C21-S1 MELSEC PLC Programmable Controller | ||
16848 | Mitsubishi | AJ71PT32-S3 | Mitsubishi AJ71PT32-S3 MELSEC PLC Programmable Controller | ||
16849 | Mitsubishi | AJ71PT32-S3 | Mitsubishi AJ71PT32-S3 MELSEC PLC Programmable Controller | ||
16850 | Mitsubishi | AJ71E71N-B5T | Mitsubishi AJ71E71N-B5T MELSEC PLC Programmable Controller | ||
16851 | Mitsubishi | AX80Y10C | Mitsubishi AX80Y10C MELSEC Input/Output Unit, PLC | ||
16852 | Mitsubishi | AX81C | Mitsubishi AX81C Input Unit | ||
16853 | Mitsubishi | AY10-UL | Mitsubishi AY10-UL PLC, Output Module, MELSEC, Programmable Controller, | ||
16854 | Mitsubishi | AY40-UL | Mitsubishi AY40-UL PLC, Output Module, MELSEC, Programmable Controller, | ||
16855 | Mitsubishi | BD626C254G53 | MELSEC | A1NCPU | MITSUBISHI A1NCPU-C MELSEC PROGRAMMABLE CONTROLLER BD626C254G53 AC85-132V |
16856 | Mitsubishi | CP750A | Mitsubishi printer CP750A with paper | ||
16857 | Mitsubishi | E300 | Pepprl+Fuchs | 4300 | N2/IPA BUBBLER, MITSUBISHI E300 TYPE 04300, ME1SEC, PEPPERL+FUCHS 2779, VERIFLOW |
16858 | Mitsubishi | E300 | IPA Delivery System, Bubbler, Mitsubishi E300, Veriflow, Pepperl+Fuchs | ||
16859 | Mitsubishi | F-20CM-5U | 4 Mitsubishi F-20CM-5U Positioning Counter programmable controller modules | ||
16860 | Mitsubishi | FR-E520-1.5K | Mitsubishi FR-E520-1.5K Inverter, Freqrol-E500 | ||
16861 | Mitsubishi | FR-F720PJ-3 | Mitsubishi FR-F720PJ-3 Inverter | ||
16862 | Mitsubishi | FR-F740PJ-3.7K | Mitsubishi FR-F740PJ-3.7K Inverter | ||
16863 | Mitsubishi | FR-Z020-0.4K | Freqrol-Z020 | Mitsubishi FR-Z020-0.4K, Freqrol-Z020 Inverter 3A, 0.4KW. | |
16864 | Mitsubishi | FR-Z020-0.4K | Freqrol-Z020 | Mitsubishi FR-Z020-0.4K, Freqrol-Z020 Inverter 3A, 0.4KW. | |
16865 | Mitsubishi | FR-Z123-0.4K | Mitsubishi FR-Z123-0.4K, Freqrol-Z123 Inverter, 3A, 0.4KW. | ||
16866 | Mitsubishi | FR-Z123-0.4K | Mitsubishi FR-Z123-0.4K, Freqrol-Z123 Inverter, 3A, 0.4KW. | ||
16867 | Mitsubishi | FR-E520-0.1K | Mitsubishi FR-E520-0.1K Freqrol-E500 Inverter | ||
16868 | Mitsubishi | FR-E520-0.1K | Mitsubishi FR-E520-0.1K Freqrol-E500 Inverter | ||
16869 | Mitsubishi | FR-E520-0.1K | Mitsubishi FR-E520-0.1K Freqrol-E500 Inverter | ||
16870 | Mitsubishi | FX-128MR | Mitsubishi Melsec FX-128MR | ||
16871 | Mitsubishi | FX-1PG | Mitsubishi Controlable FX-1PG | ||
16872 | Mitsubishi | FX-8EX-ES/UL | MITSUBISHI PROGRAMMABLE CONTROLLER FX-8EX-ES/UL, FX-8EX | ||
16873 | Mitsubishi | FX0-20MR-D | Mitsubishi MELSEC FX0-20MR-D PLC Programmable Controller | ||
16874 | Mitsubishi | FX0N-24MR-ES | FX0N-3A | Mitsubishi Melsec FX0N-24MR-ES Programmable controller, FX0N-3A | |
16875 | Mitsubishi | FX0N-60MR | Mitsubishi Melsec FX0N-60MR Programmable controller | ||
16876 | Mitsubishi | FX2N-48MR-DS | 770601 | programmable controller plus components | |
16877 | Mitsubishi | FX2N-48MR-DS | Mitsubishi FX2N-48MR-DS Programmable logic controller plus components | ||
16878 | Mitsubishi | FX2N-48MR-DS | 6z0485 | programmable controller plus components | |
16879 | Mitsubishi | FX2N-48MR-DS | Mitsubishi FX2N-48MR-DS Programmable logic controller plus components | ||
16880 | Mitsubishi | FX2N-4AD | Mitsubishi MELSEC FX2N-4AD PLC, 0660-00494 | ||
16881 | Mitsubishi | HC-UFS13BK | Mitsubishi HC-UFS13BK AC Servo Motor | ||
16882 | Mitsubishi | HC-UFS13BK | Mitsubishi servo motor HC-UFS13BK, 3000r/MIN SPEED, 120V 0.7A | ||
16883 | Mitsubishi | HC-UFS13K | Mitsubishi HC-UFS13K Servo Motor, 3000r/Min Speed, 3AC, 120V, 0.7A | ||
16884 | Mitsubishi | K65HM | 4 Mitsubishi K65HM, Thermo Paper for Video Copy Processor. | ||
16885 | Mitsubishi | MG150J1BS11 | NEW MITSUBISHI FANUC IGBT TRANSISTOR MODULE MG150J1BS11 | ||
16886 | Mitsubishi | MR-J2S-20B | 2 Mitsubishi MR-J2S-20B Servo Motor | ||
16887 | Mitsubishi | MR-RB12 | MITSUBISHI MR-RB12 + MR-RB032 ELECT REGENERATION RESIST | ||
16888 | Mitsubishi | MSA-6-24 | Mitsubishi MSA-6-24 Quartz Boat Table, Quartz International | ||
16889 | Mitsubishi | MSA-6-27 | Mitsubishi MSA-6-27 Quartz Endlid (Temp Profile) | ||
16890 | Mitsubishi | MT-Y16T | Mitsubishi MT-Y16T Profibus 16 Point Output Module | ||
16891 | Mitsubishi | MT-Y16T | Mitsubishi MT-Y16T Profibus 16 Point Output Module | ||
16892 | Mitsubishi | MT-Y8T2 | Mitsubishi MT-Y8T2 Profibus 8 Transistor Output Module | ||
16893 | Mitsubishi | MT-Y8T2 | Mitsubishi MT-Y8T2 Profibus 8 Transistor Output Module | ||
16894 | Mitsubishi | MT4DAV | Mitsubishi MT4DAV Profibus 4 Channel D/A Module (Voltage) | ||
16895 | Mitsubishi | Q2ASHCPU | Mitsubishi Q2ASHCPU PLC Module w A1SX42 Input A1SY42 Output A1S68DAV Converter | ||
16896 | Mitsubishi | Q2ASHCPU | Mitsubishi Q2ASHCPU PLC Module w A1SX42 Input A1SY42 Output QC 24-R2 A1SD75P2-S3 | ||
16897 | Mitsubishi | Q2ASHCPU | Mitsubishi Q2ASHCPU-S1 PLC Module w A1SX42 Input, A1SY42 Output, A1SJ71QE71-B2 | ||
16898 | Mitsubishi | QI-20454 | Mitsubishi QI-20454 Rev.B, Chamer, 240×130, WEP/WEK/WEB. | ||
16899 | Mitsubishi | SD-N21 | Mitsubishi SD-N21 Magnetic Contactor | ||
16900 | Mitsubishi | MT-DP12 | Mitsubishi MT-DP12 Bus Node for Profibus DP, MT-Series | ||
16901 | Mitsubishi | FX2N-48MR-DS | FX2N-2LC | Mitsubishi FX2N-48MR-DS MELSEC Programmable Logic Controller Assy, PLC | |
16902 | Mitsubishi | FX2N-48MR-DS | FX2N-2LC | Mitsubishi FX2N-48MR-DS MELSEC Programmable Logic Controller Assy, PLC | |
16903 | Mitsubishi | FX-80MR-ES/UL | Mitsubishi FX-80MR-ES/UL Melsec Programmable Controller FX-80MR | ||
16904 | Mitsubishi Electric | SD-N400 | Mitsubishi Electric SD-N400 3-Pole 3-Phase DC Magnetic Contactor w/ Cu Buss Bars | ||
16905 | Mitsubishi Electric Corp. | NUK-1.2A | Mitsubishi Type NUK-1.2A Motor Assy, DC24V, 7 Z | ||
16906 | Mitsubishi Electric Corp. | NUK-1.2A | Mitsubishi Type NUK-1.2A Motor Assy, DC24V, 7 Z | ||
16907 | Mitsubishi Electric Corp. | NUK-1.2A | Mitsubishi Type NUK-1.2A Motor Assy, DC24V, 7 Z | ||
16908 | Mitsubishi Electric Corp. | NUK-1.2A | Mitsubishi Type NUK-1.2A Motor Assy, DC24V, 7 Z | ||
16909 | Mitsubishi Semiconductor | PC7-0228 | Mitsubishi Semiconductor PC7-0228 Guide Wafer, 6″, R3.384, @ 90 DEG | ||
16910 | Mitutoyo | 1044F-01 | Mitutoyo 1044F-01, Metric Dial Indicator | ||
16911 | Mitutoyo | 1044F-01 | Mitutoyo 1044F-01, Metric Dial Indicator | ||
16912 | Mitutoyo | FS110 | Technical Instrument Company | K2IND/MIT | Mitutoyo Ultraplan FS110, Technical Instrument Company K2IND/MIT, Fcs Finder II |
16913 | Mitutoyo | ID-U1025E | Mitutoyo ID-U1025E, Absolute Digimatic Indicator. | ||
16914 | Mitutoyo Corp. | ID-S1012EB | 543-683B | Mitutoyo ID-S1012EB Absolute Digimatic Indicator, 543-683B | |
16915 | MKS | 421 | HPS | MKS HPSld Cathode Gauge Micro-Controller, | |
16916 | MKS | 421 | HPS | MKS HPSld Cathode Gauge Micro-Controller, | |
16917 | MKS | 627 | MKS GAUGE CLUSTER SYSTEM 627 | ||
16918 | MKS | 919 | MKS HPS 919 Hot Cathode Controllerr, 120 VAC,Hz, 120 W | ||
16919 | MKS | 919 | MKS Instruments HPS 919 Hot Cathode Ionization High Vacuum Controller | ||
16920 | MKS | 6115 | Ion Systems | MKS Ion Systems 6115 Airforce Ionizing Blow-off Gun | |
16921 | MKS | 111402 | MKS/HPS 111402 FLG, BLANK-OFF, NW25, 304703 | ||
16922 | MKS | 114931 | some pins may be bent or damaged due to handling/storage | ||
16923 | MKS | 1006035 | 870BRDPCB4GL1 | MKS 001006035, 870BRDPCB4GL1, Motor. | |
16924 | MKS | 9980351 | HPS | 9515-0429 | MKS 9980351 Heated Isolation Vacuum Valve, EV5A Foreline, 9515-0429 |
16925 | MKS | 100001686 | 2 MKS HPS 100001686 Piston, 4.25′ DIA, NW80, | ||
16926 | MKS | 100312703 | AMAT | 3700-01089 | 10 MKS HPS 100312703 Seal, Center Ring, NW25, AMAT 3700-01089 |
16927 | MKS | 100312705 | LAM | 796-000233-002 | 2 MKS HPS 100312705 Seal, Centering Ring Assembly, NW40, S/V, |
16928 | MKS | 100312705 | HPS | MKS HPS 100312705 Seal, CTR Ring Assy, NW40 | |
16929 | MKS | 100314416 | MKS HPS 100314416 Elbow, Long Radius, 90 Deg | ||
16930 | MKS | 100314505 | MKS 100314505, Elbow, Bent, 90 DEG, NW40, 113672. | ||
16931 | MKS | 100314606 | MKS 100314606, TEE NW50, SST, TUMBLE, 3-Way, Vacuum Pipe. | ||
16932 | MKS | 100314606 | MKS 100314606, TEE NW50, SST, TUMBLE, 3-Way, Vacuum Pipe. | ||
16933 | MKS | 100314606 | MKS 100314606, TEE NW50, SST, TUMBLE, 3-Way, Vacuum Pipe. | ||
16934 | MKS | 100314913 | MKS 100314913 STAINLESS STEEL BELLOWS | ||
16935 | MKS | 100319221 | MKS HPS 100319221, 220-002-0420, Adaptor, NW16 to 1/2 Inch VCR, Fitting. | ||
16936 | MKS | 100996755 | MKS 100996755 Valve, Angle, KF40, PO/PC, | ||
16937 | MKS | 100996755 | MKS 100996755 Valve, Angle, KF40, PO/PC, | ||
16938 | MKS | 100996755 | MKS 100996755 Valve, Angle, KF40, PO/PC, KFYO, ID | ||
16939 | MKS | 100996755 | MKS 100996755 Valve, Angle, KF40, PO/PC, KFYO, ID | ||
16940 | MKS | 100996755 | MKS 100996755 Valve, Angle, KF40, PO/PC, KFYO, ID | ||
16941 | MKS | 100996755 | MKS 100996755 Valve, Angle, KF40, PO/PC, KFYO, ID | ||
16942 | MKS | 100996755 | MKS 100996755 Valve, Angle, KF40, PO/PC, KFYO, ID | ||
16943 | MKS | 100996755 | MKS 100996755 Vacuum Isolation Valve, HPS, Angle, KF40, PO/PC, KFYO, | ||
16944 | MKS | 103250021 | MKS HPS 103250021 Typeducell Vacuum Gauge | ||
16945 | MKS | 103250021 | MKS HPS 103250021 Typeducell Vacuum Gauge | ||
16946 | MKS | 103250021 | MKS HPS 103250021 Typeducell Vacuum Gauge | ||
16947 | MKS | 103250021 | MKS HPS 103250021 Typeducell Vacuum Gauge | ||
16948 | MKS | 103250021 | MKS HPS 103250021 Typeducell Vacuum Gauge | ||
16949 | MKS | 103250021 | MKS HPS 103250021 Typeducell Vacuum Gauge, | ||
16950 | MKS | 103250021 | MKS HPS 103250021 Typeducell Vacuum Gauge, | ||
16951 | MKS | 103250021 | MKS HPS 103250021 Typeducell Vacuum Gauge, | ||
16952 | MKS | 103250021 | MKS HPS 103250021 Typeducell Vacuum Gauge, | ||
16953 | MKS | 103250021 | MKS HPS 103250021 Typeducell Vacuum Gauge, | ||
16954 | MKS | 103250021 | MKS HPS 103250021 Typeducell Vacuum Gauge, | ||
16955 | MKS | .2259B-00200SV | MKS .2259B-00200SV, Mass Flow Controller, 200 SCCM N2 | ||
16956 | MKS | 0148C-00200RM | MKS 0148C-00200RM Flow Control Valve, 200 SCCM | ||
16957 | MKS | 022-03161-2D2 | Inficon | 911-038-P1 | MKS 022-03161-2D2 Microvision Plus, RGH Control Unit, Transpector Power Supply |
16958 | MKS | 0258B-00500RV | MKS 2542 Mass Flow Meter 0258B-00500RV,CM Flowmeter, S40300 | ||
16959 | MKS | 0258B-00500RY | Mass Flow Meter 0258B-00500RY Used | ||
16960 | MKS | 109070019CE | MKS HPS 109070019CE Series 907 Analog Convectron Transducer | ||
16961 | MKS | 109070019CE | MKS HPS 109070019CE Series 907 Analog Convectron Transducer | ||
16962 | MKS | 109070019CE | MKS HPS 109070019CE Series 907 Analog Convectron Transducer | ||
16963 | MKS | 109070028CE | MKS 109070028CE, Vacuum gauge, New | ||
16964 | MKS | 109070029CE | MKS 109070029CE Analog Convection Transducer | ||
16965 | MKS | 109070029CE | MKS 109070029CE Analog Convection Transducer | ||
16966 | MKS | 113B-2-P | MKS 113B-2-P Pwr Supply, Digital Readout Unit for Pressure Sensor & Mass Flow Meter | ||
16967 | MKS | 1159B | MKS 1159B Mass Flow Controller, MFC, 2%TMB/SiH4, 2 SLPM | ||
16968 | MKS | 1159B | MKS 1159B Mass Flow Controller, MFC, N2,CM | ||
16969 | MKS | 1159B-00010RV | MKS 1159B-00010RV Mass Flow Controller, MFC, N2M | ||
16970 | MKS | 1159B-02000RV-S | MKS 1159B-02000RV-S Mass Flow Controller, MFC, AR, 2000 SCCM, 15 Pin | ||
16971 | MKS | 1159B00050RVSPCAL | MKS 1159B00050RVSPCAL MFC, Mass Flow Controller, He,M | ||
16972 | MKS | 1160B-05000SV | MKS 1160B-05000SV MFC, Mass Flow Controller, N2,CCM | ||
16973 | MKS | 1161B-00500RK | MKS 1161B-00500RK Mass Flow Controller MFC, N2,CM | ||
16974 | MKS | 116282-G1 | MKS 116282-G1 Gauge Cluster System | ||
16975 | MKS | 1179A-15351—S | MKS 1179A-15351—S, Mass Flow Controller, N2M | ||
16976 | MKS | 1179A03922CR1BV | MKS 1179A03922CR1BV Mass Flow Controller, MFC, SiH4, 200 SCCM | ||
16977 | MKS | 1179A12CR1BV–S | MKS 1179A12CR1BV–S Mass Flow Controller, MFC, ARCM | ||
16978 | MKS | 1179A13CR15K | MKS MFC RS-485, 1179A13CR15K, CL2CM, used | ||
16979 | MKS | 1179A21CR1BK-S | MKS 1179A21CR1BK-S Mass Flow Controller, MFC, CL2M | ||
16980 | MKS | 1179A21CR1BV–S | MKS 1179A21CR1BV–S Mass Flow Comtroller, MFC, N2, 15 SCCM | ||
16981 | MKS | 120A-12562 | MKS 120A-12562, Manometer Baratron 1.0 Torr 1/2 Inch VCR Connection. | ||
16982 | MKS | 120AA-00001RA3 | MKS 120AA-00001RA3 Baratron, 1 Torr, 0-10VDC Output | ||
16983 | MKS | 121A-26297 | MKS 121A-26297 Capacitance manometer,r | ||
16984 | MKS | 122A-11060 | MKS baratron 122A-11060r, S349A | ||
16985 | MKS | 122A-11061 | MKS 122A-11061 10 Torr Pressure Transducer Type 122A | ||
16986 | MKS | 122A-11063 | MKS 122A-11063 Baratron Pressure Transducerr, Type 122A, | ||
16987 | MKS | 122A-11063 | MKS BARATRON 122A-11063R, used, as is | ||
16988 | MKS | 122AA-00002BB | MKS Baratron 122AA-00002BB Pressure Transducer, Type 122A, Torr, | ||
16989 | MKS | 122AA-00010AB | MKS Baratron 122AA-00010AB Pressure Transducer, Type 122Ar, | ||
16990 | MKS | 122AA-00010BB | MKS BARATRON 122AA-00010BBR, used | ||
16991 | MKS | 122AA-00010BB-SP053-80 | MKS 122AA-00010BB-SP053-80 Baratron Pressure Transducerr | ||
16992 | MKS | 122AA-00100BB | MKS 122AA-00100BB Baratron Pressure Transducerrr, Type 122A, | ||
16993 | MKS | 122AA-00100BB | MKS 122AA-00100BB Baratron Pressure Transducerrr, Type 122A, | ||
16994 | MKS | 122AA-00100BB | MKS 122AA-00100BB Baratron Pressure Transducerrr, Type 122, | ||
16995 | MKS | 122AA-00100BB | MKS 122AA-00100BB Baratron Pressure Transducerrr, Type 122A, | ||
16996 | MKS | 122AA-00100BB | MKS 122AA-00100BB Baratron Pressure Transducerrr, Type 122, | ||
16997 | MKS | 122AA-00100BB | MKS 122AA-00100BB Baratron Pressure Transducerrr, Type 122, | ||
16998 | MKS | 122AA-00100BB | MKS 122AA-00100BB Baratron Pressure Transducerrr, Type 122A, | ||
16999 | MKS | 122AA-00100EB | MKS 122AA-00100EBrr, Pressure Transducer Type 122A, | ||
17000 | MKS | 122AA-00100EB | MKS 122AA-00100EB Baratron Pressure Transducerr; | ||
17001 | MKS | 122AA-00100EB | MKS 122AA-00100EB Baratron Pressure Transducer 100 Torr | ||
17002 | MKS | 122AA-00T00EB | MKS 122AA-00T00EB 100Torr Baratron Pressure Transducer | ||
17003 | MKS | 122AA-01000BB | MKS 122AA-01000BB Pressure Transducer, Baratronorr | ||
17004 | MKS | 122B | MKS BARATRON TYPE 122B, RANGE UNKNOWN | ||
17005 | MKS | 122B-11441 | MKS 122B-11441—-S Baratron Pressure Transducerr | ||
17006 | MKS | 122B-11993 | MKS BARATRON 122B-11993RR, used, as is | ||
17007 | MKS | 122B-11993 | MKS 122B-11993 Baratron Pressure Transducer Assy, Type 122Brr | ||
17008 | MKS | 122B-11993 | MKS 122B-11993 Baratron Pressure Transducerrr, Type 122B, | ||
17009 | MKS | 122B-11993 | MKS 122B-11993rr, 122B-11993 | ||
17010 | MKS | 122B-11993 | MKS 122B-11993 Baratron Pressure Controller, Transducer, | ||
17011 | MKS | 122B-11993 | MKS 122B-11993 Baratron Pressure Transducerrr | ||
17012 | MKS | 122B-11993—-S | MKS 122B-11993—-S Baratronrr | ||
17013 | MKS | 122BA-00001AB | MKS BARATORN 122BA-00001AB, 1 TORR range, TYPE 122B, 0-10 VDC | ||
17014 | MKS | 122BA-00001AB | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B | ||
17015 | MKS | 122BA-00001AB | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B | ||
17016 | MKS | 122BA-00001AB | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B | ||
17017 | MKS | 122BA-00001AB | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B | ||
17018 | MKS | 122BA-00001AB | MKS BARATORN 122BA-00001AB, 1 TORR range, TYPE 122B | ||
17019 | MKS | 122BA-00001AB | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B | ||
17020 | MKS | 122BA-00001AB | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B | ||
17021 | MKS | 122BA-00001AB | MKS BARATORN 122BA-00001AB, 1 TORR RANGE, TYPE 122B | ||
17022 | MKS | 122BA-00010BB | MKS 122BA-00010BB 10 Torr, Type 122B Pressure Transducer | ||
17023 | MKS | 122BA-00010DB-S | MKS 122BA-00010DB-S Type 122B Baratron Pressure Transducerr | ||
17024 | MKS | 122BA-00100 | MKS 122BA-00100 BARATRON PRESSURE TRANSDUCER 100 TORR +/- 15VDC – 30mA | ||
17025 | MKS | 122BA-00100EB | MKS BARATRON 122BA-00100EBRR | ||
17026 | MKS | 122BA-00100EB | MKS Pressure Controller 122BA-00100EB | ||
17027 | MKS | 122BA-00100EB | MKS baraton 122BA-00100EB 100 Torr, looks new | ||
17028 | MKS | 122BA-00100EB-S | MKS 122BA-00100EB-S, Baratronrr | ||
17029 | MKS | 122BA-01000AB | MKS BARATORN 122BA-01000ABORR RANGE, TYPE 122B | ||
17030 | MKS | 122BA-00100EB | MKS 122BA-00100EB Baratron Pressure Transducerrr, Type 122B | ||
17031 | MKS | 122BA-01000EB | MKS 122BA-01000EB Baratron Pressure Transducerorr, Type 122B | ||
17032 | MKS | 122BA-01000EB | MKS 122BA-01000EB Baratron Pressure Transducerorr | ||
17033 | MKS | 122BA-01000EB-S | MKS baratron 122BA-01000EB-Sorr, S795 | ||
17034 | MKS | 122BA-01000EB-S | MKS 122BA-01000EB-S Baratron Pressure Transducerorr, Type 122B | ||
17035 | MKS | 123714-G1 | MKS 123714-G1 Flow Verifier, GBROR InSitu | ||
17036 | MKS | 124A-11848 | 3 MKS baratron 124A-11848, 2000 TORR, with calibration sheet, repaired. | ||
17037 | MKS | 124A-11848 | 4 MKS baratron 124A-11848, 2000 TORR, sealed bag | ||
17038 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr | ||
17039 | MKS | 124A-11848 | Micron | 810-04031R | MKS 124A-11848 Baratron Pressure Transducer, 2000 Torr |
17040 | MKS | 124A-11848 | Micron | 810-04031R | MKS 124A-11848 Baratron Pressure Transducer, 2000 Torr |
17041 | MKS | 124A-11848 | Micron | 810-04031R | MKS 124A-11848 Baratron Pressure Transducer, 2000 Torr |
17042 | MKS | 124A-11848 | MKS baratron 124A-11848, 2000 Torr Range, With calibration sheet S95244248A | ||
17043 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr | ||
17044 | MKS | 124A-11848 | MKS 124A-11848 Type 124 Baratron 2000 Torr | ||
17045 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, | ||
17046 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, | ||
17047 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr | ||
17048 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr | ||
17049 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, | ||
17050 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, | ||
17051 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, | ||
17052 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr, | ||
17053 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr | ||
17054 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr | ||
17055 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr; | ||
17056 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr; | ||
17057 | MKS | 124A-11848—-S | MKS baratron 124A-11848—-S, 2000 Torr, S392A | ||
17058 | MKS | 124AA-00010BB | MKS BARATRON 124AA-00010BBR, used, as is | ||
17059 | MKS | 124AA-00010BB | MKS 124AA-00010BB Baratron Pressure Transducerr, Type 124, | ||
17060 | MKS | 124AA-00010BB | MKS 124AA-00010BB Baratron Pressure Transducerr, Type 124, | ||
17061 | MKS | 124AA-05000BB-S | MKS 124AA-05000BB-S Baratron 2000 Torr 1/2VCR 14P Trip, MKS 124 | ||
17062 | MKS | 124AA-05000BB-S | MKS baratron 124AA-05000BB-S, 2000 Torr, S201A | ||
17063 | MKS | 1258B-00010RV | MKS 1258B-00010RV Mass Flow MeterCM | ||
17064 | MKS | 1258B-00010SV | MKS 1258B-00010SV Mass Flow Meter, N2M | ||
17065 | MKS | 1258B-00100SV | MKS 1258B-00100SV Mass Flow Controller, MFC, N2CM | ||
17066 | MKS | 1258B-00200RV | SPO-2VDC | MKS 1258B-00200RV SPO-2VDC, Mass Flow Controller, MFC, Ar, 200 SCCM | |
17067 | MKS | 1259B-0010SV-SF44-86-SPCAL | MKS 1259B-0010SV-SF44-86-SPCAL, Mass Flow ControllerCM H2 | ||
17068 | MKS | 1259C-00010SV | MKS 1259C-00010SV MFC Assy, Mass Flow Controller, N2M | ||
17069 | MKS | 1259C-12807 | MKS 1259C-12807, Mass Flow ControllerSCCM N2 | ||
17070 | MKS | 127AA-000.1B | MKS 127AA-000.1B Baratron Pressure Transducer, 1 Torr, Type 127 | ||
17071 | MKS | 127AA-000.1B | MKS 127AA-000.1B Baratron Pressure Transducer, 1 Torr, Type 127 | ||
17072 | MKS | 127AA-00001 | MKS 127AA-00001 Baratron Pressure Transduver, 1 Torr | ||
17073 | MKS | 127AA-00001 | MKS 127AA-00001 Baratron Pressure Transduver, 1 Torr | ||
17074 | MKS | 127AA-00001A | MKS 127AA-00001A Baratron Pressure Transducer, 1 Torr, Type 127 | ||
17075 | MKS | 127AA-00001A | MKS 127AA-00001A Baratron, Pressure Transducer, 1 Torr, Type 127A | ||
17076 | MKS | 127AA-00001A | MKS 127AA-00001A Baratron Pressure Transducer, 1 Torr, Type 127, | ||
17077 | MKS | 127AA-00001B | MKS 127AA-00001B Baratron Pressure Transducer, Type 127, 1 Torr | ||
17078 | MKS | 127AA-00001B | MKS BARATORN 127AA-00001B, 1 TORR range, +- 15 VDC, TYPE 127 | ||
17079 | MKS | 127AA-00001B | MKS BARATORN 127AA-00001B, 1 TORR range, +- 15 VDC, TYPE 127 | ||
17080 | MKS | 127AA-00001D | MKS 127AA-00001D, Baratron, Pressure Transducer, 1 Torr, ±15 VDC-250mA | ||
17081 | MKS | 127AA-00001D | MKS 127AA-00001D, Baratron, Pressure Transducer, 1 Torr, ±15 VDC-250mA | ||
17082 | MKS | 127AA-00001E | MKS 127AA-00001E, Baratron Pressure Transducer, 1 Torr | ||
17083 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, Torr, | ||
17084 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, Type 127, | ||
17085 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, Type 127, | ||
17086 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transduver, 1 Torr | ||
17087 | MKS | 127AA-00001E | MKS 127AA-00001E, Baratron Head 1 Torr, VCO fitting | ||
17088 | MKS | 127AA-00001E | MKS baratron 127AA-00001E, 1 torr, S78759-1V | ||
17089 | MKS | 127AA-00001E | MKS 127AA-00001E, Baratron Pressure Transducer, 1 Torr | ||
17090 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, Type 127, | ||
17091 | MKS | 127AA-00001E | MKS baratron 127AA-00001E, 1 torr, S33006-1AL | ||
17092 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, Type 127 | ||
17093 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, Type 127 | ||
17094 | MKS | 127AA-00001E | MKS Baratron 127AA-00001E, Unknown gas Range | ||
17095 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, Type 127, | ||
17096 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, Type 127 | ||
17097 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr | ||
17098 | MKS | 127AA-00001E | MKS baratron 127AA-00001E, 1 Torr with calibration sheet, Clean, S952712 | ||
17099 | MKS | 127AA-00001E, | MKS baratron 127AA-00001E, 1 Torr, S57317-2-1E | ||
17100 | MKS | 127AA-00001E, | MKS baratron 127AA-00001E, 1 Torr, S79166 | ||
17101 | MKS | 127AA-00001E | MKS 127AA-00001E, Baratron Pressure Transducer, 1 Torr,±15 VDC, 0-10 VDC. | ||
17102 | MKS | 127AA-00010B | MKS 127AA-00010B Baratron Pressure Transducerr, Type 127 | ||
17103 | MKS | 127AA-00100E | MKS 127AA-00100E 100Torr Baratron Pressure Transducer | ||
17104 | MKS | 127AA-0100B | MKS 127AA-01000B Baratron Pressure Transducer | ||
17105 | MKS | 127AAX-00010DT | MKS baratron 127AAX-00010DTR lots of 2 | ||
17106 | MKS | 128AA | MKS baratron 128AAr, +/- 15 VDC, used as is | ||
17107 | MKS | 128AA | MKS baratron 128AAR, +/- 15 VDC, used as is | ||
17108 | MKS | 128AA-00001B | MKS 128AA-00001B Pressure Transducer, Type 128, 1 Torr, +/- 15 VDC, | ||
17109 | MKS | 128AA-00001B | MKS 128AA-00001B Pressure Transducer, Type 128, 1 Torr, +/- 15 VDC, | ||
17110 | MKS | 128AA-00001B | MKS 128AA-00001B Baratron Pressure Transducer, 1 Torr, Type 128, | ||
17111 | MKS | 128AA-00002B | MKS 128AA-00002B Baratron Pressure Transducer, 2 Torr, Type 128, | ||
17112 | MKS | 128AA-00010B | MKS 128AA-00010B Baratron Pressure Transducerr, Type 128 | ||
17113 | MKS | 128AA-00010B | MKS 128AA-00010B Baratron Pressure Transducerr, Type 128, | ||
17114 | MKS | 128AA-00010B | MKS 128AA-00010B Baratron Pressure Transducerr, Type 128, | ||
17115 | MKS | 128AA-00010B | MKS 128AA-00010B Baratron Pressure Transducerr, Type 128, | ||
17116 | MKS | 128AA-00010D | MKS 128AA-00010D Pressure Transducer, Type 128r, +/- 15 VDC, | ||
17117 | MKS | 122AA-00010AB-SP009-81 | MKS 122AA-00010AB-SP009-81, Baratron, Pressure Transducer Type 122 ±15 VDC. | ||
17118 | MKS | 141A-13957—-S | MKS baratron 141A-13957—-SRR, looks clean | ||
17119 | MKS | 141A-14319 | MKS Baratron Type 141 Pressure Transducer 141A-14319rr | ||
17120 | MKS | 141A-22132 | MKS 141A-22132 Type 141 Vacuum Switchr Range, Trip Pt 5 mBar | ||
17121 | MKS | 141A-24161 | MKS 141A-24161 BARATRON 10 TORR | ||
17122 | MKS | 141AA | MKS baratron 141AAr, used as is | ||
17123 | MKS | 141AA-00001BB-S | MKS 141AA-00001BB-S Baratron Pressure Transducer, 1 Torr, Type 141 | ||
17124 | MKS | 141AA-00001BB-S | MKS 141AA-00001BB-S Baratron Pressure Transducer, 1 Torr, Type 141 | ||
17125 | MKS | 141AA-00001DBT-T | MKS 141AA-00001DBT-T Baratron, +24VDC / SETP 0.1 MBAR | ||
17126 | MKS | 141AA-00010BA | MKS 141AA-00010BA Baratron Pressure Transducerr, Type 141 | ||
17127 | MKS | 141AA-00010BA-S | MKS 141AA-00010BA-S BARATRON 10 TORR | ||
17128 | MKS | 141AA-00010BB | MKS 141AA-00010BB 10 Torr Baratron Pressure transducer | ||
17129 | MKS | 141AA-00010BB | MKS 141AA-00010BB Baratron Pressure Transducerr, Type 141, | ||
17130 | MKS | 141AA-00010BB | MKS 141AA-00010BB Baratron Pressure Transducerr, Type 141, | ||
17131 | MKS | 141AA-00010BB-S | MKS 141AA-00010BB-S Baratron 3 Torr, Vacuum Switch Type 141 | ||
17132 | MKS | 141AA-00010BB-S | MKS 141AA-00010BB-S BARATRON 10 TORR | ||
17133 | MKS | 141AA-00010BB-S | MKS BARATRON 141AA-00010BB-S 3 TORR | ||
17134 | MKS | 141AA-00010BB-S | MKS 141AA-00010BB-S Baratron Pressure Transducer, 3 Torr, Type 141 | ||
17135 | MKS | 141AA-00010BB-S | MKS 141AA-00010BB-S Baratron Pressure Transducerr, Type 141, | ||
17136 | MKS | 141AA-00100AA | MKS BARATRON 141AA-00100AARR, LOOKS VERY CLEAN | ||
17137 | MKS | 145-0025K-208V/60 | MKS 145-0025K-208V/60 Valve, Vacuum Sentry, has waterstain | ||
17138 | MKS | 1459C-00200RM | MKS 1459C-00200RM Mass Flow Controller, MFC, N2,200 SCCM | ||
17139 | MKS | 1459C-00200RM | MKS 1459C-00200RM Mass Flow Controller, MFC, N2, 200 SCCM | ||
17140 | MKS | 1459C-00500RM | MKS 1459C-00500RM MFC, Mass Flow Controller, N2,CM | ||
17141 | MKS | 1459C-00500RM | MKS 1459C-00500RM Mass Flow Controller, MFC, BCL3, 200 SCCM | ||
17142 | MKS | 1459C-00500RM | MKS 1459C-00500RM Mass Flow Controller, MFC, N2,CM | ||
17143 | MKS | 1459C-01000RM | MKS 1459C-01000RM Mass Flow Controller, MFC, N2CCM | ||
17144 | MKS | 1459C-01000RM | MKS 1459C-01000RM Mass Flow Controller, MFC, N2CCM | ||
17145 | MKS | 1479A | MKS 1479A Mass Flow Controller, MFC, 98%H2/TMB, 200 SCCM | ||
17146 | MKS | 1479A | MKS 1479A Mass Flow Controller, MFC, H2/TMB, 200 SCCM | ||
17147 | MKS | 1479A-22090 | MKS MFC RS-485, 1479A-22090 N2 2000 SCCM | ||
17148 | MKS | 1479A21CR16M20 | MKS 1479A21CR16M20 Mass Flow Controller, MFC, N2, 20 SCCM | ||
17149 | MKS | 1479A22CR1BM–S | MKS 1479A22CR1BM–S Mass Flow Controller, MFC, H2/2%TMB, 200 SCCM | ||
17150 | MKS | 147A22CR1BM–S | MKS 147A22CR1BM–S Mass Flow Controller, MFC, SIH4,CM | ||
17151 | MKS | 147A22CR1BM–S | MKS 147A22CR1BM–S Mass Flow Controller, MFC, N20, 2000 SCCM | ||
17152 | MKS | 147A22CR1BM–S | MKS 147A22CR1BM–S Mass Flow Controller, MFC, 99%H21%PH3, 200 SCCM | ||
17153 | MKS | 147A22CR1BM–S | MKS 147A22CR1BM–S Mass Flow Controller, MFC, SIH4,CM | ||
17154 | MKS | 1480A-27017 | Alta model digital Mass flow controllercm Ar. | ||
17155 | MKS | 1480A01352CR16M12 | MKS 1480A01352CR16M12 MFC Alta model digital Mass flow controller,cm N2. | ||
17156 | MKS | 151-0016K | HPS right angle manual vacuum isolation valve KF 16 | ||
17157 | MKS | 152-0025K | MKS HPS 152-0025K Pneumatic Vacuum Isolation Valve, Angle | ||
17158 | MKS | 152-0025K | MKS HPS 152-0025K Pneumatic Vacuum Isolation Valve, Angle | ||
17159 | MKS | 152-0025K | MKS HPS 152-0025K Pneumatic Vacuum Isolation Valve, Angle | ||
17160 | MKS | 152-0025K | MKS 152-0025K Pneumatic Isolation Valve Angle | ||
17161 | MKS | 152-0040K | 2 MKS HPS HPS 152-0040K ISOLATION VALVE, USED | ||
17162 | MKS | 152-1040K | KF-40 | MKS Instruments 152-1040K KF-40, NW-40, Inline Bellows Vacuum, HPS Valve | |
17163 | MKS | 152-1040K | KF-40 | MKS Instruments 152-1040K KF-40, NW-40, Inline Bellows Vacuum, HPS Valve | |
17164 | MKS | 152-1040K | KF-40 | MKS Instruments 152-1040K KF-40, NW-40, Inline Bellows Vacuum, HPS Valve | |
17165 | MKS | 152-1040K | KF-40 | MKS Instruments 152-1040K KF-40, NW-40, Inline Bellows Vacuum, HPS Valve | |
17166 | MKS | 152-1040K | KF-40 | MKS Instruments 152-1040K KF-40, NW-40, Inline Bellows Vacuum, HPS Valve | |
17167 | MKS | 152D-P0 | MKS 152D-P0 Type 152 Automatic Pressure Controller | ||
17168 | MKS | 152G-P0 | MKS 152G-P0, Type 152 Automatic Pressure Controller | ||
17169 | MKS | 153-0040K-24VDC | NEW MKS HPS 153-0040K-24VDC ISOLATION VALVE KF,W/SOLE | ||
17170 | MKS | 153-1016K-24VDC | MKS 153-1016K-24VDC Right Angel Valve w/ Solenoid Valve and Sensors | ||
17171 | MKS | 153-E | MKS Throttle Valve 153-E, used | ||
17172 | MKS | 155-1100P | NEW MKS HPS 155-1100P-24VDC ISOLATION VALVE | ||
17173 | MKS | 1559A-13602-SPCAL | MFC, MKS 1559A-13602-SPCAL 100 SLM Ar | ||
17174 | MKS | 161-0050K | MKS HPS 161-0050K Vacuum Isolation Valve, Manual w/ KF, NW50 | ||
17175 | MKS | 163-0040K | MKS Instruments 163-0040K-120V/50-60 In-Line KF-40/NW40 Bellows Vacuum, HPS | ||
17176 | MKS | 167B22CR15A | MKS 167B22CR15A Mass Flow Controller, N2 200 SCCM | ||
17177 | MKS | 179A-15721—S | MKS 179A-15721—S Mass Flow Controller, MFC, HE,M | ||
17178 | MKS | 179A00151CR3BM | MKS 179A00151CR3BM Mass Flow Controller MFC, HE,M, Mass-Flo Meter | ||
17179 | MKS | 1869-04 | MKS 1869-04, Bellows, ISO-KF, NW50, SS, 3.94″ | ||
17180 | MKS | 200EM | MKS NGS division PPT Residual gas analyzer, type 200EM | ||
17181 | MKS | 20704A-25352 | MKS 20704A-25352 Process Sense, Valve, Vacuum Exhaust Monitor, End Point | ||
17182 | MKS | 20704A-28818 | MKS 20704A-28818 Process Sense, Valve, Vacuum Exhaust Monitor, End Point | ||
17183 | MKS | 20704A-28818 | MKS 20704A-28818 Process Sense, Valve, Vacuum Exhaust Monitor, End Point | ||
17184 | MKS | 2159B-00100RV | MKS 2159B-00100RV MFC, N2CM, Nupro SS-BN496-2C | ||
17185 | MKS | 2159B-00200SV-SPCAL | MKS 2159B-00200SV-SPCAL Mass Flow Controller MFC ArCM | ||
17186 | MKS | 2179A21CR1BV | Swagelok | 6LVV-RD6901-C | MKS 2179A21CR1BV MFC, N2, 20 SCCM, Swagelok 6LVV-DP6901-C, B83907 |
17187 | MKS | 2179A21CR1BV | Swagelok | 6LVV-RD6901-C | MKS 2179A21CR1BV MFC, N2, 20 SCCM Swagelok 6LVV-RD6901-C, B26493, NVZ110 |
17188 | MKS | 222AHS-A-A-100 | MKS 222AHS-AA-100 Baratron Pressure Transducerrr | ||
17189 | MKS | 222AHS-A-A-100 | MKS 222AHS-A-A-100 Baratron Pressure Transducerrr | ||
17190 | MKS | 222AHS-A-A100 | MKS 222AHS-A-A100 Baratron Pressure Transducerrr | ||
17191 | MKS | 222BA-00010BA-3P053-80 | MKS Baratron 222BA-00010BA-3P053-80 Pressure Transducerr, | ||
17192 | MKS | 222CA-00010 | MKS 222CA-00010-AA Baratronr | ||
17193 | MKS | 2258B-00100RV | MKS 2258B-00100RV, Mass Flow ControllerCM N2 | ||
17194 | MKS | 2258B-00500RV | MKS MFC 2258B-00500RV, N2CM, used | ||
17195 | MKS | 2258C-00010RV | MKS MFC 2258C-00010RV, N2 10 SCCM, used | ||
17196 | MKS | 2259B-00500RK | MKS 2259B-00500RK MFC Assy, Mass Flow Controller, N2,CM, SS-4BK | ||
17197 | MKS | 2259C-00010RK | MKS 2259C-00010RK, Mass Flow ControllerM N2 | ||
17198 | MKS | 2259C-00010SV | MKS 2259C-00010SV Mass Flow Controller, MFC, N2M | ||
17199 | MKS | 225A-25603 | 011-27900 | MKS 225A-25603 Baratron, 2.5 INH20, 1/4 VCR, 011-27900, 811-27900 | |
17200 | MKS | 225A-25603 | 011-27900 | MKS 225A-25603 Baratron, 2.5 INH20, 1/4 VCR, 011-27900, 811-27900 | |
17201 | MKS | 225A-25603 | 011-27900 | MKS 225A-25603 Baratron, 2.5 INH20, 1/4 VCR, 011-27900, 811-27900 | |
17202 | MKS | 225A-25603 | 011-27900 | MKS 225A-25603 Baratron, 2.5 INH20, 1/4 VCR, 011-27900, 811-27900 | |
17203 | MKS | 225A-25603 | 011-27900 | MKS 225A-25603 Baratron, 2.5 INH20, 1/4 VCR, 011-27900, 811-27900 | |
17204 | MKS | 225A-25603 | 011-27900 | MKS 225A-25603 Baratron, 2.5 INH20, 1/4 VCR, 011-27900, 811-27900 | |
17205 | MKS | 225A-25603 | 011-27900 | MKS 225A-25603 Baratron, 2.5 INH20, 1/4 VCR, 011-27900, 811-27900 | |
17206 | MKS | 225AD-00010ABBS | MKS baratron 225AD-00010ABBS, +2.5 Inch H2O Range, S961661 | ||
17207 | MKS | 225AD-00010ABBS | MKS 225AD-00010ABBS Baratron Pressure Transducer 2.5″ H20, 3/16TU 4SCREW | ||
17208 | MKS | 227AA | MKS baratron 227AA-00001A, 1 TORR, +/- 15 VDC, used as is | ||
17209 | MKS | 241(241AA-01000AA) | MKS 241A (241AA-01000AA) Pressure Transducer Baratron, Vacuum Switch | ||
17210 | MKS | 241AA-00010BB | MKS BARATORN 241AA-00010BBR RANGE, TYPE 241A, vacuum switch | ||
17211 | MKS | 241AA-00010BB | MKS BARATORN 241AA-00010BBR RANGE, TYPE 241A | ||
17212 | MKS | 241AA-00010BB-S | MKS 241AA-00010BB-S Baratron Pressure Transducer, 12 Torr, Type 241A | ||
17213 | MKS | 241AA-01000AA | MKS 241AA-01000AA, Vacuum Switch, Type 241Arr | ||
17214 | MKS | 250B | MKS 250B throttle valve controller with vacuum general 80-6B pressure display S8 | ||
17215 | MKS | 250B | MKS type 250B throttle valve controller 250B-1-A | ||
17216 | MKS | 250B-1-D | 2 MKS Type 250 pressure flow controller, 250B-1-D and 250C-1-D | ||
17217 | MKS | 250B-1-DS-P02-83 | MKS 250B-1-DS-P02-83 throttle valve controller with MKS 270 pressure display | ||
17218 | MKS | 250C | MKS 250C throttle valve controller with MKS 270A pressure display | ||
17219 | MKS | 252A-1-VPO | MKS 252A-1-VPO, Controller, Exhaust Valve | ||
17220 | MKS | 252C-1-VPO | MKS 252C-1-VPO Exhaust Valve Controller, Type 252 | ||
17221 | MKS | 252C-1-VPO | MKS TYPE 252 EXHAUST THROTTLE VALVE CONTROLLER 252C-1-VPO | ||
17222 | MKS | 252C-1-VPO | MKS 252C-1-VPO exhaust vavle controller, used | ||
17223 | MKS | 253A | 3 MKS 253A Throttle Valve KF50 Flange Exhaust Control Valve | ||
17224 | MKS | 253A-11203 | MKS 253A-11203, Throttle Control Valve. | ||
17225 | MKS | 253A-4-100-1-S | MKS | 253A-4-100-1-S | MKS Control Valve 253A-4-100-1-S |
17226 | MKS | 253A-4-3-2 | MKS 253A-4-3-2 Exhaust Throttle Valve | ||
17227 | MKS | 253A-4-3-2 | MKS 253A-4-3-2 Exhaust Throttle Valve | ||
17228 | MKS | 253B-11102 | WJ / Aviza CFC exhaust throttle valve, , Has major ding on seal surface, looks rebuilt | ||
17229 | MKS | 253B-11247 | MKS 253B-11247 Throttle Valve | ||
17230 | MKS | 253B-12931 | MKS 253B-12931 Control Valve, | ||
17231 | MKS | 253B-12931 | MKS 253B-12931 Control Valve, | ||
17232 | MKS | 253B-27416 | MKS 253B-27416 Throttle Valve, Type 253B | ||
17233 | MKS | 253B-31800 | MKS 253B-31800 Throttling Valve, Type 253B, LAM 796-017691-005 | ||
17234 | MKS | 253B-31800 | MKS 253B-31800 Throttling Valve, Type 253B | ||
17235 | MKS | 270D-12571 | MKS 270D-12571 Type 270 Signal Conditioner | ||
17236 | MKS | 273-2 | MKS Type 273 Temperature controller 273-2 | ||
17237 | MKS | 290-01 | 291 | MKS 290-01 Ion Gauge Controller + MKS 291 Long Cable Adapter | |
17238 | MKS | 370HA-00001 | MKS 370HA-00001 Baratron Pressure HeadBaratron Pressure Head, 1 Torr | ||
17239 | MKS | 401815-64 | MKS-64, QualiTorr, Orion, Vacuum System Controller, Turbomolecular Pump | ||
17240 | MKS | 41A11DCA2AA003 | MKSCA2AA003 Baratron Pressure Switch | ||
17241 | MKS | 41A11DCA2AF002 | MKS Baratron Pressure SwitchCA2AF002 Range: 10 Torr-G, Input 20-30 VDC | ||
17242 | MKS | 41A11DCA2BA001 | MKSCA2BA001 Baratron Pressure Transducerr | ||
17243 | MKS | 41A11DCA2BA001 | MKSCA2BA001 Baratron Pressure Transducerr | ||
17244 | MKS | 41A12DCA2BA050 | MKSCA2BA050 Baratron Pressure Switch | ||
17245 | MKS | 41A12DCA2BA060 | MKS baratronCA2BA060, pressure switchrr G | ||
17246 | MKS | 52A21PCH2AA007 | MKS 52A21PCH2AA007 Baratron Pressure Transducer, 20 PSIA, 29289-00 | ||
17247 | MKS | 621C11TBFHC | MKS Signal Conditioner Model 621C11TBFHC | ||
17248 | MKS | 623A-14934 | MKS 623A-14934 Baratron Pressure Transducerorr; | ||
17249 | MKS | 623A13TDE | MKS 623A13TDE Pressure Transducer with Trip Points, Type 623orr | ||
17250 | MKS | 623A13TDE | MKS 623A13TDE Pressure Transducer with Trip Points, Type 623orr | ||
17251 | MKS | 624A12TBC | MKS 624A12TBC Baratron Capacitance Manometerorr; | ||
17252 | MKS | 625A-13127 | MKS 625A-13127 Baratron Pressure Transducerr, Type 625 | ||
17253 | MKS | 625A-14059 | MKS 625A-14059 Baratron Capacitance Manometer, Type 625 | ||
17254 | MKS | 625A13TBE | MKS 625A13TBE Baratron Pressure Transducerorr; | ||
17255 | MKS | 625B02TBAEU | MKS 625B02TBAEU Baratron Pressure Transducer, 2 Torr | ||
17256 | MKS | 625B23TCEFU | MKS 625B23TCEFU Baratron Capacitance Manometer, 2000 Torr | ||
17257 | MKS | 625B23TCEFU | MKS 625B23TCEFU Capacitance Manometer 2000 Torr, in sealed bag | ||
17258 | MKS | 625B23TCEFU | f | MKS 625B23TCEFU Baratron Capacitance Manometer, 2000 Torr | |
17259 | MKS | 625B23TCEFU | MKS 625B23TCEFU Baratron Capacitance Manometer, 2000 Torr;; other | ||
17260 | MKS | 625B23TCEFU | MKS 625B23TCEFU Baratron Capacitance Manometer, 2000 Torr; other | ||
17261 | MKS | 626A12TEE | MKS Instruments Baratron Type 626 Pressure Transducer 13.322 TORR1 | ||
17262 | MKS | 626A13TAE | MKS 626A13TAE Capacitance Manometer, Baratronorr | ||
17263 | MKS | 626A13TAE | MKS 626A13TAE Baratron Capacitance Manometerrr | ||
17264 | MKS | 627A-13259 | MKS 627A-13259 Baratron Pressure Tranducer .500 TORR | ||
17265 | MKS | 627A.1TDD—–S | MKS 627A.1TDD—–S, Baratron Pressure Transducer, .1 Torr | ||
17266 | MKS | 627A01TBC | MKS 627A01TBC Baratron Pressure Transducer, Type 627, 1 Torr, | ||
17267 | MKS | 627A01TDC | MKS 627A01TDC Baratron Pressure Transducer, 1 Torr, Type 627,422115 | ||
17268 | MKS | 627AU5TBD | MKS 627AU5TBD Baratron Pressure Transducer, 0.5 Torr, Vertical Cal, | ||
17269 | MKS | 627B01TBC1B | MKS 627B01TBC1B Baratron, Capacitance Manometer | ||
17270 | MKS | 627B1TBD4B | MKS 627B1TBD4B Baratron Temperature Regulated Capacitance Manometer | ||
17271 | MKS | 627B1TBD4B | MKS 627B1TBD4B Baratron Temperature Regulated Capacitance Manometer | ||
17272 | MKS | 627BX01MCC9B | MKS 627BX01MCC9B Baratron Pressure Transducer, 1 mbar | ||
17273 | MKS | 628A13TBE | MKS 628A13TBE Baratron Pressure Transducerorr, Type 628 | ||
17274 | MKS | 628B-21502 | MKS 628B-21502 Baratron Pressure TransducerBar | ||
17275 | MKS | 628B-24626 | MKS Baratron 628B-24626, 4 Torr Range, S0010229 | ||
17276 | MKS | 628B11MDE1B | MKS 628B11MDE1B Baratron Capacitance Manometerr | ||
17277 | MKS | 628B11TBE2B | MKS Baratron 628B11TBE2B Pressure Transducer 1 Torr, dented | ||
17278 | MKS | 629B1TBDJB | MKS baratron 629B1TBDJB, 1 Torr range, S7754 | ||
17279 | MKS | 631A11TBEH3 | MKS BARATRON 631A11TBEH3, 1.333 KPa | ||
17280 | MKS | 631B-27698 | Novellus | 60-314152-00 | MKS 631B-27698 Baratron Capacitance Manometer,r, Novellus 60-314152-00; other |
17281 | MKS | 631B-27698 | Novellus | 60-314152-00 | MKS 631B-27698 Baratron Capacitance Manometer,r, Novellus 60-314152-00; other |
17282 | MKS | 640A-22778 | MKS MFC type 640 Pressure Controller 640A-22778r, S0007696 | ||
17283 | MKS | 640A11TW1VA2V | MKS 640A11TW1VA2V, Mass Flow Controller, MFCr | ||
17284 | MKS | 640A12TW1V22V | MKS 640A12TW1V22V, Mass Flow Controller, MFCrr | ||
17285 | MKS | 647A4R0N | MKS 647A4R0n Multi Gas Controller, 147, powers on | ||
17286 | MKS | 649A | MKS Type 649A Mass Flow Pressure Controller, MFC, ArMr | ||
17287 | MKS | 649A-27928 | MKS MFC type 649, 649A-27928 He 100 SCCM, calibration sheet, OEM box | ||
17288 | MKS | 649A-27928 | NEW MKS MFC type 649, 649A-27928, He 100 SCCM with calibration sheet. | ||
17289 | MKS | 651CD2S1N | MKS 600 Series Pressure Controller, 651CD2S1N | ||
17290 | MKS | 653-4-100-2 | MKS throttle valve 653-4-100-2 | ||
17291 | MKS | 653B-13064 | MKS Instrument, 653B-13064, 1883-00, Throttle Valve. | ||
17292 | MKS | 653B-13064 | MKS Instrument, 653B-13064, 1883-00, Throttle Valve. | ||
17293 | MKS | 653B-21801 | MKS 653B-21801 Throttle valve, cal-weld921-00/2, S8214 | ||
17294 | MKS | 653B-25129 | NEW MKS 653B-25129 Exhaust Throttle valve with controller, MKS 653B | ||
17295 | MKS | 653B-4-100-2 | MKS, 653B-4-100-2, Throttle Valve | ||
17296 | MKS | 653B-60-63-2 | MKS Type 653 Throttle Control Valve, 653B-60-63-2 | ||
17297 | MKS | 672B01TBC1B | MKS 672B01TBC1B, 1 Torr, Baratron (Calibrated). | ||
17298 | MKS | 683B-15473 | MKS Type 683 Throttle valve 683B-15473 control valve | ||
17299 | MKS | 683B-23795 | MKS 683B-23795 Throttle Valve w/ Boards | ||
17300 | MKS | 683B-23795 | MKS Throttle Valve 683B-23795 Type 683 Control valve, S35332 | ||
17301 | MKS | 683B-26033 | MKS Instruments 683B-26033, Isolation Gate Valve. | ||
17302 | MKS | 683B-26112 | NEW MKS Throttle Valve type 683 control valve, 683B-26112, 01752 | ||
17303 | MKS | 683B-26112 | AMAT | 3870-04465 | NEW MKS Throttle valve 683B-26112, Type 683 control valve, AMAT 3870-04465 |
17304 | MKS | 683B-28961 | NEW MKS Throttle Valve type 683 control valve, 683B-28961, 017190 | ||
17305 | MKS | 683B-29016 | MKS 683B-29016 Control Throttle Valve, Type 683 | ||
17306 | MKS | 683B02K291016 | Throttle valve, KFnge with device net | ||
17307 | MKS | 728A51TCE2FA | MKS 728A51TCE2FA Baratron Pressure Transducer,r | ||
17308 | MKS | 750B12TCE2GK | MKS 750B12TCE2GK Baratron Pressure Transducerrr | ||
17309 | MKS | 750C11TCD2GG | MKS 750C11TCD2GG Baratron Pressure Transducer | ||
17310 | MKS | 750C12TCD2GA | MKS 750C12TCD2GA Baratron Pressure Transducer | ||
17311 | MKS | 839-013515-001-B | MKS baratron stem valve assembly 839-013515-001-B | ||
17312 | MKS | 850BRDPCB3GD | MKS 850B33PCB3GD Baratron Pressure Transducer, 3000 PSIA | ||
17313 | MKS | 850BRDPCB3GD | MKS 850B33PCB3GD Baratron Pressure Transducer, 3000 PSIA | ||
17314 | MKS | 850BRDPCB3GD | MKS 850B33PCB3GD Baratron Pressure Transducer, 3000 PSIA | ||
17315 | MKS | 852B-14628 | MKS 852B-14628 Baratron Pressure TransducerI | ||
17316 | MKS | 872B12PME2GC1 | MKS 872B12PME2GC1 Baratro Pressure TransducerIA Range | ||
17317 | MKS | 872BRDPBE4GL1 | MKS 872BRDPBE4GL1, Swagelok E03532, Baratron Pressure Transducer. | ||
17318 | MKS | 872BRDPBE4GL1 | MKS 872BRDPBE4GL1, Swagelok E03532, Baratron Pressure Transducer. | ||
17319 | MKS | 872BRDPBE4GL1 | MKS 872BRDPBE4GL1, Swagelok E03532, Baratron Pressure Transducer. | ||
17320 | MKS | 872BRDPBE4GL1 | MKS 872BRDPBE4GL1, Swagelok E03532, Baratron Pressure Transducer. | ||
17321 | MKS | 892A-26438 | MKS 892A-26438 Baratron Pressure Transducer, 1500 Torr | ||
17322 | MKS | 892b-27060 | MKS 892b-27060 Surface Pressure Transducer, New | ||
17323 | MKS | 901P-11 | MKS HPS 901P-11 Series 901P Loadlock Transducer | ||
17324 | MKS | 901P-11 | MKS HPS 901P-11 Series 901P Loadlock Transducer | ||
17325 | MKS | 901P-81030 | MKS 901P Loadlock Vacuum Pressure Transducer 901P-81030 9-30VDC | ||
17326 | MKS | 901P-81030 | MKS 901P Loadlock Vacuum Pressure Transducer 901P-81030 9-30VDC | ||
17327 | MKS | 93-4790 | MKS HPS 93-4790 Vacuum Isolation Valve, KF50, Angle, N/O | ||
17328 | MKS | 93-5024 | MKS 93-5024 High Vacuum Pneumatic Valve | ||
17329 | MKS | 93-5083 | AMAT | 0620-02531 | MKS 93-5083 Cable, Heater, Power, 120V, #4, 90D, AMAT 0620-02531, |
17330 | MKS | 93-7656 | MKS, HPS 93-7656 VALVE, CV, KF25-VCR8, Normally Open, Heated | ||
17331 | MKS | 9315-0131 | MKS HPS 9315-0131 Insulator NW40 Clamp, Heater Jacket, Novellus | ||
17332 | MKS | 9515-0248 | MKS HPS 9515-0248 Heater Jacket Kit, 1.5, STR6.8, SPEC, 1GP3 | ||
17333 | MKS | 9515-0356 | Novellus | 27-130826-00 | MKS 9515-0356 Heater Vacuum Line Jacket, Novellus 27-130826-00, |
17334 | MKS | 9535-0522 | Heater Jacket, HTR3.5, STRM257, BKT, 1BP3 | ||
17335 | MKS | 9599-0255 | MKS 9599-0255, VACUUM PIPING HEATER | ||
17336 | MKS | 9599-0255 | MKS 9599-0255 Heater Jacket, 60 VAC,z.17A | ||
17337 | MKS | 9599-0255 | 85875 | MKS 9599-0255, 85875, Heater Jacket, 60V.17A, | |
17338 | MKS | 9599-0255 | MKS HPS 9599-0255 Heater Jacket, HTR1, 25, Stem, Bar, 5FP0 | ||
17339 | MKS | 9599-0255 | MKS HPS 9599-0255 Heater Jacket, HTR1.25, Stem, Bar, 5FP0 | ||
17340 | MKS | 9599-0256 | MKS HPS 9599-0256, Heater Jacket.17A, 60VAC,z, STEM, BAR | ||
17341 | MKS | 99B1242 | Novellus | 60-10151-00 | MKS, HPS 99B1242, Clamp, SGL, Claw, NW-200, Novellus 60-10151-00 |
17342 | MKS | 99C1491 | MKS in line isolation valve 99C1491, Conflat, Jalapeno LPV, | ||
17343 | MKS | 99E0716 | MKS 99E0716 Vacuum Interlock Assy w/ Safety Valve, | ||
17344 | MKS | 99E0716 | MKS 99E0716 Vacuum Interlock Assy w/ Safety Valve | ||
17345 | MKS | 99F1526 | MKS 99F1526 Valve | ||
17346 | MKS | 99J1424 | MKS 111B-3 Vacuum Isolation Valve Bellows Assy | ||
17347 | MKS | 99N0878 | 72-428642 | MKS 99N0878 Right Angle Valve, 72-428642, w/ Solenoid Valve and Sensors | |
17348 | MKS | AX7645H-02 | MKS AX7645RH-02 Remote Plasma Generator, ASTEX ASTRONhf-S, Novellus | ||
17349 | MKS | AX7645PS/01 | MKS ASTRONhf-s AX7645PS/01 Remote Plasma RF Generator, 200-208V, 60A, | ||
17350 | MKS | AX8407LS-D-SP | MKS Astex AX8407LS-D-SP Ozone Generator C1 LIQ Single 4 Cells, Compact | ||
17351 | MKS | Baratron | MKS BARATRON KF16 Connection flange, range unknown | ||
17352 | MKS | Baratron | MKS BARATRON KF16 Connection flange, range unknown | ||
17353 | MKS | C5002-05 | LAM | 660-124460-625 | MKS ENI C5002-05 RF Generator, SKW, 1.8-2.17 MHZ, LAM 660-124460-625 |
17354 | MKS | CDN391R | MKS CDN391R, AS01391-21-1-1,4, FW:4.025, HW:2002, PCB | ||
17355 | MKS | CDN391R | MKS CDN391R, AS01391-21-1-1,4, FW:4.025, HW:2002, PCB | ||
17356 | MKS | CDN396R | MKS CDN396R AS01396-6-5 PCB | ||
17357 | MKS | CT27A13TBC9 | MKS Baratron DeviceNet CommunicaTorr Series CT27A13TBC9 | ||
17358 | MKS | CT27A13TDC910 | MKS Baratron CT27A13TDC910ORR, 24 VDC | ||
17359 | MKS | CV16-K1K1-NCZZ-F12 | 3 MKS CV16-K1K1-NCZZ-F12 Compact Vacuum Valve | ||
17360 | MKS | CV16-K1K1-NCZZ-F12 | MKS CV16-K1K1-NCZZ-F12 Compact Vacuum Valve | ||
17361 | MKS | CV16-K1K1-NCZZ-F12 | MKS CV16-K1K1-NCZZ-F12 Compact Vacuum Valve | ||
17362 | MKS | CV7627A-13 | MKS | 750B13TCD2GG | MKS Instruments Baratron Type 627 Pressure Transducer w Calibration Sheet |
17363 | MKS | CVNL-K1-NCZZ-F12 | MKS HPS CVNL-K1-NCZZ-F12, Compact Vacuum Valve, NW25, Stainless Steel | ||
17364 | MKS | CVNL-K2-MTVZ | MKS CVNL-K2-MTVZ Manual Isolation Valve | ||
17365 | MKS | CVNL-K2-MTVZ | MKS CVNL-K2-MTVZ Manual Isolation Valve | ||
17366 | MKS | D28D11TGAE0B0 | MKS D28D11TGAE0B0r, Dual range capacitance manometer, looks new, | ||
17367 | MKS | DCG-200A | OPT-400A-06 | MKS DCG-200A Optima DC Plasma Generator, OPT-400A-06, S-08-115, RS1061 | |
17368 | MKS | DCG-200A | OPT-200A-S06 | MKS DCG-200A Optima DC Plasma Generator, OPT-200A-S06, S-08-115, RS1167 | |
17369 | MKS | DMA01TCEEKNN633 | MKS DMA01TCEEKNN633 Devicenet i-Baratron Digital Manometer 1 Tor | ||
17370 | MKS | E29BU5TODJ1B | MKS E29BU5TODJ1B Baratron Etch Manometer, 0.05 Torr | ||
17371 | MKS | E29BU5TODJ1B | MKS E29BU5TODJ1B Baratron Etch Manometer, 0.05 Torr | ||
17372 | MKS | FC-2900V | Tylan FC-2900V Mass Flow Controller, MFC, He, 200 SCCM | ||
17373 | MKS | GBR1B24CR1 | 123714-G1 | GBROR Insitu flow verifier, some minor scratches from handling | |
17374 | MKS | GBR2B23CR100 | AMAT | 1040-00161 | MKS GBR2B23CR100 GBROR In Situ Flow Verifier, AMAT 1040-00161 |
17375 | MKS | GBR2B23CR100 | AMAT | 1040-00161 | MKS GBR2B23CR100 GBROR In Situ Flow Verifier, Kit, AMAT 1040-00161 |
17376 | MKS | IDA7600A-02 | MKS VACUUM ISOLATION SYSTEM IDA7600A-02 | ||
17377 | MKS | IDA7600D-10 | MKS IDA7600D-10 Control Module | ||
17378 | MKS | KF40 | MKS Isolation Manual Valve, KF40 and KF25, 2 KF25 clamps | ||
17379 | MKS | KF40 | MKS HPS KFlation valve, housing, cleaned AL, ALD Inline Valve | ||
17380 | MKS | LP2-40-AK-CLVS | MKS LP2-40-AK-CLVS VACUUM ISOLATION VALVE, used | ||
17381 | MKS | LPV1-40-AK-CNVS | 11-00586 | MKS LPV1-40-AK-CNVS Vacuum Isolation Valve, Right Angle, 11-00586 | |
17382 | MKS | LPV1-40-AK-CNVS | 11-00586 | MKS LPV1-40-AK-CNVS Vacuum Isolation Valve, Right Angle, 11-00586 | |
17383 | MKS | LPV1-40-AK-CNVS | MKS HPS LPV1-40-AK-CNVS Right Angle, vacuum isolation valve, KF40 | ||
17384 | MKS | LPV1-40-AK-CNZS | MKS HPS Angle Valve LPV1-40-AK-CNZS, S1000540697 | ||
17385 | MKS | MFC 2259C-00010RK | MKS MFC 2259C-00010RK, N2 10 SCCM, used | ||
17386 | MKS | MFVA23C026AAA | MKS MFVA23C026AAA Mass Flow Verifier | ||
17387 | MKS | MFVA23C026AAA | MKS MFVA23C026AAA Mass Flow Verifier | ||
17388 | MKS | MKS 1259B | MKS, MKS 1259B, Mass Flow ControllerCM H2 | ||
17389 | MKS | MKS-1459C | MKS MKS-1459C Mass Flow Controller, MFC, N2, 200 SCCM | ||
17390 | MKS | MKS-1459C-C | MKS MKS-1459C-C Mass Flow Controller, MFC, CL2, 200 SCCM | ||
17391 | MKS | MKS122BA-00010BB | AMAT | 1350-01055 | MKS 122BA-00010BB Baratron Pressure Transducerr, AMAT 1350-01055 |
17392 | MKS | N/A | HPS, MKS BOULDER CO, Humphrey 062 4E1, 24DC 0, 125 PSI, Valve, Vacuum. | ||
17393 | MKS | N/A | HPS, MKS BOULDER CO, Humphrey 062 4E1, 24DC 0, 125 PSI, Valve, Vacuum. | ||
17394 | MKS | N/A | AMAT | 0040-20580 | MKS Gas Manifold w/ Ion Gauge, MDC, AMAT 0040-20580 |
17395 | MKS | NW 50 | HPS | KF 50 | HPS KF50, MKS NW50 Vacuum elbow, 90 Deg |
17396 | MKS | P6A001202RAT0 | MKS MFC P6A001202RAT0, He, 200 SCCM, Bin 5 | ||
17397 | MKS | P6A004202RAT0 | MKS MFC Mass Flow Controller P6A004202RAT0 , Ar, 200 SCCM | ||
17398 | MKS | P6A007102RAT0 | MKS MFC P6A007102RAT0, H2CM, Bin 4. | ||
17399 | MKS | P6A012102RAT0 | MKS MFC P6A012102RAT0 , HF CM, Bin 4 | ||
17400 | MKS | P6A029102RAT0 | MKS MFC P6A029102RAT0 , NH3CM, Bin 5 | ||
17401 | MKS | PBMS2B, PDR2000 | MKS Portable Baratron Measure System PBMS2B, PDR2000 Dual Capacitance Manometer | ||
17402 | MKS | PDR-5B-BCD | MKS PDR-5B-BCD Power Supply Readout, PDR-5B | ||
17403 | MKS | PDR-5B-BCD | MKS PDR-5B-BCD Power Supply Readout, PDR-5B | ||
17404 | MKS | PS/DVM, PDR-C-1B | MKS PS/DVM PDR-C-1B, Baratron Pressure Meter, 2 Card Slot MFC Controller | ||
17405 | MKS | T2BIA-28717 | MKS Throttle valve T2BIA-28717, Type T3BI control valve | ||
17406 | MKS | TR0002943599 | MKS TR0002943599 Plugged Valve Port, Union, Gas Line, 2943599, VGM | ||
17407 | MKS | Type 127 | 127A-00002B | MKS Baratron Type 127 Pressure Regulator 127AA-00001B, 1 Torr | |
17408 | MKS | Type 141 | 141AA-00010BB-S | MKS Baratron Type 141 Vaccuum Switch 141AA-00010BB-S, 3 Torr | |
17409 | MKS | Type 241A | MKS Baratron Type 241A Vacuum Switch 241AA-00010Br | ||
17410 | MKS | Type 627 | 627A-13267 | MKS Baratron Type 627 Pressure Transducer 627A-13267, 20 Torr | |
17411 | MKS | Type 640 | 640A12TH1M22F | MKS Type 640 Pressure ControllerRR, 640A12TH1M22F | |
17412 | MKS | UFC-1661 | UNIT MFC UFC-1661, N2, 3000 SCCM (Calibrated). | ||
17413 | MKS | UHV-25-AKK-ENVN | MKS UHV-25-AKK-ENVN Ultra High Vacuum Pneumatic Valve, | ||
17414 | MKS | UHV-40-ACC-EAVM | MKS Instruments UHV-40-ACC-EAVM Manual Angle Valve | ||
17415 | MKS | UHV-40-IKK-BNVN | UHV, isolation valve, KF 40 | ||
17416 | MKS | MKS CV7627A-15597 BARATRON PRESSURE TRANSDUCER | |||
17417 | MKS | MKS Gas Manifold w/ MKS 122A-11064 100 Torr, and Ion Gauge | |||
17418 | MKS | MKS Exhaust Throttling Valve 253A-4-3-2 | |||
17419 | MKS | Astron | MKS Astron RPS Remote Plasma Source | ||
17420 | MKS | 2 New MKS KF40 Vacuum Foreline Bellows | |||
17421 | MKS | 100319809 | HPS Division 100319809 Rev.A, NW25’S-HPS, Vacuum Hose, Bellows, 1″x0.006″x24″ , | ||
17422 | MKS | 103250028 | MKS HPS 103250028 Pirani Vacuum Gaugeducell | ||
17423 | MKS | 104230001 | MKS HPS 104230001 SeriesMag Cold Cathode Vacuum Sensor | ||
17424 | MKS | 93-7656 | MKS HPS 93-7656 VLV, CV, 93KF25-VCRB, N/O | ||
17425 | MKS | 163330-001 | HPS 163330-001 Vacuum Manifold, 93-1141, 164621-3, Adapter, SS, | ||
17426 | MKS | 60-00173-00 | MKS HPS 60-00173-00 Seal, Centering Assembly, NW16, A/V | ||
17427 | MKS | 60-045552-00 | NEW HPS MKS NW80 Centering Seal, AL/B 60-045552-00 | ||
17428 | MKS | 6789-00 | 8 new insulator heat blanket KFnge 6789-00 metron HPS016 | ||
17429 | MKS | 93-7652 | MKS | HPS MKS 93-7652 Bar Isolation Valve, ULV, CV, Heater Jacket, | |
17430 | MKS | 99H0334 | QF25 | HPS 99H0334, MHB 1″X.008″X54″ OAL, QF25, 54″ KF25 Braided Vacuum Bellow Tube | |
17431 | MKS | LPV-25-S5-CLVS | MKS HPS Division LPV-25-S5-CLVS Vacuum Valve Assembely | ||
17432 | MKS | NW40-1/4″ VCR | MKS | HPS NW40-1/4″ VCR M, MKS,Adapter | |
17433 | MKS | 99N0878 | 72-428642 | MKS 99N0878 Right Angle Valve, 72-428642, w/ Solenoid Valve and Sensors | |
17434 | MKS | LPV1-50-AK-CNVS | MKS LPV1-50-AK-CNVS Angle Valve | ||
17435 | MKS | 750B-28180 | MKS 750B-28180 Baratron Pressure Transducerr | ||
17436 | MKS | 1159B-00500RV-SPCAL | MKS 1159B-00500RV-SPCAL Mass Flow Controller, MFC, AR,CM | ||
17437 | MKS | 99B0221 | MKS 99B0221 Vacuum Valve Assembly, Chamber, Isolation, 80 PSI | ||
17438 | MKS | LVF3560A-10B-05 | LAM | 660-072826-200 | MKS LVF3560A-10B-05 RF Generator, 660-072826-200, LVF3560A, 60 MHz |
17439 | MKS | B-8502-14038 | ENI | MKS B-8502-14038 RF Generator, 8500 W, 2 MHz, 200-208V, 60 A,z | |
17440 | MKS | LVF3527A-10B-05 | 660-072825-200 | MKS LVF3527A-10B-05 RF Generator, 660-072825-200, 27 MHz | |
17441 | MKS | LVG3527A-16010 | 660-072825-660 | MKS LVG3527A-16010 RF Generator, 660-072825-660, 27 MHz | |
17442 | MKS | LVG3527A-16011 | 660-072826-660 | MKS LVG3527A-16011 RF Generator, 660-072826-660, 27 MHz | |
17443 | MKS | C5002-05 | MKS ENI C5002-05 RF Generator, SKW, 1.8-2.17 MHZ, LAM 660-124460-625 | ||
17444 | MKS | 127AA-00001E | MKS 127AA-00001E, Baratron Pressure Transducer, 81-MK103R, 1 Torr, | ||
17445 | MKS | NA | MKS Baratron Capacitance Manometer, Unknown Range, KF16 | ||
17446 | MKS | 626A12TEE | MKS 626A12TEE Baratron Pressure Transducer, 13.332 kPa | ||
17447 | MKS | 627A.1TAD—–S | MKS 627A.1TAD—–S Baratron Pressure Transducer Assy w/ Valve, .1 Torr, | ||
17448 | MKS | 624A-13092 | MKS 624A-13092 Baratron Pressure Transducerrr, | ||
17449 | MKS | 222BA-00010BA-SP053-80 | MKS 222BA-00010BA-SP053-80 Baratron Pressure Transducerr | ||
17450 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, Type 127, | ||
17451 | MKS | Type 627 | 750B11TCD2GG | MKS Instruments Baratron Type 627 Pressure Transducer, 750B11TCD2GG | |
17452 | MKS | 627A.1TAD—–S | 750A11TGA2G | MKS Baratron Pressure Transducer 627A.1TAD—–S, 750A11TGA2G, 116282-G1 | |
17453 | MKS | 627BU5TBD1B | MKS 627BU5TBD1B Baratron Capacitance Manometer, 0.05 Torr | ||
17454 | MKS | 627D12TBC1B | MKS 627D12TBC1B Baratron Capacitance Manometerrr | ||
17455 | MKS | 627BU2TBE1B | MKS 627BU2TBE1B Baratron Capacitance Manometer, 0.02 Torr | ||
17456 | MKS | 627DU2TBE1B | MKS 627DU2TBE1B Baratron Capacitance Manometer, 0.02 Torr | ||
17457 | MKS | 627D-29720 | MKS 627D-29720 Baratron Capacitance Manometer, 0.02 Torr | ||
17458 | MKS | 627BU5TBD1B | MKS 627BU5TBD1B Baratron Capacitance Manometer, 0.05 Torr | ||
17459 | MKS | 627BU2TBE1B | MKS 627BU2TBE1B Baratron Capacitance Manometer, 0.02 Torr | ||
17460 | MKS | 627D12TBC1B | MKS 627D12TBC1B Baratron Capacitance Manometerrr | ||
17461 | MKS | 627BU2TBE1B | MKS 627BU2TBE1B Baratron Capacitance Manometer, 0.02 Torr | ||
17462 | MKS | 627D12TBC4B | MKS 627D12TBC4B Baratron Capacitance Manometerrr | ||
17463 | MKS | E28BU5TBF1B | MKS E28BU5TBF1B Baratron Capacitance Manometer, 0.05 Torr | ||
17464 | MKS | 631D12TBFPAA | MKS 631D12TBFPAA Baratron Capacitance Manometerrr | ||
17465 | MKS | 627BU5TBD1B | MKS 627BU5TBD1B Baratron Capacitance Manometer, 0.05 Torr | ||
17466 | MKS | 627D12TBC1B | MKS 627D12TBC1B Baratron Capacitance Manometerrr | ||
17467 | MKS | 627BU2TBE1B | MKS 627BU2TBE1B Baratron Capacitance Manometer, 0.02 Torr | ||
17468 | MKS | 627DU2TBE1B | MKS 627DU2TBE1B Baratron Capacitance Manometer, 0.02 Torr | ||
17469 | MKS | 627D-29720 | MKS 627D-29720 Baratron Capacitance Manometer, 0.02 Torr | ||
17470 | MKS | 627BU5TBD1B | MKS 627BU5TBD1B Baratron Capacitance Manometer, 0.05 Torr | ||
17471 | MKS | 627BU2TBE1B | MKS 627BU2TBE1B Baratron Capacitance Manometer, 0.02 Torr | ||
17472 | MKS | 627D12TBC1B | MKS 627D12TBC1B Baratron Capacitance Manometerrr | ||
17473 | MKS | 627BU2TBE1B | MKS 627BU2TBE1B Baratron Capacitance Manometer, 0.02 Torr | ||
17474 | MKS | 627D12TBC4B | MKS 627D12TBC4B Baratron Capacitance Manometerrr | ||
17475 | MKS | E28BU5TBF1B | MKS E28BU5TBF1B Baratron Capacitance Manometer, 0.05 Torr | ||
17476 | MKS | 631D12TBFPAA | MKS 631D12TBFPAA Baratron Capacitance Manometerrr | ||
17477 | MKS | 2179A11CL1BV | Nupro | 6LV-RD6901-C | MKS 2179A11CL1BV Mass Flow Controller MFC N2 10 SCCM, Nupro 6LV-RD6901-C |
17478 | MKS | 1679B51CR1UM-SPF0469 | MKS 1679B51CR1UM-SPF0469 Mass-Flo Controller, MFC, AR,M | ||
17479 | MKS | 1679A22CR1BM | MKS 1679A22CR1BM Mass-Flo Controller, MFC, N2, 200 SCCM, Calibrated | ||
17480 | MKS | 1159B | MKS 1159B Mass Flow Controller, MFC, N2CM | ||
17481 | MKS | 1159B | MKS 1159B Mass Flow Controller, MFC, N2CM | ||
17482 | MKS | 1159B | MKS 1159B Mass Flow Controller, MFC, N2, 20 SCCM | ||
17483 | MKS | 1159B-00100RV-SPCAL | MKS 1159B-00100RV-SPCAL Mass Flow Controller, MFC, A2CM | ||
17484 | MKS | 1179A52CR1BV-S | MKS 1179A52CR1BV-S Mass-Flo Controller, MFC, CF4CM | ||
17485 | MKS | 627A.1TBD | MKS 627A.1TBD Baratron Pressure Transducer, .1 Torr | ||
17486 | MKS | 270B-5 | MKS 270B-5 Type 270 Signal Conditioner | ||
17487 | MKS | 1179A11CR1AV–S | MKS 1179A11CR1AV–S Mass Flow Controller, HeM | ||
17488 | MKS | 1179A | MKS 1179A Mass Flow Controller, MFC, N2, 20 SCCM | ||
17489 | MKS | 146B-AAFFN-1 | MKS 146B-AAFFN-1 Vacuum Gauge Measurement and Control System, Type 146 | ||
17490 | MKS | AS1003-14 | AMAT | 0090-02527 | MKS AS1003-14 Chamber Controller AMAT 0090-06456, 0090-02527 PCB |
17491 | MKS | 100312901 | MKS HPS 100312901 Clamp, NW16, AL, AMAT 0690-01035 | ||
17492 | MKS | 947-A-220-TR | MKS HPS 947-A-220-TR Type 947 Convection Enhanced Pirani Gauge | ||
17493 | MKS ENI | OEM-6AM-1B-21251 | ENI OEM-6AM-1B-21251 RF Generator, 750W, 208VAC, 12A, 3 Wire | ||
17494 | MKS ENI | 1006-508 rev 4 | ENI RF power meter 1006-508 rev 4 MRC CP-10 | ||
17495 | MKS ENI | 0090-09026 | ENI OEM-12A-21041-51 Solid State Power Generator SB225, 0090-09026 | ||
17496 | MKS ENI | ACG-10T | ENI ACG-10T RF Generator, | ||
17497 | MKS ENI | ACG-3 | ENI Power Systems ACG-3, RF Generator. | ||
17498 | MKS ENI | ACG-3 | ENI Power Systems ACG-3, RF Generator. | ||
17499 | MKS ENI | ACG-5 | ENI Power Systems ACG-5, RF Generator. | ||
17500 | MKS ENI | ACG-5 | ENI Power Systems ACG-5, RF Generator. | ||
17501 | MKS ENI | ACG-5-01M14 | ENI ACG-5-01M14 RF Generator, Power Supply, ACG-5, Novellus P61-2337 | ||
17502 | MKS ENI | ACG-5-01M14 | ENI ACG-5-01M14 RF Generator, Power Supply, ACG-5, Novellus P61-2337 | ||
17503 | MKS ENI | ACG-5B-01M14 | ENI ACG-5B-01M14 RF Generator, Power Supply, Novellus 61-2337 | ||
17504 | MKS ENI | ACG-5B-01M14 | ENI ACG-5B-01M14 RF Generator, Power Supply, Novellus 61-2337 | ||
17505 | MKS ENI | DCG-100 | MKS | DCG-100 | Working MKS ENI DCG-100 DC sputtering power supply. Master, DCG2M A001100021 |
17506 | MKS ENI | DCG-200Z | ENI DCG-200Z Optima, Novellus R27-299465-00 RF Generator, Slave, 20KW | ||
17507 | MKS ENI | DCG-200ZHC-S02 | Novellus | R27-299485-00 | ENI MKS DCG-200ZHC-S02 Generator, Slave 20 kW DCG Novellus R27-299485-00 |
17508 | MKS ENI | DCG1R-A021200021 | ENI DCG1R-A021200021 DC Plasma Generator RF DCG-100 | ||
17509 | MKS ENI | DCS2A-A02200020 | DCG-100 | ENI DCS2A-A02200020 DC Plasma Generator, RF, DCG-100 | |
17510 | MKS ENI | GHWE-25 | ENI GHWE-25 Genesis RF Generator, GEW3027MA-F1H00-10,3 KW, 200/208V | ||
17511 | MKS ENI | HF-3000-50 | ENI Harmonic Filter HF-3000-50 | ||
17512 | MKS ENI | HF-3000-50 | ENI HF-3000-50 Harmonic Filter, 3 Kw, 13.56 Mhz, | ||
17513 | MKS ENI | LPG-6A | ENI LPG-6A Low Frequency RF Generator, LAM | ||
17514 | MKS ENI | LPG-6AL-21321 | ENI Power Systems LPG-6AL-21321, Low Frequency RF Generator. | ||
17515 | MKS ENI | MW-10-21191 | ENI MW-10-21191 Matchwork Control Unit, RF Match, | ||
17516 | MKS ENI | MW-10DM2RF | ENI MW-10DM2RF Matching Network w/ Digital Controller, Matchwork-10D | ||
17517 | MKS ENI | MW-25D-05M1 | ENI MW-25D-05M1 Matchwork-25D RF Match | ||
17518 | MKS ENI | MW-25D-05M1 | ENI MW-25D-05M1 Matchwork-25D RF Match | ||
17519 | MKS ENI | OEM-1250-02 | RF generator 3 phase 5 wire | ||
17520 | MKS ENI | OEM-12B-01 | OEM-12B | ENI OEM-12B-01 RF Generator, OEM-12B | |
17521 | MKS ENI | OEM-12B-02 | AMAT | 0190-70080 | ENI OEM-12B-02, AMAT 0190-70080 Rev.A, RF Generator, Power, calibration sheet. |
17522 | MKS ENI | OEM-12A | ENI RF Generator OEM-12A, OEM012A-21041-51, 1250 W at 13.56 MHz, Powers on | ||
17523 | MKS ENI | OEM-25A-01M5 | Novellus | 27-07712-00 | ENI OEM-25N-11481 Solid State Power Generator, OEM-25 |
17524 | MKS ENI | OEM-25A-01M5 | Novellus | 27-07712-00 | ENI OEM-25A-01M5, Solid State Power Generator, Novellus, OEM-25 |
17525 | MKS ENI | OEM-25N-01 | Novellus | ENI OEM-25N-01 High Frequency RF Generator, Novellus 27-00157-00 | |
17526 | MKS ENI | OEM-6A-02 | OEM-650A | ENI OEM-6A-02 RF Generator, OEM-650A X2 | |
17527 | MKS ENI | OEM12B-01 | RF generator, tested, with calibration sheet | ||
17528 | MKS ENI | VL-400 | ENI VL-400 Phase shift controller | ||
17529 | MKS ENI | VL-400 M1 | Phase shift controller, | ||
17530 | MKS ENI | VL-400 M1 | Phase shift controller, missing knob | ||
17531 | MKS HPS | 100932157 | MKS HPS 100932157 Pneumatic Bellows Angle Valve, Isolation, KF40 | ||
17532 | MKS HPS | 93-0057 | AMAT | 3070-00078 | MKS HPS 93-0057 Vacuum Bellows, KF50, NW-50, 7″, AMAT 3070-00078 |
17533 | MKS HPS | 93-0057 | AMAT | 3070-00078 | MKS HPS 93-0057 Vacuum Bellows, KF50, NW-50, 7″, AMAT 3070-00078 |
17534 | MKS HPS | 93-0057 | AMAT | 3070-00078 | MKS HPS 93-0057 Vacuum Bellows, KF50, NW-50, 7″, AMAT 3070-00078 |
17535 | MKS HPS | 93-0057 | AMAT | 3070-00078 | MKS HPS 93-0057 Vacuum Bellows, KF50, NW-50, 7″, AMAT 3070-00078 |
17536 | MKS HPS | LPV1-40-IK-CNVS | MKS HPS LPV1-40-IK-CNVS Vacuum Angle Valve, RS1213 | ||
17537 | MKS HPS | MKS HPS Pneumatic Bellows Angle Valve, Isolation, KF50 | |||
17538 | MKS HPS | 100312701 | 50 MKS HPS 100312701 Seal, Center Ring Assembly, NW16, S/V | ||
17539 | MKS HPS | 100312703 | AMAT | 3700-01089 | 8 MKS HPS 100312703 Seal, Center Ring, NW25, AMAT 3700-01089 |
17540 | MKS HPS | 100311803 | 2 MKS HPS 100311803 ADAPTER, RUBBER HOSE, NW25, .75-.88, E1040326, RS1320 | ||
17541 | MKS HPS | 100312701 | 48 MKS HPS 100312701 Seal, Center Ring Assembly, NW16, S/V | ||
17542 | MKS Instruments | 649A-25014 | Lam Research LAM | 797-800733-001 | MKS 649A-25014 Mass Flow Controller, MFC, He,M, LAM 797-800733-001 |
17543 | MKS Instruments | 649A-25014 | Lam Research LAM | 797-800733-001 | MKS 649A-25014 Mass Flow Controller, MFC, He,M, LAM 797-800733-001 |
17544 | MKS Instruments | 640A11TW1V22F | MKS 640A11TW1V22F Mass Flow Controller, MFCr, Type 640 | ||
17545 | MKS Instruments | 649A | MKS Type 649A Mass Flow Pressure Controller, MFC, ArMr | ||
17546 | MKS Instruments, Inc. | 270C-4 | MKS 270C-4 Type 270 Signal Conditioner, Display | ||
17547 | MKS Instruments, Inc. | 247C | MKS 247C 4-Channel Readout, Power Supply | ||
17548 | MKS Instruments, Inc. | 252A-1 | MKS 252A-1 Exhaust Valve Controller, Type 252A | ||
17549 | MKS Instruments, Inc. | 247C | MKS 247C 4-Channel Readout, Power Supply | ||
17550 | MKS Instruments, Inc. | 244C-1-VPO | MKS 244C-1-VPO Pressure Flow Controller, Type 244 | ||
17551 | MKS Instruments, Inc. | 244E-1-VPO | MKS 244E-1-VPO Pressure Flow Controller, Type 244 | ||
17552 | MKS Instruments, Inc. | 244E-1-VPO | MKS 244E-1-VPO Pressure Flow Controller, Type 244 | ||
17553 | MKS Instruments, Inc. | 244E-1-VPO | MKS 244E-1-VPO Pressure Flow Controller, Type 244 | ||
17554 | MKS Instruments, Inc. | 244D-1-VPO | MKS 244D-1-VPO Pressure Flow Controller, Type 244 | ||
17555 | MKS Instruments, Inc. | 244C-1-VPO | MKS 244C-1-VPO Pressure Flow Controller, Type 244 | ||
17556 | MKS Instruments, Inc. | 244C-1-VPO | MKS 244C-1-VPO Pressure Flow Controller, Type 244 | ||
17557 | MKS Instruments, Inc. | 120AA-00010RBJ | MKS 120AA-00010RBJ Baratronr, 0-10VDC | ||
17558 | MKS Instruments, Inc. | 120AA-00001RA | MKS 120AA-00001RA Baratron, 1 Torr, 0-10VDC | ||
17559 | MKS Instruments, Inc. | 100312705 | Lam Research LAM | 2 MKS HPS 100312705 Seal, Centering Ring, NW40, S/V, LAM 796-000233-002 | |
17560 | MKS Instruments, Inc. | 250C-1-D | MKS 250C-1-D Type 250 Exhaust Valve Pressure/Flow Controller | ||
17561 | MKS Spectra | LM70 | MKS Spectra, LM70 Microvision plus, MKS RGA | ||
17562 | MKS Spectra | LM75 | MKS Spectra, LM75 Resistorr, RGA controller module | ||
17563 | Module Digital | 401875 | Module Digital, ILX Lightwave 601178-04, EIM 10338, 601419-00 EIM 01940 | ||
17564 | Modutec | T16 | Modutek Microtime T16 Rinse Controller | ||
17565 | Modutek | C15SA | Modutek C15SA Micron Rinse Controller | ||
17566 | Modutek | P7037 | Modutek P7037 Valve Base, Drain Teflon | ||
17567 | Modutek | T16a | MODUTEK CORP T16a, Microtime Timer. | ||
17568 | Modutek | T16a | Modutek T16a Microtime Series Timer | ||
17569 | Moeller | ZM-6-PKZ-2 | 2 Moeller ZM-6-PKZ-2, adjustable Trip block Manual Contactor | ||
17570 | Moeller | 0613V643 | FAZN | MOELLER FAZN C6 2 POLE CIRCUIT BREAKER 0613 643 | |
17571 | Moeller | 5KA-277/480VAC | MOELLER 5KA-277/480VAC FAZNC16 CIRCUIT BREAKER 106 QA 16N | ||
17572 | Moeller | AB-4620 | Used MOELLER Circuit breaker AB-4620 w/ Aux switch NZM, 3p 480V 25A | ||
17573 | Moeller | ATO-11-24DMT-ZBZ/x | Moeller ATO-11-24DMT-ZBZ/x Safety Interlock Switches | ||
17574 | Moeller | DIL ER-40-G | DIN rail mount motor contactor4VDC, 3 ph | ||
17575 | Moeller | DIL1M-G | 20 DIL | 3 Moeller DIL1M-G Contactor Assy w/ 20 DIL Auxiliary Contactor | |
17576 | Moeller | FAZ-2-C2 | DI2 ER-40-G | 2 Moeller FAZ-2-C2 Circuit Breaker Assy w/ 3 DI2 ER-40-G Contactor Relay | |
17577 | Moeller | FAZ-3-C40 | FAZ-3-C50 | 4 Moeller Circuit Breaker Assy, 2 FAZ-3-C40, FAZ-3-C50, FAZ-3-C32 | |
17578 | Moeller | FAZN C50 | Moeller FAZN C50 Circuit Breaker | ||
17579 | Moeller | G-ZBZ | 9 Moeller G-ZBZ Safety Interlock Key | ||
17580 | Moeller | IEC/EN 60947 | Moeller Main Power circuit breaker IEC/EN 60947 690V 400A | ||
17581 | Moeller | K25A | 3 Moeller K25A Circuit Breaker | ||
17582 | Moeller | K40A | Moeller K40A Circuit Breaker | ||
17583 | Moeller | PKZM0-2.5 | 1 Moeller PKZM0-2.5, 1 Moeller PKZM0-1.6, protected manual motor contactors | ||
17584 | Moeller | RT-1359 | MOELLER MAIN CIRCUIT BREAKER RT-1359 NZM9-250 600 VAC 250 A ZM 9 A-250-NA | ||
17585 | Moeller | NS 2-160-NA | Moeller NS 2-160-NA Industrial Circuit Breaker, 160A, 690-8000VAC, NS 2, RS1273 | ||
17586 | Moeller | EMR4-F500-2 | Moeller EMR4-F500-2 Phase Sequence Monitoring Relay | ||
17587 | Molex | 74527-3003 | Molex 74527-3003 SAS Cable, SFF-8470 To SFF-8470, 6FT | ||
17588 | Molex | 1715769208 | Molex 1715769209 Impact Ortho Direct 6PX12C Bottom Guide | ||
17589 | Molex | 1715769208 | Molex 1715769209 Impact Ortho Direct 6PX12C Bottom Guide | ||
17590 | Molex | 1715769208 | Molex 1715769209 Impact Ortho Direct 6PX12C Bottom Guide | ||
17591 | Molex | 1715720002 | 1 Lot ofex 1715720002 Impact 6X12 100-OHM OD Bottom GD RAM | ||
17592 | Monnier | 1006803 | Monnier Hot Can N2 Supply with Gauge 1006803 | ||
17593 | Monroe | 244A-2 | Monroe 244A-2 Isoprobe Electrostatic Voltmeter | ||
17594 | MOOG | 001-6618-02 | Gasonics | 3510 | MOOG 001-6618-02, DC Motor, Gasonicsobot Assy Motor |
17595 | Motion Engineering, Inc. MEI | 9701-2135-03 | Asyst Technologies, Inc. | MEI 9701-2135-03 PCB, Asyst, 1107-0085, XMP-SYNQNET-PCI-RJ | |
17596 | Motion Engineering, Inc. MEI | 9701-2135-01 | Asyst Technologies, Inc. | MEI 9701-2135-03 PCB, Asyst, 1107-0085, XMP-SYNQNET-PCI-RJ | |
17597 | Motion Systems | 73058-001 | AMAT | 0040-49302, 0020-22987 | Motion Systems 73058-001, Motor W/ AMAT 0040-49302, 0020-22987, 24V. |
17598 | Motor | 500054082 | Z axis ball swrew with stepper motor082 | ||
17599 | Motor | 508070-00 | 3-00 110-62 bearing | ||
17600 | Motor | AK-X120Q | PACK DRIVER STEPPING MOTOR DRIVER AK-X120Q 202587 PH264-01 1994-1011 | ||
17601 | Motor | CN040163 | Lot of 99 CN040163 Shielded bearings HCH RBZZ | ||
17602 | Motor | F8225 010-0010 | Belt, Drive, F8225 010-0010 | ||
17603 | Motorola | 5646050-101 | 20 Motorola 5646050-101 PCB Resistors | ||
17604 | Motorola | 5646050-101 | 19 Motorola 5646050-101 PCB Resistors | ||
17605 | Motorola | MVME 166-11A | Motorola CPU MVME 166-11A Card, 01-W3179F 01-W3060F | ||
17606 | Motorola | MVME 202 | GMBH 01-G3025M01 | Motorola Microsystem MVME 202 Module PCB GMBH 01-G3025M01 AMAT 0100-00127 | |
17607 | Motorola | MVME 202 | GMBH 01-W35078 | MVME 202 PCB Motorlola Microsystems GMBH 01-G3025M01, AMAT 0100-00127 | |
17608 | Motorola | MVME 202 | GMBH 01-W35078 | MVME 202 PCB Motorlola Memory GMBH 01-W35078, AMAT 0100-00127 | |
17609 | Motorola | MVME 340B | Motorola MVME 340B 01-W3787B01B PCB, 84-W8787B01C; | ||
17610 | Motorola | MVME162P-344E | Motorola MVME162P-344E MVME PCB, FAB 84-W8528F01D, MVME162 P344E | ||
17611 | Motorola | NTN4787A | Motorola NTN4787A Radio Battery Charger | ||
17612 | Motorola | SN601 | 9 Motorola SN6051 Transistors | ||
17613 | Motorola | SPN4159A | Motorola power adapter SPN4159A | ||
17614 | Motorola | SPN4373A | Motorola power adapter SPN4373A | ||
17615 | Motorola | 5646050-101 | 38 Motorola 5646050-101 Motorola SRF5347H Transistor | ||
17616 | Moto-Spare | 010C0152 | Moto-Spare 010C0152 Microscope Objective, 6.3x | ||
17617 | Motoyama | 2BEV4C-FV | Motoyama Japan UCV 2BEV4C-FV, 1/4″ VCR, Multi-port, Three-Way Isolation Valve | ||
17618 | Motoyama | 2LDS8R-BV | Motoyama Japan UCV 2LDS8R-BV, Gas Line Manual Isolation Shutoff ID | ||
17619 | Motoyama | 2LDT8R-FV | Motoyama Japan UCV 2LDT8R-FV, Three-way Manual Isolation Valve, Shut-off Valve | ||
17620 | Motoyama | 2LES40-FV | Motoyama Japan UCV 2LES40-FV, 1/4″ VCR, Diaphragm Air Valve, Isolation, Shutoff | ||
17621 | Motoyama | SUSF316L | Motoyama SUSF316L, Air-Operated Diaphragm Valve, VCV4, CTFE-SEAT | ||
17622 | Motoyama | SUSF316L | Motoyama SUSF316L, Air-Operated Diaphragm Valve, VCV4, CTFE-SEAT | ||
17623 | Motoyama | SUSF316L | Motoyama SUSF316L, Air-Operated Diaphragm Valve, VCV4, CTFE-SEAT | ||
17624 | Motoyama | SUSF361L | Motoyama Japan UCV, 1/4 x 3/8 Air Diaphragm Valve, SUSF316L, | ||
17625 | Motoyama | Motoyama Japan UCV, ¼ VCR, Diaphragm Air Valve, 2LES4C-FV, 9604-0083. | |||
17626 | Mott | POU-05-NSV1 | HP502-052196 | Mott POU-05-NSV1 GasShield Filter Assembly, 370 PSI Maximum, HP502-052196 | |
17627 | Mouser Electronics | 172-E09-113R911 | 636-172-E09-113R911 | 4 Mouser Electronics 172-E09-113R911 9 POS. DIP/SO. Male D-Sub Connectors | |
17628 | Mouser Electronics | 172-E09-113R911 | 636-172-E09-113R911 | 3 Mouser Electronics 172-E09-113R911 9 POS. DIP/SO. Male D-Sub Connectors | |
17629 | Mouser Electronics | 172-E09-213R911 | 16 172-E09-213R911 Conn D89 Female Solder DIP NKL | ||
17630 | Mouser Electronics | 172-E15-213R911 | 6 Mouser Electronics 172-E15-213R911 Conn DB15 Female SLD DIP NKL | ||
17631 | Mouser Electronics | 172-E25-213R911 | 3 Mouser Electronics 172-E25-213R911 Conn DB25 Female SLD DIP NKL | ||
17632 | Mouser Electronics | 172-E25-213R911 | Lot of 3 Mouser Electronics 172-E25-213R911 Conn DB25 Female SLD DIP NKL | ||
17633 | Mouser Electronics | 180-015-213R911 | 636-180-015-213R911 | 6 Mouser Electronics 180-015-213R911 15P Fem Vt Dip Sol NorComp D-Sub Connectors | |
17634 | Mouser Electronics | 636-180-015-213R911 | 180-015-213R911 | 6 Mouser Electronics 636-180-015-213R911, 180-015-213R911, 15P Fem Vt Dip Sol | |
17635 | Mouser Electronics | 636-180-032-113R911 | 3 Mouser Electronics 636-180-062-113R911, 62P Male Vt Dip Sol Connector | ||
17636 | Mouser Electronics | 636-E50-112-911 | 175-E50-112-911 | 3 Mouser Electronics 636-E50-112-911, 172-E50-112-911. DIP/SO Male | |
17637 | Mouser Electronics | SSL-LX5093LGD | 20 Mouser Electronics SSL-LX5093LGD LED 5MM Green Diffused | ||
17638 | Moxa | EDS-316 | Moxa EDS-316 16-Port EtherDevice Switch | ||
17639 | Moxa Technologies Co, LTD | EDS-205 | Moxa EDS-205A Industrial Mountable 5-Port Compact Unmanaged Ethernet Switch | ||
17640 | MPI | E70797-001 | E70431-001 | 3 MPI E70797-001 Socket, SNB A2, E70431-001, RS1102 | |
17641 | MRC | 500676-00 | MRC-00 Bearing Sputtering Tool | ||
17642 | MRC | 500680-00 | MRC-00 Heater Cartridge 100W Sputtering Tool | ||
17643 | MRC | FAL0000581 | MRC FAL0000581, Used Target, Al, 5N5,SPA, Rx 200mm, Monoblock | ||
17644 | MRC | 42-340121-5-000032 | MRC121-5-000032 Resistor Bank, 60 OHM, 5 KWame, HE3, | ||
17645 | MRC | 500354-00 | MRC-00 Belt, Timing .5W X15 Long Sputtering Tool | ||
17646 | MRC | 500665-00 | MRC-00 Pin, Arm First, Sputtering Tool | ||
17647 | MRC | 500670-00 | MRC-00 Bearing, Thrust Sputtering Tool | ||
17648 | MRC | 500679-00 | MRC-00 Heater Cartridgeputtering Tool | ||
17649 | MRC | 500681-00 | MRC-00 Thermostat, Tempswitch Sputtering Tool | ||
17650 | MRC | 500691-00 | 6 MRC-00 Standoff, Vented Sputtering Tool | ||
17651 | MRC | 500737-00 | 4 MRC-00 Bearing, Arm (Elbow) Sputtering Tool | ||
17652 | MRC | 828-69-000 | Assembly Kit MRC 828-69-000 | ||
17653 | MRC | 884-54-000 | MRC 884-54-000, 884-54-101, PCB, Process Control Interface | ||
17654 | MRC | 884-54-000 | MRC 884-54-000, 884-54-101, PCB, Process Control Interface | ||
17655 | MRC | 884-54-000 | MRC 884-54-000, 884-54-101, PCB, Process Control Interface | ||
17656 | MRC | 884-54-000 | MRC 884-54-000, 884-54-101, PCB, Process Control Interface | ||
17657 | MRC | A115105 | MRC A115105, Eclipse Star Remote Control Box, KBD. | ||
17658 | MRC | A118144 | MRC RF matching network A118144 For MRC Eclipse Star tool | ||
17659 | MTCS | S11-EN | MTCS S11-EN Temperature Controller | ||
17660 | MTCS | S12-DN | MTCS S12-DN Temperature Controller | ||
17661 | MTCS | S13-JN 071 | MTCS S13-JN 071 Temperature Controller | ||
17662 | MTE | RL-00404 | MTE 2 Phase Reactor RL-00404, 4 Amps, 12mH | ||
17663 | MTI | 104662-01 | MTI DSP 200 104662-01, 20 watts, 85-250 volts,Hz | ||
17664 | MTM Engineering | WT-268 | MTM Engineering Engenuity Systems WT-268 Outgas Analysis Aystem w/ MKS RGA | ||
17665 | MTM Engineering | WT-288 | MTM Engineering Engenuity Systems WT-288 #SPC108 Outgas Analysis Tool w/ MKS RGA | ||
17666 | Multimode | 973-579-7227 | MULTIMODE THORLABS 973-579-7227, FT0 30 CABLE, M14L02 | ||
17667 | Multimode | 973-579-7227 | MULTIMODE THORLABS 973-579-7227, FT0 30 CABLE, M14L02 | ||
17668 | Multimode | M31L02 | MULTIMODE 2 METER THORLABS CABLE M31L02, FC/UPC-FC/UPC | ||
17669 | Multimode | M31L02 | MULTIMODE 2 METER THORLABS CABLE M31L02, FC/UPC-FC/UPC | ||
17670 | Multimode | M31L02 | MULTIMODE 2 METER THORLABS CABLE M31L02, FC/UPC-FC/UPC | ||
17671 | Multimode | M31L02 | MULTIMODE 2 METER THORLABS CABLE M31L02, GIF62.5 | ||
17672 | MULTIPLE | APTECH AP36255 2PW FV4 FV4 | MANY | PALL HP569-060796, APTECH AP10105 2PW MV4 FV4, SST316, 01-3053, 01-0111-E | APTECH AP36255 2PW FV4 FV4 MAX PRESSURE 3000 P GAS VALVE MANIFOLD |
17673 | muRata | GRM1555C1H221JA01D | muRata GRM1555C1H221JA01D Reel, | ||
17674 | Murata Manufacturing Co., Ltd | MXHQ87PA3000 | 50 Murata MXHQ87PA3000 Connector Measurement Probe For SWG Receptacle | ||
17675 | Murata Power Solutions | D1U-W-2000-48-HB2C | Murata D1U-W-2000-48-HB2C Power Supply | ||
17676 | Murr Elektronik | 7000-12701-0000000 | Murr Elektronik 7000-12701-0000000 Male Connector | ||
17677 | Murr Elektronik | 7000-12901-0000000 | Murr Elektronik 7000-12901-0000000 Female Straight Wireable Screw | ||
17678 | MW | DR-4524 | Mean Well USA DR-4524 Power supply, 24V, 2A | ||
17679 | Mycom | PS466-01A | 640081470 | Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 640081470, 1.8 Deg/Step | |
17680 | Mycom | PS466-01A | 640081470 | Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 640081470, 1.8 Deg/Step | |
17681 | Mycom | PS466-01A | 9090925666 | Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 9090925666, 1.8 Deg/Step | |
17682 | Mycom | PS466-01A | Mycom PS466-01A, 4 Phase Stepping Motor, 6V, 1.2A, 1.8 Deg/Step | ||
17683 | Mycom | PS466-01A | 640081470 | Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 640081470, 1.8 Deg/Step | |
17684 | Mycom | PS466-01A | Mycom PS466-01A, 4 Phase Stepping Motor, 6V, 1.2A, 1.8 Deg/Step | ||
17685 | Mykrolis | FC-2950MEP5 | NEW Mykrolis 2950 series MFC FC-2950MEP5, NF3CM | ||
17686 | Mykrolis | FC-2950MEP5-4V | NEW Mykrolis 2950 series MFC FC-2950MEP5-4V, SiH4CM | ||
17687 | Mykrolis | AASGD40W1 | Mykrolis AASGD40W1, MFC, N2CM, AMAT 0190-19979 | ||
17688 | Mykrolis | AASGD40W1 | Mykrolis AASGD40W1, MFC, N2CCM, AMAT 0190-19979 | ||
17689 | Mykrolis | AAVGD40W1 | Mykrolis AAVGD40W1, MFC, N2,CCM, AMAT-0190-19981 | ||
17690 | Mykrolis | AAVGD40W1 | Mykrolis AAVGD40W1, MFC, N2,CCM, AMAT 0190-19981 | ||
17691 | Mykrolis | AAVGD40W1 | Mykrolis AAVGD40W1, MFC, N2,CCM, AMAT 0190-19981 | ||
17692 | Mykrolis | AAVGD40W1 | 0190-19981 | MYKROLIS AAVGD40W1 NFC, Mass Flow Controller, NF3,CCM | |
17693 | Mykrolis | CDL-12S13 | Mykrolis CDL-12S13 Baratron Pressure Transducer, 20 Torr, CDL1213, LAM | ||
17694 | Mykrolis | CMH-01 | Tylan General CMH-01 Manometer, Baratron | ||
17695 | Mykrolis | CMH4-M11S06 | Tylan General CMH4-M11S06 Manometer, Baratron | ||
17696 | Mykrolis | CMH4-M11S06 | Mykrolis CMH4-MM11S06 Millipore, 0-10 VDC, 0-100 M Torr | ||
17697 | Mykrolis | CMH4-M11S06 | Tylan General CMH4-M11S06 Manometer, Baratron | ||
17698 | Mykrolis | CMH4-M11S06 | Mykrolis CMH4-M11S06, Baratron, Manometer | ||
17699 | Mykrolis | CMH4-M11S06 | Mykrolis CMH4-M11S06, Baratron, Manometer | ||
17700 | Mykrolis | CMH4-M11S06 | Tylan General CMH4-M11S06 Manometer, Baratron | ||
17701 | Mykrolis | CMH4-M11S06 | Tylan General CMH4-M11S06 Manometer, Baratron | ||
17702 | Mykrolis | CMH4-M11S06-EMC | Mykrolis CMH4-M11S06-EMC Baratron, Manometer | ||
17703 | Mykrolis | CMH4M1106E | Mykrolis CMH4M1106E, Baratron, Manometer | ||
17704 | Mykrolis | CMH4M1106E | Mykrolis CMH4M1106E, Baratron, Manometer | ||
17705 | Mykrolis | CMH4M1106E | Mykrolis CMH4M1106E, Baratron, Manometer | ||
17706 | Mykrolis | CMH4M1106E | Mykrolis CMH4M1106E, Baratron, Manometer | ||
17707 | Mykrolis | CMH4M1106E | Mykrolis CMH4M1106E, Baratron, Manometer | ||
17708 | Mykrolis | CMH4M1106E | Mykrolis CMH4M1106E, Baratron, Manometer | ||
17709 | Mykrolis | CMX2T1124 | Mykrolis CMX2T1124 Digital Xactor Capacitance Diaphragm Gauger | ||
17710 | Mykrolis | D8VAD100 | Mykrolis D8VAD100 MFC Mass Flow Controller, IntelliFlow , N2SCCM | ||
17711 | Mykrolis | DSLAD100 | Mykrolis IntelliFlow Digital Flow controller N2 20 SCCM | ||
17712 | Mykrolis | FC-2900M | Mykrolis FC-2900M Mass Flow Controller, MFC, CL2, 30 SCCM, Tylan 2900 | ||
17713 | Mykrolis | FC-2900V | Mykrolis FC-2900V Mass Flow Controller, MFC, CO2, 5 SCCM, Tylan 2900 | ||
17714 | Mykrolis | FC-2902MEP5-T | MFC Mykrolis FC-2902MEP5-T, 5 SLPM, Gas N2 NEW lot of 2 | ||
17715 | Mykrolis | FC-2902MEP5-T | MFC Mykrolis FC-2902MEP5-T, 5 SLPM, Gas N2 NEW | ||
17716 | Mykrolis | FC-2902MEP5-T | Mykrolis FC-2902MEP5-T MFC, Mass Flow Controller, N2, 5 SLPM, | ||
17717 | Mykrolis | FC-2902MEP5-T-4V | NEW Mykrolis 2900 MFC FC-2902MEP5-T-4V, N2, 5 SLPM Gas range | ||
17718 | Mykrolis | FC-2903MEP5-T | AMAT | 3030-00559 | Mykrolis FC-2903MEP5-T MFC, N2, 5 SLPM, DA3LQ7WEF051, AMAT 3030-00559 |
17719 | Mykrolis | FC-2903MEP5-T | AMAT | 3030-00559 | Mykrolis FC-2903MEP5-T MFC, N2, 5 SLPM, DA3LQ7WEF051, AMAT 3030-00559 |
17720 | Mykrolis | FC-2903MEP5-T | AMAT | 3030-00559 | Mykrolis FC-2903MEP5-T MFC, N2, 5 SLPM, DA3LQ7WEF051, AMAT 3030-00559 |
17721 | Mykrolis | FC-2979MEP5-WM | Mykrolis FC-2979MEP5-WM Mass Flow Controller, MFC, O2, 2 SLPM | ||
17722 | Mykrolis | FC2902MEP-T | Novellus | 22-157425-00 | NEW Mykrolis FC-2902MEP-T, SiH4 gas,CM, novellus 22-157425- |
17723 | Mykrolis | FC2902MEP-T | Novellus | 22-159378-00 | NEW Mykrolis FC-2902MEP-T, NH3 gas, 20 SLPM, S3004 Novellus 22-159378-00 |
17724 | Mykrolis | FC2902MEP-T-4V | Novellus | 22-161384-00 | NEW Mykrolis FC-2902MEP-T-4V, SiH4 gas, 1.5 SLPM, novellus 22-161384-00 |
17725 | Mykrolis | FSCGD100QE00 | AMAT | 3030-09872 | Mykrolis FSCGD100QE00 Mass Flow Controller MFC, H2CM, 3030-09872 |
17726 | Mykrolis | SB110202KU | new throttle valves with KFge | ||
17727 | Mykrolis | WGMXMBSS3 | Mykrolis WGMXMBSS3 Wafergard Filter | ||
17728 | Mykrolis | WGMXMBSS3 | Mykrolis WGMXMBSS3 Wafergard Filter | ||
17729 | Mykrolis | CE300KF04R | Mykrolis CE300KF04R Aeronex GateKeeper Optics Gas Purifier, | ||
17730 | Mykrolis | MDVX-100B | Oriental Motor | C9566-9212K | Mykrolis MDVX-100B Throttle Valve, Vacuum General, Oriental Motor |
17731 | Mylex | DAC960LB | Mylex DAC960LB DB1 PCI Raid Controller 2 Channel SCSI, D040396-4E-DIG | ||
17732 | Myron L | 532T2 | 1080942 | Myron L DS Meter, 532T22 | |
17733 | Myron L Company | TP1 | Myron TP1 TechpPro II Handheld DS Meter | ||
17734 | N.E.C. | CPX0061 | 43 N.E.C. CPX0061 Screw C/D.B. FB4-3010-003, CVD-CPX0061 | ||
17735 | N/A | 118401 | WAFER CHUCK 135MM OD | ||
17736 | N/A | 504287071 | FPO-3527 | 504287071, FPO-3527, O-Ring, Seal. | |
17737 | N/A | 000000-1841-805 | 000000-1841-805 DC X Motor Unit, 000000-1332-169, 000000-1332-146, | ||
17738 | N/A | 017-0037810 | Assy 017-0037810 PCB Board, SCHM 017-0037169, C-NCR-86, | ||
17739 | N/A | 03-124010-00 | 2 03-124010-00 Rev.A, 1203, Cable Assembly. | ||
17740 | N/A | 10892-506 | 10892-506 Window, 260RH | ||
17741 | N/A | 10KV-1000PF | 10KV-1000PF, 8801 ±10%, DC10KV, RF-110, Condenser, Solid. | ||
17742 | N/A | 10KV-1000PF | 10KV-1000PF, 8805 ±10%, DC10KV, RF-110, Condenser, Solid. | ||
17743 | N/A | 160296-0002 | 160296-0002 Assy, Cable, Power, FCC To DAFA-PM2 Amp, | ||
17744 | N/A | 1764-757 | SIG+CB-190U1 | 1764-757, SIG+CB-190U1,LED Light Source, DOE VDC2, Class II LED Product. | |
17745 | N/A | 282-00024-01 | 282-00024-01 Interface Cable | ||
17746 | N/A | 282-00031-01 | 282-00031-01 Camera Video Cable | ||
17747 | N/A | 2L-1603P | 2L-1603P PS/2 to Master View CPU Switch CS-128, 3m | ||
17748 | N/A | 3225T69 | 30 Rubber-Cushioned Steel Loop Strap 300 Series SS, Silicone Cushion | ||
17749 | N/A | 372-45063-1 | Lot of 4 372-45063-1 .002 100MM Seal | ||
17750 | N/A | 4003-0518-01 | 4003-0518-01 Block Assembly | ||
17751 | N/A | 4014-0352-5005 | 4014-0352-5005 Beam Scanner Body | ||
17752 | N/A | 40325-01 | Robot Blade, End Effector, 300mm01 | ||
17753 | N/A | 445S70 | 2 445S70 O-Ring, 568-455, S70, VMQ | ||
17754 | N/A | 455S310 | 20 O-Ring, 568-455, S70, VMQ, High Temp | ||
17755 | N/A | 500247-00 | Four-00 Trans, Mosfet, 17A,IRFP462, N-Channel, | ||
17756 | N/A | 50480-0419 | Blade AB Hand Assy,0419 | ||
17757 | N/A | 50480-0419 | Blade AB Hand Assy,0419 | ||
17758 | N/A | 542039318-007 | Microwave Wave Guide, 542039318-007, 7.9GHz | ||
17759 | N/A | 568-5554 | LHV2N 568-5554 PCB Board, 25686754 | ||
17760 | N/A | 80311-01 | n/a | 1295-501 | CONTROL PANEL 80312-01 REV. D WITH 80311-01, 1295-501 REV. A |
17761 | n/a | 810-15279 | 810-15279 Throttle Valve Nitride Body | ||
17762 | N/A | 810-4676 | 810-4676 SMIF Elevator PCB, 010-4675, | ||
17763 | N/A | 832-2687A | 832-2687A Aluminum Electrode A for PY150 | ||
17764 | N/A | 832-2687A | 832-2687A Aluminum Electrode A for PY150 | ||
17765 | N/A | 835-2867A | 835-2867A, Aluminium Electrode A. | ||
17766 | N/A | 85297510-3 | 85297510-3 Isolation Valve Bellows Assembly | ||
17767 | N/A | 97-200-T50-IN | Retaining Ring 97-200-T50-IN Techtron PPS, 200mm,otted Groove | ||
17768 | N/A | A10020 | A10020, ORV-5OB-8.5, 1×10-9 Torr 1/Sec. Bellow Vacuum Flange. | ||
17769 | N/A | A182-60MC | A182-60MC Roater, H-IN, 0-12 Wafer | ||
17770 | N/A | AA2-12 | AAZ-12 | AA2-12 Cable, 8 Conductor, 16 AWG, AAZ-12 | |
17771 | N/A | BM29950 | Chip, BM29950 Rev.B U1. | ||
17772 | N/A | BM29950 | Chip, BM29950 Rev.B U1. | ||
17773 | N/A | BM29950 | Chip, BM29950 Rev.B U1. | ||
17774 | N/A | BM29950/B | CS68EA | Chip, BM29950/B, CS68EA, U1. | |
17775 | N/A | BM29950/B | CS68EA | Chip, BM29950/B, CS68EA, U1. | |
17776 | N/A | BM29950/B | CS68EA | Chip, BM29950/B, CS68EA, U1. | |
17777 | N/A | BM29950/B | CS68EA | Chip, BM29950/B, CS68EA, U1. | |
17778 | N/A | BM29950/B | CS 68EA | Chip, BM29950/B, CS 68EA, U1. | |
17779 | N/A | BM29950/B | CS 68EA | Chip, BM29950/B, CS68EA, U1. | |
17780 | N/A | BM29950/B | CS68EA | Chip, BM29950/B, CS68EA, U1. | |
17781 | N/A | BM29950/B | CS68EA | Chip, BM29950/B, CS68EA, U1. | |
17782 | N/A | BM29950/B | CS 68EA | Chip, BM29950/B, CS 68EA, U1. | |
17783 | N/A | BM29950/B | CS68EA | Chip, BM29950/B, CS68EA, U1. | |
17784 | N/A | BM29950R/A | Chip, BM29950R/A, U1. | ||
17785 | N/A | BM29951/B | CS5821 V2 | Chip, BM29951/B CS5821 V2 | |
17786 | N/A | BP6-17 | Board, PC, 20S Digital, | ||
17787 | N/A | CK6V-LV-1PSI | CK6V-LV-1PSI Check Valve, Gas Line, | ||
17788 | N/A | CRR804/5 | Motor Control I/O Board CRR804/5, PCB, 912000145/7, 651000142, | ||
17789 | N/A | CRR804/5 | Motor Control I/O Board CRR804/5, PCB, 912000145/7, 651000142, | ||
17790 | N/A | ES023-001448-1 | ES023-001448-1, XFRSYS BR940121, 8″ Fork Robot Blade End Effector, | ||
17791 | N/A | ES023-001448-1 | ES023-001448-1, XFR SYS BR940121, 8″ Fork Robot Blade, End Effector. | ||
17792 | N/A | F86-000-011 | Plate | F86-000-011 Plate, CPX-0060 | |
17793 | N/A | FC-SM40-TUR-NS | 2 FC-SM40-TUR-NS, Water Flow Checker, AC/DC 100V, 0.25A, 20W. | ||
17794 | N/A | FH-150-18-2NW | Vacuum Bellows FH-150-18-2NW, Hose Flex NW40 1.5 Feet KF40 Flange, | ||
17795 | N/A | KF-16 | Vacuum Flange, Connection with KF-16 Port, Gate Valve, Tubo Port. | ||
17796 | N/A | KGO-2142-4 | KGO-2142-4 Board, PC HV AMP Extract, PCB, | ||
17797 | N/A | LD-102 | LD-102-2 | Buss Driver, LPM, STD, PCB Circuit Board Assy, LD-102, L-102-2 | |
17798 | N/A | M-3-30120 | 2 M-3-30120 Electrode, Body, Made of Teflon | ||
17799 | N/A | MVP-220 | MVP-220 F70 O-Ring, Fluorosilicone | ||
17800 | N/A | n/a | 2 X Linear Stage | ||
17801 | N/A | n/a | Mason Foot Switch | ||
17802 | N/A | N/A | Machined 8″ Cover, Chuck. | ||
17803 | N/A | n/a | Ceramic Ring, Insert | ||
17804 | N/A | N/A | Honey Cone Baffle Liner. | ||
17805 | N/A | n/a | Star Linear Stage Warm Gear | ||
17806 | N/A | N/A | SOLID STATE CAMERA | ||
17807 | N/A | n/a | Wafer Handler Robot End Effector | ||
17808 | N/A | N/A | 125mm Chuck, pedestal. | ||
17809 | N/A | n/a | Universal Linear Stage Warm Gear | ||
17810 | N/A | n/a | Cover, Panel, DDC Valve Driver | ||
17811 | N/A | n/a | Gentec Valve w/ Gauge, Helium | ||
17812 | N/A | n/a | UV Light Source, Lamp, Optical | ||
17813 | N/A | n/a | UV Light Source, Lamp, Optical | ||
17814 | N/A | n/a | Flange, Vacuum ISO, Pipe, 12 Inch, 5 Inch, | ||
17815 | N/A | n/a | Flange, Vacuum, Pipeh, 5 Inch, Iso Connector with Bracket, | ||
17816 | N/A | n/a | Vacuum Flange w/ KF16 Connection and ISO Bellows Flange for Vacuum Pump | ||
17817 | N/A | n/a | Vacuum Flange w/ KF16 Connection and ISO Bellows Flange for Vacuum Pump | ||
17818 | N/A | n/a | Filament Assembly | ||
17819 | N/A | n/a | Vacuum Flex Hose, Tube, Flange, KF40 | ||
17820 | N/A | n/a | Filament Assembly | ||
17821 | N/A | n/a | KF50 to KF40 Reducer, 3162, SA24 | ||
17822 | N/A | n/a | Flange, Bellows, Vacuum Valve | ||
17823 | N/A | N/A | VGA CONNECTOR CABLE SET OF TWO | ||
17824 | N/A | NA | Stainless Steel Ultrasonic Tank w/Thermocouples, | ||
17825 | N/A | NA | Power Supply Box, Mitsubishi CP30-BA, Fuji Electric, FS-45 Gauge, 0-150V | ||
17826 | N/A | NA | Microscope Lamp, Light Source, Optic Illuminator, AC120V, | ||
17827 | N/A | OLE36-10632-03-0625 | OLE36-10632-03 0625, CHILLER WATER HOSE | ||
17828 | N/A | P-110 | P-110 570G O-Ring, Grey Silicone | ||
17829 | N/A | PK18665-H01 | PK18665-H01 Insert, Runner, Upper (28 Pon) | ||
17830 | N/A | PMC32 | PMC32 Robot Assemby w/Arm, CKD UPS-1K, ID | ||
17831 | N/A | QCS1-580 | QCS1-580 Aperture Filter | ||
17832 | N/A | QF40-150-CW | 2 QF40-150-CW Clamps, NW40, KF40, | ||
17833 | N/A | SAS-10087 | SAS-10087 Ring Nut, Ceramic | ||
17834 | N/A | SESI-I04 | SESI-I04 AP-504A Circuit Board, PCB, | ||
17835 | N/A | SP-MEC-000082-A | SP-MEC-000082-A Rotary Pump (2063) Cooling Coupling Fan | ||
17836 | N/A | SPB-V2428-6 | SPB-V2428-6, Wafer Chuck Mark II, 089-3. | ||
17837 | N/A | SPUWSI-01 | SPUWSI-01, Lower Dome, SPU.WSI.001.01, A+B. | ||
17838 | N/A | ST8101-034 | ST8101-034 Issue 5 PCB Board | ||
17839 | N/A | SVT105C | SVT105C Power Cord for Power Supply | ||
17840 | N/A | TM30-0025 | TM30-0025 Input Output Interface Module, TM 30019 | ||
17841 | N/A | UE8-6FN-1 | 953972, UE8-6FN-1, Reducer, Valve, Elbow | ||
17842 | N/A | UG567 | 5 UG567 A/U High Frequency RF to Coax Elbow | ||
17843 | N/A | UG567 | 7 UG567 A/U High Frequency RF to Coax Elbow | ||
17844 | N/A | VH-4 | Vacuum Gauge, VH-4, DV-24 | ||
17845 | N/A | N/A | 4-Way Cross Vacuum Flange Connector Assembly | ||
17846 | N/A | N/A | Flexible Bellows 6″, ISO100 Flange, Stainless Steel | ||
17847 | N/A | N/A | Flexible Bellows 6″, ISO100 Flange, Stainless Steel | ||
17848 | N/A | N/A | Flexible Bellows 6″, ISO100 Flange, Stainless Steel | ||
17849 | N/A | N/A | 90° Elbow ISO100 Flange, 4″ | ||
17850 | N/A | 408214-001 | 408214-001 Tube Spray 150MM | ||
17851 | N/A | Z73087 | 2 Z73087 Relay 24VDC DPDT 8Pin 10A | ||
17852 | N/A | 78-121462A99 | 4 78-121462A99 6′ Boat Contractor ZCR-702122-0 L.R. | ||
17853 | N/A | N/A | Chip Pick and Place Tool, Tester, 6422e-AC | ||
17854 | N/A | 54-0365-01 | 2 CPU Heat Sink, 54-0365-01 | ||
17855 | N2Power | XL160-12 CS S19 (XL160-3 S19) | 400013-06-6 | 2 N2Power XL160-12 CS S19 (XL160-3 S19) Power Supply-06-6 | |
17856 | NA | 9760607 | Relay Box, 9760607, 305-CR, 305-TB, 3150121 | ||
17857 | NA | 11-38525 | Gate Valve, KF-40, 11-38525 | ||
17858 | NA | BM303030200 | PCB BM303030200 Assy, Board Exec, Prog, XFER,2; | ||
17859 | NA | NA | Filter Housing | ||
17860 | NA | NW25 | Lot of 5 NW25 NW-25 Wing Vacuum Clamp | ||
17861 | NA | 3WH100TD2465PN | 6306010 | Type 3WH100TD2465PN Straight Welding Adapter | |
17862 | NA | MDO-530326 | SMC | CDQMA50TN | Chip Chuck Heater & Cooling Assembly, MDO-530326, MDO-528806, MDO-52979 |
17863 | NA | KC2205LB | Type KC2205LB Harness Assembly, Cable | ||
17864 | NA | KC2605LBG | Type KC2605LBG Harness Assembly, Cable | ||
17865 | NA | KC2105LB | Type KC2105LB Harness Assembly, Cable | ||
17866 | NA | 1396 | Model 1396 Video Interface Board, PCB, 3189 | ||
17867 | NA | 250081 | 2 Bracket, Mount, 250081 | ||
17868 | NA | NA | Cable Assy, Flexible Hose, Vacuum, 8 Feet Long | ||
17869 | NA | NA | Cable Assy, Flexible Hose, Vacuum, 8 Feet Long | ||
17870 | NA | 2123557 | Type 2123557 Board, PCB | ||
17871 | NA | NA | Chamber Assembly, 1559A01322LR1BV, SY3140-5LZ, USB-IIRO-16, S-320-24 | ||
17872 | NA | NA | Motor Assembly, Black, Automation, Servo | ||
17873 | NA | FTH-0009-A | Ferrofluidic Feedthrough FTH-0009-A-000 | ||
17874 | NA | NA | 3-Way Vacuum Tee Connector, Flange, KF25, ISO100 | ||
17875 | NA | NA | High Vacuum Gate Valve, Flange, Vacuum Chamber, Isolation | ||
17876 | NA | NA | XY Stage Table Custom Design Surface Panel Measurement Solar Cell Window | ||
17877 | NA | 03-00365 | Type 03-00365 Ferro Motor Comm, Spindle Control X1 Cable | ||
17878 | NA | DA0J1ITB6D4 | DA0J1ITB6D4 Snapserver Universal PCI Dual SCSI Adatper, PCB | ||
17879 | NA | AMI-7019F/2019C | Type AMI-7019F/2019C Modem Card, PCB, 92019-2, CPIM0P2019-02 | ||
17880 | NA | NA | Window Viewport, Chamber | ||
17881 | NA | NA | 300mm Wafer Chuck | ||
17882 | Nachi Fujikoshi | SD5-10 | Nachi Fujikoshi Corp. Read Co. Diamond Wheel Cup Wheel, SD5-10 CR2d-C | ||
17883 | Nagano Keiki | ZT17-1G6 | Nagano Keiki ZT17-1G6 Valve, Isolation | ||
17884 | Nais Matsushita Panasonic | AE10 | NAIS Matsushita LightPix AE10, New in OEM Box | ||
17885 | Nais Matsushita Panasonic | AE10 | NAIS Matsushita Panasonic LightPix AE10 with manual | ||
17886 | Nais Matsushita Panasonic | AFP12217B | 2 NEW NAIS FP1 Control Unit AFP12217B Version 2.0, Power 100-240V AC, Matsushita | ||
17887 | Nais Matsushita Panasonic | AFP13813-F | FP1-E8R | 8 NEW NAIS FP1 Expansion Unit AFP13813-F, FP1-E8R | |
17888 | Nais Matsushita Panasonic | AFP8502 | 2-Axis Type | NEW NAIS AFP8502 Motor Driver I/F Terminal 2-Axis type | |
17889 | Nais Matsushita Panasonic | AFP8502 | 3 NEW NAIS AFP8502 Motor Driver I/F Terminal 2-Axis Type | ||
17890 | Nais Matsushita Panasonic | AFP87432 | NEW NAIS Panasonic Matsushita AFP87432 Remont Term 12DCIN/8Relay Screw | ||
17891 | Nais Matsushita Panasonic | AFPE806 | FP-e Panel | 19 NEW NAIS AFPE806 FP-e Panel Cover | |
17892 | Nais Matsushita Panasonic | AKA82101 | 2 NAIS AKA82101 Switch Mounts | ||
17893 | Nais Matsushita Panasonic | ANE11, ANE12 | Matsushita | ANE1030 | Nais, Matsushita, Lightpix AEIO Vision Sensor Kit, ANE11, 040121, HK15A-24/A |
17894 | Nais Matsushita Panasonic | DVS-180N | NAiS Matsushita DVS-180N, DVS-180N 6FMK DPS Pressure sensor, SUNX numatics, 7802 | ||
17895 | Nais Matsushita Panasonic | DVS-180N 6FMK | MATSUSHITA | NAiS DVS-180N 6FMK DPS Pressure sensor, SUNX Limited, numatics, Matsushita | |
17896 | NANABOSHI | V30-I02 | NANABOSHI V30-I02, CABLE, BLACK, W/CONNECT 2M | ||
17897 | Nano | KQ-701P | Nano Coulomb Meter KQ-701P | ||
17898 | Nanoboshi | NJC-207-PM | Nanoboshi NJC-207-PM Connector Cable | ||
17899 | Nanoboshi | NJC-2012-PF | Nanoboshi NJC-2012-PF Connector Cable | ||
17900 | Nanometrics | 7200-013198 | XLS75 | Nanometrics 7200-013198 Rev. G, Xenon Source | |
17901 | Nanometrics | 7200-013198 | Nanometrics 7200-013198, Rev. H, XLS75, Xenon Source | ||
17902 | Nanometrics | 7200-02208 | Nano Metric XLS75, 7200-02208 Rev.B , UV Light Source. | ||
17903 | Nanometrics | 7200-022808 | 7300-3765 C | Nanometrics 7200-022808 Rev. C, 7300-3765 C | |
17904 | Nanometrics | 7200-022808 | Nanometrics 7200-022808, Rev. E, XLS75, Xenon Source | ||
17905 | Nanometrics | 7200-022808-R | XLS75 | 7300-3765-D | Nanometrics 7200-022808-R, Rev. E, XLS75, Xenon Source, 7300-3765-D |
17906 | Nanometrics | 7200-022808-R | XLS75 | 7300-3765-D | Nanometrics 7200-022808, Rev. F, XLS75, Xenon Source, 7300-3765-D |
17907 | Nanometrics | 7200-022808-R | XLS75 | 7300-3765-D | Nanometrics 7200-022808-R, Rev. F, XLS75, Xenon Source, 7300-3765-D |
17908 | Nanometrics | 7200-022808-R | XLS75 | 7300-3765-D | Nanometrics 7200-022808-R, XLS75, Xenon Source, 7300-3765-D |
17909 | Nanometrics | 7200-022808-R | XLS75 | 7300-3765-D | Nanometrics 7200-022808-R, XLS75, Xenon Source, 7300-3765-D |
17910 | Nanometrics | 7210-013556 | NANO METRICS 7210-013556 Chuck assymbely | ||
17911 | Nanometrics | 7300-022965 | Nanometrics 7300-022965 Anodized Aluminum Chuck, Full Contact, 270mm | ||
17912 | Nanometrics | 7300-022965 | Nanometrics 7300-022965 Anodized Aluminum Chuck, Full Contact, 270mm | ||
17913 | Nanometrics | 7300-022965 | Nanometrics 7300-022965-B Anodized Aluminum Chuck, Full Contact, 270mm | ||
17914 | Nanometrics | 7300-022965-B | Nanometrics 7300-022965-B Anodized Aluminum Chuck, Full Contact, 270mm | ||
17915 | Nanometrics | 7300-027789-3 | Nanometrics 7300-027789-3 Anodized Aluminum, Chuck, Thin GRV, Special Lap, | ||
17916 | Nanometrics | 7300-22965-B.1 | Nanometrics 7300-22965-B.1 Anodized Aluminum Chuck, Full Contract, 270mm | ||
17917 | Nanometrics | 7300-22965-B.1 | Nanometrics 7300-22965-B.1 Anodized Aluminum Chuck, Full Contract, 270mm | ||
17918 | Nanometrics | 7301-022965 | Nanometrics 7301-022965, Anodized Aluminum, Flat Cutout Vacuum, 300mm. | ||
17919 | Nanometrics | 7301-022965 | Nanometrics 7301-022965 Anodized Aluminum Flat Cutout Vacuum, 300mm | ||
17920 | Nanometrics | 8200-013635 | Nanometrix 8200-013635, 8300-013635E PCB Board | ||
17921 | Nanometrics | 8200-013635 | Nanometrics 8200-013635 PCB Board, 8200-013635E, ID | ||
17922 | Nanometrics | 8200-028757 | NANOMETRICS 8200-028757 PCA DC DIST ATLAS XP FAB 8300-028757 | ||
17923 | Nanometrics | 8200-0372 | Nanometrics Amp. / Volt. Regulator, Assembly 8200-0372 | ||
17924 | Nanometrics | 8300X | 7000-0519 | Nanometrics 8300X Film Thickness Analyzer, Wafer, 7000-0519, 7200-2161 | |
17925 | Nanometrics | 9407-027239 | Nanometrics 9407-027239, Indexer, Mask, Internova, SEMICOA – | ||
17926 | Nanometrics | XLS75 | Nanometrics XLS75 | ||
17927 | Nanometrics | XLS75 | 7200-022808 | Nanometrics XLS75 7200-022808 | |
17928 | Nanometrics | XLS75 | 7200-022808-R | Nanometrics XLS75 7200-022808-R | |
17929 | Nanometrics | XLS75 | 7200-022808 | Nanometrics XLS75 7200-022808 | |
17930 | Nanometrics | XLS75 | 7200-022808 | Nanometrics XLS75 7200-022808 | |
17931 | Nanometrics | XLS75 | 7200-022808 | Nanometrics XLS75 7200-022808 | |
17932 | Nanometrics | XLS75 | 7200-022808 | Nanometrics XLS75 7200-022808 | |
17933 | Nanometrics | XLS75 | Nano Metric XLS75 , UV Light Source. | ||
17934 | Nanometrics | 8400-1324 | Applied Materials AMAT | 0190-08858 | Nanometrics 8400-1324 Assy, Cable, Adapter, AMAT 0190-08858 |
17935 | Nanomotion | AB4 HR E2 | Nanomotion AB4 HR E2, Driver Box, | ||
17936 | Nanomotion | AB4 HR E2 | Nanomotion AB4 HR E2, Driver Box, | ||
17937 | Nanomotion | AB4 HR E2 | Nanomotion AB4 HR E2, Driver Box, | ||
17938 | Nanopure | AS568A-109 | 10 Nanopure AS568A-109 O-Ring Viton Seal Compound 7575, Oring 2-109 | ||
17939 | Nanopure | AS568A-111 | AMAT | 3700-01534 | Nanopure AS568A-111 Oring, Compound #9080, 2-111 O-Ring, AMAT 3700-01534 |
17940 | Nanopure | AS568A-111 | AMAT | 3700-03837 | Nanopure AS568A-111 Oring, Compound #9080, 2-111 O-Ring, AMAT 3700-03837 |
17941 | Nanopure | AS568A-117 | AMAT | 3700-01829 | Nanopure AS568A-117 Oring, Compound #9080, 2-117 O-Ring, AMAT 3700-01829 |
17942 | Nanopure | AS568A-202 | AMAT | 3700-01756 | Nanopure AS568A-202 Oring, Compound #9080, 2-202 O-Ring, AMAT 3700-01756 |
17943 | Nanopure | AS568A-233 | AMAT | 3700-02063 | Nanopure AS568A-233 Oring, Compound #9080, 2-233 O-Ring, AMAT 3700-02063 |
17944 | Nanopure | AS568A-233 | AMAT | 3700-02063 | Nanopure AS568A-233 Oring, Compound #9080, 2-233 O-Ring, AMAT 3700-02063 |
17945 | Nanopure | AS568A-326 | Nanopure AS568A-117 O-Ring Compound #9080 2-117 Oring M&E Technologies | ||
17946 | Nanopure | K12466 | M&E Technology | Nanopure K1246 O-Ring, Compound #9080, M&E Technology, Oring | |
17947 | Nanopure | K12466 | M&E Technology | Nanopure K1246 O-Ring, Compound #9080, M&E Technology, Oring | |
17948 | Nanopure | K12466 | M&E Technology | Nanopure K1246 O-Ring, Compound #9080, M&E Technology, Oring | |
17949 | Nanotec | GPLE60-38-320 | Nanotec GPLE60-38-320 Precision Planetary Gear, PLE 60, OP 0Z, | ||
17950 | Nanotec | N5-2-2 | Nanotec N5-2-2, Motor, Controller, N5, Canopen, 18A, RMS. | ||
17951 | Nanotec | N5-2-2 | Nanotec N5-2-2 Motor Controller, N5, CANopen, 18A, RMS, | ||
17952 | Nanotec-Munich | DB59M024035-A | Nanotec-Munich DB59M024035-A, Stepper, Servo Motor, 24V,M. | ||
17953 | National | BJJ2-15-31 | National BJJ2-15-31 Leakage Breaker, 2P1E, 15A, 30mA, AC100V | ||
17954 | National | BJJ2-15-32 | National BJJ2-15-32 Leakage Breaker, 2P2E, 15A, 30mA, AC100-200V | ||
17955 | National display systems | DM-X15/ZN | 2 National display system DM-X15/ZN, touch screen assy 90X0080, 15 inch | ||
17956 | National Electronics | 6BK4C/6EL4A | National Electronics 6BK4C/6EL4A Scan Amplified Tubes001 | ||
17957 | National Electronics | 6BK4C/6EL4A | National Electronics 6BK4C/6EL4A Scan Amplified Tubes001 | ||
17958 | National Electronics | 6BK4C/6EL4A | National Electronics 6BK4C/6EL4A Scan Amplified Tubes001 | ||
17959 | National Electronics | 6BK4C/6EL4A | 03-00289-00 | National Electronics 6BK4C/6EL4A Scan Amplified Tubes001 | |
17960 | National Electronics | 6BK4C/6EL4A | National Electronics 6BK4C/6EL4A Scan Amplified Tubes001 | ||
17961 | National Electronics | 6BK4C/6EL4A | National Electronics 6BK4C/6EL4A Scan Amplified Tubes001 | ||
17962 | National Instruments | 182845C-01 | National Instruments 182845C-01 Cable to 9 DSUB | ||
17963 | National Instruments | 182853C-02 | National Instruments 182853C-02 2M Cable ES7891 Type CL2 28 AWG 300V | ||
17964 | National Instruments | 183030D-01L | 15D159D | National Instruments, 183030D-01L, 15D159D, N114, PCB Board | |
17965 | National Instruments | 186936A-01 | National Instruments 186936A-01 Serial Breakout Module RS-232 16-Port, | ||
17966 | National Instruments | 6052E | Multifunction 16 channel 16 bit I/O PCI card 185489D | ||
17967 | National Instruments | A08BB8 | National Instruments AT-MIO–16DE-10, A08BB8 | ||
17968 | National Instruments | AT-GPIB/TNT | National Instruments AT-GPIB/TNT Plug And Play Board, Assy 182885E-01 | ||
17969 | National Instruments | AT-MXI | National Instruments AT-MXI PCB board | ||
17970 | National Instruments | AT-MXI | National Instruments PCB board AT-MXI | ||
17971 | National Instruments | GPIB-1014 | National Instruments GPIB-1014 VME Module, PCB, 180155H-56, 180157-01, | ||
17972 | National Instruments | GPIB-120A | national instruments GPIB-120A, Bus Expander/isolator, 1S1555E-31,z | ||
17973 | National Instruments | PC-DIO-24 | 180710C-01 | 180712-01 | National Instruments PC-DIO-24, 180710C-01, 180712-01 |
17974 | National Instruments | PCI-6503 | 185183A I/O port | ||
17975 | National Instruments | PXI-1033 | National Instruments NI PXI-1033 Controller, 194918H-01L, w/ 2 PXI-6225, RS1157 | ||
17976 | National Instruments | PXI-6040E | National Instruments PXI-6040E 250kS/S Multifunction I/O, 12 Bit Board, | ||
17977 | National Instruments | PXI-6225 | National Instruments PXI-6225 80, Analog Multifunction DAQ Board, PCB. | ||
17978 | National Instruments | PXI-6509 | UL94V-0 | National Instruments NI PXI-6509, UL94V-0, Industrial Digital I/O. | |
17979 | National Instruments | PXI-6509 | 190246D-01L | National Instruments N114 PXI-6509, 190246D-01L, Industrial Digital I/O. | |
17980 | National Instruments | PXI-6509 | National Instruments PXI-6509, High-Current Digital I/O Board, PCB, CCA. | ||
17981 | National Instruments | PXI-6514 | National Instruments 190318C-02L PXI-6514 PCB Board CCA, 778965-01 | ||
17982 | National Instruments | PXI-8156B | National Instruments PXI-8156B, Embedded Controller, PXI-8150B, PXI-PCI0B. | ||
17983 | National Instruments | PXI-8420/8421 | National Instruments 8420, PXI-8420/8421 8-Port Serial Interface, PCB | ||
17984 | National Instruments | SCB-100 | National Instruments NI SCB-100 Shielded I/O Connector Block, 776990-01, RS1145 | ||
17985 | National Instruments | SCB-100 | 182788E-01L | National Instruments NI SCB-100 Shielded I/O Connector Block, 182788E-01L, RS1204 | |
17986 | National Instruments | SCH-1307 | National Instruments SCH-1307nnel Isothermal Terminal Block | ||
17987 | National Instruments | SCXI-1331 | National Instruments SCXI-1331 Terminal Block | ||
17988 | National Instruments | SCXI-1331 | National Instruments SCXI-1331 Terminal Block | ||
17989 | National Instruments | TB-2633 | 197698B-01L | National Instrument NI TB-2633 4×64 Matrix Terminal Block, 197698B-01L, RS1205 | |
17990 | National Instruments | TB-2633 | 197698B-01L | National Instrument NI TB-2633 4×64 Matrix Terminal Block, 197698B-01L, RS1206 | |
17991 | National Instruments | TC-2190 | National Instruments TC-2190, Thermocouple Connector Block, 184473C-01. | ||
17992 | National Instruments | USB-232/4 | 1.88E-06 | National Instruments NI USB-232/4 USB To RS-232 Adapter, 187660E-11, RS1196 | |
17993 | National Instruments | PCI-GPIB | National Instruments PCI-GPIB, 183617G-01, 9948CAJ | ||
17994 | National Instruments | SCB-68 | 182469F-01L | National Instruments SCB-68 Shielded I/O Connector Block / Screw Terminal 100063 | |
17995 | National Instruments | cFP-BP-4 | 188546F-01 | National Instruments cFP-BP-4 FieldPoint Compact Control System, cFP-2120 | |
17996 | National Instruments | 763061-04 | National Instruments 763061-04 Type X2 GPIB Double Shielded Cable, 8.1M | ||
17997 | National Instruments | SHC68-68 | 191945C-01 | National Instruments SHC68-68 Shielded Cable, 191945C-01, 1 Meter, 1M | |
17998 | National Instruments | SHC68-68 | 191945C-01 | National Instruments SHC68-68 Shielded Cable, 191945C-01, 1 Meter, 1M | |
17999 | National Instruments | SHC68-68-S | 185262C-01 | National Instruments SHC68-68-S Shielded Test Cable 185262C-01 1 Meter 1M 101357 | |
18000 | National Instruments NI | PS-15 | National Instruments NI PS-15 Power Supply | ||
18001 | National Instruments NI | USB-6501 | 192317E-01L | National Instruments NI USB-6501 24-Line Digital I/O Controller w/ Cables | |
18002 | National Instuments | TB-2633 | National Instruments NI TB-2633 4×64 Matrix Block NI PXIE-4138 System SMU | ||
18003 | NAVIS | Tx2-L-12V | Lot ofis Tx2-L-12V Telecom Relay | ||
18004 | NEC | L-72V1 | Lot of 5, NX8341TN-185B Lazer diodes | ||
18005 | NEC | NR3311TX-185 | microwave transmitter | ||
18006 | NEC Corporation | C04210-00340 | 2 NEC Corporation C04210-00340, Gauge – Oil | ||
18007 | Neiko | NA | Neiko Digital Tachometer | ||
18008 | Neilsen-Kuljian | D150-1A | TEL | SS04120 | Neilsen-Kuljian D150-1A Sensor Current Operated DC Switch, TEL SS04120, |
18009 | Nemic Lambda | HR-10F-15 | Nemic Lambda HR-10F-15 Power Supply, 15V, 4A | ||
18010 | Nemic Lambda | HR-11F-5 | Nemic Lambda HR-11F-5 Power Supply, 5V, 20A | ||
18011 | Nemic Lambda | HR-12F-36V | Nemic Lambda HR-12F-36V Switching Regulator DC Power Supply, 36V, 0.4A. | ||
18012 | Nemic Lambda | HR-12F-36V | Nemic Lambda HR-12F-36V Switching Regulator DC Power Supply, 36V, 0-4A, | ||
18013 | Nemic Lambda | HR-12F-36V | Nemic Lambda HR-12F-36V Switching Regulator DC Power Supply, 36V, 0-4A, | ||
18014 | Nemic Lambda | MS-9-12 | Nemic Lambda MS-9-12 Power Supply, 12V, 3.0A | ||
18015 | Nemic Lambda | MS-9-12 | Nemic Lambda MS-9-12 Power Supply, 12V, 3.0A | ||
18016 | Nemic Lambda | PL2406 | 442051-054 | Nemic Lambda PL2406, 442051-054, Power Supply, 24v, 6A. | |
18017 | Nemic Lambda | PL2406 | 442051-054 | Nemic Lambda PL2406, 442051-054, Power Supply, 24v, 6A. | |
18018 | Nemic Lambda | V39-217700-2 | Nemic Lambda V39-217700-2 Regulator, Switching, ID | ||
18019 | Nemic-Lambda | EWS100-24 | Nemic-Lambda EWS100-24, Power Supply, 24V, 4.2A,z. | ||
18020 | Nemic-Lambda | EWS100-24 | Nemic-Lambda EWS100-24 Power Supply, 24V,z | ||
18021 | Nerlite | 650400 | Nerlite 650400 Low Profile Back Light, BL-50x 200-LP, 010-650400 | ||
18022 | Nerlite | 010-650400 | Nerlite 010-650400 Backlights, 2 inch X 8 inch | ||
18023 | Neslab | ESC-150 | CFC-113 | Neslab ESC-150 Recirculating Chiller w/ Digital Temperature Controller, CFC-113 | |
18024 | Neslab | HX-150 | 3.88104E+11 | Neslab HX-150 Chiller, 388104040227, Coolflow, Refrigerated Recirculator | |
18025 | Neslab | ThermoFlex900 | Neslab ThermoFlex900 Recirculating Chiller, Thermo Fisher Scientific | ||
18026 | Neslab | HX750 | 3.92209E+11 | Neslab HX750 Recirculating Chiller051703, HX+750W, CP-75 | |
18027 | Netgear | FA310TX | FA32B95047264 | 2 Netgear FA310TX, FA32B95047264 Network Card | |
18028 | Netgear | JFS516 | 17-262853-00 | 2 Net Gear JFS516 16-Port Fast Ethernet Switch 17-262853-00 | |
18029 | Netgear | JFS516 | Netgear JFS516 ProSafe16 Port 10/100 Fast Ethernet Switch | ||
18030 | Netgear | UTM25 | Netgear UTM25 Prosecure Unified Threat Management Firewall | ||
18031 | NetTest | Model SP | NetTest Model SP Fiberwhite Super luminescent Erbium Doped Fiber Source | ||
18032 | New design technology | ELC-0014-B | NEW DESIGN TECHNOLOGY DT360 ELC-0014-B | ||
18033 | New Port | 460A | RSP-1T | New Port XYZ Laser Alignment Fixture Assy, 460A, RSP-1T Rotating Mt 1″ | |
18034 | New Power Plasma | NPR-802L | New Power Plasma NPR-802L Reactor, Process, | ||
18035 | New Power Plasma | NPR-802L | New Power Plasma NPR-802L Reactor, Process, | ||
18036 | New Wave Research | AS200FX | New Wave Research AS200FX Optical Plate2RC PCBA | ||
18037 | New York Blower | E05517 100 | New York Blower E05517 100 ACF SW Fan Class 3 ARR-4 w/ JVA254TTFNA6515BAL | ||
18038 | New York Blower | E05517 105 | New York Blower E05517 105 Pressure Blower, Marathon JVA13TTTN6501BAP, | ||
18039 | Newlon Seimitsu | LS-15GT | Newlong Seimitsu LS-15GT Screen Printing Machine, | ||
18040 | Newnet | 56776ASSY91904-504 REV N | NEWNET 56776ASSY91904-504 REV N BOARD, AX7000 | ||
18041 | Newport | 5502 | NEWPORT 5502 Dual High Power Laser Diode Drive Module, Powers up | ||
18042 | Newport | 6530 | Newport Laser diode driver model 6530 | ||
18043 | Newport | 9008 | Newport 9008 Modular Controller | ||
18044 | Newport | 9008 | Newport 9008 Modular Controller | ||
18045 | Newport | 32720 | 32721 | NEWPORT, SHEATH AND WASTE Fluidics Control, Assy BARE PCB REV A | |
18046 | Newport | 62711 | SX16007 | Newport 62711 Xenon Arc Lamp, 1600W, Ozone Free, SX16007, RS1018 | |
18047 | Newport | 70104 | 69911 | Newport TracQ Basic Solar Simulator w/ Oriel Merlin Radiometry System Controller | |
18048 | Newport | 04-8029-01-1RMP | Newport Q2SL, 04-8029-01-1RMP x-y automated 8inch wafer loading port | ||
18049 | Newport | X-TMI111 | Force transducer display with INTERFACE transducer SSB-AJ-500, | ||
18050 | Newport | Z418B | Newport Z418B TSPI 5VCD Encoder Supply PCB, FAB 0014, EZ851A0 | ||
18051 | Newport | 2061-001182-000 | Newport 2061-001182-000 EVAL Board, PCB, FAB 2060-001182-000 | ||
18052 | Newport Electronics | CT485B-110V-W/N | Spiral Chart recorder with Temp / humidity probe and extra charts. | ||
18053 | Newport Electronics | F-CPL-L12355P | Benchtop coupler, 1310 nm and 1550 nm | ||
18054 | Ney | 40-5WP2-0506 | Ney2-0506 Sweepsonik 2 Ultrasonic Generator, 110V | ||
18055 | Ney | 40-SWP2-506 | Ney2-506 Sweepsonik 2 Ultrasonic Generator, 110 Volts | ||
18056 | Ney | 72-S2G | Ney 72-s2g Sweepsonic 2 Ultrasonic generator 208 V | ||
18057 | NIBCO | 922275-003 CFS | NIBCO 922275-003 CFS Rev.1, PVC-I NSF-PW D2467 8″, Valve, Assembly. | ||
18058 | NIC Component Corp | NMC0603X7R102J50TRP | NIC Components Corporation NMC0603X7R102J50TRP, Ceramic Chip Capacitor, | ||
18059 | NIC Component Corp | NNC0603x7R103J50TRP | Lot ofIC .01uFramic Chip capacitors NNC0603x7R103J50TRP | ||
18060 | Nichias Tombo | MH3-008390-D | Nichias Tombo MH3-008390-D, Super Ring, Silica, VF, | ||
18061 | Nicholas | TA502 | Nicholson TA502 Thermostatic Steam Trap,IG, 750 Deg F | ||
18062 | Nicolet | ECO-8S | Nicolet ECO-8S FTIR Spectrometer w/ Controller, Wafer | ||
18063 | Nidec | 3206-044 | Nidec44 Hurst Motor, 5 VDC, 8W | ||
18064 | NIDEC | PC-101 | NIDEC PC-101 OKB-2505-22 PCB CDK-4V ID | ||
18065 | NIDEC | PC-101 | NIDEC PC-101 PCB OKB-2505-22 063 CDK-4V | ||
18066 | Nidec Corp. | F08A-12B2S2 | Intel | Nidec F08A-12B2S2 CPU Fan Assy, Intel, DC12V, 0.30A | |
18067 | Nidec-Shimpo | DT-311 | Nidec-Shimpo Corporation, DT-311, Digital Strobo, 115V. | ||
18068 | Nien-Yi | E212689 | Nien-Yi AWM E212689 Serial Cable, Grey, DB9 Male to DB9 Female | ||
18069 | Nihon Seiki | BN-109AA-10 | Nihon Seiki BN-109AA-10 Timing Valve | ||
18070 | Nihon Seiki | BN1321-15 | Nihon Seiki BN1321-15 Flow Switch | ||
18071 | Nikko Metals | ANQ-C25-000065D | AMAT | 0021-20399 | Nikko ANQ-C25-000065D, Copper, 200mm, Slim Cell Anode, AMAT 0021-20399 |
18072 | Nikko Metals | ANQ-C25-000065D | AMAT | 0021-20399 | Nikko ANQ-C25-000065D, Copper, 200mm, Slim Cell Anode, AMAT 0021-20399 |
18073 | Nikon | 33228 | 210/0 | M Plan 100 | Nikon 33228 Microscope Objective Lens M Plan 100 0.90 Dry 210/0 |
18074 | Nikon | 211747 | Nikon 211747, BD Plan 60, 0.80, 210/0, Microscope Lens. | ||
18075 | Nikon | 4S990-669AN | Lead wire, wee lamp, B12497 4S990-669AN | ||
18076 | Nikon | 02-04892 | PCB Interconnect Nikkon 02-04892 | ||
18077 | Nikon | 02-04892 | NIKON 02-04892 PCB INTERFACE INTERCONNECT 09-04798 | ||
18078 | Nikon | 02-04892 | Nikon 02-04892, PCB Interface Interconnect, FAB 09-04798. | ||
18079 | Nikon | 10X/0.30 | NIKON PLAN FLUOR 10X/0.30 MICROSCOPE OBJECTIVE LENS | ||
18080 | Nikon | 12049-2 | Nikon 12049-2 R.APTR PCB | ||
18081 | Nikon | 2.5X/0.075 | Nikon 2.5X/0.075 Microscope Objective Lens, WD 8.8, CF Plan 2.5X/0.075 EPI | ||
18082 | Nikon | 26028-1A | NK851 | KBB00122-AE1 | Nikon 26028-1A, NK851 PCB, KBB00122-AE1 |
18083 | Nikon | 26028-1A | NK851 | KBB00122-AE1 | Nikon 26028-1A, NK851 PCB, KBB00122-AE1 |
18084 | Nikon | 2S700-073-4 | Nikon 2S700-073-4 PCB, I/O | ||
18085 | Nikon | 2S700-075-2 | Nikon 2S700-075-2 PCB Assy, CPU, | ||
18086 | Nikon | 45007-799 | Nikon799 Process Control Board, PCB, IU-XB | ||
18087 | Nikon | 45007-837AN | Nikon837AN Interface Control Board, PCB837, AF-PSDXB | ||
18088 | Nikon | 45007-865 | Nikon865 Interface Control Board, PCB, SR8-XYZ, 2516-47009-C-03, | ||
18089 | Nikon | 45013-255 | Nikon255 Interface Control Board, PCB, STGPWT, 370357 | ||
18090 | Nikon | 45015-119 | Nikon119 Process Board, PCB, NK386Sx4, | ||
18091 | Nikon | 45018-162AN | Nikon162AN Backplane Interface Board, PCB162, ALGMTH-SR8 | ||
18092 | Nikon | 45018-169 | Nikon169 Interface Control Board, PCB, ALG-INF, 580053, | ||
18093 | Nikon | 45018-386 | Nikon386 PCB Board, BLDRVX2, | ||
18094 | Nikon | 4S007-177-1 | NIKON PTEC AE07 MADE IN JAPAN WET-DRV 4S007-177-1 PCB CAB 7,1,3 | ||
18095 | Nikon | 4S007-297 | NIKON PTEC AE07-1 MADE IN JAPAN WET-DRV 4S007-297 PCB | ||
18096 | Nikon | 4S007-692-1 | Nikon 4S007-692-1 Interface Control Board, PCB, WL3PREZ | ||
18097 | Nikon | 4S007-776 | Nikon 4S007-776 Interface Board, PCB, ALG-SIG, 570100 | ||
18098 | Nikon | 4S007-778 | Nikon 4S007-778 Interface Control Board, PCB, BLXXX, 380061, | ||
18099 | Nikon | 4S007-865 | Nikon 4S007-865 Interface Control Board, PCB, SR8-XY2, 2516-47009-D-04, | ||
18100 | Nikon | 4S008-005-A | Nikon 4S008-005-A Interface Control Board, PCB, STGX23A | ||
18101 | Nikon | 4S015-094 | Nikon 4S015-094 Processor Control Board, PCB, NK386SX3, | ||
18102 | Nikon | 4S015-096 | Nikon 4S015-096 Process Board, PCB, NK-C303-40, | ||
18103 | Nikon | 4S015-096 | Nikon 4S015-096 Process Board, PCB, NK-C303-40, | ||
18104 | Nikon | 4S015-096 | Nikon 4S015-096 Process Board, PCB, NK-C303-40, 381467 | ||
18105 | Nikon | 4S015-096 | Nikon 4S015-096 Process Board, PCB, NK-C303-40, 370682 | ||
18106 | Nikon | 4S015-096 | Nikon 4S015-096 Process Board, PCB, NK-C303-40, 380561 | ||
18107 | Nikon | 4S017-280 | NIKON PTEC AE03 MADE IN JAPAN WET.I/F 4S017-280 PCB | ||
18108 | Nikon | 4S017-983 | Nikon 4S017-983 Interface Control PCB, VME-I/F-M w/ 380605 Daughter Board, | ||
18109 | Nikon | 4S018-028 | Nikon 4S018-028 Interface Relay Board, PCB, 370598 | ||
18110 | Nikon | 4S018-137 | Nikon 4S018-137 Z-T Drive Board, PCB, ZTDRV8, 380522 | ||
18111 | Nikon | 4S018-162AN | Nikon 4S018-162AN Backplane Interface Board, PCB, 4S018-162, ALGMTH-SR8 | ||
18112 | Nikon | 4S018-382 | Nikon 4S018-382 Circuit Board, PCB, RSMDRVX2 | ||
18113 | Nikon | 4S018-383 | Nikon 4S018-383 Driver Board, PCB, RSSDRVX2, 370007 | ||
18114 | Nikon | 4S018-416-1 | Nikon 4S018-416-1 Scanner PCB, RCDRVX2 | ||
18115 | Nikon | 4S210-406AN | Nikon Precision 4S210-406AN GAL Chip | ||
18116 | Nikon | 4S586-274 | Denso | Nikon 4S586-274 PZTDRIVER, Denso, 253200-0020, Lithography, | |
18117 | Nikon | AE05-2 | Nikon AE05-2, 4S017-473-1, 4S017-315-1, WET-LIB 340001, PCB Board | ||
18118 | Nikon | AEO5 | NIKON TEC CORPORATION AEO5 PCB KBB01015 PTEC/BHX-95083 | ||
18119 | Nikon | AEO5 | NIKON TEC CORPORATION AEO5 PCB KBB01015 PTEC/BHX-95083 | ||
18120 | Nikon | BD Plan 20 | Nikon Japan BD Plan 20 / 0.4 210/0 | ||
18121 | Nikon | CFWN | Nikon CFWN 10x/20 Microscope Objective Lens | ||
18122 | Nikon | CFWN 10x | Nikon Japan CFWN 10x / 20 | ||
18123 | Nikon | KBA00101-AE36 | Nikon PCB KBA00101-AE36, R Stage SRV 12031-IC, CL5542. | ||
18124 | Nikon | KBA00101-AE36 | Nikon KBA00101-AE36, Reticle Stage SRV, PCB, 12031-IC. | ||
18125 | Nikon | KBA00200-A1Y | Nikon KBA00200-A1Y, Kit, Assembly, Ball Screw. | ||
18126 | Nikon | KBA01010 | Nikon TEC, KBA01010, PCB, AE02, PTEC/BHX-95083. | ||
18127 | Nikon | MBE-14090 | Nikon MBE-14090 Mirror, Microscope, W92304507, | ||
18128 | Nikon | ME600L | ME600 | Nikon Eclipse ME600L Microscope, ME6000V, PRIOR H101A, N2KV | |
18129 | Nikon | Microscope objectives | Nikon microscope With 3 objectives, Light source, eyepieces, BD PLAN 10, 5, 20 | ||
18130 | Nikon | NVC6B-1V5YAN | Nikon NVC6B-1V5YAN Camera Unit, KBA00650-U02, CL55213 | ||
18131 | Nikon | QDA-10026-1 | QDA-10025-1 | Nikon QDA-10026-1, PCB Assy, Daughter Board, QDA-10025-1. | |
18132 | Nikon | RN592-4002 | RN592-4002 PCB NIKON. Description: PCB, DISPLAY, SCOPE, condition unknown | ||
18133 | Nikon | UFX-II | Nikon UFX-II Microscope Camera | ||
18134 | Nikon | WALG-B 13092-1 | Nikon WALG-B 13092-1 Circuit Board, PCB, ID | ||
18135 | Nikon | Nikon Scanner Wafer Loader Robot Arm | |||
18136 | Nikon | FBU-01 | MODFY505 | Nikon FBU-01 Feed Back Unit, MODFY505 Subassy, SP1994-1060 | |
18137 | Nikon | A-3 AIR-CTL 30422 | Nikon A-3 AIR-CTL 30422 Board, PCB | ||
18138 | Nikon | 2K110-334 | Koganei | CS-PDA10X20-A-613W | Nikon TEC 2K110-334 Air Cyinder, Koganei CS-PDA10X20-A-613W |
18139 | NIPPON | MLPS-2C-A-3 | Nippon System MLPS-2C-A-3-0, NPS LPS-2CA-0, Pneumatic Switch Valve. | ||
18140 | NIPPON | PFASET-W4-10284 | NIPPON PILLAR SUPER 300 PFASET-W4-10284 | ||
18141 | Nippon Pillar | P-UN-W4B | Nippon Pillar P-UN-W4B Nut, 1/2″ S300, PFA | ||
18142 | Nippon Thompon Co., LTD | NART 17 VR | Nippon Thompon Co., LTD NART 17 VR Inner Ring, Roller Follower, IKO | ||
18143 | Nissan | A-2P-13M-P | Nissan A-2P-13M-P, Melsec, Net Photo, Fiber Cable | ||
18144 | Nissin Electric | 1BVA-1NW25 | Fuji Seiki | 1102201 | Nissin 1BVA-1NW25, Fuji Seiki 1102201, Valve, Roughing, Bellows |
18145 | Nissin Electric | 401-K-183C | Nissin183C Board, Amp Unit, Photo Sch, PCB, ID | ||
18146 | Nissin Electric | 401-K-183C | Nissin183C Board, Amp Unit, Photo Sch, PCB, ID | ||
18147 | Nissin Electric | 401-K-183C | Nissin183C Board, Amp Unit, Photo Sch, PCB, ID | ||
18148 | Nissin Electric | 401-K-183C | Nissin183C Board, Amp Unit, Photo Sch, PCB, ID | ||
18149 | Nissin Electric | 401-K-183C | Nissin183C Board, Amp Unit, Photo Sch, PCB, ID | ||
18150 | Nissin Electric | 401-K-183C | Nissin183C Board, Amp Unit, Photo Sch, PCB, ID | ||
18151 | Nissin Electric | 403-K-421 | Nissin421, PCB, Current Integrator, ID | ||
18152 | Nissin Electric | 5-3001-302A | Nissin 5-3001-302A PCB, Arc, ID | ||
18153 | Nissin Electric | 5-3001-302A | Nissin 5-3001-302A PCB, Arc, ID | ||
18154 | Nissin Electric | 5-3003-309A | Nissin 5-3003-309A PC Control Board, FILA, PCB, | ||
18155 | Nissin Electric | 5-3830-3210 | Nissin Electric 5-3830-3210, Board PC Control PK-1 | ||
18156 | Nissin Electric | 5-3982-340 | Nissin 5-3982-340, Board, PC Isolation Out | ||
18157 | Nissin Electric | 5-3982-340 | Nissin 5-3982-340, Board, PC Isolation Out, ID | ||
18158 | Nissin Electric | 5-4003-311 | Nissin Electric 5-4003-311, Board, PC, Control, ARC | ||
18159 | Nissin Electric | 5-4533-32 | Nissin 5-4533-32, Board, PC Isolation, Filament, PCB, | ||
18160 | Nissin Electric | 700-K-750 | Nissin 700-K-750, Board, PC Control, Source MAG, PCB, ID | ||
18161 | Nissin Electric | A-2P-3M-A | NISSIN ELECTRIC, A-2P-3M-A, CABLE, MELSEC, PHOTO FIBER | ||
18162 | Nissin Electric | A-2P-6M-A | Nissin A-2P-6M-A Melsec Net Photo Fiber Cable, | ||
18163 | Nissin Electric | A-2P-7M-A | Nissin A-2P-7M-A Melsec Net Photo Fiber Cable, | ||
18164 | Nissin Electric | BP83-44 | Nissin BP83-44 Board, PC Control, Input, ID | ||
18165 | Nissin Electric | BP83-44 | Nissin BP83-44 Board, PC Control, Input, ID | ||
18166 | Nissin Electric | BP83-44 | Nissin BP83-44 Board, PC Control, Input, ID | ||
18167 | Nissin Electric | BP83-44 | Nissin BP83-44 Board, PC Control, Input, ID | ||
18168 | Nissin Electric | BP83-44 | Nissin BP83-44 Board, PC Control, Input, ID | ||
18169 | Nissin Electric | CF-04561-2 | Nissin CF-04561-2 PC Current Integrator Board, PCB, ID | ||
18170 | Nissin Electric | CF-04562-1 | Nissin CF-04562-1, PCB, ID | ||
18171 | Nissin Electric | CF-04563-1 | Nissin CF-04563-1 Board, PC Current Integrator, PCB, ID | ||
18172 | Nissin Electric | CF-04564-2 | Nissin CF-04566-2 Board, PC Current Integrator, PCB, ID | ||
18173 | Nissin Electric | CF-04565-1 | Nissin CF-04565-1 PC Current Integrator Board, PCB, ID | ||
18174 | Nissin Electric | CF-04566-2 | Nissin CF-04566-2 Board, PC Current Integrator, PCB, ID | ||
18175 | Nissin Electric | CF-04567-2 | Nissin CF-04567-2 Board, PC Current Integrator, PCB, ID | ||
18176 | Nissin Electric | E5M-TM01QC | Nissin Electric, E5M-TM01QC, Temperature Control Unit | ||
18177 | Nissin Electric | FDH31571 | Nissin FDH31571, H/C Carbon Beam Stopper. | ||
18178 | Nissin Electric | FDH31571 | Nissin FDH31571, H/C Carbon Beam Stopper. | ||
18179 | Nissin Electric | FDH31571 | Nissin FDH31571, H/C Carbon Beam Stopper. | ||
18180 | Nissin Electric | FDH31571 | Nissin FDH31571, H/C Carbon Beam Stopper. | ||
18181 | Nissin Electric | KD-01041 | V18-A03 | Nissin KD-01041, V18-A03, Board PC Control Qlens | |
18182 | Nissin Electric | MBA-2TL-79N4V-29 | Nissin MBA-2TL-79N4V-29 Valve And Bellows | ||
18183 | Nissin Electric | NI89-0286-1 | Nissin NI89-0286-1, Keyboard H/C (English Version), | ||
18184 | Nissin Electric | NI910703 | Nissin Electric, NIAC, Ferrofluid 4″ Ferrotec Ferro Seal Repair NI910703 | ||
18185 | Nissin Electric | NI910703 | Ferrotec | Nissin Electric, NIAC, Ferrofluid 4″ Ferrotec Ferro Seal Repair NI910703 | |
18186 | Nissin Electric | NI92-0596 | 2 Nissin NI92-0596, P.F.G. Coil Assy. | ||
18187 | Nissin Electric | NIB-03193F | Nissin NIB-03193F, Assembly Disk Wafer Clamping | ||
18188 | Nissin Electric | NIC-003399-0 | Nissin NIC-03399-0, NIC-03378-1, PCB, ID | ||
18189 | Nissin Electric | NIC-003399-0 | Nissin NIC-03399-0, NIC-03378-1, PCB, ID | ||
18190 | Nissin Electric | NIC-003399-0 | Nissin NIC-03399-0, NIC-03378-1, PCB, ID | ||
18191 | Nissin Electric | NIC-003399-0 | Nissin NIC-03399-0, NIC-03378-1, PCB, ID | ||
18192 | Nissin Electric | NIC-02868 (RX-K) | Nissin NIC-02868 (RX-K), Board, PC Telemeter, ID | ||
18193 | Nissin Electric | NIC-03301-3 | Nissin NIC-03301-3 PC Control Vaporizer Board, Oven Temp Control, PCB, | ||
18194 | Nissin Electric | NIC-03400-0 | Nissin NIC-03400-0 PC Control Board, Arc, Telemeter, NIC-033979, 5-3001-302A | ||
18195 | Nissin Electric | NIC-04034 | Nissin NIC-04034, Control P/C, Scanner, P.S., PCB. | ||
18196 | Nissin Electric | NIC-04034 | Nissin NIC-04034, Control P/C, Scanner, P.S., PCB. | ||
18197 | Nissin Electric | NIC-07159 | Nissin Electric NIC-07159 Shaft | ||
18198 | Nissin Electric | NID-08942 | Nissin NID-08942, Handle, Source | ||
18199 | Nissin Electric | W93200160 | Nissin Electric, W93200160, Lower Drive Case | ||
18200 | Nitto Denji | RF-110 | Nitto Denji RF-110, Solid Condenser000PFF ± 10%, DC10KV,, | ||
18201 | Nitto Denji | RF-110 | Nitto Denji RF-110, Solid Condenser000PFF ± 10%, DC10KV,; we are seling as new other | ||
18202 | Nividia | 180-10211-0000-A03 | Nividia Quadro Fx PCI Express Video Graphics Card, 180-10211-0000-A03 | ||
18203 | Nividia | 180-10283-0000-A02 | Nividia 180-10283-0000-A02 Video Graphics Card PCB | ||
18204 | Nividia | P317 | Nividia P317 Quadro FX 3450 Grapics Card 256MB PCI-E 2X DVI | ||
18205 | NMB | 3610NL-05W-B40 | 2 NMB 3610NL-05W-B40 Box fan, brushless DC, Ball bearing | ||
18206 | No Shok | 200.100.1.5.2 | No Shok 200.100.1.5.2 Transmitter, GP-631-202, 0-100 PSI, 0-10 V | ||
18207 | No Shok | GP 631 202 | 200.100.1.5.2 | No Shok GP 631 202, 200.100.1.5.2, Transmitter. | |
18208 | Nohken Inc | KRA-9A | 111376 | Nohken Inc KRA-9A, DC24V, 111376, Level Switch Amplifier, Converter. | |
18209 | NOK | ES071-001347-1 | NOK GREASE BARRIESTA IS/V 75G FY-1020 ES071-001347-1 | ||
18210 | Nokeval | Type 302 | Nokeval Type 302 Kit, EDR-120-24 Power Supply, NBX-32916-PL Panel | ||
18211 | Norcal | ESVP-1502-CF-S21 | Norcal ESVP-1502-CF-S21 Vacuum Isolation Valve, Conflat to KF-40 Flange, | ||
18212 | Nor-Cal | 1482 | Nor-Cal 1482, RF Clamp Interlock Assembly | ||
18213 | Nor-Cal | 050127-23 | NOR-Cal products 050127-23 isolation valve, KF-40conflat flange | ||
18214 | Nor-Cal | 050127-25 | NOR-Cal products 050127-25 isolation valve,2 conflat flange | ||
18215 | Nor-Cal | AIV-1002-NWB | 2 Nor-Cal Products AIV-1002-NWB Manual Angle Isolation | ||
18216 | Nor-Cal | ESVP-2002-NWB-AM Rev.A | AMAT | 3870-01162 | Nor-Cal Products ESVP-2002-NWB-AM Rev.A, AME 3870-01162 (8330), Valve, Foreline. |
18217 | Nor-Cal | 010207-1 | Nor-Cal Products 010207-1 Isolation Valve, AMAT Chamber, Vacuum isolation valve, | ||
18218 | Nor-Cal | 050127-20 | Nor-Cal products 050127-20 isolation valve | ||
18219 | Nor-Cal | 050127-20 | Nor-Cal Products 050127-20 Valve, | ||
18220 | Nor-Cal | 050127-22 | NOR CAL Product 050127-22 Isolation valve, looks very clean | ||
18221 | Nor-Cal | 050127-24 | Nor-Cal products 050127-24 isolation valve | ||
18222 | Nor-Cal | 3870-01160 | AMAT | Nor-Cal Products 3870-01160 Ion Tube Isolation Valve, AMAT | |
18223 | Nor-Cal | 3870-01256 | Nor-Cal 3870-01256 Isolation Angle Valve, KF40 | ||
18224 | Nor-Cal | 3870-02729 | AMAT | 3870-02729 | AMAT Isolation Valve, KF-40 Nor-Cal 3870-02729 |
18225 | Nor-Cal | 796-00809-1-001 | Nor-cal Products 796-00809-1-001, High Vacuum Pneumatic Valve w/ Chamber | ||
18226 | Nor-Cal | 796-008091-001 | Nor-Cal Products 796-008091-001, Pneumatic Valve. | ||
18227 | Nor-Cal | 950209-2 | Nor-Cal 950209-2 S.S. Isolation Valve | ||
18228 | Nor-Cal | A113802 | NOR-CAL PRODUCTS A113802 WLDMT, ISOLOATION VALVE | ||
18229 | Nor-Cal | AIV-1002-NWB | Nor-Cal Products AIV-1002-NWB, 1″ Manual Angle-In-Line Valve, NW-25 Flanges | ||
18230 | Nor-Cal | CSVP-1502 | Nor-Cal Products CSVP-1502-CF vacuum isolation | ||
18231 | Nor-Cal | ESV-202-NWB | Nor-Cal Manual ESV-202-NWB NW50 KF50 Isolation Valve | ||
18232 | Nor-Cal | ESVP-1001-NWB | Nor-Cal ESVP-1001-NWB Pneumatic Valve | ||
18233 | Nor-Cal | ESVP-1002-NWB-SA | Nor-Cal Products ESVP-1002-NWB-SA Angle Valve | ||
18234 | Nor-Cal | ESVP-1502-CF-S22 | Norcal ESVP-1502-CF-S22 Vacuum Isolation Valve, Conflat to KF-40 Flange | ||
18235 | Nor-Cal | ESVP-200-99 | 94-3101 | Nor-Cal ESVP-200-99 Isolation Valve, Bellows, Gasonics 94-3101 | |
18236 | Nor-Cal | ESVP-2502-CF-S22 | Norcal ESVP-2502-CF-822 Isolation Vacuum Valve Conflat Flange, | ||
18237 | Nor-Cal | ESVP-2502-CF-S22 | Norcal ESVP-2502-CF-822 Isolation Vacuum Valve Conflat Flange, | ||
18238 | Nor-Cal | FH-150-18-2NW | Nor Cal Products FH-150-18-2NW, Hose, Flex NW 1.5″. | ||
18239 | Nor-Cal | FT-6-CP | 5 Nor-Cal Products FT-6-CP, Clamp, Trap. | ||
18240 | Nor-Cal | ILV-1002-NWB | Nor-Cal Products ILV-1002-NWB, Isolation Valve, ID | ||
18241 | Nor-Cal | ILV-1002-NWB | Nor-Cal ILV-1002-NWB Manual Isolation Valve, Vacuum Line, KF-25, Flange, | ||
18242 | Nor-Cal | STBV-QPA-NW-40-SS | Nor-Cal STBV-QPA-NW-40-SS Intellisys Adaptive Pressure Controller, Valve | ||
18243 | Nor-Cal | STBV-QPA-NW-40-SS | Nor-Cal STBV-QPA-NW-40-SS Intellisys Adaptive Pressure Controller, Valve | ||
18244 | Nor-Cal | STBV-QPA-NW-40-SS | Nor-Cal STBV-QPA-NW-40-SS Intellisys Adaptive Pressure Controller, Valve | ||
18245 | Nor-Cal | STBV-QPA-NW-40-SS | Nor-Cal STBV-QPA-NW-40-SS Intellisys Adaptive Pressure Controller, Valve | ||
18246 | Nor-Cal | VAC-125 | Nor-Cal, Humphrey VAC-125 Gate Valve,, 120Vz, 4.5 Watt. | ||
18247 | Nor-Cal | Norcal Products 810-00347 KF40 Isolation Valve, Staggered Port | |||
18248 | Nor-Cal | Norcal Products 810-00347 KF40 Isolation Valve, Staggered Port | |||
18249 | Nor-Cal Products | ESVP-1502-NW | Nor-Cal ESVP-1502-NW Pneumatic Isolation Vacuum Valve | ||
18250 | Nor-Cal Products | AIV-1002-NWB | Nor-Cal AIV-1002-NWB, 1″ Manual Angle-In-Line Valve, NW-25 Flange | ||
18251 | Nor-Cal Products | NA | Nor-Cal Products KF40 Pneumatic Bellows Angle Valve, Isolation | ||
18252 | Nordson | 2800 | Nordson EFD Ultra 2800 Ultimus IV Dispensing System | ||
18253 | Nordson | 1074677 | Nordson 1074677 CoolWave2 Microwave Light Source, CW2, Lamphead | ||
18254 | Nordson | 1601211 | Nordson 1601211 CW2 UV Microwave Light Source | ||
18255 | Nordson | MPS-610V | Novellus | 27-30190-00 | Nordson MPS-610V Cooling Wave UV Curing System5, 610V |
18256 | Norgen | 11-018-164 | Norgen 11-018-164 Air Pressure Regulator, Inlet 150 PSIG, Outlet 60 PSIG, | ||
18257 | Norgen | R07-200-RGKA | AMAT | 3800-01113 | Norgen R07-200-RGKA Pressure Regulator, AMAT 3800-01113 |
18258 | Norgen | SLV-10586-05 | Norgren SLV-10586-05 Interlock pneumatic valve manifold | ||
18259 | Norgen | SLV-10586-05 | Norgren SLV-10586-05 pneumatic manifold | ||
18260 | Norgren | RLD01J-DAP-NA00 | 2 Norgren RLD01J-DAP-NA00 Pneumatic Air Cylinder, RS1292 | ||
18261 | Norgren | 475944 | 151PL010-015-A02 | Norgren2 Coalescing Filter, 151PL010-015-A02 | |
18262 | Norgren | R07-200-RGKA | SMC | ISE30A-N01-C | Norgen R07-200-RGKA Pressure Regulator w/ SMC ISE30A-N01-C Switch |
18263 | Norgren / Buschjost | 8254304 | Norgren / Buschjost 8254303.915, Solenoid valve | ||
18264 | Norgren / Buschjost | 8496581 | Norgren / Buschjost 8496580.8, solenoid valve, body only, with solenoid | ||
18265 | Nortel | P0879628 | Nortel P0879628 Digital Line Card, PCB, NT8D0208 | ||
18266 | North American Signal Co. | ST-500 | North American Signal Co., ST-500, Strobes, 115 AC. | ||
18267 | North Hills | 0001 BB | North Hills 0001 BB Wideband Transformer | ||
18268 | Noshok | 25-900-30/30 | Noshok 25-900-30/30 PSI/KPA Gauge, 1/4 NPT Bottom Conn | ||
18269 | NOVA | 964948 | Nova 964948 Display Mount | ||
18270 | NOVA | 210-40530-00 | NOVA 210-40530-00 DAB Card Assembly, PCB | ||
18271 | NOVA | 210-40530-00 | NOVA 210-40530-00 DAB Card Assembly, PCB | ||
18272 | NOVA | 210-480001-01 | AMAT | 0650-01111 | Novascanova 210-480001-01, AMAT 0650-01111 S/N 2050 |
18273 | NOVA | 210-480001-01 | AMAT | 0650-01111 | Novascanova 210-480001-01, AMAT 0650-01111 S/N 2307 |
18274 | NOVA | 390-43200-00 | AFD50-14-12PN-1A | NOVA SCAN200-00, AFD50-14-12PN-1A, DEUTSCH 9917C DDI | |
18275 | NOVA | 390-43200-00 | AFD50-14-12PN-1A | NOVA SCAN200-00, AFD50-14-12PN-1A, DEUTSCH 00490-01 DDI | |
18276 | NOVA | 390-43200-00 | NOVA SCAN200-00 | ||
18277 | NOVA | 390-43200-00 | DEUTSCH ECM 0729F | NOVA SCAN200-00, AFD50-14-12PN-1A, DEUTSCH ECM 0729F | |
18278 | NOVA | Cybex | Nova PC Companion Plus, Cybex Communication Reciever | ||
18279 | NOVA | D-1400510 Rev.A1 | Nova Associates, Inc, D-1400510 Rev.A1, Component Side, Timer Driver Board | ||
18280 | Novellus | 11332 | Novellus 11332 Junction Box, | ||
18281 | Novellus | 64481 | Fusion systems | 23996113 | reed swithc PCB |
18282 | Novellus | 951337 | Novellus 951337 Cable , motor power | ||
18283 | Novellus | 951479 | Novellus 951479 Cable , motor power | ||
18284 | Novellus | 951485 | Novellus 951485 Cable , motor power | ||
18285 | Novellus | 951651 | IPEC Speedfam | IPEC Speedfam Novellus 951651 Trantorque Keyless Bushing 11.0mm i.d. | |
18286 | Novellus | 952195 | NOVELLUS 952195 VACUUM CHAMBER PLATE PEDESTAL | ||
18287 | Novellus | 952363 | Entegris Mace | Q4000 | 5 IPEC Speedfam Novellus 952363, Entegris Q4000 Ball valve |
18288 | Novellus | 952408 | Novellus 952408 Mounting Bracket, | ||
18289 | Novellus | 952943 | Novellus 952943 Plate Film 200MM STD | ||
18290 | Novellus | 956465 | NERLITE | 650-400 | Lot of 4, Ipec Speedfam Novellus 956465, NERLITE 650-400 Backlight, Low profile |
18291 | Novellus | 964840 | Novellus 964840 Clamp Diaphragm, Middle carr. #11 momentum | ||
18292 | Novellus | 975516 | IPEC Speedfam Novellus peek pin 010806-003-101104 975516 | ||
18293 | Novellus | 978327 | Yamada | PD15V5 | Ipec Speedfam Novellus 978327, Yamada PD15V5 Pressure Pulsation damper, |
18294 | Novellus | 979203 | Novellus 979203, Assy PAC cond. Brush with inserts | ||
18295 | Novellus | 1100440 | Novellus 1100440 Heater Feedthrough 955811 | ||
18296 | Novellus | 02-105157-00 | NOVELLUS Systems controller 166/64MEG P/N 02-105157-00 | ||
18297 | Novellus | 02-14590-00 | Syringe clamp assembly EBR, novellus 02-14590-00 | ||
18298 | Novellus | 02-298384-00 | Novellus 02-298384-00 Kit, cable assy | ||
18299 | Novellus | 03-122255-00 | 6 NEW Novellus 03-122255-00 Cable assy, OEPD, SIG ITF, ALT | ||
18300 | Novellus | 03-188758-00 | Bentek DC PC BOARD, reliance, 03-188758-00, Novellus | ||
18301 | Novellus | 04-709567-01 | NOVELLUS 04-709567-01 SHIELD, RING HEATER TABLE | ||
18302 | Novellus | 10-338185-00/1 | 10-338185-00/1 | Novellus, ISO100 Vacuum Tube 15″ long w/ KF25, KF16 & VCR Flange185-00/1 | |
18303 | Novellus | 11-256785-01 | 2 Novellus tube 11-256785-01, 062705, assembly hose | ||
18304 | Novellus | 27-030822-00 | MKS | 525-0023 REV B | NOVELLUS 27-030822-00 VACUUM PIPING HEATER, #9MKS 525-0023 REV B. |
18305 | Novellus | 27-128714-00 | Novellus 27-128714-00 Scientific instruments Aqua Trap 120-476 | ||
18306 | Novellus | 2810-738370 | vexta | PK564ANA-TG30 | 2 Novellus 2810-738370 Vexta PK564ANA-TG30, stepper motors |
18307 | Novellus | 002-00283-000 | Novellus Data Collection Breakout Box, 002-00283-000 | ||
18308 | Novellus | 01-20-04076-06 | 2 Used Chuck Assembly 6″ 01-20-04076-06 | ||
18309 | Novellus | 01-20-04705-06 | 2 Used Chuck Assembly 6″ 01-20-04705-06 | ||
18310 | Novellus | 01-4893 | Arm, wafer clamp, Sierra | ||
18311 | Novellus | 01-4905 | Lot of 6, Pin, Aligner, Vespel, Sierra | ||
18312 | Novellus | 010-128858-00 | NOVELLUS foreline 010-128858-00 with MDC valve and GP 275 mini convectron gauge | ||
18313 | Novellus | 0100-772457 | novellus 0100-772457, ELMO SBA-10/100EH, amplifier, brushless DC motor | ||
18314 | Novellus | 011005-002-101104 | 10 Novellus 011005-002-101104, 962977 Rev. A, Insulator. | ||
18315 | Novellus | 0175-111414 | Entrelec | LR88297 | Novellus 0175-111414, Entrelec LR88297, GMU 15u, 15 Amp Circuit Breaker, 1 Pole |
18316 | Novellus | 0175-7000066 | Square D | MG24427 | 3 Novellus 0175-7000066, Square D MG24427, Circuit breaker, 1p 3A |
18317 | Novellus | 02-00090-00 | Novellus 02-00090-00, Heater Filter, Board, FAB 27-00074-00, PCB Assy. | ||
18318 | Novellus | 02-00093-00R | 02-00093-00 | Novellus 02-00093-00R Baseplate for One Arm Robot, 02-00093-00 | |
18319 | Novellus | 02-033196-00 | 15-110411-00 | Novellus 02-033196-00 Kit, Window, Sapphire, Single Port, 15-110411-00; we sell as new | |
18320 | Novellus | 02-033493-00 | SP1493 | Novellus 02-033493-00, SP1493, Heater Chunk, Temp | |
18321 | Novellus | 02-033493-00 | SP1493 | Novellus 02-033493-00, SP1493, Heater Chunk, Temp | |
18322 | Novellus | 02-034310-00 | novellus 02-034310-00 MOD CONT, P100/16M | ||
18323 | Novellus | 02-034574-00 Rev.H | 271220 | (Set of Two) Novellus 02-034574-00 Rev.H, 271220, Assy, Spindle, Seq. | |
18324 | Novellus | 02-051791-00A | Precision sensors | 70447-100-01-GA-4IM | NEW Precise sensors 70447-100-01-GA-4IM, novellus 02-051791-00A, 0-100 PSIA |
18325 | Novellus | 02-103960-00 | Novellus 02-103960-00 Controller Platform P166/64 | ||
18326 | Novellus | 02-10589-00 | NOVELLUS PEPLINSKI 02-10589-00 PE6900 MFR | ||
18327 | Novellus | 02-107788-00 | LINTELLE 02-107788-00 NOVELLUS LI6800 1299 003 ID | ||
18328 | Novellus | 02-109864B01 | Novellus 02-109864B01 Assy Cap Insulator Plasma | ||
18329 | Novellus | 02-117299-00 | ATMI Packaging | SP4AAAAA-030623 | Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI |
18330 | Novellus | 02-117299-00 | ATMI Packaging | SP4AAAAA-030623 | Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI |
18331 | Novellus | 02-117299-00 | ATMI Packaging | SP4AAAAA-030623 | Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI |
18332 | Novellus | 02-120820-00 | Novellus 02-120820-00 Assembly, DEGAS, Integrated, LDS | ||
18333 | Novellus | 02-120820-00 | Novellus 02-120820-00 Assembly, DEGAS, Integrated, LDS | ||
18334 | Novellus | 02-123962-00 | SIOC WTS-HV SIOC 0 NOVELLUS 02-123962-00 REV. A | ||
18335 | Novellus | 02-123968-00 | Novellus 02-123968-00 WTS-HV 1 SIOC; | ||
18336 | Novellus | 02-124572-00 | ISIOC RF HCM PVD-PVD RACK NOVELLUS 02-124572-00 REV. 1 | ||
18337 | Novellus | 02-130754-00 | 2 Novellus 02-130754-00 CU, 196MM, Ceramic Ring/Bush | ||
18338 | Novellus | 02-130754-00 | Cu, 200mm ceramic ring 196mm cwp low profile | ||
18339 | Novellus | 02-131736-00 | ISOC HI-LO TRANSFER NOVELLUS 02-131736-00 REV. A; | ||
18340 | Novellus | 02-131736-00 | ISIOC HI-LO TRANSFER NOVELLUS 02-131736-00 REV.A | ||
18341 | Novellus | 02-131736-00 | Novellus 02-131736-00 Rev.A, ISIOC HI-LO Transfer Assembly. | ||
18342 | Novellus | 02-134129-00 | KF 16 Centering Seal, Orifice 000 Novellus 02-134129-00 | ||
18343 | Novellus | 02-134129-14 | KF 16 Centering Seal, Orifice 014 Novellus 02-134129-14 | ||
18344 | Novellus | 02-134129-15 | KF 16 Centering Seal, Orifice 015 Novellus 02-134129-15 | ||
18345 | Novellus | 02-134129-16 | KF 16 Centering Seal, Orifice 016 Novellus 02-134129-16 | ||
18346 | Novellus | 02-134129-17 | KF 16 Centering Seal, Orifice 017 Novellus 02-134129-17 | ||
18347 | Novellus | 02-134129-18 | KF 16 Centering Seal, Orifice 018 Novellus 02-134129-18 | ||
18348 | Novellus | 02-134129-18 | KF 16 Centering Seal, Orifice 018 Novellus 02-134129-18 | ||
18349 | Novellus | 02-134129-19 | KF 16 Centering Seal, Orifice 019 Novellus 02-134129-19 | ||
18350 | Novellus | 02-134129-21 | KF 16 Centering Seal, Orifice 021 Novellus 02-134129-21 | ||
18351 | Novellus | 02-134129-22 | KF 16 Centering Seal, Orifice 022 Novellus 02-134129-22 | ||
18352 | Novellus | 02-134129-24 | KF 16 Centering Seal, Orifice 024 Novellus 02-134129-24 | ||
18353 | Novellus | 02-134129-25 | KF 16 Centering Seal, Orifice 025 Novellus 02-134129-25 | ||
18354 | Novellus | 02-134129-27 | KF 16 Centering Seal, Orifice 027 Novellus 02-134129-27 | ||
18355 | Novellus | 02-134129-28 | KF 16 Centering Seal, Orifice 028 Novellus 02-134129-28 | ||
18356 | Novellus | 02-134129-29 | KF 16 Centering Seal, Orifice 029 Novellus 02-134129-29 | ||
18357 | Novellus | 02-134129-30 | KF 16 Centering Seal, Orifice 030 Novellus 02-134129-30 | ||
18358 | Novellus | 02-134129-31 | KF 16 Centering Seal, Orifice 031 Novellus 02-134129-31 | ||
18359 | Novellus | 02-134129-32 | KF 16 Centering Seal, Orifice 032 Novellus 02-134129-32 | ||
18360 | Novellus | 02-134129-33 | KF 16 Centering Seal, Orifice 033 Novellus 02-134129-33 | ||
18361 | Novellus | 02-134129-34 | KF 16 Centering Seal, Orifice 034 Novellus 02-134129-34 | ||
18362 | Novellus | 02-134129-35 | KF 16 Centering Seal, Orifice 035 Novellus 02-134129-35 | ||
18363 | Novellus | 02-140949-00 | Main system start stop module 02-140949-00 REV C | ||
18364 | Novellus | 02-140949-00 | 2 Main system start stop module 02-140949-00 REV C | ||
18365 | Novellus | 02-140949-00 | Main system start stop module 02-140949-00, S 1009 | ||
18366 | Novellus | 02-147563-00 | Novellus 02-147563-00 Air Manifold Box Cover, 03-152126-00, 02-147563-00, | ||
18367 | Novellus | 02-147563-00 | Novellus 02-147563-00 Bay Advanced Technologies 8086-01 Air manifold assy | ||
18368 | Novellus | 02-150755-00 | NOVELLUS ISIOC HI-LO Transfer 02-150755-00 REV.A | ||
18369 | Novellus | 02-152880-00 | 3 Compact QJM97-3143-B, 60-162879-00 Lift Assembly, Novellus 02-152880-00 | ||
18370 | Novellus | 02-158811-00 | Main system power panel 02-158811-00 with scrubbed exhaust for PVD REV C | ||
18371 | Novellus | 02-158811-00 | Main system power panel 02-158811-00 with scrubbed exhaust for PVD REV C, S05001 | ||
18372 | Novellus | 02-158824-00 B | Novellus circuit breaker panel LPB, WTS HV 02-158824-00 B w Cosel power supplies | ||
18373 | Novellus | 02-172867-00 | Novellus 02-172867-00 Air Manifold Box Cover, 03-116024-00, 02-172867-00, | ||
18374 | Novellus | 02-266279-00 | Novellus 02-266279-00 Rebuilt GAMMA 2130, RF Match, 8 Bolt, Kalrez | ||
18375 | Novellus | 02-269177-00 | ISIOC PDL OXIDE 2 INTERLOCK NOVELLUS 02-269177-00, Rev1 | ||
18376 | Novellus | 02-273739-00 | Novellus 02-273739-00 Rev.1, HDSIOC 1 PDL OXIDE Firmware 4.72,; | ||
18377 | Novellus | 02-273741-00 | PDL OXIDE HDSIOC 3 NOVELLUS 02-273741-00 VERSION 4.72; | ||
18378 | Novellus | 02-276954-00 | ISIOC HCM APVD NOVELLUS 02-276954-00 REV. 1 | ||
18379 | Novellus | 02-282128-00 | Novellus Match 02-282128-00, used | ||
18380 | Novellus | 02-295367-00 | ALD HDSIOC 0 NOVELLUS 02-295367-00 VERSION 5.0 REV. A | ||
18381 | Novellus | 02-308058-00 | HDSIOC ROLLER BRUSH NOVELLUS 02-308058-00 VERSION 4.72; | ||
18382 | Novellus | 02-309772-00 | Novellus 02-309772-00 HDSIOC FAC XCEDA, Version 4.72; | ||
18383 | Novellus | 02-309772-00 | Xceda | XCEDA FAC HDSIOC NOVELLUS 02-309772-00 VERSION 4.72 | |
18384 | Novellus | 02-314206-00 | Digital Dynamics 02-314206-00 XCEDA FAC HDSIOC Facilities Controller, | ||
18385 | Novellus | 02-336512-00 | ROLLER BRUSH CELL HDSIOC NOVELLUS 02-336512-00 REV. 1 | ||
18386 | Novellus | 02-420491-00 | Novellus 02-420491-00 Power Supply | ||
18387 | Novellus | 02-55634-00 | Novellus FOUP Calibration Fixture 02-255634-00 387884D 912-23 H6015-669NHPK | ||
18388 | Novellus | 03-00075-02 | Novellus 03-00075-02, Cable Assembly, CA100J20 CA-100, PH/L418005 | ||
18389 | Novellus | 03-00137-01 | Novellus 03-00137-01, Northern Technologies,Multibus Cable (M/M), CA143, Harness | ||
18390 | Novellus | 03-00137-02 | Novellus 03-00137-02, Northern Technologies,Multibus Cable (M/M), CA144, Harness | ||
18391 | Novellus | 03-00137-03 | Novellus 03-00137-03, Northern Technologies,Multibus Cable (M/M), CA145, Harness | ||
18392 | Novellus | 03-00145-00 | 2 Novellus 03-00145-00 Rev.B, C08460 0200, Wire-Grid, Wrist Elbow. | ||
18393 | Novellus | 03-00212-01 | Novellus 03-00212-01, 1-1.B, Assembly, Cable, LFCA, CA249. | ||
18394 | Novellus | 03-021442-00 | Novellus 03-021442-00 Cable | ||
18395 | Novellus | 03-028169-07 | Novellus 03-028169-07 Cable assy, Power, 132″ IEC-IEC | ||
18396 | Novellus | 03-028636-00 | Novellus Systems 03-028636-00 Cable Assy, LL, Z-Axis, Anim/Type | ||
18397 | Novellus | 03-028636-01 | Novellus 03-028636-01 Cable Assembly, | ||
18398 | Novellus | 03-028637-00 | Novellus 03-028637-00 Cable Assembly, | ||
18399 | Novellus | 03-028661-00 | Novellus 03-028661-00 Assembly, Harness, Syscon per sgnl, Signal, Amp | ||
18400 | Novellus | 03-028661-03 | Novellus 03-028661-03, A0P4, Cable | ||
18401 | Novellus | 03-028661-03 | Novellus 03-028661-03, A0P4, Cable | ||
18402 | Novellus | 03-028665-02 | Novellus 03-028665-02, A173, Cable | ||
18403 | Novellus | 03-028670-03 | Novellus 03-028670-03, A0P5, A175P5, Cable | ||
18404 | Novellus | 03-041051-03 | Novellus 03-041051-03, A175P1, A0P1, Cable | ||
18405 | Novellus | 03-049834-00 | Novellus 03-049834-00 Cable Assembly, | ||
18406 | Novellus | 03-103583-01 | Novellus 03-103583-01 RF cable assy | ||
18407 | Novellus | 03-104547-01 | 3 Novellus 03-104547-01 Interface Cable | ||
18408 | Novellus | 03-104557-00 | Novellus 03-104557-00 Interface Cable Assembly | ||
18409 | Novellus | 03-104557-00/B | 2 Novellus 03-104557-00/B Interface Cable | ||
18410 | Novellus | 03-104557-01 | Novellus 03-104557-01 Interface Cable Assembly | ||
18411 | Novellus | 03-10467-00 | 76-10474-00 | 27-10120-00 | Novellus 03-10467-00 FA Lower Interlock PCB 76-10474-00 |
18412 | Novellus | 03-10737-01 | Novellus 03-10737-01 Cable Assembly, A4P11, A C08460NVLS, | ||
18413 | Novellus | 03-10738-00 | 27-10336-00 | NOVELLUS 03-10738-00 GAS BOX PCB ASSY FAB 27-10336-00 SCHEM 76-10658-00 | |
18414 | Novellus | 03-10748-00 | 76-10656-00 | Novellus 03-10748-00 CVD-W Interlock PCB Board, 76-10656-00, 27-10332-00 | |
18415 | Novellus | 03-118435-00 | Novellus 03-118435-00 Cable, P2 Pump 2-J1 Connector | ||
18416 | Novellus | 03-118439-01 | Novellus 03-118439-01 Cable | ||
18417 | Novellus | 03-120926-00 | Novellus 03-120926-00 Cable Assembly | ||
18418 | Novellus | 03-120926-00 | Novellus 03-120926-00 Cable Assembly | ||
18419 | Novellus | 03-121097-00 | Novellus 03-121097-00 Heater Chuck 16-156335-00 200mm Assy PVD Chamber | ||
18420 | Novellus | 03-121097-00 | Novellus 03-121097-00 Heater Chuck 16-156335-00 200mm Assy PVD Chamber | ||
18421 | Novellus | 03-128704-00 | Novellus 03-128704-00 Cable assy | ||
18422 | Novellus | 03-130507-00 | Novellus 03-130507-00 Cable assy | ||
18423 | Novellus | 03-130722-01 | Novellus 03-130722-01 Cable | ||
18424 | Novellus | 03-130722-01 | NOVELLUS 03-130722-01 CABLE ASSY MAIN POWER RESET FAB 27-032256-00 | ||
18425 | Novellus | 03-132813-00 | NOVELLUS 03-132813-00 INTERFACE TOOL CABLE H-BE 24 SS H-BE 24 BS | ||
18426 | Novellus | 03-132813-00 | NOVELLUS 03-132813-00 INTERFACE TOOL CABLE H-BE 24 SS H-BE 24 BS | ||
18427 | Novellus | 03-134727-00 | Novellus 03-134727-00 PCB Board | ||
18428 | Novellus | 03-137211-01 | Novellus 03-137211-01 Turbo Pump Cable | ||
18429 | Novellus | 03-137211-01 | Novellus 03-137211-01 Turbo Pump Cable | ||
18430 | Novellus | 03-152423-00 | Novellus 03-152423-00 Rev.A, Cable, Harness Assembly. | ||
18431 | Novellus | 03-153948-00 | Novellus 03-153948-00 Cable | ||
18432 | Novellus | 03-155152-00 | Novellus 03-155152-00 Rev.A, A8P7, Cable, Harness Assembly. | ||
18433 | Novellus | 03-155625-01 | 03-155625-01 Rev. A | ||
18434 | Novellus | 03-161293-00 | Novellus 03-161293-00 208V power cable | ||
18435 | Novellus | 03-276843-00 | Novellus 03-276843-00, Cable | ||
18436 | Novellus | 03-277147-00 | Novellus 03-277147-00, Main Power Supply, Cable | ||
18437 | Novellus | 03-291322-00 | Novellus 03-291322-00 RMU Controller Cables, | ||
18438 | Novellus | 03-293628-02 A | Omega Type K Thermo Couple Cable Assy Novellus 03-293628-02A | ||
18439 | Novellus | 03-299425-00 | Backside wafer handling Interface xPRS PCB. FAB 26-299425-00, Schem. 76-299425-00 very clean | ||
18440 | Novellus | 03-303060-01 | Novellus 03-303060-01 Cable, Lam | ||
18441 | Novellus | 03-322451-00 | New cable, ESC supply to Seal plate | ||
18442 | Novellus | 03-424242-00 | 03-424242-00/1 | Novellus 03-424242-00 Cable, 9′, 03-424242-00/1 | |
18443 | Novellus | 03-810748-00 | 76-10656-00 | Novellus 03-810748-00 CVD-W Interlock PCB Board, 76-10656-00, 27-10332-00 | |
18444 | Novellus | 030-900105 | Novellus 030-900105, Spindle Bottom Plate, 6-32841-002 | ||
18445 | Novellus | 04-119238-00 | CORMAN 04-119238-00 KIT, RETRO, ARCNET FFGA, FAB 3040-0280, 2031-0194 | ||
18446 | Novellus | 04-119238-00 | NOVELLUS 04-119238-00 KIT RETRO ARCNET FPGA 2031-0194 1005372 ID | ||
18447 | Novellus | 04-121341-00 | 17-121342-01 | Novellus 04-121341-00 Kit, Heat Shield, Speed-S, 17-121342-01 | |
18448 | Novellus | 04-121341-00 | 17-121342-01 | Novellus 04-121341-00 Kit, Heat Shield, Speed-S, 17-121342-01 | |
18449 | Novellus | 04-121341-00 | 17-121342-01 | Novellus 04-121341-00 Kit, Heat Shield, Speed-S, 17-121342-01 | |
18450 | Novellus | 04-708084-03 | Novellus 04-708084-03 Ring, Insulator Table | ||
18451 | Novellus | 04-708192-03 | VARIAN NOVELLUS 04-708192-03 SHIELD HORIZONTAL PASS THROUGH | ||
18452 | Novellus | 04-709665-01 | Novellus 04-709665-01 Plate, Mounting, 6″ | ||
18453 | Novellus | 04-710712-01 | Novellus 04-710712-01, W94400420, 710712, Plate Orfice. | ||
18454 | Novellus | 04-716639-01 | Varian | 471663901 | Novellus 04-716639-01 Shield, Hi Vac End Chamber, Varian 0471663901 |
18455 | Novellus | 04-716639-01 | Novellus 04-716639-01 Shield, Hi Vacuum End Chamber, Varian 0471663901 | ||
18456 | Novellus | 04-716639-02 | NOVELLUS 04-716639-02 SHIELD, HI VAC END CHAMBER | ||
18457 | Novellus | 04-716642-01 | Varian | 04-716642-01 | Novellus 04-716642-01 Shield, Side Chamber, Varian 04-716642-01 |
18458 | Novellus | 04-716850-01 | Novellus 04-716850-01 Shield, Ceramic Ring Upper, Varian 0471685001 | ||
18459 | Novellus | 04-717647-01 | 04-717647-01 | Novellus 04-717647-01 Shield, Lower (Use With W94400317), Varian | |
18460 | Novellus | 04-717947-01 | Varian | 04717947-01 | Novellus 04-717947-01 Shield, Dep Quanium SCR., Varian 04717947-01 |
18461 | Novellus | 04-732024-01 | Novellus 04-732024-01 Cable Assy, ESC, V, P/2 50FT | ||
18462 | Novellus | 04-732323-00 | Novellus 04-732323-00 O/P Power Cable Assembly | ||
18463 | Novellus | 0510-011964 | Bayside | GR115-K1E1B-001 | IPEC Speedfam Novellus 0510-011964, Bayside, right angle motor 100:1 ratio |
18464 | Novellus | 06-2640 | Novellus 06-2640, Quartz, Spool w/ Flange, Tube | ||
18465 | Novellus | 06-678462-01 | Novellus 06-678462-01 Cable Assembly, | ||
18466 | Novellus | 06-688325-06 | Novellus 06-688325-06 Cable Assembly, Rotation Sensor, | ||
18467 | Novellus | 0700-700404 | Lot of 28 Novellus 0700-700404, O’rings 2-271 N70 | ||
18468 | Novellus | 0710-106576 | 4 Novellus 0710-106576 Coupling, Helical Flexible Shaft | ||
18469 | Novellus | 0710-110673 | Lot of 9, Novellus 0710-110673, Plastic Sleeve (Collar) | ||
18470 | Novellus | 0770-100865 | Lot of 2 Ipec Speedfam Novellus 0770-100865 Spindle shaft | ||
18471 | Novellus | 08-23061-000 | Bellow, for lift pins | ||
18472 | Novellus | 0850-103478 | Lot of 6, new Brackets | ||
18473 | Novellus | 10-00301-00 | Novellus 10-00301-00, Regulator to HE Valve. | ||
18474 | Novellus | 10-00320-00 | Novellus 10-00320-00 Gas Manifold, VCR, KF25 Connection | ||
18475 | Novellus | 10-00347-00 | Novellus 10-00347-00, Nx Edge, Tube ASM TEO Outlet. | ||
18476 | Novellus | 10-00348-00 | Novellus 10-00348-00, Nx Edge, Tube ASM TEO Inlet. | ||
18477 | Novellus | 10-054043-00 | Novellus 10-054043-00 Thermoplastic Hose | ||
18478 | Novellus | 10-103326-00 | Novellus 10-103326-00 Tube Assy, DOP Manifold, 4CH, | ||
18479 | Novellus | 10-124283-60 | 3 NEW GAS LINE 10-124283-60 FITTING PIPING 04-8134593-00 | ||
18480 | Novellus | 10-128851-00-A | Novellus Vacuum foreline 10-128851-00-A CAL-WELD | ||
18481 | Novellus | 10-157659-00 | Blank off plate for isolation valves, BB10-157659-00 | ||
18482 | Novellus | 10-166693-00 | Novellus 10-166693-00 Valve Manifold Assy, | ||
18483 | Novellus | 10-182853-00 | Novellus 10-182853-00, Gas Manifold, VCR | ||
18484 | Novellus | 10-189321-00 | New Novellus 10-189321-00 VCR 90 Degree Connection | ||
18485 | Novellus | 10-257578-001 | Novellus 10-257578-00/1 pneumatic isolation valve | ||
18486 | Novellus | 10-263539-01 | Tube 3.15 IN, 8.0 IN, Quartz, 0.10 WALL539-01 novellus | ||
18487 | Novellus | 10-264953 | Novellus 10-264953 gas line 1/4″ VCO | ||
18488 | Novellus | 10-269550-00 | Novellus 10-269550-00 Tube Assy, Manifold B, Upper Remote Clean, Pipe | ||
18489 | Novellus | 10-269550-00 | Novellus 10-269550-00 Tube Assy, Manifold B, Upper Remote Clean, Pipe | ||
18490 | Novellus | 10-278783-00 | Novellus 10-278783-00 Gas Manifold, Connection, Gas Box | ||
18491 | Novellus | 10-287016-00 | Novellus 10-287016-00 Tube Assembly, IREPD-ISO VLV, C25EQ-S | ||
18492 | Novellus | 10-287016-00 | Novellus 10-287016-00 Tube Assembly, IREPD-ISO Valve, C25EQ-S, Cal-Weld | ||
18493 | Novellus | 10-287262-00 | Novellus 10-287262-00 Tube Assembly, Teos VAC/4LINE ISO VLV, RPC, C2S | ||
18494 | Novellus | 10-306574-00 | Novellus 10-306574-00 Tube Assembly, 1/8″, Doped, LDS-ADM RF SW, RPC R | ||
18495 | Novellus | 10-306575-00 | Novellus 10-306575-00 Rev.A, Tube Assembly, 1/8, Undoped, LDS-ADM RF SW. | ||
18496 | Novellus | 10-338472-00 | Swagelok | 6LVV P | Novellus 10-338472-00 5-Way Valve Assembly, Swagelok 6LVV P, Micro Fit, |
18497 | Novellus | 10-341781-00 | Slow pump forline with nupro isolation valve 10-341781-00/1 | ||
18498 | Novellus | 11-01398-01 | Novellus 11-01398-01 Kit .200L/S Cryo, C2 | ||
18499 | Novellus | 11-145742-00-1 | Novellus 11-145742-00-1 CAL-WELD, cooling hose, connecting fitting Parker 801-8 | ||
18500 | Novellus | 11-152327-02 | Novellus tube 11-152327-02 | ||
18501 | Novellus | 11-256751-00 | 2 Novellus cooling hose with connecting fitting 11-256751-00, 20.5 inches long | ||
18502 | Novellus | 11-256751-03 | Novellus cooling hose with connecting fitting 11-256751-03, 46.5 inches long | ||
18503 | Novellus | 17-00094-00 | 2 Novellus 17-00094-00 Strap, Heater RF C1/C2 | ||
18504 | Novellus | 12-01163-00 | Novellus 12-01163-00 Ceramic Ring | ||
18505 | Novellus | 15-00043-02 | Novellus 15-00043-02, Threaded Adjuster, Ceramic, Gas Plate, Concept One. | ||
18506 | Novellus | 15-00992-00 | 15-00992-00 Washer Thrust | ||
18507 | Novellus | 15-01037-00 | shield with 47cm stand offs PN 15-01037-00 and 17-00327-00 | ||
18508 | Novellus | 15-042168-00 | Novellus 15-042168-00 indexer hub, looks clean. | ||
18509 | Novellus | 15-055299-00 | Novellus 15-055299-00, 15-054203-00, Cassette Nest Assembly. | ||
18510 | Novellus | 15-100603-00 | Lot of 2 Novellus Systems Insulator, Gas Dist 15-100603-00 | ||
18511 | Novellus | 15-104055-00 | Novellus 15-104055-00 injector nozzle HDP 300 | ||
18512 | Novellus | 15-10676-00 | Novellus 15-10676-00, Blank off Mesa Flange, Chamber Spacer , Insert. | ||
18513 | Novellus | 15-115209-00 | Novellus 15-115209-00 CALMAX technlogy mounting block | ||
18514 | Novellus | 15-11606-00 | Novellus 15-11606-00 Rev.B, Insulator, Gas, Distribution. | ||
18515 | Novellus | 15-120130-00 | 3 New Novellus 15-120130-00 | ||
18516 | Novellus | 15-120150-03 | LAM | Novellus 15-120150-03 Spacer, LAM | |
18517 | Novellus | 15-120150-03 | LAM | Novellus 15-120150-03 Spacer, LAM | |
18518 | Novellus | 15-123659-00 | 2 Novellus 15-123659-00 Base cassette nest tilter | ||
18519 | Novellus | 15-129801-00 | Novellus 15-129801-00 Bracket, | ||
18520 | Novellus | 15-130760-00 | Novellus Systems 15-130760-00 Screw, Lot of 5 | ||
18521 | Novellus | 15-130760-00 | 5 New Novellus 15-130760-00 Screw, Flat, Hex, 6-32x | ||
18522 | Novellus | 15-136756-00 | 6 Novellus 15-136756-00, 300 PVD plunger ESC, w/o post, ceramic | ||
18523 | Novellus | 15-138597-00 | NOVELLUS 15-138597-00, HPD Speed Process Chamber | ||
18524 | Novellus | 15-152134-02 | NEW Novellus 15-152134-02 mount motor left load lock, VCTR | ||
18525 | Novellus | 15-164339-00 | 2 New Novellus 15-164339-00 Insulator RF Conductor Coil | ||
18526 | Novellus | 15-271135-00 | NOVELLUS 15-271135-00 ROBOT BLADE | ||
18527 | Novellus | 15-271403-00 | Novellus 15-271403-00 Insulator, RF Breaker, KF 16-kf16, C2SEQ-X | ||
18528 | Novellus | 15-419524-00 | Proteus | 0504SA4 | Novellus 15-419524-00 Manifold Assy, Valve Sensor, 3 Proteus 0504SA4 Flow Switch |
18529 | Novellus | 150-000-057 | Drive assembly with berkeley Process motor ASM81-B and Brecoflex T10/980 belt. | ||
18530 | Novellus | 15367-01 | Novellus 15367-01 Pin, Lift Quartz | ||
18531 | Novellus | 16-01276-00 | Novellus 16-01276-00 Cable | ||
18532 | Novellus | 16-01276-00 | Novellus 16-01276-00 Cable | ||
18533 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit | ||
18534 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit | ||
18535 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2″ VCR | ||
18536 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2″ VCR | ||
18537 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2″ VCR | ||
18538 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit | ||
18539 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit | ||
18540 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2″ VCR | ||
18541 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2″ VCR | ||
18542 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2″ VCR | ||
18543 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2″ VCR | ||
18544 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2″ VCR | ||
18545 | Novellus | 16-029857-00 | Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2″ VCR | ||
18546 | Novellus | 16-126665-01 | Novellus 16-126665-01, PVD Universal Shield, 15LB, ESC Table, Grit, APVD | ||
18547 | Novellus | 16-126668-01 | NOVELLUS 16-126668-01 PVD UNIVERSAL PRECISION SHIELD 15LB ESC TABLE GRIT | ||
18548 | Novellus | 16-129269-00 | Novellus 16-129269-00 Bellows, To 8″ CF – Flange, PC300 | ||
18549 | Novellus | 16-156335-00 | Novellus Heater Chuck, 8 inch, 16-156335-00 | ||
18550 | Novellus | 16-274114-00 | Lot of 3 Novellus 16-274114-00 Vacuum Bellows Assembly | ||
18551 | Novellus | 16-289070-00 | Novellus 16-289070-00 Showerhead, 300mm, WCVD, LAM, Shower Head | ||
18552 | Novellus | 17-029886-00 | Novellus 17-029886-00, Bracket, Spring, Interlock, | ||
18553 | Novellus | 17-103546-00 | Novellus 17-103546-00 Panel, Cover, Houseing | ||
18554 | Novellus | 17-10493-00 | NOVELLUS 17-10493-00 B TRI COVER, HF RF Generator Interlock | ||
18555 | Novellus | 17-121347-01 | NOVELLUS 17-121347-01 HEAT SHIELD, SPEED | ||
18556 | Novellus | 17-133076-00 | NOVELLUS 17-133076-00 PANEL PFEIFFER VACUUM DISPLAY | ||
18557 | Novellus | 17-143268-00 | Novellus 17-143268-00 Metal Casing | ||
18558 | Novellus | 17-148725-00 | Novellus Gas Valve Assy, 17-148725-00 A WE 5204, 04-272262-00 REV.3 102679010500 | ||
18559 | Novellus | 17-148725-00 A WE 5204 | SWAGELOK, SETRA, AERO | SWAGELOK N95592 GLV-DAB W4-P-C, SWAGELOK E15476 6LVV-DPTVR4-P, SWAGELOK 048123 6LVV-DPFR4-P-C, SWAGELOK 049087 6LVV-P1V222P-AA, SWAGELOK 048123 6LVV-DPFR4-P-C, SWAGELOK 049087 6LVV-P1V22P-AA, SWAGELOK E07916 6LVV-DPVR4-P-0, SETRA 22311 00PCA11B1F, AERO FC-PA7800C 200 SCCM H2 | NOVELLUS GAS VALE ASSY, 17-148725-00 A WE 5204, 04-272262-00 REV.3 1026790105002 |
18560 | Novellus | 17-155513-00 | Novellus 17-155513-00 Plate, | ||
18561 | Novellus | 17-159024-00-A | Novellus 17-159024-00-A Gas Manifold, | ||
18562 | Novellus | 17-159024-00-A | Novellus 17-159024-00-A Gas Manifold, 6LV-D1V222P-AA, 6LVV-DPFR4-P-C, | ||
18563 | Novellus | 17-174297-00-2 | Integrated Power system REL110-1006-AC6 WT/PFP3 Power with 17-174297-00-2 mount. | ||
18564 | Novellus | 17-283255-00 | 2 Novellus 17-283255-00, Plate, Weight, Mix Bowl, Top HTR, C25EQ-X. | ||
18565 | Novellus | 17-287141-00 | Novellus 17-287141-00, Dwyer 300MR, Photohelic Gauge, 24 VDC Regulated. | ||
18566 | Novellus | 17-301068-00 | 715-283029-001 | Novellus CVD RF Match, LAM, 17-301068-00, 715-283029-001, 715-235240-001 | |
18567 | Novellus | 19-131933-00 | Novellus 19-131933-00 Assembly Anafaze Controller, Liquid | ||
18568 | Novellus | 19-147080 | HVA | Novellus 19-147080, HVA 2.5″ High Temp Gate valve | |
18569 | Novellus | 19-147081 | HVA | Novellus 19-147081 HVA 3.0″ High Temp gate valve | |
18570 | Novellus | 20-49564-00 | Novellus / Porter TDEAT Flow control assembly 0204956400 with NVL-012 1mL MFC | ||
18571 | Novellus | 22-00147-00 | Swagelok | SS-4BK-V51 | Novellus 22-00147-00 Valve, Bellows, 1/4 VCR, Swagelok SS-4BK-V51 |
18572 | Novellus | 22-00147-00 | Swagelok | SS-4BK-V51 | Novellus 22-00147-00 Valve, Bellows, 1/4 VCR, Swagelok SS-4BK-V51 |
18573 | Novellus | 22-00796-00 | Swagelok | SS-BNTV51 | Novellus 22-00796-00 Valve, TGL, Nupro, Swagelok SS-BNTV51 |
18574 | Novellus | 22-00796-00 | Swagelok | SS-BNTV51 | Novellus 22-00796-00 Valve, TGL, Nupro, Swagelok SS-BNTV51 |
18575 | Novellus | 22-023879-00 | Novellus 22-023879-00, Advanced Energy Aera FC-D980C MFC, 5 SLM, gas N2 | ||
18576 | Novellus | 22-055667-00 | VAT | 94842-R1 | Novellus 22-055667-00 DN100 4″ Mechanism VAT 94842-R1, Gate Valve Insert |
18577 | Novellus | 22-055667-00 | VAT | 94842-R1 | Novellus 22-055667-00 DN100 4″ Mechanism VAT 94842-R1, Gate Valve Insert |
18578 | Novellus | 22-10365-00 | Novellus 22-10365-00 Gasket, Foldover, Ground | ||
18579 | Novellus | 22-104042-00 | Novellus 22-104042-00, Kalrez, Injector, O-ring | ||
18580 | Novellus | 22-104371-00 | Novellus 22-104371-00 Valve, 3Port, NOXNC, DIAPH, 1/4 FVC, Lot of 2 | ||
18581 | Novellus | 22-109676-00 | Advanced Energy AERA | FC-7800CD | Advanced Energy Aera FC-7800CD MFC 1 SLM N2, Novellus 22-109676-00 |
18582 | Novellus | 22-109958-00 | Advanced Energy AERA | FC-7810CD | Advanced Energy Aera FC-7810CD MFC O2, Novellus 22-109958-00 |
18583 | Novellus | 22-117958-00 | Advanced Energy AERA | FC-7810CD | Advanced Energy Aera FC-7810CD MFC 5 SLM NF3, Novellus 22-117958-00 |
18584 | Novellus | 22-120429-00 | 4 units of Novellus orings 22-120429-00 | ||
18585 | Novellus | 22-121782-00 | 8 new novellus 22-121782-00, viton oring chamber to DLCM, 200 mm 337V75, 2-377 | ||
18586 | Novellus | 22-123711-00 | 20 Novellus 22-123711-00 o’rings Viton, Black, -032 | ||
18587 | Novellus | 22-126240-00 | Advanced Energy AERA | FC7800CD | Advanced Energy Aera FC7800CD MFC 2 SLM N2, Novellus 22-126240-00 |
18588 | Novellus | 22-140012-00 | Novellus 22-140012-00, Advanced Energy Aera D986CY MFC, 20 SLM, gas NH3, I, nc | ||
18589 | Novellus | 22-147921-00 | Kalrez Sahara | Novellus 22-147921-00 O-ring, Seal, Kalrez Sahara, BJS102600 8375 | |
18590 | Novellus | 22-149793-00 | Lot of 3, magnetic coupling for actuator, Load Lock, Vector | ||
18591 | Novellus | 22-154782-00 | Lot of 4 Viton Oring 22-154782-00, New | ||
18592 | Novellus | 22-169084-00 | 2 new Novellus O-ring, 22-169084-00, Compound G71H, white perlast | ||
18593 | Novellus | 22-170369-00 | Advanced Energy AERA | FC-7800CD | Advanced Energy Aera FC-7800CD MFCm CF4, Novellus 22-170369-00 |
18594 | Novellus | 22-173129-00, | Perlast | G71H389.55×4.9SC | Perlast 22-173129-00, Compound G71H, White Perlast, G71H389.55×4.9SC |
18595 | Novellus | 22-182021-00 | SMC | LVQ20-Z07N-8 | Lot of 2, Novellus 22-182021-00, SMC LVQ20-Z07N-8 Viper valve |
18596 | Novellus | 22-185607-00 | Novellus 22-185607-00, Advanced Energy Aera FC-982C MF, 20 SLM, gas NH3, 1/4MVCR | ||
18597 | Novellus | 22-186489 | Advanced Energy AERA | TC-FC-PA7820 | Advanced Energy Aera TC-FC-PA7820 MFC 100 SLM Ar, Novellus 22-186489 |
18598 | Novellus | 22-190306-00 | unit | UFC-1661E | UNIT UFC-1661E, SiH4, 750 SCCM, MFC 1661, novellus 22-190306-00 |
18599 | Novellus | 22-190307-00 | Novellus 22-190307-00 Rev. 01, Multiflo 1661E,I, N2sscm I.D | ||
18600 | Novellus | 22-190313-00 | Novellus 22-190313-00 Rev. 01, Multiflo 1661, 600 PSI,Pa, N2, 15SLM. I.D | ||
18601 | Novellus | 22-190944-00 | Aera | TC FC-PA7810C | Aera TC FC-PA7810C MFC O2, Novellus 22-190944-00 |
18602 | Novellus | 22-190947-00 | Advanced Energy AERA | TC-FC-PA7800C | Advanced Energy Aera TC-FC-PA7800C MFC 30 SLM N2, Novellus 22-190947-00 |
18603 | Novellus | 22-190955-00 | Aera | FC-7810CD | Aera FC-7810CD MFC 4%H2/N2, Novellus 22-190955-00 |
18604 | Novellus | 22-190958-00 | Advanced Energy AERA | FC-7800CD | Advanced Energy Aera FC-7800CD,CM, CF4(0.424) gas, Novellus 22-190958-00 |
18605 | Novellus | 22-268760-00 | Aera | TC FC-PA7800 | Aera TC FC-PA7800C MFC 200 sccm N2, Novellus 22-268760-00 |
18606 | Novellus | 22-268761-00 | Aera | TC FC-PA7800 | Aera TC FC-PA7800C MFC 200 sccm H2, Novellus 22-268871-00 |
18607 | Novellus | 22-268957-00 | Advanced Energy AERA | TC-FC PA7800C | Advanced Energy Aera TC FC-PA7800C MFC 100 sccm Ar, Novellus 22-268957-00 |
18608 | Novellus | 22-278256-00 | Novellus 22-278256-00, Advanced Energy Aera FC-980C MFC, 1.5 SLM, gas N2O, 1/4 vcr | ||
18609 | Novellus | 22-284951-00 | Novellus 22-284951-00 25 Micron SS-4-VCR-2 | ||
18610 | Novellus | 22-308816-00 | Advanced Energy AERA | TC-FC-PA7810C | Advanced Energy Aera TC-FC-PA7810C MFC 20 SLM N2, Novellus 22-308816-00 |
18611 | Novellus | 22-363308-00 | Aera | TC FC-PA7800 | Aera TC FC-PA7800 MFC 100 sccm NH3, Novellus 22-363308-00 |
18612 | Novellus | 22-373606-00 | Novellus 22-373606-00, Fluorosilicone, blue, O-ring, AS568-224 | ||
18613 | Novellus | 22-373608-00 | Novellus 22-373608-00, Fluorosilicone, blue, O-ring | ||
18614 | Novellus | 24-10257-00 | 10 Novellus 24-10257-00 BRG. Gate Valve New Style 1/4ID X 7/, Needle Bearing | ||
18615 | Novellus | 2400-739682 | New IPEC Speedfam Novellus Tube 971219-002-002547, 2400-739682 | ||
18616 | Novellus | 26-81656-00 | 2 NOVELLUS 26-81656-00 SENSOR MOUNT | ||
18617 | Novellus | 27-053659-00 | ISIOC Power supply, 02-125105-00, 27-053659-00 used | ||
18618 | Novellus | 27-053660-00 | Digital dynamics | Power supply SIOC, looks new | |
18619 | Novellus | 27-055823-00 | Astex AX7650 Reactive Gas Generator, Novellus 27-055823-00, Astron | ||
18620 | Novellus | 27-057877-00 | Computer display LCD, Duraflo | ||
18621 | Novellus | 27-125993-00 | Ebarra | 642-1020 | Novellus 27-125993 Ebarra Genesis Cryo controller, integrated, 642-1020 |
18622 | Novellus | 27-128501 | Varian | VPW 2870 | Varian VPW 2870E4 Multi range DC Sputtering Power Supply, Novellus, |
18623 | Novellus | 27-128501-00 | ASTEC | MP1-2Q-2U-2U-00 | Novellus 27-128501-00, ASTEC MP1-2Q-2U-2U-00 1000w 24V 15A & 36V36V 10A power supply |
18624 | Novellus | 27-130825-00 | MKS | 9599-0349 | NOVELLUS 27-130825-00, VACUUM PIPING HEATER, MKS 9599-0349. |
18625 | Novellus | 27-131942-00 | MKS | 9515-0362 | NOVELLUS 27-131942-00, VACUUM PIPING HEATER, MKS 9515-0362. |
18626 | Novellus | 27-153945-01 | Parker Hann. | CP*OEM750-11033 | Novellus 27-153945-01 Drive, EXT/ROT,C1 Robot, CP*OEM750-11033 |
18627 | Novellus | 27-153945-01 | Parker Hann. | CP*OEM750-11033 | Novellus 27-153945-01 Drive, EXT/ROT,C1 Robot, CP*OEM750-11033 |
18628 | Novellus | 27-159274-00 | Hermos sys, RF ID reader | ||
18629 | Novellus | 27-163237-00 | Novellus 27-163237-00 controller, Trust automation TA2026 CVD Tin 1 AXIS WTM | ||
18630 | Novellus | 27-166887-00 | Acess I/O Products ID1-48 rev A4, I/O board for novellus 27-166887-00 | ||
18631 | Novellus | 27-263706-00 | SIOC, I/O module, Ver 4.72, PNL | ||
18632 | Novellus | 27-265049-00 | Comdel | CMX30 | Novellus 27-265049-00, RF Match network, 13.56mhzECVD, ID |
18633 | Novellus | 27-270136-00 | Brooks | 108965 | Mapper, Thrubeam, AQR8 robot |
18634 | Novellus | 27-312785-00 | Watlow | 208- C 1000BA | Watlow Anafaze 208-C 10000BA Temperature Controller 27-312785-00 |
18635 | Novellus | 27-402452-00 | Novellus 27-402452-00 O’ring | ||
18636 | Novellus | 27-459853-00 | Novellus 27-459853-00 Compression, ISO, Copper, Flange Seal | ||
18637 | Novellus | 2810-738370 | Oriental motors, VEXTA PK564ANA-TG30 Novellus 2810-738370, Stepper motor | ||
18638 | Novellus | 2850-739458 | IPEC Speedfam | 676 | IPEC Speedfam Novellus 2850-739458, Robot 676 |
18639 | Novellus | 30-1214700 | Ring, Electromagnet assembly, look new | ||
18640 | Novellus | 31-119083-00 | 2 Novellus 31-119083-00 Contact Socket,ps, | ||
18641 | Novellus | 316HB-309 | IPEC Speedfam | AC2714A1 | 5 new BAL SEAL 316HB-309 Canted Spring Seal for IPEC Speedfam Novellus AC2714A1 |
18642 | Novellus | 34-140181-00 | Novellus 34-140181-00, UE Precision Sensors, EPV48W-16, 2 element pressure switch | ||
18643 | Novellus | 34-158773-00 | Precision Sensors | E48W-H99 | 2 Novellus 34-158773-00 Precision Sensors, 20 torr switch E48W-H99, PV48W-102 |
18644 | Novellus | 34-284347-00 | NOVELLUS 34-284347-00 INSLTR, MIX BOWL TUBE-TEE,RPC, 2SEQ-X, HEATER JKT | ||
18645 | Novellus | 34-285930-32 | Novellus 34-285930-32, Circuit Breakers, 2 Pole, Din Rail, Ring Lug | ||
18646 | Novellus | 34-309953-04 | Wasco 34-309953-04 SV120-S1L3B-X/2041 740 Torr Vacuum Switch For Novellus | ||
18647 | Novellus | 372-45064-1 | 7 units of IPEC Speedfam Novellus 372-45064-1 spacer, .004 thick | ||
18648 | Novellus | 38-122736-00 | Novellus 38-122736-00 Cable Assembly | ||
18649 | Novellus | 38-194881-00 | NOVEULLUS 38-194881-00 CAROL 10/4 90C (UL) WATER RESISTANT P-7K-123033 | ||
18650 | Novellus | 38-276335-00 | Novellus 38-276335-00 Cable | ||
18651 | Novellus | 39-033375-00 | 2 NOVELLUS375-00 RF CONNECTOR | ||
18652 | Novellus | 41-0010-011 | Novellus0-011 Electro-Craft Max-430 Brush Servo Drive, PCB, | ||
18653 | Novellus | 4500056255, 011005-008-101104, 962977 REV.A | THREADED PLASTIC INSULATOR | ||
18654 | Novellus | 5-029544-00 | Novellus 15-029544-00, Chamber Speed-S | ||
18655 | Novellus | 60-00026-00 | MKS | 100760610 | Novellus 60-00026-00, Ring, Centering (ISO100), MKS HPS 100760610. |
18656 | Novellus | 60-00026-00 | MKS | 100760610 | Novellus 60-00026-00, Ring, Centering (ISO100), MKS HPS 100760610. |
18657 | Novellus | 60-00039-00 | HPS | 100312605 | Novellus 60-00039-00 Seal, CTring Assembly, NW40, A/V, HPS 100312605 |
18658 | Novellus | 60-00039-00 | NOVELLUS 60-00039-00 SEAL CENTER RING ASSEMBLY NW40 A/V | ||
18659 | Novellus | 60-00040-00 | 2 NOVELLUS 60-00040-00 MKS CLAMP NW40 ALUM W020481 HPS 100312905 | ||
18660 | Novellus | 60-032895-00 | Novellus MDC vacuum products 60-032895-00, 313004-1000 | ||
18661 | Novellus | 60-10072-00 | Novellus 60-10072-00 Rev.A, Aluminum Gate Valve, Plate | ||
18662 | Novellus | 60-10072-00 | VAT | 285965 | Novellus 60-10072-00, VAT 285965, Aluminum Gate Valve Plate. |
18663 | Novellus | 60-10093-00 | Novellus 60-10093-00 VCR NW40 – 1/4″, Male, Adapter | ||
18664 | Novellus | 60-112545-00 | Novellus 60-112545-00, Toggle Valve, Manf, HDP 300, 04-15159-00 | ||
18665 | Novellus | 60-114757-00 | Novellus 60-114757-00 VAT Monovalve, Alum, Heated 02109-BA24-AMU 1/000 | ||
18666 | Novellus | 60-131137-00 | NOVELLUS 60-131137-00 CLAMP, T-VALVE, SHRT FLANGE, NW-4 | ||
18667 | Novellus | 60-183488-00 | Assy, Pneumatic Bank #1 | ||
18668 | Novellus | 60-252054-00 | Manometer, 9 pin D connector | ||
18669 | Novellus | 60-255761-00 | Pneumatic manifold assy with 24 SMC valves on 3 panels with interface connectors | ||
18670 | Novellus | 60-275443-00 | Novellus 60-275443-00, Center Ring, NW16, Teflon, OD, Perlast, CPD G74P | ||
18671 | Novellus | 60-277428-00 | MAC | 34B-L00-GDFO-1DV | Manifold assembly with 22 MAC solenoid valves, 34B-L00-GDFO-1DV, & interface conn. |
18672 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE. | ||
18673 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE. | ||
18674 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE. | ||
18675 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE. | ||
18676 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
18677 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE. | ||
18678 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
18679 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
18680 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
18681 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
18682 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
18683 | Novellus | 60-281687-00 | NOVELLUS 60-281687-00, VAT 24424 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
18684 | Novellus | 60-316783-00 | Swagelok | 6LVV-DPA333P-C | Swagelok 6LVV-DPA333P-C Novellus 60-316783-00 3 way Valve |
18685 | Novellus | 60-378805-00 | Novellus 60-378805-00 tubing 1/16″ ID, 3/16″OD, NEOPRENE, BLK | ||
18686 | Novellus | 61-285456-00 | Festo | DSW-75-12.7-P-N-SA | Festo aircylinder for novellus 61-285456-00 DSW-75-12.7-P-N-SA 33504KR, 145PSI |
18687 | Novellus | 61-406196 | VAT 61538-PEAZ-APY1/0003 Butterfly Throttle and Iso valve Novellus 61-406196 | ||
18688 | Novellus | 61-413241-00 | Novellus 61-413241-00 ASM, FE-HD EIOC 1 CMN Mach 1 G6 | ||
18689 | Novellus | 62-334995-00 | Water flow switch, remote electronics, KYN | ||
18690 | Novellus | 63-303438-00 | Brooks Automation | 002-7090-10 | Novellus 63-303438-00 Robot Assy, DU EE, NO EE, 200, Brooks 002-7090-10 |
18691 | Novellus | 63-33195-00 | Novellus 63-33195-00 Weldment, Purge, Side, 2, Manifold, | ||
18692 | Novellus | 63-33196-00 | Novellus 63-33196-00 Weldment, Purge, Side, 4-1, Manifold, CA-63-33196-00 | ||
18693 | Novellus | 63-33197-00 | Novellus 63-33197-00 Weldment, Purge, Side, 3-1, Manifold, CA-63-33197-00 | ||
18694 | Novellus | 63-33202-00 | Novellus 63-33202-00 Weldment, Prefilter | ||
18695 | Novellus | 63-33203-00 | Novellus 63-33203-00 Weldment, Post, Filter, 3 Manifold | ||
18696 | Novellus | 63-33203-00 | Novellus 63-33203-00 Weldment, Post, Filter, #3, Manifold | ||
18697 | Novellus | 63-33207-00 | Novellus 63-33207-00 Weldment, Purge, Top, 1-1, Manifold, CA-63-33207-00 | ||
18698 | Novellus | 63-33208-00 | Novellus 63-33208-00 Weldment, Purge, Top, 2-1, Manifold, CA-63-33208-00 | ||
18699 | Novellus | 63-33211 | Novellus 63-33211-00 Weldment, Post Filter, 4, Manifold, | ||
18700 | Novellus | 63-33498-00 | Novellus 63-33498-00 Weldment, Spool, Valve | ||
18701 | Novellus | 680228-00 | Novellus 680228-00 Cable | ||
18702 | Novellus | 71-332298-00 | Novellus 71-332298-00 Kit, Ship Along, Install, Door Purge | ||
18703 | Novellus | 71003-13 | Gasonics | 6 Novellus 71003-13 Oring, .612 ID X .103 W, VITON, Chemraz, Gasonics | |
18704 | Novellus | 71003-20 | Gasonics | Novellus 71003-20 Oring, 1.049 ID X .103 W, VITON, Gasonics | |
18705 | Novellus | 71004-60 | Gasonics | 6 Novellus 71004-60 Oring, 75 Dur, Viton,hemraz, Gasonics | |
18706 | Novellus | 71004-61 | Gasonics | 4 Novellus 71004-61 Oring, 6.734 ID x 0.139 W, VITON, Gasonics | |
18707 | Novellus | 71004-76 | Gasonics | 5 Novellus 71004-76 Oring ID X .139 W, VITON, Gasonics | |
18708 | Novellus | 72-21035-00 | NOVELLUS IVS FOCUS CONTROL BOARD 72-21035-00 60-21035-00 ID | ||
18709 | Novellus | 72496-05 | Gasonics | 2 Novellus 72496-05 Oring, Centering, NW50, MKS, HPS | |
18710 | Novellus | 73-290946-00 | Novellus 73-290946-00 Heater, Hotwatt 8911 PF-6, Nitrogen, ID | ||
18711 | Novellus | 73337-01 | Gasonics | 10 Novellus 73337-01 Oring, Gasket Flange Lift Cylinder, VITON, Gasonics | |
18712 | Novellus | 79-343925-00 | LAM | 17-408302-00 | Novellus 79-343925-00 Robot Controller, LAM, 17-408302-00, 17-423264-00 |
18713 | Novellus | 79-397360-00 | LAM | 17-393320-00 | Novellus 79-397360-00 Robot Controller, 17-393320-00, LAM |
18714 | Novellus | 833-490832-050 | Novellus 833-490832-050 Pump Cable | ||
18715 | Novellus | 90-2686 | NEW Novellus Gasonics 90-2686 PCA, MAIN SIDE DISTRIBUTION BOARD | ||
18716 | Novellus | 90-2728 | Bottom Board, Handler, PCA | ||
18717 | Novellus | 91-00668 | Chamber Chuck cover, quartz with Holes | ||
18718 | Novellus | 93-3049 | Novellus 93-3049, Cable Assembly, RF Generator Coax, Sierra. | ||
18719 | Novellus | 94-2730 | WAFER, ASSY, A2000 JIG | ||
18720 | Novellus | 94-34505 | 2 new 72 channel digital I/O PCB, GENUS | ||
18721 | Novellus | 95-3658 | 2 flow meter water output to magtrn water output 95-3658 | ||
18722 | Novellus | 95-4027 | Sensor, ATM, Process Module, New in Box | ||
18723 | Novellus | 95-4587 | Arm assy, Vespel, Sierra | ||
18724 | Novellus | A01-132-01 | Water cooled chamber | ||
18725 | Novellus | A01-201-01 | Housing Shower head, 8″ | ||
18726 | Novellus | A80502100 | NOVELLUS systems controller with intel pentium processor 100MHz A80502100 | ||
18727 | Novellus | A94-042-01 | Pneumatic panel assy, load lock | ||
18728 | Novellus | A95-107-01 | Novellus A95-107-01 Rear Panel Display Assembly, Loadlock | ||
18729 | Novellus | A95-107-01 | Novellus A95-107-01 Rear Panel Display, Assembly, Loadlock | ||
18730 | Novellus | A95-122-01 | Load Lock external door assembly | ||
18731 | Novellus | A95-145-01 | Flushmount window assembly | ||
18732 | Novellus | AC3320A1 | Ipec speedfam novellus , 040601-006-101104 AC3320A1 Sleeve | ||
18733 | Novellus | BB81-000007 | TEL flux amp PCB assy | ||
18734 | Novellus | C1 | Novellus C1 Concept One Oxide CVD tool, 2 RF Generator TEOS gas cabinet complete | ||
18735 | Novellus | C1 | Novellus C1 Concept One #3 Oxide CVD tool Robot 2 RF Generator TEOS complete | ||
18736 | Novellus | C450 | 26-420045-00 | Novellus C450 Pushbutton Control Assy, Wafer, Lift, Interlock, PCB | |
18737 | Novellus | C450 | 26-419964-00 | Novellus C450 Control, Automation Interface, DC, 26-419964-00, PCB | |
18738 | Novellus | CA-63-33200-00 | Novellus CA-63-33200-00 Weldment, Purge, Top, 3-1 | ||
18739 | Novellus | CA-63-33209-00 | Novellus CA-63-33209-00 Weldment, Purge, Side, 2-1 | ||
18740 | Novellus | Cajon | 316 | Novellus, Gas Manifold, VCR, Cajon 316 | |
18741 | Novellus | GP-275 | Novellus, Vacuum Foreline with GP 275 Mini-Connection and Bellows | ||
18742 | Novellus | KF50 | Novellus gas line KF50, 1/4 inch VCR | ||
18743 | Novellus | MOS13-RD-002A | Chamber Chuck cover, quartz with No Holes MO513-RD-002A | ||
18744 | Novellus | N/A | Novellus Electrical Breaker Box, Contactor, Circuit Breaker. | ||
18745 | Novellus | R 02-028522-00 | System control assembly, 16 MEG. | ||
18746 | Novellus | R02-341002-00 | Ion source match network | ||
18747 | Novellus | R23-0140 | Novellus R23-0140 UNIT UFC1160A MFC, 1 SLM, gas Cl2, Looks new | ||
18748 | Novellus | SCIE-32 | Novellus SCIE-32 Heater Feedthrough, Contact Assy | ||
18749 | Novellus | SCIE-32 | Novellus SCIE-32 Heater Feedthrough, Contact Assy | ||
18750 | Novellus | SCON | LAM | Novellus SCON System Controller, LAM, PM255, SYS-ZDT-100, 02-028033-00 | |
18751 | Novellus | T05-H02 | NOVELLUS T05-H02 SHIELD ETCH END CHAMBER (CNTL W95200080, VNDOR 04-716638-01) | ||
18752 | Novellus | YY50-02PR1 | Novellus YY50-02PR1, Filter, Waferguard, PAL | ||
18753 | Novellus | YY50-02PR1 | Novellus YY50-02PR1, Filter, Waferguard, PAL | ||
18754 | Novellus | YY50-02PR1 | Novellus YY50-02PR1, Filter, Waferguard, PAL | ||
18755 | Novellus | YY50-02PR1 | Novellus YY50-02PR1, Filter, Waferguard, PAL | ||
18756 | Novellus | Novellus Isolation Window, View Port | |||
18757 | Novellus | Novellus Isolation Window, View Port | |||
18758 | Novellus | Novellus 17-029896-00 Bracket, Dual Nupro | |||
18759 | Novellus | Novellus VCR, Gas Manifold | |||
18760 | Novellus | Computer Module Controller, Novellus | |||
18761 | Novellus | Novellus Concept-1 RF Filter Box, 03-00289-00 RF Cable, | |||
18762 | Novellus | 15-281711-00 | Novellus 15-281711-00 Fitting, Tee, Vac 3/8 Comp To KF | ||
18763 | Novellus | 61-413271-00 | 79-10098-00 | Novellus 61-413271-00 ASM, FE-HD EIOC 0 CMN MACH 1 G6, Contorller, 79-10098-00 | |
18764 | Novellus | 02-421242-00 | 79-10098-00 | Novellus 02-421242-00 ASM, FE-HD EIOC 0 SLE2 GxT-R +3, Controller, 79-10098-00 | |
18765 | Novellus | 17-396549-00 | Novellus 17-396549-00 Bracket | ||
18766 | Novellus | Novellus Flex Hose Assy, Swagelok | |||
18767 | Novellus | 10-393848-00 | Swagelok | 6LVV-DPFR4-P-C | Novellus 10-393848-00 Valve Assy, Swagelok 6LVV-DPFR4-P-C |
18768 | Novellus | 10-419124-00 | Novellus 10-419124-00 Vacuum Flange Connector Assembly, Tescom, Mykrolis | ||
18769 | Novellus | 63-33195-00 | Novellus 63-33195-00 Weldment, Purge, Side, 2, Manifold | ||
18770 | Novellus | 03-344508-00 | 26-344508-00 | Novellus 03-344508-00 PCA LEDS AWC, VAC ROBOT, MACH IV, FAB 26-344508-00 | |
18771 | Novellus | 10-419124-00 | Novellus 10-419124-00 Vacuum Flange Assembly, Connector, KF25 | ||
18772 | Novellus | 10-424661-00 | Novellus 10-424661-00 Weldment Assy | ||
18773 | Novellus | 17-422170-00 | Novellus 17-422170-00 Assy, Controller, Input, PCB | ||
18774 | Novellus | 34-160330-00 | 3 Novellus 34-160330-00 Assy, Flow Switch, ECI/min | ||
18775 | Novellus | 10-423177-00 | 10-422691-00 | Novellus 10-423177-00 Gas Valve Weldment Assy691-00683-00, RS1139 | |
18776 | Novellus | 02-282791-00 | Novellus 02-282791-00 Assy, RF Strap, Non-RF SW, TCP PL, RPC, C2SEQ | ||
18777 | Novellus | 34-284347-00 | BF10537B | Novellus 34-284347-00 Insulator, Mix Bowl Tube-Tee, RPC, Heater Jacket | |
18778 | Novellus | 34-284347-00 | BF10537B | 6 Novellus 34-284347-00 Insulator, Mix Bowl Tube-Tee, RPC, Heater Jacket | |
18779 | Novellus | 15-281711-00 | Novellus 15-281711-00 Fitting, Tee, Vac 3/8 Comp To KF | ||
18780 | Novellus | 02-338013-02 | 27-319917-00 | Novellus Front Monitor 02-338013-02, 27-319917-00, B2_PWR_IN, WME-_02AFB | |
18781 | Novellus | 10-306574-00 | Novellus 10-306574-00 Tube Assembly, 1/8″, Doped, LDS-ADM RF SW, RPC R | ||
18782 | Novellus | 02-420528-00 | Animatics Corporation | SM2315D-BRKETH | Novellus 02-420528-00 Actuator Assy, 02-420529-00, SM2315D-BRKETH |
18783 | Novellus | 10-422692-00 | Novellus 10-422692-00 Union Elbow, VCR | ||
18784 | Novellus | 02-164573-00 | Oriental Motor Co. LTD | UDK5214NW | Novellus 02-164573-00 Assy, Stepper Motor, 2 (NC), UDK5214NW, PK566BW-N10 |
18785 | Novellus | 22-169086-00 | Novellus 22-169086-00 Oring, Perlast, White, Compound G70H (FFKM) | ||
18786 | Novellus | 22-034294-00 | Novellus 22-034294-00 Oring, Seal | ||
18787 | Novellus | 810-2850 | Novellus 810-2850 PWM Motor Driver Board, PCB | ||
18788 | Novellus | 22-136505-00 | 13 Novellus 22-136505-00 Chemraz Oring, 2-112, SC513 | ||
18789 | Novellus | 17-283255-00 | 2 Novellus 17-283255-00 Plate, Weight, Mix Bowl, Top HTP, C2SEQ-X | ||
18790 | Novellus | 94-48160-00 | Novellus 94-48160-00 Seal Plate, Chuck, 17049270 | ||
18791 | Novellus | 15-00371-00 | 17 Novellus 15-00371-00 Nut, Hex, 1/4-20, Ni | ||
18792 | Novellus | 0780-738673 | Bayside | NR23S-010-025 | Novellus 0780-738673, Bayside NR23S-010-025, Precision gear head 10:1 ration, with mounting kit |
18793 | Novellus | 15-345275-00 | Novellus 15-345275-00 GAMMA Qtz Bell Jar | ||
18794 | Novellus | 20-010366-01 | Novellus 20-010366-01 Plate, Clamping, Leybold 251 Blower X, ISO 63 | ||
18795 | Novellus IPEC Speedfam | 952075 | 31 IPEC Speedfam 952075 A Standoff SS, 1/2″ | ||
18796 | Novellus IPEC Speedfam | 952345 | IPEC speedfam 952345 Check valve MCV188 | ||
18797 | Novellus IPEC Speedfam | 952363 | Novellus | IPEC Speedfam Novellus 952363 Ball Valve, Mace Q4000 | |
18798 | Novellus IPEC Speedfam | 952478 | IPEC Speedfam, Novellus 952478 Coupling | ||
18799 | Novellus IPEC Speedfam | 952799 | 19 IPEC Speedfam Novellus 952799, gasket index table | ||
18800 | Novellus IPEC Speedfam | 955653 | Lot of 10 IPEC Speedfam 955653,Carrier 1 coupling assy | ||
18801 | Novellus IPEC Speedfam | 955813 | 2 new IPEC speedfam 955813 water track adjustment screw | ||
18802 | Novellus IPEC Speedfam | 955907 | Lot of 1100, IPEC Speedfam 955907 springs | ||
18803 | Novellus IPEC Speedfam | 955928 | IPEC Speedfam Novellus 955928, Bridge, containment | ||
18804 | Novellus IPEC Speedfam | 956043 | IPEC Speedfam Novellus 956043, roller, roller box | ||
18805 | Novellus IPEC Speedfam | 956117 | 28 IPEC speedfam, 956117 Peek Insulators | ||
18806 | Novellus IPEC Speedfam | 956129 | 3 new IPEC speedfam 956129 Peek Ring, 1/2″ th x6″ diam. X 2″ long | ||
18807 | Novellus IPEC Speedfam | 956165 | Rinse Ring 200 C | ||
18808 | Novellus IPEC Speedfam | 956270 | IPEC Speedfam Novellus 956270 C6 Aux power ground for Control cable BAM | ||
18809 | Novellus IPEC Speedfam | 956453 | 4 IPEC Speedfam Novellus 956453, Cover upper shaft front | ||
18810 | Novellus IPEC Speedfam | 956703 | 170, IPEC speedfam 956703, 2 hole bracket | ||
18811 | Novellus IPEC Speedfam | 956781 | 2 new IPEC Speedfam, 956781 Seal plate, 4″ x 5″, 1.75″ window | ||
18812 | Novellus IPEC Speedfam | 956781 | 11 used IPEC Speedfam, 956781 Seal plate, 4″ x 5″, 1.75″ window | ||
18813 | Novellus IPEC Speedfam | 956926 | 6 new IPEC speedfam 956926 A plastic guide | ||
18814 | Novellus IPEC Speedfam | 957687 | IPEC Speedfam Novellus 957687, Rail wafer support HF bath | ||
18815 | Novellus IPEC Speedfam | 958260 | IPEC Speedfam Novellus 958260 Kit, jumpers WDLUC | ||
18816 | Novellus IPEC Speedfam | 958503 | 35 IPEC Speedfam 958503 bushings | ||
18817 | Novellus IPEC Speedfam | 958504 | 28 IPEC Speedfam 958504 Bushings | ||
18818 | Novellus IPEC Speedfam | 959218 | 4 IPEC Speedfam Novellus 959218 shaft, mounting roller box | ||
18819 | Novellus IPEC Speedfam | 959244 | Speedfam/Ipec 959244 Rev. A Cover, Exhaust | ||
18820 | Novellus IPEC Speedfam | 959857 | IPEC Speedfam Novellus 959857, manifold block track 3 | ||
18821 | Novellus IPEC Speedfam | 960539 | 5 IPEC Speedfam Novellus 960539 Cable, adapter transducer MHTA | ||
18822 | Novellus IPEC Speedfam | 961079 | 2 IPEC Speedfam Novellus 961079, mount, chemical sensor | ||
18823 | Novellus IPEC Speedfam | 961714 | IPEC Speedfam Novellus 961714 Arm support adjustable | ||
18824 | Novellus IPEC Speedfam | 962463 | IPEC Speedfam Novellus 962463, ring, probe housing adjustable fin | ||
18825 | Novellus IPEC Speedfam | 962568 | 3 new IPEC speedfam 962568 anodized aluminum sensor bracket | ||
18826 | Novellus IPEC Speedfam | 962977 | Lot of 10, IPEC / Speedfam 962977 Threaded plastic ball | ||
18827 | Novellus IPEC Speedfam | 965419 | 8 new IPEC speedfam 965419 SS collar spacer, 1/2″ id, 3/4″ od | ||
18828 | Novellus IPEC Speedfam | 965426 | 4 IPEC Speedfam 965426 Shafts SS | ||
18829 | Novellus IPEC Speedfam | 965515 | 20 IPEC Speedfam 965515 Mounting brackets | ||
18830 | Novellus IPEC Speedfam | 966019 | 4 IPEC Speedfam Novellus 966019 Guard, Wafer Plate, Aft | ||
18831 | Novellus IPEC Speedfam | 966147 | Lot of 10 IPEC speedfam 966147. SS plate | ||
18832 | Novellus IPEC Speedfam | 966302 | 4 IPEC Speedfam 966302 clamp plate | ||
18833 | Novellus IPEC Speedfam | 966565 | IPEC Speedfam Novellus 966565, bracket, camera rest | ||
18834 | Novellus IPEC Speedfam | 975521 | IPEC Speedfam Novellus 975521 Assy, waferlock SRD | ||
18835 | Novellus IPEC Speedfam | 000615-100834 | IPEC Speedfam 000615-100834 Seal Shaft, | ||
18836 | Novellus Ipec Speedfam | 0102-104084 | New in box keypad interface PCB GBL091595 | ||
18837 | Novellus IPEC Speedfam | 0150-109298 | IPEC / Planar 0150-109298, Polish head gear motor with paperwork | ||
18838 | Novellus Ipec Speedfam | 0150-700366 | Novellus / IPEC 0150-700366, Wafer head gear motor right | ||
18839 | Novellus IPEC Speedfam | 0230-737543 | SMC | EX121-SDN1 | IPEC Speedfam 0230-737543 Device Net Send Unit Assembly, SMC EX121-SDN1 |
18840 | Novellus IPEC Speedfam | 0300-738101 | Lot of 11, IPEC Speedfam Novellus 0300-738101 3 prong locking edge connectors | ||
18841 | Novellus IPEC Speedfam | 0710-102133 | IPEC Speedfam Novellus 0710-102133 PTFE Mounts | ||
18842 | Novellus IPEC Speedfam | 0710-103635 | 5 IPEC Speedfam Novellus 0710-103635 stainless steel plates | ||
18843 | Novellus IPEC Speedfam | 0710-112137 | 5 IPEC Speedfam Novellus 0710-112137 stainless steel flip latch | ||
18844 | Novellus IPEC Speedfam | 0710-717386 | 10 IPEC Speedfam Novellus 0710-717386 nylon or plastic dowel pin, 1/2″ x 1/4″ dia. | ||
18845 | Novellus IPEC Speedfam | 0710-737897 | 10 new IPEC speedfam 0710-737897 Anodized aluminum clamp bracket | ||
18846 | Novellus IPEC Speedfam | 0710-740679 | IPEC speedfam Novellus 0710-740679 Rubber isolation pads | ||
18847 | Novellus IPEC Speedfam | 0710-772275 | IPEC Speedfam Novellus 0710-772275 clamp, Drain cap, right | ||
18848 | Novellus IPEC Speedfam | 0720-104387 | 3 IPEC 0720-104387 Bracket | ||
18849 | Novellus IPEC Speedfam | 0720-104387 | IPEC 0720-104387 Bracket | ||
18850 | Novellus IPEC Speedfam | 0720-110792 | IPEC Speedfam 0720-110792 Position sensor mounting plate | ||
18851 | Novellus IPEC Speedfam | 0720-111532 | Lot of 7 IPEC Speedfam 0720-111532 Anodized aluminum angle bracket | ||
18852 | Novellus IPEC Speedfam | 0720-738049 | Lot of 100 IPEC Speedfam Novellus 0720-738049 Al Hex standoff 7/8″ X 5/8 dia. X 10-32 screw hole | ||
18853 | Novellus IPEC Speedfam | 0720-738049 | Lot of 37 IPEC Speedfam Novellus 0720-738049 Al Hex standoff 7/8″ X 5/8 dia. X 10-32 screw hole | ||
18854 | Novellus IPEC Speedfam | 0730-105558 | 7 IPEC Speedfam Novellus 0730-105558 Rev A, Spacer, aft cassette | ||
18855 | Novellus IPEC Speedfam | 0730-727009 | Lot of 15 IPEC Speedfam Novellus 0730-727009 Bushing, spacer | ||
18856 | Novellus IPEC Speedfam | 0730-740252 | 2 IPEC Speedfam Novellus 0730-740252 Sleeve, DWO, Spraybox, MT | ||
18857 | Novellus IPEC Speedfam | 0730-740252 | Lot of 4 IPEC Speedfam Novellus 0730-740252 Sleeve, DWO, Spraybox, MT | ||
18858 | Novellus IPEC Speedfam | 0800-102376 | 4 IPEC Speedfam Novellus 0800-102376 guide block | ||
18859 | Novellus IPEC Speedfam | 0800-102377 | 2 IPEC Speedfam Novellus 0800-102377 guide block | ||
18860 | Novellus IPEC Speedfam | 0800-103913 | IPEC Speedfam 0800-103913 Counterweight SS. | ||
18861 | Novellus IPEC Speedfam | 0810-106850 | IPEC Speedfam Novellus 0810-106850 Plate suport Aspirator | ||
18862 | Novellus IPEC Speedfam | 0810-110384 | IPEC Speedfam Novellus 0810-110384 Splash deck center adjust | ||
18863 | Novellus IPEC Speedfam | 0815-717772 | IPEC Speedfam 0815-717772 Weldment window cover mp1 & 3 | ||
18864 | Novellus IPEC Speedfam | 0850-739040 | 4 IPEC Speedfam Novellus 0850-739040 PTFE Guides | ||
18865 | Novellus IPEC Speedfam | 0850-739047 | 4 IPEC Speedfam Novellus 0850-739047 rev A, aluminum mount | ||
18866 | Novellus IPEC Speedfam | 0860-700835 | 4 IPEC Speedfam Novellus 0860-700835, V Block | ||
18867 | Novellus IPEC Speedfam | 1000-107618M | IPEC Speedfam Novellus 1000-107618M Ball screw, custom translation with supernut | ||
18868 | Novellus IPEC Speedfam | 1430-106542 | IPEC Speedfam Novellus 1430-106542 Spacer. Water track | ||
18869 | Novellus IPEC Speedfam | 2210-727483-A | Lot of 5 Distribution tubes | ||
18870 | Novellus IPEC Speedfam | 2805-103891 | IPEC SpeedFam Novellus 2805-103891 Assy, Platen Spindle Cooled Polisher | ||
18871 | Novellus IPEC Speedfam | 2805-103891 | SpeedFam 2805-103891 Assembly, Platen Spindle Cooled Polisher | ||
18872 | Novellus IPEC Speedfam | 2805-718814 | IPEC Speedfam Novellus 2805-718814 relay, GAARD, opto iso M | ||
18873 | Novellus IPEC Speedfam | 2805-719298 | IPEC Speedfam Novellus 2805-719298 relay, GAARD, opto iso M | ||
18874 | Novellus Ipec Speedfam | 2805-770376 | Novellus / IPEC 2805-770376 Motion Control Assy w Warner Controller | ||
18875 | Novellus IPEC Speedfam | 2850-719087 | Wafer head gear motor, Emerson US 6592-A 56 Inverter Duty Gear-Motor 160:1 0.5HP 1750RPM 3PH 230/460V L | ||
18876 | Novellus IPEC Speedfam | 372-15019-1 | 3 IPEC Speedfam, Novellus, 372-15019-1 Plates, 000328-004022, ID | ||
18877 | Novellus IPEC Speedfam | 372-15134-1 | 13 IPEC Speedfam Novellus 372-15134-1 RevB Spacer, ss | ||
18878 | Novellus IPEC Speedfam | 372-15141-1 | IPEC Speedfam Novellus 372-15141-1 Support, Damper pp1000 | ||
18879 | Novellus IPEC Speedfam | 372-15142-1 | IPEC Speedfam, 372-15142-1, Gear, Sprocket | ||
18880 | Novellus IPEC Speedfam | 372-15146-1 | IPEC Speedfam Novellus 372-15146-1 painted steel brackets | ||
18881 | Novellus IPEC Speedfam | 372-15912-1 | 5 IPEC Speedfam Novellus 372-15912-1 nylon L block | ||
18882 | Novellus IPEC Speedfam | 372-34834 | Ipec Speedfam 372-34834, Emergency off button mounting plate | ||
18883 | Novellus IPEC Speedfam | 372-37062-1 | IPEC Speedfam 372-37062-1 Video driver PCB | ||
18884 | Novellus IPEC Speedfam | 372-45070-1 | 125 IPEC Speedfam Novellus 372-45070-1 spacer rings, 125mm x .003 | ||
18885 | Novellus IPEC Speedfam | 372-45071-1 | 50 IPEC Speedfam Novellus 372-45071-1, 125mm spacer rings | ||
18886 | Novellus IPEC Speedfam | 372-45236-2 | 3 IPEC Speedfam 372-45236-2 Assy carrier base 200mm. | ||
18887 | Novellus IPEC Speedfam | 372-57329 | 5 IPEC Speedfam Novellus 372-57329 PTFE plug | ||
18888 | Novellus IPEC Speedfam | 372-67147-1 | 3 IPEC Speedfam Novellus 372-67147-1 Rev B Guide block | ||
18889 | Novellus IPEC Speedfam | 372-67212-1 | Ipec Speedfam 372-67212-1, Nylon angle blocks | ||
18890 | Novellus IPEC Speedfam | 372-73221-1 | 4 IPEC Speedfam Novellus 372-73221-1 Anodized aluminum Mounting block | ||
18891 | Novellus IPEC Speedfam | 372-73364-1 | 3 Speedfam, I-Pec 372-73364-1 Mounting Plate | ||
18892 | Novellus IPEC Speedfam | 372-73923-1 | 4 IPEC Speedfam Novellus 372-73923-1 rev F, 4″ x 7/8″ dia. SS shaft | ||
18893 | Novellus IPEC Speedfam | 372-74001-1 | 9 IPEC Speedfam Novellus 372-74001-1 Spacer, Arm, SS, | ||
18894 | Novellus IPEC Speedfam | 372-77475-1 | 8 IPEC Speedfam Novellus 372-77475-1 Acrylic cover 2.5″ x 2″ x 1″ | ||
18895 | Novellus IPEC Speedfam | 372M-35083 | 10 IPEC Speedfam Novellus 372M-35083 PTFE gasket | ||
18896 | Novellus IPEC Speedfam | 372M-45120-1 | Retaining ring, 150mm carrier, with lip PVDF | ||
18897 | Novellus IPEC Speedfam | 372M-72563-1 | 14 IPEC Speedfam 372M-72563-1 Anodized aluminum mounting bracket | ||
18898 | Novellus IPEC Speedfam | 3800-61871 | 7 IPEC Speedfam Novellus 3800-61871 PTFE bushings 1- 3/4 o.d. x 1″ i.d. | ||
18899 | Novellus IPEC Speedfam | 3980-740159 | 8 new IPEC Speedfam40159 TBW ind. Diamond pad conditioners 2″ standard 250 micron diamond | ||
18900 | Novellus IPEC Speedfam | 4101-112459 | IPEC | Ipec Speedfam Novellus12459 Industrial Computer | |
18901 | Novellus IPEC Speedfam | 4191998-0001 | IPEC speedfam8-0001 Wafer head gear motor kit | ||
18902 | Novellus IPEC Speedfam | 472-17084 | 3 IPEC Speedfam Novellus 472-17084 guide block | ||
18903 | Novellus IPEC Speedfam | 953447 B | 23 IPEC Speedfam 953447 B, Anodized aluminum bracket SCR 001 1198 | ||
18904 | Novellus IPEC Speedfam | 958779 A | Exhaust hood | ||
18905 | Novellus IPEC Speedfam | 971219-014-002547 | Novellus | IPEC Speedfam Novellus 971219-014-002547 Tube, 2400-739682 | |
18906 | Novellus IPEC Speedfam | AA2203A1 | IPEC Speedfam Novellus AA2203A1 Bracket assy, sensor wafer carrier | ||
18907 | Novellus IPEC Speedfam | AC2808A1 | 35 IPEC Speedfam AC2808A1 o’rings | ||
18908 | Novellus IPEC Speedfam | AD 2148D1 | IPEC Speedfam Novellus AD 2148D1 plate Piston unload cup | ||
18909 | Novellus IPEC Speedfam | AD2117B1 | IPEC Speedfam Novellus AD2117B1 Thrust cover | ||
18910 | Novellus IPEC Speedfam | AD2194B1 | 38 new IPEC AD2194B1 Cassette latch retainer | ||
18911 | Novellus IPEC Speedfam | AD2251A1 | Lot of 10 IPEC Speedfam AD2251A1, clamp screw cover | ||
18912 | Novellus IPEC Speedfam | AD2258A1 | Lot of 6 IPEC speedfam AD2258A1 Anodized aluminum collars 70mm id x 75mm od X 60mmtall | ||
18913 | Novellus IPEC Speedfam | AD2590A1-B | IPEC Speedfam Novellus AD2590A1-B Collar, lock carrier Quick release | ||
18914 | Novellus IPEC Speedfam | AD2602A1 | 2 IPEC Speedfam Novellus AD2602A1, cover rear main liner assy. | ||
18915 | Novellus IPEC Speedfam | DO-1W2098 | 0815-74734 | IPEC Speedfan Novellus, DO-1W2098, 0815-71734, Plexiglass Panels, 7½”x14″. | |
18916 | Novellus IPEC Speedfam | M-220675 | 12 IPEC Speedfam m-220675 o’rings | ||
18917 | Novellus IPEC Speedfam | 60 IPEC Speedfam Novellus spacer rings, 125mm x .002 | |||
18918 | Novellus IPEC Speedfam | 950291 | 11 new IPEC speedfam, collars | ||
18919 | Novellus IPEC Speedfam | 951532 | Cable assy, 20 pin round mini connector to 24 pin rectangle connector with 4 tinned leads (9J91B7, 7JR1S4AB) | ||
18920 | Novellus IPEC Speedfam | 960262 | IPEC Speedfam Novellus 960262 Arm , Assy Rest. | ||
18921 | Novellus IPEC Speedfam | 966148 | 4 IPEC Speedfam 966148 SS brackets | ||
18922 | Novellus IPEC Speedfam | 2805-739261 E | , Pneumatic Assembly with Mac Valves, 56C-13-591JC | ||
18923 | Novellus IPEC Speedfam | 0125-717425 | NSK` | NSK EE style motor, Looks new and unused, but | |
18924 | Novellus IPEC Speedfam | 0200-771803 | 11 new AMAT 0200-771803, RELECO C7-A20x, 24 vac DPDT relay | ||
18925 | Novellus IPEC Speedfam | 0230-737543 | Lot of 6, IPEC Speedfam 0230-737543 SMC EX121-SDN1 Device net send unit manifold assembly with 16 pneumatic solenoid VQ1171-SLO-CO and SMC VV5Q17-ULB970007 interface unit. | ||
18926 | Novellus IPEC Speedfam | 0250-700637 | IPEC speedfam 0250-700637 Black box SW934A, 8 position Keyboard video swith | ||
18927 | Novellus IPEC Speedfam | 0720-106339 A | Diaphragm | ||
18928 | Novellus IPEC Speedfam | 0760-727388 | pneumatic end effector housing with SMC pressure switches | ||
18929 | Novellus IPEC Speedfam | 2250-700991 | Lot of 30 Novellus IPEC Speedfam 2250-700991 fitting, JIC, custom rev D | ||
18930 | Novellus IPEC Speedfam | 2805-112389 | Pad assembly | ||
18931 | Novellus IPEC Speedfam | 2805-112736 | Signal tower assy 210-70320-00 | ||
18932 | Novellus IPEC Speedfam | 2805-737706 | Motion control assembly with 4 Advanced motion control Servo controllers X02B30A8Q, Opto 22 PP24HQ controll board, 3 Opto 22 IDC5Q and 2 ODC5Q | ||
18933 | Novellus IPEC Speedfam | 2805-740555 F | IPEC speedfam 2805-740555 F, Pad conditioner assembly | ||
18934 | Novellus IPEC Speedfam | 2807-719068 | IPEC Speedfam 2807-719068, MP2 CYAN 16mb 70 ns Micro craft controller | ||
18935 | Novellus IPEC Speedfam | 2850-112600 | Hoist load cell test fixture with force sensor and Infinity process meter | ||
18936 | Novellus IPEC Speedfam | 372M-46087-1 | Shuttle force sensor pneumatic assy. | ||
18937 | Novellus IPEC Speedfam | 372M-46093-1 | IPEC Speedfam Novellus 372M-46093-1 Flow assembly with 2 BECO M442C2DFS-HCAP-36-1 AND 2 BECO MV-44P-B flow valves | ||
18938 | Novotron | ND21-5615KS-011-00 | Novotron ND21-5615KS-011-00 Novodrive, ND 21 | ||
18939 | NRC | 0162-2 | NRC 0162-2, Diffusion Pump, 240V, 1785. | ||
18940 | NSK | XY-FR-E067001 | NEW NSK Precision products XY-FR-E067001 Lot’s of 6 | ||
18941 | NSK | EE0408C05-25 | NSK EEO408C05-25 Motor Controller | ||
18942 | NSK | EM0404AF1 -05 | Servo controller, Looks new or rebuilt, in sealed bag (We also have a motor for this controller) | ||
18943 | NSK | ESA-B014CFB-20 | NSK ESA ESA-B014CFB-20 Megatorque Servo Motor Driver; | ||
18944 | NSK | ESB-J2008A25F1 | NSK ESB, ESB-J2008A25F1 Mega Torque servo motor, controller unit 230V | ||
18945 | NSK | LH20 | NSK LH20 Linear Motion Guide Rail Actuator | ||
18946 | NSK | MS7006CDBP5S | SP1994-1134 | NSK Bearings MS7006CDBP5S BRG-ANLR-CONT BK SP1994-1134 | |
18947 | NSK | RS0810FN523 | NSK RS0810FN523 Mega torque servo motor with cable | ||
18948 | NSK | LH20 | 17-3288 | NSK LH20 Linear Motion Guide Rail Actuator, 17-3288 | |
18949 | NSK | 6206ZZ | 8 NSK 6206ZZ Bearings, AV2S | ||
18950 | NSK | 6900 | 2 NSK 6900 Bearings | ||
18951 | NSK | 1204 | 2 NSK 1204 Bearings | ||
18952 | NSK | UCFL204 | 2 NSK UCFL204 N AV2S 904 Self Align Bolt Flange Bearing Units | ||
18953 | NSK Bearings | 6000ZZ | 1 lot of 20 NSK 6000ZZ Ball Bearing8mm | ||
18954 | NSK ESA | ESA-Y2020A23-21.1 | NSK ESA-Y2020A23-21.1 Megatorque Servo Motor, Driver, Controller | ||
18955 | NSK LTD. | ESA-B014CFB-20 | NSK ESA-B014CFB-20 Megatorque Servo Motor Driver | ||
18956 | NT intergrated | 6500 | NT intergrated flow controller 6500-xx-F03-D12-K-P2-U2-M19, 0-1500 ml/min | ||
18957 | NT international | 4400-02-F03-B12-A | Novellus Ipec Speedfam | 955622 | 5 NEW Electronic Flow meters 1-5 L/m |
18958 | NT international | D70-F-02-LP | 955623 | Lot of 8 Red Lion Controls CUB4LP/CL Current Loop Powered Indicator Surplus asset from major semiconductor factory | |
18959 | NT international | D70-F-02-LP | 955623 | Lot of 6 new Red Lion Controls CUB4LP/CL Current Loop Powered Indicator | |
18960 | NT international | D80-P100-XX-A-DC | Lot of 5, New, Dual Channel Display | ||
18961 | NT International | D70-F-02-LP | Red Lion Controls | CUB4LP/CL | NT International D70-F-02-LP Loop Power Process Indicator, 1-5 LPM |
18962 | NT International | D70-F-02-LP | Red Lion Controls | CUB4LP/CL | NT International D70-F-02-LP Loop Power Process Indicator, 1-5 LPM |
18963 | NT International | D70-F-02-LP | Red Lion Controls | CUB4LP/CL | NT International D70-F-02-LP Loop Power Process Indicator, 1-5 LPM |
18964 | Nuclear magnetic | 70023845 | Resistors 1/2 Watt NUCLEAR MAGNETIC RESONAtor 70023845 | ||
18965 | Nulepore | 6960011 | Micron | Nulepore 6960011 Filter, QMC-M.2 Micron, PP VTN | |
18966 | Numatech | LO02L7H00E52C | Numatech LO02L7H00E52C Pneumatic Solenoid Valve, 24VDC, .65W | ||
18967 | Numatics | M10SS600M | Lot of 7 Numatics M10SS600M, 24 VDC, 1.8 Watts | ||
18968 | Numatics | M10SS400M | 6 Numatics M10SS400M solenoid valve | ||
18969 | Numatics | XB-754857-2 | Numatics XB-754857-2 Air Cylinder, RS1294 | ||
18970 | Numatics | XB-754857-3 | Numatics | BMA/M-A | Numatics XB-754857-3 Air Cylinder w/ BMA/M-A Rod Lock, RS1295 |
18971 | Numatics | XB-754857-2 | Numatics XB-754857-2 Air Cylinder, RS1296 | ||
18972 | Numatics | XB-754857-3 | Numatics | BMA/M-A | Numatics XB-754857-3 Air Cylinder w/ BMA/M-A Rod Lock, RS1297 |
18973 | Numatics | XB-754857-3 | Numatics | BMA/M-A | Numatics XB-754857-3 Air Cylinder w/ BMA/M-A Rod Lock, RS1298 |
18974 | Numatics | XB-754857-3 | Numatics | BMA/M-A | Numatics XB-754857-3 Air Cylinder w/ BMA/M-A Rod Lock, RS1299 |
18975 | Numatics | L23BA452B060T61 | Numatics L23BA452B060T61 Solenoid Air Control Valve | ||
18976 | Numatics | L23BA452B060T61 | Numatics L23BA452B060T61 Solenoid Air Control Valve | ||
18977 | Nupra | 3G9222V | Novellus | Nupra 3G922V, Novellus, Manifold | |
18978 | Nupro | 6L-LD8-5457 | Nupro 6L-LD8-5457 Diaphragm Valve Assembly, Isolation | ||
18979 | Nupro | 6LV-BNBW4-C | Nupro 6LV-BNBW4-C High Purity Bellows Valve | ||
18980 | Nupro | 6LV-D1V333P-AA | 2 Nupro 6LV-D1V333P-AA Three Way Gas Isolation Valve | ||
18981 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914114-001-1 | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems, 914114-001-1, Panels, |
18982 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914115-001-2 | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems, 914115-001-1, Panels, |
18983 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914114-001-2 | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems, 914114-001-2, Panels, |
18984 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914114-001-1 | NUPRO 6LV-DABW4-P-GR Shutoff Valve, Insync Systems 914114-001-1 Panel |
18985 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914114-001-1 | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems, 914114-001-1, Panels, |
18986 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914115-001-1 | NUPRO 6LV-DABW4-P-GR Shutoff Valve, Insync Systems 914115-001-1 Panel |
18987 | Nupro | 6LV-DABW4-P-GR | NUPRO 6LV-DABW4-P-GR Shutoff Valve, Insync Systems 914115-001-1 Panel | ||
18988 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914115-001-1 | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems, 914115-001-1, Panels, |
18989 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914115-001-1 | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems, 914115-001-1, Panels, |
18990 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914115-001-2 | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems, 914115-001-2, Panels, |
18991 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914115-001-1 | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems, 914115-001-1, Panels, |
18992 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914114-001-2 | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems, 914114-001-2, Panels, |
18993 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914115-001-2 | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems, 914115-001-2, Panels, |
18994 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914115-001-1 | NUPRO 6LV-DABW4-P-GR Shutoff Valve, Insync Systems 914115-001-2 Panel |
18995 | Nupro | 6LV-DABW4-P-GR | Insync Systems | 914114-002-1 | NUPRO 6LV-DABW4-P-GR Shutoff Valve, Insync Systems 914114-001-1 Panel |
18996 | Nupro | 6LV-DABW4-P-GR | Nupro N15978, 6LV-DABW4-P-GR, Shut-off Valve. | ||
18997 | Nupro | 6LV-DABW4-P-GR | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems, 914114-001-2, Panel. | ||
18998 | Nupro | 6LV-DABW4-P-GR | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems 914114-001-1, Panel. | ||
18999 | Nupro | 6LV-DABW4-P-GR | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems 914114-001-1, Panel. | ||
19000 | Nupro | 6LV-DABW4-P-GR | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems 914114-001-1, Panel. | ||
19001 | Nupro | 6LV-DABW4-P-GR | Nupro 6LV-DABW4-P-GR, Shutoff Valve, Insync Systems 914114-001-1, Panel. | ||
19002 | Nupro | F10SZ0010 | Veriflo F10SZ0010 Valve | ||
19003 | Nupro | N15978 | Nupro N15978, 6LV-DABW4-P-GR, Shut-off Valve. | ||
19004 | Nupro | N15978 | Nupro N15978, 6LV-DABW4-P-GR, Shut-off Valve. | ||
19005 | Nupro | N15978 | 6LV-DABW4-P-GR | NUPRO N15978 6LV-DABW4-P-GR SHUT OFF VALVE ON/OFF T 914114-001-1 PANEL | |
19006 | Nupro | SS-4BK-1C-693 | Nupro SS-4BK-1C-693 Bellows Sealed Valve | ||
19007 | Nupro | SS-4BKT 361 | 3 Nupro SS-4BKT 361 Isolation Valve | ||
19008 | Nupro | SS-4BKT-9AGAA | Nupro Company, SS-4BKT-9AGAA, Valves and Filters for Instrumentation. | ||
19009 | Nupro | SS-4BMG-VCR | Nupro Swagelok Metering Bellows-Sealed Valve SS-4BMG-VCR Micrometer | ||
19010 | Nupro | SS-6BK-1C | Nupro SS-6BK-1C Bellows Valve | ||
19011 | Nupro | SS-BNV51-0 | Nupro SS-BNV51-0 Bellows Sealed Valve | ||
19012 | Nupro | SS-BNV51-C | Novellus | 27-200048-00 | Nupro SS-BNV51-C Bellows Valve, Novellus 27-200048-00, ID |
19013 | Nupro | SS-DLVCR4-P7B | Nupro SS-DLVCR4-P7B Diaphragm Valve, 1/4 | ||
19014 | Nupro | SS4BG-V51 | Nupro SS-4BG-V51 Manual Valve Mounted to 2 3/4″ Con-Flat Flange | ||
19015 | Nupro | Swagelok | Nupro Swagelok SS-4BK1C-9AGAA Bellows Valve | ||
19016 | Nupro | SS-BNV51-C | Etec Systems, Inc | 0612-5018-009 | Nupro SS-BNV51-C Bellows Valve, Etec 0612-5018-009 |
19017 | Nupro | SS-4BY-V35-1C | 612-501800 | Nupro SS-4BY-V35-1C Switching Bellows Valve, 612-501800 | |
19018 | Nupro | SS-DLVCR4-FD-WH | Nupro SS-DLVCR4-FD-WH 1/4 MVCR Diaphragm Valve | ||
19019 | Nupro | SS-4BK-V51 | Nupro SS-4BK-V51-1C Bellows Valve w/ Tee | ||
19020 | Nupro | 6LV-BNBW4-C | Nuoro 6LV-BNBW4-C High Purity Bellows Valve | ||
19021 | Nupro | NA | Nupro Micro Mite Valve 0-20 | ||
19022 | Nupro | SS-DLVCR4 | Nupro SS-DLVCR4 Diaphragm Isolation Valvw, Shut Off | ||
19023 | Nupro | M-4BG-TW | Nupro M-4BG-TW Isolation Valve | ||
19024 | Nupro | SS-BNV51-C | Nupro SS-BNV51-C Bellows Sealed Valve | ||
19025 | Nupro | SS-BNV51-C | Nupro SS-BNV51-C Bellows Sealed Valve | ||
19026 | Nutec | SLB-4650-D2 | Precision XY stage with vacuum chuck or beam port, Nutec stage SLB-4650-D2 | ||
19027 | NUVAC | MRP-201 | Nuvac Galiso MRP-201 ELC Portable Vacuum Dry Pump | ||
19028 | Nvidia | 180-10283-000-A02 | Nvidia 180-10283-000-A02 Video Graphics Card, PCB | ||
19029 | Nvidia | 180-10283-0000-A02 | Nvidia 180-10283-0000-A02 Video Graphics Card, PCB | ||
19030 | Nvidia | P317 | Nvidia Quadra FX Model P317 Video Card, PCB, | ||
19031 | OAK | OAK PCB 3160531 | |||
19032 | Objective | SP100X Oil | SP 100X/1.25 OIL, ∞/0.17bjective Lens, Microscope | ||
19033 | Objective | SP100X Oil | SP 100X/1.25 OIL, ∞/0.17bjective Lens, Microscope | ||
19034 | Objective | SP100X Oil | SP 100X/1.25 OIL, ∞/0.17bjective Lens, Microscope | ||
19035 | Objective | SP10X | SP 10X/0.25, ∞/0.17jective Lens, Microscope | ||
19036 | Objective | SP10X | SP 10X/0.25, ∞/0.17jective Lens, Microscope | ||
19037 | Objective | SP10X | SP 10X/0.25, ∞/0.17jective Lens, Microscope | ||
19038 | Objective | SP10X | SP 10X/0.25, ∞/0.17jective Lens, Microscope | ||
19039 | Objective | SP40X | SP65, ∞/0.17jective Lens, Microscope | ||
19040 | Objective | SP40X | SP65, ∞/0.17jective Lens, Microscope | ||
19041 | Objective | SP40X | SP65, ∞/0.17jective Lens, Microscope | ||
19042 | Objective | SP40X | SP65, ∞/0.17jective Lens, Microscope | ||
19043 | Objective | SP4X | SP 4X/0.1, ∞/0.17, 4X Objective Lens, Microscope | ||
19044 | Objective | SP4X | SP 4X/0.1, ∞/0.17, 4X Objective Lens, Microscope | ||
19045 | Objective | SP4X | SP 4X/0.1, ∞/0.17, 4X Objective Lens, Microscope | ||
19046 | Objective | SP4X | SP 4X/0.1, ∞/0.17, 4X Objective Lens, Microscope | ||
19047 | Oerlikon | D-50968 | Leybold | Oerlikon Leybold D-50968 Vacuum Sensor, IE100, 16367, 345/2008 | |
19048 | Ogura Clutch | MSCB 8-10 | Ogura Clutch MSCB 8-10, DC-24V, Motor, Electromagnetic Brake. | ||
19049 | Ogura Clutch | RNB 0.2G | GL | Ogura Clutch RNB 0.2G, DC-24V, GL | |
19050 | Ogura Clutch | RNB 0.2G | US Digital | E3-1000-472-N-H-D-B | Ogura Clutch RNB 0.2G Assy, US Digital E3-1000-472-N-H-D-B, Asyst 9701-3985-01 |
19051 | Ogura Clutch | RNB 0.2G | US Digital | E3-1000-472-N-H-D-B | Ogura Clutch RNB 0.2G Assy, US Digital E3-1000-472-N-H-D-B, Asyst 9701-3985-01 |
19052 | Ogura Clutch | RNB 0.2G | US Digital | E3-1000-472-N-H-D-B | Ogura Clutch RNB 0.2G Assy, US Digital E3-1000-472-N-H-D-B, Asyst 9701-3985-01 |
19053 | Ogura Clutch Co | RNB 0.2G | A-F, GEE62F-C | Ogura Clutch Co, RNB 0.2G, DC-24V, A-F, GEE62F-C, Grommet Edging, Panel | |
19054 | Ogura Clutch Co | RNB 0.2G | A-F, GEE62F-C | Ogura Clutch Co, RNB 0.2G, DC-24V, A-F, GEE62F-C, Grommet Edging, Panel | |
19055 | Ohaus | Defender 3000 | Ohaus Defender 3000 Scale, D31P300BX, T31P Indicator, D300BX Base | ||
19056 | Ohaus | Defender 3000 | Ohaus Defender 3000 Scale, T31P Indicator, D300BX Base | ||
19057 | Ohmite | D100K5K0 | 3 new, Ohmite, D100K5K0, 5 Kohm resistors | ||
19058 | Ohmite | TWW5J1R0 | 60 Ohmite TWW5J1R0 Wirewound Resistors, 5 Watt, 1 Ohm | ||
19059 | Ohmite | TWW5J1R0 | 45 Ohmite TWW5J1R0 Wirewound Resistors, 5 Watt, 1 Ohm | ||
19060 | OHNO Bellows | 2.00E+124 | Ohno Bellows 2E124, Manual Isolation Valve, Gas line shut-off, ID | ||
19061 | OHNO Bellows | SUS316 | Ohno SUS316 Bellows Shut Off, Isolation Valve, | ||
19062 | OHNO Bellows | SUS316 | CVD-GAS-15-A | OHNO, Bellows, SUS316, CVD-GAS-15-A, W2276 | |
19063 | OHNO Bellows | SUS316 W227B | CVD-GAS-16-A | OHNO Bellows SUS316 W227B, CVD-GAS-16-A, Valve, Regulator, Shut-off | |
19064 | OHNO Bellows | SUS316L | M44454, VBD-B6-316L | OHNO Bellows, SUS316L, M44454, 4-VBD-B6-316L | |
19065 | Oil-Rite | Oil-Rite Corporation Liquid Level Gauge | |||
19066 | OKAMOTO | 0382-0203 | CRT PCB MC0702B | ||
19067 | OKI | ED-500M | OK industries ED-500 SMD ED-500-115 solder desolder work Station reworking tool | ||
19068 | OKi | DX-250 | OKi DX-250 Precision Dispensing System w/ Pedal, 24V00 PSI | ||
19069 | Olympus | 310427 | Olympus 310427 stereo eyepiece | ||
19070 | Olympus | 335969 | Olympus 335969 stereo eyepiece with mounting fixture | ||
19071 | Olympus | MDPlan 150 | Olympus MDPlan 150, 0.95, IC 150, used | ||
19072 | Olympus | AT359900 | Olympus AT359900 Robot, Arm, Blade, End Effector | ||
19073 | Olympus | AT780700 | 2 Olympus AT780700 Sensor, Motor, Centering Rotation | ||
19074 | Olympus | BH3-MJLA4 | Olympus BH3-MJL Microscope Assy, BH3-FO4A, BH2-UMA, BH3-MJLA4 | ||
19075 | Olympus | CL3A12S306 | G120, CT380-000101-1 | Olympus CL3A12S306, G120, CT380-000101-1, DC Motor, Gear Head. | |
19076 | Olympus | CL3A12S306 | G120, CT380-000101-1 | Olympus CL3A12S306, G120, CT380-000101-1, DC Motor, Gear Head. | |
19077 | Olympus | CL3A12S306 | G120, CT380-000101-1 | Olympus CL3A12S306, G120, CT380-000101-1, DC Motor, Gear Head. | |
19078 | Olympus | CL3A12S306 | G120, CT380-000101-1 | Olympus CL3A12S306, G120, CT380-000101-1, DC Motor, Gear Head. | |
19079 | Olympus | CL3A12S306 | G120, CT380-000101-1 | Olympus CL3A12S306, G120, CT380-000101-1, DC Motor, Gear Head. | |
19080 | Olympus | CL3A12S306 | G120, CT380-000101-1 | Olympus CL3A12S306, G120, CT380-000101-1, DC Motor, Gear Head. | |
19081 | Olympus | CV-100 | Olympus CV-100 Evis Video System Endoscopy Processor video and Olympus MJ-236 | ||
19082 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19083 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19084 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19085 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19086 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19087 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19088 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19089 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19090 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19091 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19092 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19093 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19094 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19095 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19096 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19097 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19098 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19099 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19100 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19101 | Olympus | MDPL80X | Olympus IC 80, IC80, MDPlan 80, 0.9, ∞/0 F=180 Objective Lens, Microscope | ||
19102 | Olympus | MVC03G | Olympus Corp MVC03G Cue-Micro 300 Video Caliper | ||
19103 | Olympus | OSF-2 | Olympus OSF-2 flexible fiberoptic sigmoidoscope with case | ||
19104 | Olympus | PM-CBAD | Olympus PM-CBAD Exposure Control Unit Microscope Accessory | ||
19105 | Olympus | SZ3060 | Olympus SZ3060 Zoom Stereo Microscope | ||
19106 | Olympus | SZ3060 | Olympus SZ3060 Zoom Stereo Microscope | ||
19107 | Olympus | NA | Olympus Controller Interface | ||
19108 | Omega | 6100 | OMEGA 6100, 6102, Temperature Controller SSR and RTD; | ||
19109 | Omega | 215-13635-00 | novellus | 34-167025-00n | Thermocouple feed through assy, Entry, Type K, 1/8″, Male 3/4 NPT |
19110 | Omega | 6132H | Omega 6132H Series 6100 Temperature Controller | ||
19111 | Omega | 88000-K-RSC+SMPW-M | Omega 88000-K-RSC-SMPW-M, Type K Thermocouple Extension Cable | ||
19112 | Omega | 88300K | OMEGA 88300K, PL097634, UNIQUE TEMPERATURE SURFACE PROBES | ||
19113 | Omega | C-210V | Omega Nitroclean.2 Cat. No. C-210V Gas Line 0.2 Filter Cartridge | ||
19114 | Omega | CN2011-J | Omega CN2011-J Programmable Temperature Controller, Dayton 4E024 solid state | ||
19115 | Omega | CNiS3253 | NEW Omega process strain gauge controller CNiS3253-DC series | ||
19116 | Omega | DP25-S-AR | Omega DP25-S-AR Strain Gauge Panel Meter, AR, Centura, | ||
19117 | Omega | FSW-107 | Omega FSW-107 Industrial Flow Switch, 115V | ||
19118 | Omega | FSW-107 | Omega FSW-107 Industrial Flow Switch, 115V | ||
19119 | Omega | FSW-107 | Omega FSW-107 Industrial Flow Switch, 115V | ||
19120 | Omega | HH203 | Omega HH203, Temperature Meter | ||
19121 | Omega | HH-F10 | OMEGA HH-F10 air speed indicator | ||
19122 | Omega | HH23 | Omega HH23 Microprocessor Thermometer, Type J-K-T Thermocouple | ||
19123 | Omega | HYP3-16-1-1/2-K-U-48-RP | OMEGA HYP3-16-1-1/2-K-U-48-RP Hypodermic needle probe | ||
19124 | Omega | LCGD-1K | Load cell 0-1000lb, sealed box | ||
19125 | Omega | Model 650 | Omega Model 650 J type thermocouple thermometer with probe | ||
19126 | Omega | OM5-ITC | OMEGA OM5-ITC NONLINEAR ISOLATED THERMOCOUPLE INPUT MODULE, OM5-ITC-R-C | ||
19127 | Omega | RSTX-201 | OMEGA-TESTER ENGINEERING MODET RSTX-201 9602-3627 SENSOR | ||
19128 | Omega | SSR240DC45 | Solid state relays 240 v | ||
19129 | Omega | SV-603-24VDC | Omega SV-603-24VDC PMAX 140PSI 24V DC OBW | ||
19130 | Omega | OS-MINI802-D-MA | Omega OS-MINI802-D-MA Miniature Non-Contact Infrared Temperature Sensor, RS1251 | ||
19131 | Omega | CSI32-R-C24 | Omega CSI32-R-C24 Benchtop Controller, 115V, Type K | ||
19132 | Omega | 88221/88222/88223/98221 | Omega 88221/88222/88223/98221 Replacement Element | ||
19133 | Omega | CN8592-DC1-DC2 | Omega CN8592-DC1-DC2 Temperature Controller | ||
19134 | Omega Engineering | CN616TC1 | Omega CN616TC1 1⁄4 DIN Economical 6-Zone PID Temperature Controller Series CN600 | ||
19135 | Omega Engineering | HH82 | Omega Engineering HH82 Digital Thermometer | ||
19136 | Omega Engineering, Inc. | HFS-4 | Omega HFS-4 Thin Film Heat Flux Sensor | ||
19137 | Omega Nitroclean-2 | C-210V | 7 Omega Nitroclean-2 C-210V Gas Line Membrane Filter, 0.2 Micron | ||
19138 | Omega Nitroclean-2 | C-210V | 6 Omega Nitroclean-2 C-210V Gas Line Membrane Filter, 0.2 Micron | ||
19139 | Omegalux | CSH-203250/120V | Omegalux Omega CSH-203250/120V Cartridge Heaters | ||
19140 | Omron | E2F-X10E1 | OMRON E2F-X10E1 Proximity Switch, look very clean | ||
19141 | Omron | 1270-51000 | OMRON 1270-51000 PHOTOELECTRIC SWITCH E3S-2DE4 E3S-2LE4 12-24VDC | ||
19142 | Omron | 3G3EV-A1004M | Sysdrive Compact | 3G3EV-INVERTER | Omron 3G3EV-A1004M Sysdrive Compact Low Noise Inverter, 3G3EV-INVERTER |
19143 | Omron | 99803-0010 | STI | STI 99803-0010 Test Piece, Doc Kit, MS4800 Standard, Omron | |
19144 | Omron | A4EG-OP2 | Omron A4EG-OP2 Enabling Switch Device Panel, STI | ||
19145 | Omron | A4EG-OP3 | Omron A4EG-OP3 Enabling Switch Device | ||
19146 | Omron | APR-S | PFC-A6 | 3 Omron APR-S Phase Reversal Relay, 200/220 VAC,z, PFC-A6, | |
19147 | Omron | C200H-ID212 | Omron C200H-ID212, Sysmac, Discrete Input Module, Programmable Controller | ||
19148 | Omron | C200H-PR027-E | C200H-CN222 | Omron C200H-PR027-E Programmable Console w/ C200H-CN222 Cable | |
19149 | Omron | C200PC-ISA13-DRM-E | E32-G14 | Omron C200PC-ISA13-DRM-E PLC CPU Board, PCB w/ 2 E32-G14 Photoelectric Sw | |
19150 | Omron | CJ1W-INTO1 | OMRON CJ1W-INT01 INPUT UNIT, 24 VDC, CLASS 2 ONLY | ||
19151 | Omron | CJ1W-INTO1 | OMRON CJ1W-INT01 INPUT UNIT, 24 VDC, CLASS 2 ONLY | ||
19152 | Omron | CJ1W-OC211 | OC211 | OD507-18P | Omron CJ1W-OC211 Output Unit, OC211, OD507-18P, 0934 |
19153 | Omron | CJIM CPU11 | Omron Sysmac CJIM CPU11 PLC, CJIW-PAZ02, ID211, OC201, OC211 | ||
19154 | Omron | E-32-TC200B | Omron E32-TC200B, Photoelectric Switch Fiber Unit | ||
19155 | Omron | E2K-C25ME1 | 4 NEW Omron E2K-C25ME1 Capacitive Proximity Switch40 Volts | ||
19156 | Omron | E2K-C25ME1 | Omron E2K-C25ME1 Proximity Switch40 VDC | ||
19157 | Omron | E2K-C25ME2 | Omron E2K-C25ME2 Rev.C, Capacitive Proximity Switch, E2K, 230084-002. | ||
19158 | Omron | E2K-C25ME2 | Omron E2K-C25ME2 Capacitive Proximity Switch, | ||
19159 | Omron | E2K-F10MC2 | Omron E2K-F10MC2, Capacitive Proximity Switch30 VDC, 2m. | ||
19160 | Omron | E2KQ-X10ME1 | Omron E2KQ-X10ME1 Capacitive Proximity Switch 12-8892-388 | ||
19161 | Omron | E3-NA11 | Omron E3-NA11 Photoelectric Switch | ||
19162 | Omron | E35-2LE4, E35-2DE4 | AMAT | 1270-5100 | Omron E35-2LE4, E35-2DE4, AMAT 1270-5100, Photoelectric Switch. |
19163 | Omron | E3F-3L | Omron E3F-3L Photoelectric Switch | ||
19164 | Omron | E3F-3L | Omron E3F-3L Photoelectric Switch | ||
19165 | Omron | E3F-3L | Omron E3F-3L Photoelectric Switch Cable | ||
19166 | Omron | E3F-3L | Omron E3F-3L Photoelectric Switch Cable | ||
19167 | Omron | E3F-3L | Omron E3F-3L Photoelectric Switch | ||
19168 | Omron | E3S-1E1 | Omron E3S-1E1 Photoelectric Switch, E3S-1LE1, E3S-1DE1 | ||
19169 | Omron | E3S-BT61 | Omron E3S-BT61 Photoelectric Switch, 12 to 24 VDC | ||
19170 | Omron | E3S-R2E41 | Omron E3S-R2E41 Photoelectric Switch | ||
19171 | Omron | E3S-R2E41 | 8 Omron Photoelectric Switch E3S-R2E41 | ||
19172 | Omron | E3S-X3CE4 | 4 OMRON E3S-X3CE4 PHOTOELECTRIC SWITCH 12 TO 24 VDC E32 E39-F | ||
19173 | Omron | E3X-DA11-S-6 | Omron E3X-DA11-S-6 Photoelectric Switch | ||
19174 | Omron | E3X-NA11 | OMRON E3X-NA11 Photoelectric switch | ||
19175 | Omron | E52-IC6D | Omron E52-IC6D Thermocouple, J Type | ||
19176 | Omron | E5AX-VAA | E5AX-VAAAC1000200 | Omron E5AX-VAA, E5AX-VAAAC1000200, Temperature Controller. | |
19177 | Omron | E5C2-R | Omron E5C2-R Temperature Controller, E5C2, 200/220VAC,Hz, 2 VA | ||
19178 | Omron | E5C2-R20K | Omron E5C2-R20K Tempurature Controller | ||
19179 | Omron | E5C2-R20K | CPX0040 | Omron E5C2-R20K Temperature Controller0 VAC,z; | |
19180 | Omron | E5EN | temperature controller modules with 3 Omron E5EN | ||
19181 | Omron | E5EN | module with 2 OMRON E5EN, and OMRON S8TS-06024 power supply, and OMRON XM2S-09 | ||
19182 | Omron | E5ES-QHKJ | Omron E5ES-QHKJ Temperature Controller | ||
19183 | Omron | e6a2-cw3c | E3F-3L | Omron E6A2-CW3C Photoelectric Switch | |
19184 | Omron | E8Y-A5C-R | Omron E8Y-A5C-R Pressure Switch | ||
19185 | Omron | EEK-X4ME1 | OMRON EEK-X4ME1, Capacitive Proximity Switch, Volts 12 to 24 VDC, 2m. | ||
19186 | Omron | ES3-2DE41 | AMAT | 1270-51011 | Omron ES3-2DE41 Photoelectric Switch ES3-2DE41 |
19187 | Omron | F39-CN6 | Omron F39-CN6 Controller Sensor Connector | ||
19188 | Omron | G3NA-D210B | OMRON G3NA-D210B, SOLID STATE RELAY, VOLTS DC5-24V | ||
19189 | Omron | G3PA-210B-VD | B4785102AE | Omron G3PA-210B-VD Solid State Relay, 5-24VDC,z, B4785102AE | |
19190 | Omron | G3PA-240B-VD | Omron G3PA-240B-VD, Solid State Relay, 5-24VDC. | ||
19191 | Omron | G6DS-1A | 3 Omron relies G6DS-1A 24V DC, GS 2-M4X15, CIJ-RY4-OS-16P | ||
19192 | Omron | GJ-3A1B-B-W1 | 2 Omron Type GJ-3A1B-B-W1 Volts DC24V | ||
19193 | Omron | H3CA-A | Omron H3CA-A Timer Surface Mounting / Flush Mounting 8-Multi-Modes 11-Pin | ||
19194 | Omron | H3DE-H | Omron H3DE-H H3DEH Timer, AMAT 1200-01570 | ||
19195 | Omron | H7EC-FBV | Omron H7EC-FBV | ||
19196 | Omron | int01 | 0D507-18P | OMRON INT01, 0D507-18P, INPUT UNIT, 24 VDC | |
19197 | Omron | K2CU-F40A-E | Tokyo Electron TEL | SD00038 | Omron K2CU-F40A-E Heater Fault Detector, TEL SD00038; |
19198 | Omron | K3TX-VD22A-C2 | Omron K3TX-VD22A-C2 Digital Process Signal, 12-24VDC, 10W, | ||
19199 | Omron | R88M-WN01H-ML2 | Omron R88D-WN01H-ML2 AC Servo Driver | ||
19200 | Omron | R88M-WP10030H-BS1 | Omron R88M-WP10030H-BS1 AC Servo Motor | ||
19201 | Omron | S3A-DAK | Omron S3A-DAK Linear Sensor Controller, | ||
19202 | Omron | S3D8-CKF-US | E3C-WH4F | Omron S3D8-CKF-US Sensor Controller, Omron E3C-WH4F | |
19203 | Omron | s82k-01524 | 2 OMRON S82K-01524 POWER SUPPLY | ||
19204 | Omron | S82S-7305 | AMAT | 0190-32622 | Omron S82S-7305 AMAT 0190-32622, Switching Power Supply, 3 Pole |
19205 | Omron | S8VS-06024 | 3 Omron S8VS-06024, S8VS-01524, TDK noise filter ZRC2206-11S | ||
19206 | Omron | SHL-W2155 | 0001SL0001 | 2 Omron SHL-W2155, 0001SL0001, Switch, Over-Run, Elevatorarmon I.D. | |
19207 | Omron | SP16-DR-A | Omron SP16-DR-A SYSMAC Mini Programmable Controller | ||
19208 | Omron | SYSMAC CJ1M | with CPU11, CJ1W-PA202 power supply, two 1D211, two OC201 and one OD211 I/O modules | ||
19209 | Omron | SYSMAC CQM1 | OMRON SYSMAC CQM1 Programmable controller, PA203, CPU21, ID211, OC221 | ||
19210 | Omron | SYSMAC CQM1 | OMRON SYSMAC CQM1 Programmable controller, PA203, CPU21, ID211, CQM1-ID211 | ||
19211 | Omron | T2-W5F2 | Omron T2-W5F2 Proximity Switch, Sensor | ||
19212 | Omron | T2-W5F2 | Omron T2-W5F2 Proximity Switch, Sensor | ||
19213 | Omron | TDV-1 | Omron TDV-1 Twin Timer, Relay, 24VDC,z | ||
19214 | Omron | TK-W3MC1 | Omron TL-W3MC1 Proximity Switch, 2810-738153 | ||
19215 | Omron | TL-W3MC1 | 2810-738155 | Omron TL-W3MC1 Proximity Switch, 2810-738155 | |
19216 | Omron | TL-W3MC1 | Omron TL-W3MC1 Proximity Switch, 2810-738154 | ||
19217 | Omron | TL-W3MC1 | Omron TL-W3MC1 Proximity Switch | ||
19218 | Omron | TL-W3MC1 | Omron TL-W3MC1 Proximity Switch, 2810 | ||
19219 | Omron | TL-W3MC1 | 5 Omron TL-W3MC1 Proximity Switch | ||
19220 | Omron | TL-W5F1 | Omron TL-W5F1 Proximity Switch, Sensor | ||
19221 | Omron | TL-W5F2 | Omron TL-W5F2 Proximity Switch Sensor, 12-24 VDC, 18952, 2m, 200mA Max, | ||
19222 | Omron | TL-W5F2 | OMRON TL-W5F2, Proximity Switch, 12 to 24 VDC, 2m. | ||
19223 | Omron | TL-W5F2 | OMRON TL-W5F2, Proximity Switch, 12 to 24 VDC, 2m. | ||
19224 | Omron | V640-HAM11-V2-1 | Omron V640-HAM11-V2-1 Amplifier Unit | ||
19225 | Omron | V700-L11 | Omron V700-L11 ID Link Unit | ||
19226 | Omron | ZS-HLDC11 | Omron ZS-HLDC11 Smart Sensor Controller | ||
19227 | Omron | Omron CPM2C-16ETC MODULE I/O 16 TRANSISTOR OUT | |||
19228 | Omron | OMRON XM2S-25 HOKUYO AUTOMATIC DMS-HB1-Z09 LOW VOLTAGE COMPUTER CABLE | |||
19229 | Omron | OMRON XM2S-25 HOKUYO AUTOMATIC DMS-HB1-Z09 LOW VOLTAGE COMPUTER CABLE | |||
19230 | Omron | V700-L11 | Omron V700-L11 ID Link Unit, 24VDC | ||
19231 | Omron | V700-L11 | Omron V700-L11 ID Link Unit, 24VDC | ||
19232 | Omron | K8AB-TH11S | Omron K8AB-TH11S Temperature Monitoring Relay, 24VAC/DC, k8AB, TH11 | ||
19233 | Omron | OS-MINI802-D-MA | Omron OS-MINI802-D-MA Miniature Non-Contact Infrared Temperature Sensor | ||
19234 | Omron | E2E-X5ME1 | Omron E2E-X5ME1 Proximity Switch, Sensor | ||
19235 | Omron | CPM2C-MAD11 | Applied Materials AMAT | 500-00756-00 | Omron CPM2C-MAD11 A/D D/A Unit, AMAT756-00 CPM2C Analog Combo |
19236 | Omron Corporation | CS1W-CN313 | Omega CS1W-CN313 CS1/CJ1 Connecting Cable, 30cm | ||
19237 | Omron Scientific Technologies Inc. | 44506-5470 | STI | Omron 44506-5470 Rope Pull Switch, ER6022-022MEL, 2 N/C+2 N/O, M20 | |
19238 | ONTRACK | ONTRAK SYSTEMS 28-8875-038 LAM SMIF RELAY BD PCB ASSY CE 96 22-8875-038 | |||
19239 | OnTrak | 10-8084-002 | Ontrack 10-8084-002, Queu Water Assembly, Cassett, Loading, Rinse. | ||
19240 | OnTrak | 13-0072-025 | 2 new ontrak 13-0072-025 bracket left spring robot | ||
19241 | OnTrak | 13-0072-047 | 4 new ONTRAK 13-0072-047, switch, pivot, Robot | ||
19242 | OnTrak | 13-0072-250 | Ontrak 13-0072-250 Spring, Gripper Arm | ||
19243 | OnTrak | 22-0075-018 | 5 Ontrak 22-0075-018 PC ASSY, CONN. INTRF, GESPAC | ||
19244 | OnTrak | 22-8875-005 | ONTRAK SYSTEMS QUAD MICRO STEPPER 22-8875-005 | ||
19245 | OnTrak | 25-8875–38 | ONTRAK 28-8875-038 SMIF / RELAY BOARD ASSY PCB CE 96 | ||
19246 | OnTrak | 25-8875-38 | ONTRAK 28-8875-038 SMIF / RELAY BOARD ASSY PCB CE 96 | ||
19247 | OnTrak | 26-8882-010 | Ontrak 26-8882-010, Carriage Roller Kit. | ||
19248 | OnTrak | 28-8875-011 | Output interface PCB assy, Pre-Prod., May have some bent componenetsdue to stroage ahnd handling | ||
19249 | OnTrak | 28-8875-038 | ONTRAK SYSTEMS 28-8875-038 ASSY SMIF/RELAY BD ASSY CE 96 ID | ||
19250 | OnTrak | 28-8875-038 | Ontraks 28-8875-038 PC Assy, SMIF Relay, CE96, PCB, SCIN 5100 2515, | ||
19251 | OnTrak | 28-8875-038 | OnTrak Systems 28-8875-038 SMIF Relay Board, PCB, 22-8875-038, CE 96, | ||
19252 | OnTrak | 28-8875-038 | OnTrak Systems 28-8875-038 SMIF Relay Board, PCB, 22-8875-038, CE 96, | ||
19253 | OnTrak | 31-0008-027 | OnTrak 31-0008-027 Cyl, Air, 1-1/16 Bore x 2″ Stroke, SS | ||
19254 | OnTrak | 31-0008-028 | OnTrak 31-0008-028 Cyl, Air, 1-1/16 Bore x 6″ Stroke, SS | ||
19255 | OnTrak | 33-0008-024 | 9 OnTrak 33-0008-024 Bushing, Pivot Shaft | ||
19256 | OnTrak | 35-0005-022 | Ontrak5-022 Flow Control | ||
19257 | OnTrak | 76-0002-007 | 8 Ontrak 76-0002-007 Nozzle Spray 1/8 NPT 0.036 | ||
19258 | OnTrak | 91-0006-017 | 2 Ontrak 91-0006-017 Ring, RTNG, EXT | ||
19259 | OnTrak | 70-0010-173 | Conxall 8280-4PG-513K Mini Connector, 4Pin, Crimp #13 Shell, 70-0010-173 | ||
19260 | OnTrak Systems, Inc. | 80-0002-046 | 3 OnTrak 80-0002-046 Flag Position Sensors | ||
19261 | OPAL | 7.03061E+12 | Opal EA 7030612550000, MIS2 board, pcb board | ||
19262 | OPAL | EA70316580100 | OPAL PAL controller board EA70316580000, EA70316580100 | ||
19263 | Operation Logic Control | D H7201003D | TCQC-194VO20-95 | Operation Logic Control PCB Assembly D H7201003D, D H7202001, TCQC-194VO20-95 | |
19264 | Optimas | AF132678 | KLA | 226-386933-226 | 5 Optimas AF132678 1.8 Tube OD X 1/8 Tube OD X 1.4″OAL Straight Union SS |
19265 | OPTO | Opto 22 AD6 Lot of 3 used Digital analog converter module | |||
19266 | Opto | IDC5Q | 7 Opto 22 IDC5Q Input Relay | ||
19267 | Opto 22 | 001828H | Opto 22 brain board | ||
19268 | Opto 22 | 001836L | PCB Board OPTO22 LC4 001836L 94V-0, OPTO 22 EX2 001840D | ||
19269 | Opto 22 | 0AC5Q | 2 GORDOS / Opto 22 model 0AC5Q Relay output modules | ||
19270 | Opto 22 | 480D45-12-NOV120 | HBC | HBC HBC-824-3 | Opto 22 480D45-12-NOV120 Solid State Relay, HBC HBC-824-3 |
19271 | Opto 22 | AC 37 | Opto22 AC37, ISA bus high speed serial co-processor Looks new | ||
19272 | Opto 22 | AC28 | 8890 | Opto 22 AC28 Pamux Analog/Digital Adapter PCB with floppy 8890 | |
19273 | Opto 22 | AC28 | Opto 22 AC28 ISA Bus Pamux Bus Adaptor | ||
19274 | Opto 22 | AC37 | ISA bus high speed serial co-processor | ||
19275 | Opto 22 | AC37 | Opto 22 AC37 High-Speed Communication Adapter Card, PCB, 005231E | ||
19276 | Opto 22 | AD9T | Opto 22 AD9T Digital Analog Converter Module | ||
19277 | Opto 22 | AD9T | Opto 22 AD9T, Digital Analog Converter Module. | ||
19278 | Opto 22 | AD9T | Opto 22 AD9T Digital Analog Converter Module | ||
19279 | Opto 22 | B4 | Opto 22 Brain board B4 | ||
19280 | Opto 22 | B4 | Opto 22 B4 Pamux Brain Board, PCB, 01788L | ||
19281 | Opto 22 | DA4 | Opto 22 DA4 Digital Analog Converter Module | ||
19282 | Opto 22 | DA4 | 2 Opto 22 DA4 Digital Analog Converter Module | ||
19283 | Opto 22 | DA4 | Opto 22 DA4 Day Digital Analog Converter Module | ||
19284 | Opto 22 | DA4T | Opto 22 DA4T Digital Analog Converter Module | ||
19285 | Opto 22 | DA4T | Opto 22 DA4T Digital-Analog Converter Module | ||
19286 | Opto 22 | DA4T | 1 box of 23 new Digital – analog converter module 0-5V | ||
19287 | Opto 22 | DA4T | Opto 22 DA4T Digital-Analog Converter Module | ||
19288 | Opto 22 | DA6T | Opto 22 AD6T Digital Analog Converter Module | ||
19289 | Opto 22 | G4PB16H | Opto 22 G4PB16H, 16 Channel Programmable, Logic Controller Board. | ||
19290 | Opto 22 | IDC5Q | New OPTO 22 model IDC5Q quad input module | ||
19291 | Opto 22 | LC4 | OPTO 22 LC4 Power Supply PCB Board; | ||
19292 | Opto 22 | ODC5Q | OPTO 22, ODC5Q 4-CHANNEL DC OUTPUT 5-60 VDC, 5VDC LOGIC ID | ||
19293 | Opto 22 | ODC5Q | 2 new OPTO 22 model ODC5Q | ||
19294 | Opto 22 | PB-24HQ | I/O Module Mounting Rack/Board Terminal Strip Bus | ||
19295 | Opto 22 | PB-24HQ | lot of 2 I/O Module Mounting Rack/Board Terminal Strip Bus | ||
19296 | Opto 22 | PB32HQ | OPTO-22 PB32HQ, PAMUX B4 DIGITAL BRAIN BOARD | ||
19297 | Opto 22 | PB4R | Lot of 10, Opto 22 PB4R, 4 Channel Output Module Rack. | ||
19298 | Opto 22 | SBTA | single brick terminal adapter | ||
19299 | Opto 22 | SC28 | OPTO 22 SC28 ISA Bus To Pamux Bus Adapter, PCB | ||
19300 | Opto 22 | SC28 | OPTO 22 SC28 ISA Bus To Pamux Bus Adapter, PCB | ||
19301 | Opto 22 | SC28 | OPTO 22 SC28 ISA Bus To Pamux Bus Adapter, PCB | ||
19302 | Opto 22 | SC28 | OPTO 22 SC28 ISA Bus To Pamux Bus Adapter, PCB | ||
19303 | Opto 22 | SC28 | OPTO 22 SC28 ISA Bus To Pamux Bus Adapter, PCB | ||
19304 | Opto 22 | SNAP-LCSX-PLUS | Opto 22 SNAP-LCSX-PLUS Programmable Logic Controller | ||
19305 | Opto 22 | PCB Assy, Gripper Opto 4×4 | |||
19306 | Opto 22 | PB16H | Opto 22 PB16H Logic Controller Board, PCB, 12 ODC5 Output Modules | ||
19307 | Opto 22 | PB16H | Opto 22 PB16H Logic Controller Board, PCB, 16 IDC5 Output Modules | ||
19308 | OPTO 22 | G4 0DC24 | 5 OPTO 22 G4 0DC24 Output Relay Module | ||
19309 | Opto-Electronic | MOD80-D4BC50-3040SA | Opto-Electronic MOD80-D4BC50-3040SA AO Modular/Shifter Driver. | ||
19310 | Optoelectronics | G104X1- L03 | Optoelectronics G104X1- L03 TFT Liquid Crystal Display module w LED backlight | ||
19311 | Optoelectronics | CM-1000 | Optoelectronics CM-1000 Capacitance Meter | ||
19312 | OptoSigma | 123-2440 | OptoSigma 123-3440reset Dovetail Stage Z, 143-000025-01 | ||
19313 | OptoSigma | 123-3440 | OptoSigma 123-3440reset Dovetail Stage Z, 143-000025-01 | ||
19314 | Orbitek | 906182-001 | Orbitek 906182-001, WJ, Heated Valve, Jacket. | ||
19315 | Oregon Micro Systems | PC38 | Oregon Micro Systems PC38, XC2064, ISA Interface, Motron Controller. | ||
19316 | Organo | BB-5 | Organo Conductivity Meter BB-5 | ||
19317 | Orico | HD-PW4101 | Orico HD-PW4101 OS Switch | ||
19318 | Oriental Motor | 2RK6GN-AM | Oriental Motor 2RK6GN-AM AC Magnetic Brake Motor | ||
19319 | Oriental Motor | 2RK6RGN-A | Oriental Motor 2RK6RGN-A Speed Control Motor, 4P 6W 100V,Hz, 0.3A | ||
19320 | Oriental Motor | 4GN90K | Oriental Motor 4GN90K Gear Head | ||
19321 | Oriental Motor | 5GN5K | Oriental Motor 5GN5K Gear Head | ||
19322 | Oriental Motor | 5GU18KB | Oriental Motor 5GU18KB Gearhead | ||
19323 | Oriental Motor | T-MU9255-21-GP | Oriental Motor T-MU9255-21-GP Fan | ||
19324 | ORIENTAL MOTOR | ORIENTAL MOTOR SDM496-G1 DIGITAL SPEED METER AC100V ~ AC240V | |||
19325 | Oriental Motor | PK596-NBC | Oriental Motor PK596-NBC Vexta 5 Phase Stepper Motor | ||
19326 | Oriental Motor | PK596-NBC | Oriental Motor PK596-NBC Vexta 5 Phase Stepper Motor | ||
19327 | Oriental Motor | PK596-NBC | Oriental Motor PK596-NBC Vexta 5 Phase Stepper Motor | ||
19328 | Oriental Motor | PK596-NBC | Oriental Motor PK596-NBC Vexta 5 Phase Stepper Motor | ||
19329 | Oriental Motor | 4RK25GK-A2 | Oriental Motor 4RK25GK-A2 Reversible Motor, 25W50/60Hz | ||
19330 | Oriental Motor | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19331 | Oriental Motor | PX244-04A | Oriental Motor PX244-04A Vexra 2-Phase Stepping Motor, 1.8 Degree, DC24V, 0.2A | ||
19332 | Oriental Motor | PK564-NAC | Oriental Motor PK564-NAC 5-Phase Vexta Stepping Motor, DC 1.4A | ||
19333 | Oriental Motor | PK266-E2.0A | Oriental Motor PK266-E2.0A Vexta 2-Phase Stepping Motor | ||
19334 | Oriental Motor | UDK2120 | Oriental Motor UDK2120 2-Phase Driver,Hz, 2.2A | ||
19335 | Oriental Motor | 2GA300K | Oriental Motor 2GA300K Motor | ||
19336 | Oriental Motor Co. LTD | A3761-9215HG | Oriental Motor Co. LTD | UHG100-2B | Oriental Motor A3761-9215HG Vexta 5-Phase Sepping Motor w/ UHG100-2B, |
19337 | Oriental Motor Co., LTD | PH266L-31 | Oriental Motor PH266L-31 Vexta Stepping Motor, DC6V, 1.2A, 2-Phase | ||
19338 | Oriental Motor Co., LTD | PH266L-31 | Oriental Motor PH266L-31 Vexta Stepping Motor, DC6V, 1.2A, 2-Phase | ||
19339 | Oriental Motors Vexta | 400001941 | VEXTA 0400001941 5-PHASE STEPPING MOTOR UPH569-A-A28 DC 1.4A | ||
19340 | Oriental Motors Vexta | 400001941 | VEXTA 0400001941 5-Phase Stepping Motor UPH569-A-A28 DC 1.4A | ||
19341 | Oriental Motors Vexta | DA10-24C0-0000 | Solid State Relay Single Phase DA10-24C0-0000 16amp sc | ||
19342 | Oriental Motors Vexta | PH266L-01B | Vexta | 3 Oriental Motor Model PH266L-01B Vexta Stepping Motor 2-Phase 1.8/STEP | |
19343 | Oriental Motors Vexta | 2GK60K | Oriental Motor 2GK60K Gear Head | ||
19344 | Oriental Motors Vexta | 2GN150K | Gear head | ||
19345 | Oriental Motors Vexta | 2RK6GK-A | 1500 rpm reversible motor | ||
19346 | Oriental Motors Vexta | 2RK6GK-A | 1500 rpm reversible motor | ||
19347 | Oriental Motors Vexta | 2RK6GK-A | 1500 rpm reversible motor | ||
19348 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19349 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19350 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19351 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19352 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19353 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19354 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19355 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19356 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19357 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19358 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19359 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19360 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19361 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19362 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19363 | Oriental Motors Vexta | 2RK6GK-A2 | Oriental Motor 2RK6GK-A2, Reversible Motor, 6W 100VHz | ||
19364 | Oriental Motors Vexta | 2RK6GN-A | 6 watt, 1500 rpm reversible motor | ||
19365 | Oriental Motors Vexta | 2RK6GN-A | 1500 rpm reversible motor | ||
19366 | Oriental Motors Vexta | 3GK50K | 407D21 | Oriental Motor CO, LTD, 3GK50K. | |
19367 | Oriental Motors Vexta | 3GK50K | RU8 00478 | ORIENTAL MOTOR 3GK50K GEAR HEAD RU8 00478 | |
19368 | Oriental Motors Vexta | 3GK9K | Oriental Motor 3GK9K Gear Box, UR8 23795, ID | ||
19369 | Oriental Motors Vexta | 3GN50K | gear head | ||
19370 | Oriental Motors Vexta | 3RK15GK-A2 | Oriental Reversable Motor. 3RK15GK-A2, 15W50/60Hz | ||
19371 | Oriental Motors Vexta | 4GK60K | Oriental Motor 4GK60K, Gear Head, XZ813, 697. | ||
19372 | Oriental Motors Vexta | 5GU18KB | Oriental Motor 5GU30KB Gearhead | ||
19373 | Oriental Motors Vexta | 5GU18KB | Oriental Motor 5GU18KB Gearhead | ||
19374 | Oriental Motors Vexta | 5GU18KB | Oriental Motor 5GK18KA Gearhead | ||
19375 | Oriental Motors Vexta | 5IK60AF-50RA | lot of 2, Induction motors1.4A, 15uf | ||
19376 | Oriental Motors Vexta | 5IK90GU-SMF2 | Oriental Motor 5IK90GU-SMF2 AC Magnetic Brake Motor, 90W, 200V, 3 Phase | ||
19377 | Oriental Motors Vexta | 5IK90GU-SMF2 | Oriental Motor 5IK90GU-SMF2 AC Magnetic Brake Motor, 90W, 200V, 3 Phase | ||
19378 | Oriental Motors Vexta | 5RK40GK-A2M | Lot of 3, reversible motors-1550RPM, A.C.magnetic brake | ||
19379 | Oriental Motors Vexta | A4044-9215E | Vexta A4044-9215E 5-Phase Stepping Motor, DC 1.4A, MTR Assy Z-Axis, | ||
19380 | Oriental Motors Vexta | A4044-9215E | Vexta A4044-9215E 5-Phase Stepping Motor, DC 1.4A, MTR Assy Z-Axis, | ||
19381 | Oriental Motors Vexta | A4044-921E | Vexta Stepping Motor Model A4044-9215E 5-Phase Motor Assembly, | ||
19382 | Oriental Motors Vexta | ASD18A-K | Oriental Motor ASD18A-K Vexta Alphastep Motor Driver, ASD-K | ||
19383 | Oriental Motors Vexta | EG101-DN | PMD03C | Oriental Motors, VEXTA Controller EG101-DN, Mini Stepping Driver, PMD03C | |
19384 | Oriental Motors Vexta | FBLD120C | Oriental Motor FBLD120C Vexta,Brushless DC Motor,z, 2-7A. | ||
19385 | Oriental Motors Vexta | K0208-011 | Oriental Motor Brake Reverse Pack K0208-011 DC 12-24V, 0.05-0.1A | ||
19386 | Oriental Motors Vexta | KBLD120-A | Oriental Motor Vexta KBLD120-A, AC Servo Driver,z, 7.5A. | ||
19387 | Oriental Motors Vexta | MSM425-412 | Oriental Motor MSM425-412 Speed Control Motor, | ||
19388 | Oriental Motors Vexta | PH264-01B-A8 | Vexta Stepping Motor PH264-01B-A8, 2 Phase, 1.8 Degree | ||
19389 | Oriental Motors Vexta | PH265-05-A25 | Oriental Motor PH265-05-A25 2-Phase Vexta Stepping Motor, DC 1.4v, 3-8A, | ||
19390 | Oriental Motors Vexta | PH265-05-A25 | Oriental Motor PH265-05-A25 2-Phase Vexta Stepping Motor, DC 1.4v, 3-8A, | ||
19391 | Oriental Motors Vexta | PH265-05B-C12 | Robot Assembly w Vexta 2 Phase Stepping Motor PH265-05B-C12, 1.8 Degrees | ||
19392 | Oriental Motors Vexta | PH265L-03 | Oriental Motor PH265L-03, Vexta 2-Phase Stepping Motor, DC 24V, 0.21A. | ||
19393 | Oriental Motors Vexta | PH265L-04 | Vexta PH265L-04 2-Phase Stepping Motor, DC 5V, 1A, | ||
19394 | Oriental Motors Vexta | PH265L-04 | Vexta PH265L-04 2-Phase Stepping Motor, DC 5V, 1A, | ||
19395 | Oriental Motors Vexta | PH265L-04 | Vexta PH265L-04 2-Phase Stepping Motor, DC 5V, 1A, | ||
19396 | Oriental Motors Vexta | PH265L-04 | JM9600-0148-01B | Vexta PH265L-04, PH265L-04, -Phase, Stepping motor, DC 5v, 1A. | |
19397 | Oriental Motors Vexta | PH265M-31 | Oriental Motor PH265M-31, Vexta Stepping Motor,2 Phase, 0.9DEG/STEP, DC6V, 0.85A | ||
19398 | Oriental Motors Vexta | PH265M-31 | Oriental Motor PH265M-31, Vexta Stepping Motor,2 Phase, 0.9DEG/STEP, DC6V, 0.85A | ||
19399 | Oriental Motors Vexta | PH265M-31 | Oriental Motor PH265M-31, Vexta Stepping Motor,2 Phase, 0.9DEG/STEP, DC6V, 0.85A | ||
19400 | Oriental Motors Vexta | PH266L | Vexta PH266L-01B 2-Phase Stepping Motor | ||
19401 | Oriental Motors Vexta | PH266L-01 | Vexta PH266L-01 2-phase Stepping Motor, ID | ||
19402 | Oriental Motors Vexta | PH266L-31B | Vexta PH266L-31B 2-phase Stepping Motor, DC6V, 1.2A, ID | ||
19403 | Oriental Motors Vexta | PH266L-31B | Vexta PH266L-31B 2-phase Stepping Motor, DC6V, 1.2A, ID | ||
19404 | Oriental Motors Vexta | PH266L-33-A1 | Oriental Motor PH266L-33-A1, 2 Phase Stepper Motor 1.8 Degree | ||
19405 | Oriental Motors Vexta | PH266L-33-A1 | Vexta Stepping Motor PH266L-33-A1 2 Phase 1.8 Degrees-0025 | ||
19406 | Oriental Motors Vexta | PH268-21 | Vexta | Oriental Motor Vexta PH268-21 2-Phase Stepping Motor | |
19407 | Oriental Motors Vexta | PH268-21 | Vexta | Oriental Motor Vexta PH268-21 2-Phase Stepping Motor | |
19408 | Oriental Motors Vexta | PH268-21 | Vexta | Oriental Motor Vexta PH268-21 2-Phase Stepping Motor | |
19409 | Oriental Motors Vexta | PH268-21 | Vexta | Oriental Motor Vexta PH268-21 2-Phase Stepping Motor | |
19410 | Oriental Motors Vexta | PH268-23 | 2 Vexta Stepping Motor PH268-23 2-Phase 1.8 Degrees | ||
19411 | Oriental Motors Vexta | PH569-A | Oriental Motor, Vexta PH569-A, 5-Phase Stepping Motor, DC 1.4, 0.72. | ||
19412 | Oriental Motors Vexta | PH569M-NAA | Vexta PH569M-NAA, Stepper Motor, 5-Phase, 1.4 AMP DC. | ||
19413 | Oriental Motors Vexta | PH599-B-A5 | 1MT0020, PH-59 | Vexta oriental, PH599-B-A5, 1MT0020, Motor, Elevator 5 Step Stepping , PH-59 | |
19414 | Oriental Motors Vexta | PK18664-H01 | Vexta PK18664-H01 Insert, Cavity, Upper (28 PON) | ||
19415 | Oriental Motors Vexta | PK18664-H01 | Vexta PK18664-H01 Insert, Cavity, Upper (28 PON) | ||
19416 | Oriental Motors Vexta | PK268-02A | Vexta Stepping Motor PK268-02A, 2 Phase 1.8 Degree | ||
19417 | Oriental Motors Vexta | PK564ANA-TG30 | Vexta PK564ANA-TG30 5 phase stepper motor, .024″ step Looks new | ||
19418 | Oriental Motors Vexta | PK564ANA-TG30 | 3 Vexta PK564ANA-TG30 5-Phase 0.024/Step DC1.4A | ||
19419 | Oriental Motors Vexta | PK564ANA-TG30 | Stepper motor, .024″ step Looks new and unused | ||
19420 | Oriental Motors Vexta | PK73266-H01 | 3 Vexta PK73266-H01, Insert, Cavity, A, Upper (28 Pon) | ||
19421 | Oriental Motors Vexta | PKC 2P435 | novellus | 0150-738041 | 5 phase stepper motor, New in box |
19422 | Oriental Motors Vexta | PX243G01-01B | UV Lamp Lift Assembly, Vexta Stepping Motor PX243G01-01B | ||
19423 | Oriental Motors Vexta | PX244M-02AA | VEXTA, PX244M-02AA, STEPPING MOTOR, MOTOR, ANALYZER, DC 6V, 0.8A, SP7, 02072 | ||
19424 | Oriental Motors Vexta | SS32E-SSSD | Oriental Motor SS32E-SSSD, Speed Control Pack | ||
19425 | Oriental Motors Vexta | SS32E-SSSD | ORIENTAL MOTOR SS32E-SSSD SPEED CONTROL PACK 207-50208 | ||
19426 | Oriental Motors Vexta | SS32E-SSSD | Omron | 11PFA | Oriental Motor Speed Control Pack SS32E-SSSD, PAVR-20KY, Omron 11PFA |
19427 | Oriental Motors Vexta | UDK5107NW2 | Vexta 5-Phase Driver UDK5107NW2 100-115V~ 1.5A | ||
19428 | Oriental Motors Vexta | UDK5128N | Vexta 5-Phase Driver UDK5128N,Hz | ||
19429 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19430 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19431 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19432 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19433 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19434 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19435 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19436 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19437 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19438 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19439 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19440 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19441 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19442 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19443 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19444 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19445 | Oriental Motors Vexta | UDX5107 | Oriental Motor UDX5107 Vexta 5-Phase Motor Driver | ||
19446 | Oriental Motors Vexta | UDX5107-G4-2 | Oriental Motor UDX5107-G4-2, Super Vexta 5-Phase Driver. | ||
19447 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19448 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19449 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19450 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19451 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19452 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19453 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19454 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19455 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19456 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19457 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19458 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19459 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19460 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19461 | Oriental Motors Vexta | UDX5114 | Oriental Motor UDX5114 Vexta 5-Phase Motor Driver | ||
19462 | Oriental Motors Vexta | UHG100-2B | Vexta | A3761-9215HG | Oriental Motor UHG100-2B Harmonic Gear, Vexta A3761-9215HG Motor, |
19463 | Oriental Motors Vexta | UPD599H-A | Super Vexta 5-Phase Step Unit, Stepping Motor & Driver UPD599H-A UDX5128 0626 WV | ||
19464 | Oriental Motors Vexta | UPH566-A-A19 | Vexta UPH566-A-A19 5-Phase Stepping Motor, DC 0.75 A, | ||
19465 | Oring | 9535006 | 9535006 0411577500 O’ring kit | ||
19466 | Oring | ISO-100-CR-AV | ISO-100 Centering Ring, ISO-100-CR-AV, NEW | ||
19467 | Oring | 211-992 | 2 NEW KFTERING RING, SEAL 211-992 | ||
19468 | Oring | 734-02301 | 6 Orings, 734-02301 | ||
19469 | Oring | 812-MSB-180 | 812-MSB-180 O-ring | ||
19470 | Oring | AS568-448 | 6 AS568-448 O-RING (SILICON) SA55707242A 3MP-A448A SO04523 ID | ||
19471 | Oring | MVP-220 | MVP-220 Silicone Grey O-Ring | ||
19472 | Oring | P-340 | 4 P-340, Viton, Oring | ||
19473 | Oring | P230 | 2 P230, V44-G03 O-Ring Seals | ||
19474 | Oring | P766 | P776 O-Ring, LID | ||
19475 | Oring | silicone | Silicon O’ring High Temp. 1/8″ diarcum, | ||
19476 | Oring | Silicon O-Ring High Temperature 1/8″ Diameter Aprox. 1/2 Circumference | |||
19477 | Oring | Silicon O’ Ring High Temperature 1/8″ Diameter, 3″ Circumference | |||
19478 | Oring | Ethernet Cable E239198 CM 75C 24AWG 4PR UTP-ETI Verified CAT 5E TIA/EIA-568B.2 | |||
19479 | Oring | Silicon O-ring High Temp 1/8″ Diameter x 1/2″ circle | |||
19480 | Oring | Oring Kit-00 B13-11 | |||
19481 | O-ring | 228 V75 | 10 O-ring, 75 DURO Fluorocarbon, 228 V75 | ||
19482 | Orion | Orion Reticle Insp Sys | 1006411-001 | NexTech Orion Reticle Inspection System 1006411-001, 1600-00002 ALUDRA | |
19483 | Osaka | TG56CA | Osaka TG56CA turbo pump stack w/ conflat 23/4″ 4 way tee and 1/4″ VCR port – | ||
19484 | Osaka | TH532 | H520-955 | Osaka Turbo Vacuum Molecular Pump, TH532, H520-955 | |
19485 | Osaka Vacuum | TC1810 | Osaka Vacuum TC1810 Turbo Pump Controller w/ Interface Control Box | ||
19486 | Osaka Vacuum | TC1810 | Osaka Vacuum TC1810 Turbo Pump Controller | ||
19487 | Osaka Vacuum | TC1810 | Osaka Vacuum TC1810 Turbo Pump Controller | ||
19488 | Osaka Vacuum | TG1300 | Osaka Vacuum LTD TG1300 Compound Molecular Pump, TC1300 | ||
19489 | Osaka Vacuum | TG1810 | Osaka Vacuum TG1810 Compound Molecular Pump | ||
19490 | Osaka Vacuum | TG360M | Osaka Vacuum TG360M, Magnetic Suspended, Compound Molecular Pump | ||
19491 | Oshinko | 3FE113C005100 | NCPOW-02 | Oshinko 3FE113C005100 assembly, printed circuit, NCPOW, NCPOW-02, M138 | |
19492 | Osmonics | 89247 | FAP201AAE | Osmonics 89247 FAP201AAE Flotrex Filter, 20 Micron, 9.95″ | |
19493 | Osmonics | FAP201AAE | Osmonics FAP201AAE Flotrex Filter, 20 Micron, 0.9″, 89247, | ||
19494 | OSRAM | 64440 | 5 Osram 64440,2v, GY6,35 Bipin Halogen Light Bulb | ||
19495 | OSRAM | 64810 | 20 new, OSRAM, HLX Xeneophot 64810 Halogen Lamp , 50W | ||
19496 | OSRAM | LB543C | Lot of 100 OSRAM LB543C Hyper 5 mm LED | ||
19497 | OSRAM | LP E675 | Lot of 2000 OSRAM LP E675 LED, SMT, Power TOPLED, Green, 562nm, Clear, 2V | ||
19498 | OSRAM | 5410-38 | Osram Opto SFA 5410-38 IR Receiver | ||
19499 | OSRAM | BPX63 | Osram BPX63 Photodiodes | ||
19500 | OSRAM | HB305 | OSRAM HB305 HBO 1000 W/N MERCURY SHORT ARC LAMP FOR MICROLITHOGRAPHY | ||
19501 | OSRAM | HB305 | 2 Osram HB305, HBO 1000 W/N, Mercury, Lamp | ||
19502 | OSRAM | HBO 1000 W/N | Osram HBO 1000 W/N Mercury Short Arc Lamp | ||
19503 | OSRAM | HBO 1002/CL | Osram HBO 1002/CL, Mercury Short Arc 2amp | ||
19504 | OSRAM | HBO 1002/CL | Osram HBO 1002/CL, Mercury Short Arc 2amp | ||
19505 | OSRAM | LA-E67B-U2AA-24-1 | Lot of 2000 OSRAM LA-E67B-U2AA-24-1 LED, SMT, Power TOPLED, Amber, 120deg, 2.2V | ||
19506 | OSRAM | LATB66B | Lot of 3000 OSRAM LATB66B Hyper multi Top LED | ||
19507 | OSRAM | LD-271-LH | 125 Osram LD-271-LH Infrared Emmiters Half Angle 950MM | ||
19508 | OSRAM | LGT670 | 2000 new Top Led | ||
19509 | OSRAM | LHK376 | Lot of 2000 OSRAM LHK376 Hyper ARGUS LED Hyper-Bright, 3mm T1, TS GaAlAs LED | ||
19510 | OSRAM | LS3336-T | Lot of 1500 OSRAM LS3336-T Hyperbright LED | ||
19511 | OSRAM | LS5360-JM | Lot of 740 OSRAM LS5360-JM 5mm LED | ||
19512 | OSRAM | LS5469-GK | Lot ofRAM LS5469-GK Low-Current 5 MM (T1 3/4) Led, Green, Diffused | ||
19513 | OSRAM | LW E6SC | 2000 Osram LW E6SC Power Top LED, 6LWE6SCU2AAX00, Q65110A4813. | ||
19514 | OSRAM | LW3333 | OSRAM LW3333 Hyper 3mm LED | ||
19515 | OSRAM | LWT673 | 2000 new Hyper top Led | ||
19516 | OSRAM | LY5436-TO | Lot of5436-TO Hyper 5mm T1 LED, Non Diffused Hyper-Bright LE | ||
19517 | Osram | M470 | 3000 Osram Opto LG M470 Q62703Q5911 Mini LED’s | ||
19518 | OSRAM | SFH300 | Lot of 900 OSRAM SFH300 FA 880nm NPN Si Phototransistors | ||
19519 | OSRAM | SFH305 | Lot ofRAM SFH305 Array Phototransistors | ||
19520 | OSRAM | SFH309 | Lot of 2000 OSRAM SFH309 FA Phototransistors | ||
19521 | OSRAM | T676 | 2000 Osram Opto LW T676 Top LED’s | ||
19522 | OSRAM | Osram Halogen Display/Optic Lamp | |||
19523 | OSRAM | 200 Osram Opto Hyper 5mm LED | |||
19524 | Osram | SCDQ5542R | 8 Osram SCDQ 5542R LED Dot Matrix Alphanumeric Display 4 Digits 5X5 | ||
19525 | OSRAM Opto | BPX 61 | OSRAM Opto BPX 61, PIN Photodiode, ML1, TEMP260°,STT, TYM05, | ||
19526 | OSRAM Opto | LS 5436 Bin1:V-1-0-20 | (Set of 1500) OSRAM Opto Semiconductors LS 5436 Bin1:V-1-0-20 | ||
19527 | OSRAM Opto | LS 5436-UAW-1 | 1500 OSRAM Opto LS 5436-UAW-1 5mm LED, ID | ||
19528 | Osram Opto Semiconductors | NA | Osram LED-Tester | ||
19529 | Osram Sylvania | QTP1/2X18CF/UNV DM | Osram Sylvania QTP1/2X18CF/UNV DM Compact Fluorescent Lamp Ballast, T4 | ||
19530 | others | BQ-07-06A | Violet Disposable Pen BQ-07-06A | ||
19531 | Overland Storage | 10600127-001 | Overland Storage 10600127-001 SnapSAN Controller ACC SSAN Dual Raid Card | ||
19532 | Overland Storage | 10800344-001 | Overland Storage 10800344-001 SnapSAN S1000 Controller, S/K, SSAN, QUAD | ||
19533 | Oxford Applied Research | ATM103 | Lot of 2 Auto Tune controller for RF match | ||
19534 | Oxford Engineering | 152507 | Oxford Engineering 152507 Mitre Ring, Trikon | ||
19535 | Pacific Bearings | PO4C | Pacific Bearings Co. PO4C Lot of 7 Pillow Block bearings | ||
19536 | Pacific Instruments | 8203 | Pacific Instruments 8203 C1 Mainframe Amplifier, 8255 | ||
19537 | Pacific Rubber | 9570 | 19 Pacific Rubber 009570 Oring, | ||
19538 | Pacific Rubber | 09375-375 | Pacific Rubber 09375-375 S70 O Ring,, | ||
19539 | Pacific Rubber & Packaging Inc | -157 SIL/TFE | FSI | 300919-157 | 14 Pacific Rubber & Packaging Inc -157 SIL/TFE Oring, FSI 300919-157 |
19540 | Pacific Science | M22NSXA-JDN-HD-02 | Pacific Science M22NSXA-JDN-HD-02, POWERMAX II, 1500 RPM, Vs(dc) 24V. | ||
19541 | Pacific Science | M22NSXA-JDN-HD-02 | Pacific Science M22NSXA-JDN-HD-02, POWERMAX II, 1500 RPM, Vs(dc) 24V. | ||
19542 | Pacific Scientific | E21NCHT-LDN-SS-04 | pacific scientific 1.8 degree step motor E21NCHT-LDN-SS-04 | ||
19543 | Pacific scientific | E31NRHT-LDN-M3-00 | Pacific Scientific E31NRHT-LDN-M3-00 Bipolar stepper motor 1.8 degree step, 3975 | ||
19544 | Pacific Scientific | E31NRHT-LDN-M3-00 | Lot of 2 Bipolar stepper motor | ||
19545 | Pacific Scientific | E31NRHT-LDN-M3-00 | Pacific Scientific E31NRHT-LDN-M3-00 Bipolar Stepper Motor | ||
19546 | Pacific Scientific | G125ASD08S | Pacific Scientific G125ASD08S 100 PSI pressure gauge 316 s.s. tube and socket | ||
19547 | Pacific Scientific | N31HRHK-LNK-001 | Pacific scientific N31HRHK-LNK-001 Stepper Motor | ||
19548 | Pacific Scientific | N31HRHK-LNK-NS-00 | Pacific scientific N31HRHK-LNK-NS-00, stepper motor 1.8 degree step, | ||
19549 | Pacific Scientific | N31HRHK-LNK-NS-00 | Pacific Scientific N31HRHK-LNK-NS-00 1.8 degree Stepper Motor | ||
19550 | Pacific Scientific | MTDE31NR-HTLDN-M350 | Pacific Scientific MTDE31NR-HTLDN-M350 Step Motor, Bipolar Parallel | ||
19551 | Pacific Scientific | E31NRHT-LDNM3-00 | Pacific Scientific E31NRHT-LDNM3-00 1.8 Degree Step Motor | ||
19552 | Pacific Scientific | E31NRHT-LDNM3-00 | Pacific Scientific E31NRHT-LDNM3-00 1.8 Degree Step Motor | ||
19553 | Pacific Scientific | E31NRHT-LDNM3-00 | Pacific Scientific E31NRHT-LDNM3-00 1.8 Degree Step Motor | ||
19554 | Pacific Scientific | E31NRHT-LDNM3-00 | Pacific Scientific E31NRHT-LDNM3-00 1.8 Degree Step Motor | ||
19555 | Pacific Scientific | E31NRHT-LDNM3-00 | Pacific Scientific E31NRHT-LDNM3-00 1.8 Degree Step Motor | ||
19556 | Pacific Scientific | N31HRHK-LNK-NS-00 | 2810-738369 | Pacific Scientific N31HRHK-LNK-NS-00 POWERPAC 1.8 Degree Step Motor, 2810-738369 | |
19557 | Pacific Scientific | E21SCHT-LDN-SS-02 | Pacific Scientific E21SCHT-LDN-SS-02 1.8 Degree Step Motor | ||
19558 | Pacific simplicity | PWN 8 | 2 Pillow blocks Pacific simplicity bearings PWN 8-00 | ||
19559 | PALL | PALL Gaskleen 1/2″ Gas Filter | |||
19560 | Pall | ENC1L-12-4-G49H13 | Pall ENC1L-12-4-G49H13 Process Cooling Water Filtration Assy, 75 Micron, RS1309 | ||
19561 | PALL | SGLFPF6402VMM4 | Pall PFA GASKLEEN IV SGLFPF6402VMM4 Filter Assembly, 0.003 Micron | ||
19562 | Pall | SGLFPF6402VMM68 | Pall SGLFPF6402VMM68 PFA Gaskleen IV Filter Assembly, 0.003 Micron | ||
19563 | Pall | MDY2230FREHF | Pall MDY2230FREHF Emflon Filter, 0.2 Micron | ||
19564 | Pall | DFA4001NIEY | Pall DFA4001NIEY Ultipor Disposable Filter Assy, 0.1 Micron | ||
19565 | PALL | AB1UY0453H4 | PALL AB1UY0453H4 Ultipleat Profile Filter | ||
19566 | Pall | MDY2230FREJ | Pall MDY2230FREJ Emflon Filter Cartridge, 0.2 Micron ABS | ||
19567 | Pall | AB04F0013EH1 | Pall AB04F0013EH1 Super-Cheminert Filter, 0.1 Micron | ||
19568 | Pall Gaskleen | 280398 | Pall 280398 Hydraulic Filter Assembly, | ||
19569 | Pall Gaskleen | GLFPF6I0IVXM4AM | 3 PGA Gaskleen filter assembly GLFPF6I0IVXM4AM, 750 PSI, 0.003 Micron | ||
19570 | Pall Gaskleen | 4KB-M0597-1 | Pall Gaskeen 4KB-M0597-1 Filter Assembly, 3000 PSI, | ||
19571 | Pall Gaskleen | A50V002p2 | 9 New Pall A50V002p2, Acro50 filters with 0.2um Emflon II membrane | ||
19572 | Pall Gaskleen | AB1F0103EH15 | PALL AB1F0103EH15, Super Cheminert Filter, Emflon-PF,1.0 Micron, | ||
19573 | Pall Gaskleen | BYY005P6 | PALL MINI PROFILE CAPSULE FILTER BYY005P6 | ||
19574 | Pall Gaskleen | GLFPF3000-V04 | 8 Pall Gaskleen GLFPF3000-V04 Filters, GLFPF3000V04 | ||
19575 | Pall Gaskleen | GLFPF3000-V04 | 4 Pall Gaskleen GLFPF3000-V04 Filter, GLFPF3000 | ||
19576 | Pall Gaskleen | MDS1106G07FNH | Pall MDS1106G07FNH Filter Housing, 150 PSI | ||
19577 | Pall Gaskleen | MDY2230NAEYH13 | PALL ULTIPOR N FILTER MDY2230NAEYH13 | ||
19578 | Pall Gaskleen | PCF1Y050B08 | New PALL PCF1Y050B08, New Profile II, 5.0um filter cartridge | ||
19579 | Pall Gaskleen | PCY13GN16H13 | PALL PCY13GN16H13 Filter Housing | ||
19580 | Pall Gaskleen | profile II | 6 new PCF1Y050B08 PALL profile II filter | ||
19581 | Pall Gaskleen | SGLF6101M4 | Pall Gaskleen SGLF6101M4 Gas Filter, 0.01 Micron, 750 PSI | ||
19582 | Pall Gaskleen | SGLF6101M4 | Pall Gaskleen SGLF6101M4 Gas Filter, 0.01 Micron, 750 PSI | ||
19583 | Pall Gaskleen | SGLF6101VM4M | Calwed | 02-250171-00 | Pall Gaskleen SGLF6101VM4M Filter Assembly, Calweld 02-250171-00, |
19584 | Pall Gaskleen | SGLFPFG501VMM8 | 100584480 | Pall Gaskleen SGLFPFG501VMM8 PFA Filter Assy480 | |
19585 | Pall Gaskleen | SLK7002NTP | PALL SLK7002NTP, Ultipor N66 Filter Element, 0.1 Micron. | ||
19586 | Pall Gaskleen | T93011302013 | PALL T93011302013 Filterite Filter, VFTR100-10M3V, 0.1 Micronhes | ||
19587 | Pall Gaskleen | T93011307013 | VFTR100-10M3V | PALL T93011307013 Filterite Filter, VFTR100-10M3V, 0.1 Micronhes | |
19588 | Pamona | 2393 | Pamona 2393 Aluminum Box, Die Cast, BCN (M-F), 2.25″ x 1.13″ x 0.88″ | ||
19589 | Pamona | 2393 | Pamona 2393 Aluminum Box, Die Cast, BCN (M-F), 2.25″ x 1.13″ x 0.88″ | ||
19590 | Pan Pacific | S-25M9F-6 | Pan Pacific S-25M9F-6 AT Serial Adapter Cable DB9 to DB25 | ||
19591 | Panasonic | MSF023A1X | PANASONIC MSF023A1X AC SERVO DRIVER MSD023A1XG 200VZ | ||
19592 | Panasonic | 581A664B | Panasonic 581A664B, Slave-B, PCB | ||
19593 | Panasonic | AA666B PTP | Panasonic AA666B PTP Board, DIP, PCB, ID | ||
19594 | Panasonic | AFP8501 | NEW Panasonic AFP8501 Motor Driver I/F Terminal, 1-Axis Type | ||
19595 | Panasonic | AFP85111 | Panasonic NAiS AFP85111 cable, 1m for Minas A Series Programmable Controller | ||
19596 | Panasonic | AFP8532 | C-NET Adapter | 3 NEW AFP8532 Panasonic C-NET Adapter | |
19597 | Panasonic | ANMX402 | AX40 | NEW Panasonic Micro Imagechecker AX40, ANMX402 controller | |
19598 | Panasonic | ANMX8310 | Panasonic ANMX8310 Color Camera | ||
19599 | Panasonic | ANPD050-15 | Panasonic ANPD050-15 Code Reading Sensor | ||
19600 | Panasonic | ANPD050-25 | 4 NEW Panasonic ANPD050-25 Matsushita Electronics, PD50, 0,5A 24V | ||
19601 | Panasonic | ANPV3700 | NEW Panasonic ANPV3700 Micro Imagecheker Camera SW Unit | ||
19602 | Panasonic | ANPV3700 | Matsushita | 3 NEW Panasonic ANPV3700 Micro Imagecheker Camera SW Unit, Matsushita Electric | |
19603 | Panasonic | DV-507 | Panasonic DV-507 Inverter, | ||
19604 | Panasonic | DV46S005LA2 | DV46S | A654C | Panasonic AC Servo Driver DV46S005LA2, DV46S, A654C |
19605 | Panasonic | DV707S200A | Disco | BADV707U200A | Panasonic DV707S200A Inverter, Disco BADV707U200A, ID |
19606 | Panasonic | EAUA-287500 | Panasonic AA666B, PTB Board, PCB, DIP, Disco EAUA-287500, | ||
19607 | Panasonic | FPG-C24R2T11 | Matsushita | AFPG2423T11 | 7 NEW FPG-C24R2T11, Panasonic control unit AFPG2423T11, Matsushita Electric |
19608 | Panasonic | GP-CD60 | Panasonic GP-CD60 Microscope Inspection Video Camera | ||
19609 | Panasonic | MFA005LA2NS | Panasonic MFA005LA2NS, AC Servo Motor | ||
19610 | Panasonic | MFA020LATNP | Panasonic MFA020LATNP AC Servo Motor, 200W, 3000 r/min | ||
19611 | Panasonic | MFA050HA5NSD | Panasonic MFA050HA5NSD AC Servo Motor, Spindle | ||
19612 | Panasonic | MSD011A1XX | Panasonic MSD011A1XX AC Servo Driver | ||
19613 | Panasonic | MSD011A1XX | Panasonic MSD011A1XX AC Servo Driver | ||
19614 | Panasonic | MSM 021A1FE ALI | Panasonic MSM 021A1FE ALI, AC Servo Motor. | ||
19615 | Panoma | 3232 | Panomaluminum Box, Die Cast 2.25″ x 1.38″ x 1.13″, BNC (F-F-F) | ||
19616 | Panoma | 3232 | Panomaluminum Box, Die Cast 2.25″ x 1.38″ x 1.13″, BNC (F-F-F) | ||
19617 | Paragon | 500-233B | Paragon3B PCB | ||
19618 | Parker | MV-10-6346-10 | Parker MV-10-6346-10 Diaphragm Valve, 3-Way, Isolation | ||
19619 | Parker | 15R110FB | Parker 15R110FB Regulator, 250 PSI | ||
19620 | Parker | 15R110FB | Parker 15R110FB Regulator, 250 PSI | ||
19621 | Parker | PV-10-1344-01 | Parker PV-10-1344-01 Pneumatic Valve | ||
19622 | Parker | P2M2HBVA10808A | Parker P2M2HBVA10808A Modulex System Manifold Assy, P2M | ||
19623 | Parker | P2M2HBVA10808A | Parker P2M2HBVA10808A Modulex System Manifold Assy, P2M | ||
19624 | Parker | 07R313AC | Parker 07R313AC Pneumatic Pressure Regulator w/ Wika Gauge | ||
19625 | Parker | INA-24-58-03 | Parker INA-24-58-03 Valve, Manual, Isolation, 1.0MPa | ||
19626 | Parker | 8A-Q8CY-SSP | Parker 8A-Q8CY-SSP Quick Disconnect, CPI | ||
19627 | Parker | 4M-MB6LPFA-SSP | Parker 4M-MB6LPFA-SSP Hydraulic Ball Valve | ||
19628 | Parker | 4M4F-RV6L-18-SS | 2 Parker 4M4F-RV6L-18-SS Repture Disc Valve | ||
19629 | Parker | K3PX002 | Parker K3PX002 Solenoid Valve | ||
19630 | Parker | 6A-PR6-EPRT-SS-2769 | Parker 6A-PR6-EPRT-SS-2769 Valve Assembly, MOP-150 PSIG1HCS | ||
19631 | Parker | 6A-PR6-EPRT-SS-2769 | Parker 6A-PR6-EPRT-SS-2769 Valve Assembly, MOP 150 PSIG, 11SH, 2ANJ | ||
19632 | Parker | 4V-C04L-1/3-V-SS-PP | Applied Materials AMAT | 3870-02219 | Parker 4V-C04L-1/3-V-SS-PP O-Ring Poppet Check Valve, AMAT 3870-02219 |
19633 | Parker Computormotor | CM231AE-00440 | Parker Computormotor CM231AE-00440 Servo Motor, | ||
19634 | Parker Computormotor | CM231AE-00440 | Parker Computormotor CM231AE-00440 Servo Motor, | ||
19635 | Parker Computormotor | CM231AE-00440 | Parker Computormotor CM231AE-00440 Servo Motor, | ||
19636 | Parker Instruments | MV-4-1214 | Parker MV-4-1214 Valve, Deedle, PTFE Teflon, 1/4″ | ||
19637 | Parker Kuroda | PCL241B-NB-D24**-N1 | PCL241BM5D24UPN | Parker Kuroda PCL241B-NB-D24**-N1 Solenoid Valve, PCL241BM5D24UPN | |
19638 | Parker Porter | 0151E.10A | Brooks 0151E.10A Readout Mass Flow Controller Computer | ||
19639 | Parker Porter | 088275-000 | Porter Instrument Company Inc, 088275-000, L/Min air atG and 70F. | ||
19640 | Parker Porter | 201-DKASVBAA | Porter MFC 201-DKASVBAA, Ethane 25 SCCM,G | ||
19641 | Parker Porter | D2000I-C039 | Porter MFC D2000i series, TEOS 20.0 ML/MIN, D2000I-C039 | ||
19642 | Parker Porter | D2000IBM042 | Porter MFC D2000I, IPA 1.0 .14 ML/MIN Liquid Flow Meter, D2000IBM042 | ||
19643 | Parker Porter | D2000IBM042 | Porter MFC D2000I, IPA 1.0 .14 ML/MIN Liquid Flow Meter, D2000IBM042 | ||
19644 | Parker Porter | F65-281 | Parker Porter F65-281 Flow Meter, 815001-162. | ||
19645 | Parker Porter | LCB-002 | AMAT | 0190-35928 | NEW PORTER LCB-002, AMAT 0190-35928 MFC, LMFC 1.2 l/min, CUPRA 2504 fluid |
19646 | Parker Porter | NVL-004FV | PORTER MFC NVL-004FV, 0.2 ML/MIN, TEOS GAS. NEW | ||
19647 | Parker Porter | NVL-004FV | PORTER MFC NVL-004FV, 0.2 ML/MIN, TEOS GAS. NEW | ||
19648 | Parker Porter | NVL-005F | Porter MFC NVL-005F, 0.5 ML/MIN TEOS | ||
19649 | Parker Porter | NVL-005F | Porter MFC NVL-005F, 0.5 ML/MIN TEOS | ||
19650 | Parker Porter | P2000i-C010 | Porter MFC, P2000i-C010, TEOSL/MIN | ||
19651 | Parker Porter | P2000IBC007 | Porter P2000IBC007 Liquid Flow Controller LFC TMB .5 ML/MIN P2000i Series | ||
19652 | Parker Skinner | B13ADK1150 | Parker Skinner B13ADK1150 Solenoid Valve, 2200-10715, 24VDC, 7W, 150 PSIG | ||
19653 | Parker Veriflo | 1396 | Parker 1396.018 Stepper Motor Drive PCB, AM5, P5000, 1398.017.03 | ||
19654 | Parker Veriflo | 1396 | Parker 1396.018 Stepper Motor Drive PCB, AM5, P5000, 1398.017.03 | ||
19655 | Parker Veriflo | 6-4-2004 | 5 Parker CPI 6-6-4 OBZ-B Female Branch Tee Fluid Connector | ||
19656 | Parker Veriflo | 6-4-2004 | 3 Parker CPI 6-6-4 OBZ-B Female Branch Tee Fluid Connector | ||
19657 | Parker Veriflo | 2-4 | 10 Parker CPI 2-4 OBZ-B Female Branch Tee Fluid Connector | ||
19658 | Parker Veriflo | 43800528 | APTech | AP3625NS 2PW FV4 FV4 | Gas Valve Assy, Parker 43800528 Regulator, APtech AP3625NS, SPANS Gauge |
19659 | Parker Veriflo | 43900725 | Veriflo regulator model SQ140E302PFSMFPM, Looks very clean or new | ||
19660 | Parker Veriflo | 43900725 | Veriflo regulator model SQ140E302PFSMFPM | ||
19661 | Parker Veriflo | 43900884 | Parker 43900884 Regulator, SQ60302PFSFF, 0-30 PSIG Out, | ||
19662 | Parker Veriflo | 45300243 | Parker Veriflo Valve43 945AOPLPNCSFSMM2.31 | ||
19663 | Parker Veriflo | 45800348 | Parker Veriflo48, SM955LPNCS11 valve | ||
19664 | Parker Veriflo | 45800491 | NEW Parker Veriflo Division valve91, 945AOPLPNCSB223VESP | ||
19665 | Parker Veriflo | 45900124 | novellus | 22-142129-00 | Lot of 3 Regulator, F-M, mod. SQMICRO130E106549 |
19666 | Parker Veriflo | 06-0434R-703 | Veriflo 06-0434R-703 Nupvo Valve 945AOPLPNCSFS MM2.3,22-054334-00 | ||
19667 | Parker Veriflo | 07-0434R-122 | Veriflo | Parker 07-0434R-122, Manual isolation valve, 944GSFSMMLK2.3 | |
19668 | Parker Veriflo | 082244-000 | Parker, Porter Instrument 082244-000, 0-15 Flow Meter, B-125-20, | ||
19669 | Parker Veriflo | 08F23O2140A3F | Parker 08F23O2140A3F Unit Valve | ||
19670 | Parker Veriflo | 1.12CUS16C02.0 | Cushion air cylinder, KD259169 1.12″ bore, 2.00″ stroke | ||
19671 | Parker Veriflo | 12A-PR8-EPRT-SS-2926 | Turck | FCS-G1/4A4P-LIX-H1141/D237 | Parker 12A-PR8-EPRT-SS-2926, Turck FCS-G1/4A4P-LIX-H1141/D237, Flow Meter |
19672 | Parker Veriflo | 12A-PR8-EPRT-SS-2926 | Turck | FCS-G1/4A4P-LIX-H1141/D237 | Parker 12A-PR8-EPRT-SS-2926, Turck FCS-G1/4A4P-LIX-H1141/D237, Flow Meter |
19673 | Parker Veriflo | 12LO-B8AJ-V-SSALDOVSS3121 | AMAT | 3870-04027 | Parker manual ball valves, SS |
19674 | Parker Veriflo | 1396-018 | Parker 1396-018, Vero, Stepper Motor Driver Digiphn AM5 1396.202. | ||
19675 | Parker Veriflo | 2M2F-B2LJ-SSP | Parker 2M2F-B2LJ-SSP ball valves, Parker Two Way B-Series Ball Valve, two way-inline, SS body, 1/8 MNPT x 1/8″ FNPT , directional handle actuation, PTFE seat, PTFE seal | ||
19676 | Parker Veriflo | 3/8 HHP-SS | 10 Parker 3/8 HHP-SS, 01HP-6C, Hollow Hex Plug Adapters. | ||
19677 | Parker Veriflo | 3/8 HHP-SS | 10 Parker 3/8 HHP-SS, 01HP-6C, Hollow Hex Plug Adapters. | ||
19678 | Parker Veriflo | 4-4 P2HLZ-SS | 2 Parker 4-4 P2HLZ-SS Valve | ||
19679 | Parker Veriflo | 4-4 V1M-SSR .75-PE | Parker 4-4 V1M-SSR .75-PE, Process Fitting Body, 1/4″, 316L VAR, | ||
19680 | Parker Veriflo | 4-4 V1M-SSR .75-PE | Parker 4-4 V1M-SSR .75-PE, Process Fitting Body, 1/4″, 316L VAR, | ||
19681 | Parker Veriflo | 4510058EV | Parker Veriflo, 955A0PLPNCSVCRFF2, Diaphragm Valve8EV | ||
19682 | Parker Veriflo | 45800745-1 | SMSQ2MICRO30102 | Parker45-1, SMSQ2MICRO30102, Regulator Valve. | |
19683 | Parker Veriflo | 45800745-1 | SMSQ2MICRO30102 | Parker45-1, SMSQ2MICRO30102, Regulator Valve. | |
19684 | Parker Veriflo | 45800745-1 | NEW Parker Veriflo45-1 valve SMSQ2MICRO30102 | ||
19685 | Parker Veriflo | 4A-PR4-VT-SS | Parker 4A-PR4-VT-SS PR Series Plug Valve | ||
19686 | Parker Veriflo | 4FF4-B-GR | 5 Parker 4FF4-B-GR Brass Front Ferule | ||
19687 | Parker Veriflo | 4V-C4L-1-SS | Parker 4V-C4L-1-SS Check Valve | ||
19688 | Parker Veriflo | 4V-C4L-1/3 SS | Parker 4V-C4L-1/3-SS C-Series Check Valve | ||
19689 | Parker Veriflo | 4V-CO4L-1/3-V-SS-PP | 2 Parker 4V-CO4L-1/3-V-SS-PP O-Ring Poppet Check Valve | ||
19690 | Parker Veriflo | 4V-P4K-SS-PP | Parker 4V-P4K-SS-PP 1/4″NPT Stainless Steel Pneumatic Actuated Below Valv | ||
19691 | Parker Veriflo | 4V1-P4K-11AC-SSV-PP | 2 Parker 4V1-P4K-11AC-SSV-PP 14ZF, 18WD, Controller Valve | ||
19692 | Parker Veriflo | 50-8V-2LP-R | 10 Parker VCR Gaskets,Contoured Retainer,2LP-R | ||
19693 | Parker Veriflo | 6A-PR6-EPRT-SS-2769 | Parker 6A-PR6-EPRT-SS-2769 Valve Assy | ||
19694 | Parker Veriflo | 6A-PR6-EPRT-SS-2769 | Parker 6A-PR6-EPRT-SS-2769 Valve Assy | ||
19695 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | Parker 6A-PR6-EPRT-SS-2801 Valve Assy | ||
19696 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | Parker 6A-PR6-EPRT-SS-2801 Valve, MOP 150 PSIG, | ||
19697 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | Parker 6A-PR6-EPRT-SS-2801 Valve, MOP 150 PSIG, | ||
19698 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | Parker 6A-PR6-EPRT-SS-2801 DI, Shut Off Valve, PCW, AMAT, FCW | ||
19699 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | AMAT | Parker 6A-PR6-EPRT-SS-2801 DI, Shut Off Valve, PCW, AMAT, FCW | |
19700 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | Parker 6A-PR6-EPRT-SS-2801 Valve FCW Supply | ||
19701 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | Parker 6A-PR6-EPRT-SS-2801 Valve Assy | ||
19702 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | Parker 6A-PR6-EPRT-SS-2801 Valve Assy | ||
19703 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | PARKER 6A-PR6-EPRT-SS-2801 VALVE FCW SUPPLY, MOP-150 PSIG | ||
19704 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | Parker 6A-PR6-EPRT-SS-2801 Valve | ||
19705 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | Parker 6A-PR6-EPRT-SS-2801 Valve | ||
19706 | Parker Veriflo | 6A-PR6-EPRT-SS-2801 | Parker 6A-PR6-EPRT-SS-2801 Valve | ||
19707 | Parker Veriflo | 71315SN2MVJ1NOC111B2 | 24VAC solenoid valve | ||
19708 | Parker Veriflo | 73228BN3TN00A0J111C2 | novellus | He130030 | Lot of 3, new 2 way valves |
19709 | Parker Veriflo | 7341LAN1HNM0N0L111P3 | Parker 7341LAN1HNM0N0L111P3 Skinner Valve | ||
19710 | Parker Veriflo | 8A-PR8-EPRT-SS-2822 | Parker 8A-PR8-EPRT-SS-2822, QC2 Supply, 28TM, 3ADJ, MOP-150 PSIG, Valve. | ||
19711 | Parker Veriflo | 8A-PR8-EPRT-SS-2822 | Parker 8A-PR8-EPRT-SS-2822 Valve, MOP-150 PSIG, | ||
19712 | Parker Veriflo | 8A-PR8-EPRT-SS-2822 | Parker QC2 Supply 8A-PR8-EPRT-SS-2822 Valve Assembly | ||
19713 | Parker Veriflo | 8A-Q8CY-SSP | Parker 8A-Q8CY-SSP, Quick Disconnect, AMAT1054 | ||
19714 | Parker Veriflo | 8M-C8L-1/3-B | Parker 8M-C8L-1/3-B C-Series Check Valve, 1/2″ MNPT, | ||
19715 | Parker Veriflo | 944AOPLPNCS4683EV | Veriflo | 43700513 | Parker Veriflo 944AOPLPNCS4683EV Valve Weldment Assy, 43700513 |
19716 | Parker Veriflo | 944AOPLPNCSFSFF | Parker Veriflo 944AOPLPNCSFSFF Diaphragm Valve19 | ||
19717 | Parker Veriflo | 944AOPLPNCSFSFF | Parker Veriflo 944AOPLPNCSFSFF Diaphragm Valve19 | ||
19718 | Parker Veriflo | 944AOPLPNCSFSFF | 42600719 | Parker Veriflo 944AOPLPNCSFSFF Diaphragm Valve19 | |
19719 | Parker Veriflo | 945Y2N0/N0FSFFFB | Novellus | 22-159953-00 | Parker Veriflo 945Y2NO/NOFSFFFB, Pneumatic Gas line Valve, 22-159953-00 |
19720 | Parker Veriflo | 955A0PLPNCSFS8FFVESP3.11 | Parker Veriflo 955A0PLPNCSFS8FFVESP3.11 Valve06 | ||
19721 | Parker Veriflo | 955A0PLPNCSFS8FFVESP3.11 | Parker Veriflo 955A0PLPNCSFS8FFVESP3.11 Valve06 | ||
19722 | Parker Veriflo | 955A0PLPNCSVCRFF2.78 | Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve | ||
19723 | Parker Veriflo | 955A0PLPNCSVCRFF2.78 | Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve83 | ||
19724 | Parker Veriflo | 955A0PLPNCSVCRFF2.78 | Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve83 | ||
19725 | Parker Veriflo | 955A0PLPNCSVCRFF2.78 | Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve | ||
19726 | Parker Veriflo | 955A0PLPNCSVCRFF2.78 | Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve83 | ||
19727 | Parker Veriflo | 955A0PLPNCSVCRFF2.78 | Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve83 | ||
19728 | Parker Veriflo | 955A0PLPNCSVCRFF2.78 | Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve | ||
19729 | Parker Veriflo | 955A0PLPNCSVCRFF2.78 | Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve | ||
19730 | Parker Veriflo | 955A0PLPNCSVCRFF2.78 | Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve | ||
19731 | Parker Veriflo | 955A0PLPNCSVCRFF2.78 | Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve | ||
19732 | Parker Veriflo | 955A0PLPNCSVCRFF2.78 | Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve | ||
19733 | Parker Veriflo | 955AOPLPNCSFSMF | 45100528 | parker veriflow 10085128, model 955AOPLPNCSFSMF, High purity Valve amat 387002798 | |
19734 | Parker Veriflo | 955MSFSFFLK | Parker Veriflo 955MSFSFFLK Safety Valve, | ||
19735 | Parker Veriflo | 95930W2PFSFM | AMAT | 0226-30866 | Parker Veriflo 95930W2PFSFM Regulator Valve, Isolation, AMAT 0226-30866 |
19736 | Parker Veriflo | 95930W2PFSMM | Parker, Veriflo 95930W2PFSMM Pressure Regulator | ||
19737 | Parker Veriflo | 95930W2PFSMM | Parker, Veriflo 95930W2PFSMM Pressure Regulator | ||
19738 | Parker Veriflo | A5PV8000 | Fujikin L.No. A5PV8000 Surface Mount NC Gas Valve, C.No., 316L-P | ||
19739 | Parker Veriflo | AK02GXA00 | Fujikin L.No. AK02GXA00 Surface Mount NC Gas Valve, C.No., 316L-P | ||
19740 | Parker Veriflo | APR1SK24 | 2 Veriflo regulator valve APR1SK24, pressure regulator 44200268, 0-50 PSI | ||
19741 | Parker Veriflo | APR1SK24PXX4PKPM2 | Parker Veriflo APR1SK24PXX4PKPM2, Pressure Regulator, Valve, 22-105501-00 | ||
19742 | Parker Veriflo | APR1SK24PXX4PKPM2 | Parker Veriflo APR1SK24PXX4PKPM2, Pressure Regulator, Valve, 0-50 PSIG. | ||
19743 | Parker Veriflo | APR1SK24PXX4PKPM2 | Parker Veriflo APR1SK24PXX4PKPM2 Pressure Regulator Valve, 44200268; other | ||
19744 | Parker Veriflo | AR-04AE | Parker HANA AR-04RE Servo Drive | ||
19745 | Parker Veriflo | B13A DK1 150DC 2AC2 | IPEC Speedfam 220-107158, Parker skinner valve # B13A DK1 150DC 2AC2 | ||
19746 | Parker Veriflo | BDM1001DCHWC00S | Parker BDM1001DCHWC00S DriveBlok, 1 HP @ 230V, CapBlok, 230V | ||
19747 | Parker Veriflo | BR-3-3118-1 | Parker BR-3-3118-1 Back Pressure Regulator | ||
19748 | Parker Veriflo | C3DK1125 | PARKER FLUID CONTROL VALVE DIV, MODEL C3DK1125, 24V DC | ||
19749 | Parker Veriflo | CASY-1448 | Parker CASY-1448 VERIFLO DIVISION VALVE | ||
19750 | Parker Veriflo | DB9WQ000 | Fujikin L.No. DB9WQ000 Surface Mount NC Gas Valve, C.No., 316L-P | ||
19751 | Parker Veriflo | DBMNJ000 | Fujikin L.No. DBMNJ000 Type N.O. Diaphragm Valve, C.No., 316L-P | ||
19752 | Parker Veriflo | DBQ8N000 | Fujikin L.No. DBQ8N000 Surface Mount NC Gas Valve, C.No., 316L-P | ||
19753 | Parker Veriflo | DC4 | Xzel | ZL1034 | Parker Motion & Control DC4 Power Supply Xzel ZL1034 |
19754 | Parker Veriflo | DC4 | Compumotor | CP 7301-DC4-90 | Parker Motion & Control DC4 Power Supply Compumotor CP 7301-DC4-90 |
19755 | Parker Veriflo | DC4 | Xzel | ZL1034 | Parker Motion & Control DC4 Power Supply Xzel ZL1034 |
19756 | Parker Veriflo | DC4 | Parker Motion & Control DC4 Power Supply | ||
19757 | Parker Veriflo | FAFS-48-T | Parker FAFS-48-T, 70938-65, Fluorotek Adapter, 1/2 NPTF x 1/4. | ||
19758 | Parker Veriflo | FEC-12RF | Parker FEC-12RF, Elbow Connector, 3/4″ Flaretex, Spacesaver, AMAT. | ||
19759 | Parker Veriflo | FEC-12RF | New Parker PFA Teflon Elbow Connector FEC-12RF, 3/4 INCH FLARETEX SPACESAVER | ||
19760 | Parker Veriflo | FEC-12RF | New Parker PFA Teflon Elbow Connector FEC-12RF, 3/4 INCH FLARETEX SPACESAVER, 13 | ||
19761 | Parker Veriflo | FEC-88RF-T | AMAT | Metron | Parker FEC-88RF-T, AMAT FEC-88RF-T Male Elbow SPSVR, Metron Flare Connector |
19762 | Parker Veriflo | FTCR-8812-T | Parker FTCR-8812-T Flare Tee Connector Reducer | ||
19763 | Parker Veriflo | FTCR-8812-T | PARKER FTCR-8812-T FLARE TEE CONNECTOR REDUC | ||
19764 | Parker Veriflo | GT6-L5 | Novellus Ipec speedfam | 0125-112453 | Gemini stepper motor drive controller New in Box. Box. opened for pictures |
19765 | Parker Veriflo | GV-U6R | New GV Gemini Servo Controller GV-U6R -11207 | ||
19766 | Parker Veriflo | HFR900S | PARKER HFR900S SINGLE STAGE HIGH FLOW PRESSURE REGULATOR VALVE | ||
19767 | Parker Veriflo | HFR902B4PBX8V | Parker Veriflo HFR902B4PBX8V Pressure Regulator, Valve | ||
19768 | Parker Veriflo | HPR802W3PXFSMM | Parker Veriflo HPR802W3PXFSMMF 3-Way Regulator Isolation Valve, 54011447 | ||
19769 | Parker Veriflo | M83-133 | parker compumotor M83-133 | ||
19770 | Parker Veriflo | MV-7-6116-0 | Parker MV-7-6116-0 Manual Valve, Partek | ||
19771 | Parker Veriflo | MV-7-6116-0 | Parker MV-7-6116-0 Manual Valve, Partek | ||
19772 | Parker Veriflo | MV-7-6416-0 | Parker MV-7-6416-0 Tapered Plug Valve PTFE Manual Actuation | ||
19773 | Parker Veriflo | MV-7-6416-0 | A022424 | Parker MV-7-6416-0, A022424, Open-Close Shutoff Valve, Liquid. ,, | |
19774 | Parker Veriflo | MV-7-6416-0 | Lot of 10 new Tapered plug valve PTFE Manual actuation | ||
19775 | Parker Veriflo | MV-70-6416-0 | Parker MV-70-6416-0 Tapered Plug Valve, PTFE, Manual Actuation | ||
19776 | Parker Veriflo | OEM 650 | Stepper motor driver, look new | ||
19777 | Parker Veriflo | OEM 650 | New Stepper motor driver | ||
19778 | Parker Veriflo | oem750 | parker Hann 27560, OEM750 | ||
19779 | Parker Veriflo | PDS 13-2/USA | 97101400163 | Parker Digiplan PDS 13-2/USA , PDS Series 13, 97101400163, 300VA. | |
19780 | Parker Veriflo | QM-57-102 | Parker Compumotor QM-57-102, Stepper Motor. | ||
19781 | Parker Veriflo | QRM60S2PFSMMP8222 | Parker Veriflo QRM60S2PFSMMPM8222 Isolation Valve, 54017445-2 | ||
19782 | Parker Veriflo | S57-102-MO | Parker Compumotor S57-102-MO, Stepper Motor. | ||
19783 | Parker Veriflo | SH6-63 | Parker SH6-63 Nipple | ||
19784 | Parker Veriflo | SM930LP1NCS102 | Parker Veriflo SM930LP1NCS102 Diaphragm Valve57 | ||
19785 | Parker Veriflo | SM930LPNCS102 | Veriflo | Parker Veriflo SM930LPNCS102 Valve Assy Pneumatic Diaphragm N/C 2PORT SUR | |
19786 | Parker Veriflo | SQ2MICRO30TP8197 | Parker Veriflo SQ2MICRO30TP8197 Diaphragm Valve, 54017399 | ||
19787 | Parker Veriflo | SS316 | Parker PS serieshydraulic switch O-ring face seal SS316 | ||
19788 | Parker Veriflo | TQ10X | Parker Compumotor TQ10x Servo Motor Controller Drive, 120v,z | ||
19789 | Parker Veriflo | TQ10X | Parker Compumotor TQ10x Servo Motor Controller Drive, 120v,z | ||
19790 | Parker Veriflo | TQ10X | Parker Compumotor TQ10x Servo Motor Controller Drive, 120v,z | ||
19791 | Parker Veriflo | UHP506C1-5 | Parker UHP506C1-5 Valve Assembly, Saes Getters | ||
19792 | Parker Veriflo | Veriflo | Parker Veriflo SQ130E1002PFSFF3.4 Diaphragm Valve | ||
19793 | Parker Veriflo | X172PL-2-2 | 8 Parker X172PL-2-2 Brass Fitting, Tee, LAM | ||
19794 | Parker Veriflo | X172PL-2-2 | 10 Parker X172PL-2-2 Brass Fitting, Tee, LAM | ||
19795 | Parker Veriflo | X2770005000 | PARKER SOLENOID VALVE X2770005000 | ||
19796 | Parker Veriflo | Parker Regulator 0-6.0 PSI SQMICROHF602PFSMM, AMAT 3800-00011, 1/4 inch VCR, M-M | |||
19797 | Parker Veriflo | Veriflo | Parker Veriflo Gas Line Manifold Valve Assembly, Pneumatic, Isolation | ||
19798 | Parker Veriflo | Veriflo | Parker Veriflo Gas Line Manifold Valve Assembly Pneumatic Isolation LAM | ||
19799 | Parker Veriflo | Veriflo | Parker Veriflo 43900267FX Gas Line Manifold Valve Assembly, Pneumatic | ||
19800 | Parker Veriflo | Parker Filter Assy. AIR 1/4 NPT | |||
19801 | Parker Veriflo | Parker Veriflo 94425FSFFs0APPLIEDEX, Isolation valve, 43700926EX | |||
19802 | Parker Veriflo | Parker 6A-PR6-EPRT-SS-2801, Valve Assembly | |||
19803 | Parker Veriflo | Parker UHP 2-242-SHG Viton O-Ring, Compound V0747, Brooks 880-5122-42 | |||
19804 | Parker Veriflo | 43500247 | Parker Veriflo 43500247 Flow Instrument Regulator HFR900B4PBX4VNPPM | ||
19805 | Parker Veriflo | 944LSFSFF | 42600099 | Parker Veriflo 944LSFSFF Diaphragm Valve99, Isolation,SI | |
19806 | Parker Veriflo | Type 944L | Parker Veriflo Type 944L Diaphragm Valve, Isolation,SI | ||
19807 | Parker Veriflo | 944LSFSFF | Parker Veriflo 944LSFSFF Diaphragm Valve99, Isolation | ||
19808 | Parker Veriflo | 944LSFSFF | Parker Veriflo 944LSFSFF Diaphragm Valve99, Isolation | ||
19809 | Parker Veriflo | 944LSFSMM | 42600832 | Parker Veriflo 944LSFSMM Diaphragm Isolation Valve32,SI | |
19810 | Parker Veriflo | 944LSFSMM | 42600832 | Parker Veriflo 944LSFSMM Diaphragm Isolation Valve32,SI | |
19811 | Parker Veriflo | 944LSFSMF* | 42600950 | Parker Veriflo 944LSFSMF* Diaphragm Isolation Valve50,SI | |
19812 | Parker Veriflo | SQ140E302PMSMFPM | Aviza | 085206-000 | Parker Veriflo SQ140E302PMSMFPM Regulator Valve, Aviza 085206-000 |
19813 | Parker Veriflo | 944AOPHPNCSFSMM | 42500422 | Parker Veriflo 944AOPHPNCSFSMM Diaphragm Valve22 | |
19814 | Parker Veriflo | 945Y2NC/NOFSFFMA | 45300319 | Parker Veriflo 945Y2NC/NOFSFFMA 3-Way Pneumatic Diaphragm Valve19 | |
19815 | Parker Veriflo | 945Y2NC/NOFSFFMA | 45300319 | Parker Veriflo 945Y2NC/NOFSFFMA 3-Way Pneumatic Diaphragm Valve19 | |
19816 | Parker Veriflo | 945Y2NC/NCFSFFMA | Applied Materials AMAT | 3870-02034 | Parker Veriflo 945Y2NC/NCFSFFMA 3-Way Pneumatic Diaphragm Valve |
19817 | Parker Veriflo | 945Y2NC/NCFSFFMA | Applied Materials AMAT | 3870-02034 | Parker Veriflo 945Y2NC/NCFSFFMA 3-Way Pneumatic Diaphragm Valve |
19818 | Parker Veriflo | 944A0PLPNCSFSFFEX | Applied Materials AMAT | 3870-01732 | Parker Veriflo 944A0PLPNCSFSFFEX Diaphragm Valve, 3870-01732 |
19819 | Parker Veriflo | 955MSFSMF4.69 | Applied Materials AMAT | 3820-02748 | Parker Veriflo 955MSFSMF4.69 Isolation Valve72, AMAT 3820-02748 |
19820 | Parker Veriflo | SQMICRO302PFSMM | Parker Veriflo | 944MSFSFF | Parker Veriflo SQMICRO302PFSMM + 944MSFSFF Valve Assy w/ Gauge |
19821 | Parker Veriflo | 944AOPLPNCSFSFF | 42600719 | Parker Veriflo 944AOPLPNCSFSFF Diaphragm Valve19 | |
19822 | Parker Veriflo | 944AOPLPNCSFSFF | 42600719 | Parker Veriflo 944AOPLPNCSFSFF Diaphragm Valve19 | |
19823 | Parker Veriflo | 944AOPLPNCSFSFF | 42600719 | Parker Veriflo 944AOPLPNCSFSFF Diaphragm Valve19 | |
19824 | Parker Watts | R374-01AG | Parker Watts R374-01AG Pneumatic Regulator, 0/25 Range, 300 PSIG | ||
19825 | Pass and Seymour | 20030722 | 1 PASS & SEYMOUR LEGRAND 20030722 FLOURESCENT STARTER | ||
19826 | Pasternack | PE 9300 | Pasternack PE 9300 RF Coaxial Adapter | ||
19827 | Pasternack | PE9084 | 2 Pasternack PE9084 RF Union HF, PE 9084 | ||
19828 | Pasternack | PE9086 | Pasternack RF Connector M-F 90 degree Elbow PE9086, | ||
19829 | Pasternack | PE9087 | BWC Male to RF Female Union Pastermack PE9087, | ||
19830 | Pasternack | PE9111 | 2 Pasternack PE9111 RF Union HF, PE 9111 | ||
19831 | Pasternack | PE9127 | Pasternack PE9127 RF Coaxial Adapter, PE 9127 | ||
19832 | PATLITE | LME-FBW | Patlite LME-FBW Signal Light, Tower | ||
19833 | PATLITE | SE-D | Patlite Signal Tower SE-D, Assembly, Light Tower (RYG) | ||
19834 | PATLITE | SLE-302EN | Patlite SLE-302EN Signal Tower Lamp, RYG, 24V AC/DC, SLE-302DC24V245 | ||
19835 | PATLITE | WM-302FBM EN | PATLITE, WM-302FBM EN, J98161, LIGHT TOWER | ||
19836 | PATLITE | SLE302EN | PATLITE SLE302EN tower lamps | ||
19837 | PCB | 14831 | STD Bit Output Board, 14831, PCB, | ||
19838 | PCB | 1914116 | PSW-3 94V-0 REV D, ASSY 01914116 PC BOARD | ||
19839 | PCB | 5026293 | Ethernet interface board3 BM29964R.D | ||
19840 | PCB | 42034602 | ZZ OEM02 PCB ASM CD, BD CRCT | ||
19841 | PCB | 500049532 | ASSY, PCB driver, drive PSB532, AGV, BOARD, ARM(DDRV-U) | ||
19842 | PCB | 64C2012 | PWB 64C2012 REV A.1 08344-5-A 641 | ||
19843 | PCB | 64C2015 | PWB 64C2015 REV A ASSY 06C2016 Allen intergrated assemblies | ||
19844 | PCB | 64C2015 | PWB 64C2015 REV A 08344-5-B 623 | ||
19845 | PCB | 64C2018 | PWB 64C2018 REV A ASSY 06C2019 08344-5-C 647 E100370 | ||
19846 | PCB | 64C2018 | PWB 64C2018 REV A ASSY 06C2019 000078100370 | ||
19847 | PCB | BM25060L01 | PCB SCARA INTERCONN VER3, BM25060L01 | ||
19848 | PCB | VMT8_A01800754 | VMT8-A0180 Aligner up/down transmission VMT8_A01800754 | ||
19849 | PCB | 000-6295-45 | 000-6295-45 MCC 94V Board | ||
19850 | PCB | 0001-00208-00 | Beam Alighment PCB Board 0001-00208-00 | ||
19851 | PCB | 0002-00034-00 | NEW PCB RS232 Eight Port with cables | ||
19852 | PCB | 016763 FB-B94V-0 | MISSING PULSE VME ELAS 016763 FB-B94V-0 PCB | ||
19853 | PCB | 017-0037810 | SCHM | 017-0037169 | Assy 017-0037810 PCB Board, SCHM 017-0037169, C-NCR-86, |
19854 | PCB | 0444-7 | MC 1v4, 0444-7, PCB Board Assembly. | ||
19855 | PCB | 1200-4209-001 | 1200-4209-001 PCB, T.C. Control Board00779, 04209001, | ||
19856 | PCB | 203-0057-01 | COGNEX VISION BOARD PCB VM10B 203-0057-01 ASSY IN-CIRCUIT TEST VPM-3434-1 | ||
19857 | PCB | 215-17670-00 | NEW 90004-589 Driver board with capac, 215-17670-00 Board | ||
19858 | PCB | 2596359-01 | Display PCB, some pins may be bent or damaged due to handling/storage | ||
19859 | PCB | 304556-1 | 2 sensor boards 304556-1 ML6295-45 | ||
19860 | PCB | 35-1007-01 | 486 MINI PCA board7-01 REV F | ||
19861 | PCB | 481-500005-2 | V81-300367-1 | 481-500005-2, V81-300367-1, IO Control, PCB. | |
19862 | PCB | 740122A-02 | 500122-02 | PCB BOARD 740122A-02,-02, 147598-12, 294892 | |
19863 | pcb | 759-0727-010E | A2501 shutter interlock control ASSY 759-0727-010E PCB board, SML176 | ||
19864 | PCB | 96005-1 | Assy 96005-1 PCB Rev Model number BOYT3BALT RS232, condition unknown, Arc mark on the back of the PCB | ||
19865 | PCB | A9164 | 40531 A9164 REV C BOARD | ||
19866 | PCB | AP1383 | AP1383 VER 2.8 PROD, 55-482-C, PC board | ||
19867 | PCB | AS01-9010 | AS01-9010, Board, Control, PCB. | ||
19868 | PCB | BM19261L01/C | BM19261L01/C | ||
19869 | PCB | CR-25-B | CARBON FILM RESISTOR CR-25-B 1/4 W OHM 600 PCS | ||
19870 | PCB | CRR804/5 | 3 New Motor control I/O boards in sealed bags, no chips | ||
19871 | PCB | CTEH6012 | NEW PCB Transducer for TC gauges L6430-001 CTEH6012, 20 0175-00002-00 | ||
19872 | PCB | E70796-001 | Fan and Heat Sink for Processor E70796-001 | ||
19873 | PCB | EPIA-V10000 | EPIA-V10000 PCB board, rev A, used | ||
19874 | PCB | HG12063A SEAD-0044 | HG12063A SEAD-0044 SPAN PCB BOARD AP-505A HH01044A ID | ||
19875 | PCB | HG1206A | HG12063A SECU-004A AP-560A PCB BOARD HH01037A T215-150 ID | ||
19876 | PCB | HS4B11-24 | PCB Interface Camera, HS4B11-24, 233435489 | ||
19877 | PCB | LCS-6624U/G | Printer port card with IDE cable and extra port connections | ||
19878 | PCB | MC-31053B | MC-31053B, PCB, Board | ||
19879 | PCB | P817755 | Component Side BOARD P817755, REV C | ||
19880 | PCB | PB21720 REV. B | BM35130 PB21720 REV. B EMC-1 94V0 3299 | ||
19881 | PCB | PBS50 | PBS50 S11-10 | ||
19882 | PCB | PC-92039A | JAB050 PCB PC-92039A | ||
19883 | PCB | PC23475 | PC23475 BOARD COMP SIDE REV B | ||
19884 | PCB | PCNAU504 | Pc PCNAU504 Adapter Isa | ||
19885 | PCB | SEIO-104 | IFC10, SE10-104 PCB, BOARD CONTROLLER | ||
19886 | PCB | SEIO-104 | IFCIO, SEIO-104 PCB, AP-50, HI07006A, PCB | ||
19887 | PCB | Unknown | USB Four Serial Converter Universal Serial Bus | ||
19888 | PCB | V08-500218-1 | V08-500218-1, SMD II MKIII-II Board, 4 Axis Step Motor Driver II, PCB. | ||
19889 | PCB | wonADAPT-1T1E1 | Network Board, PCB, wonADAPT-1T1E1, SBE | ||
19890 | PCB | wonADAPT-1T1E1 | Network Board, PCB, wonADAPT-1T1E1, SBE | ||
19891 | PCB | WSP-009 | 319021 | WSP-009 PCB, 7R 319021 | |
19892 | PCI | 94V-0 | TS-M-8V01C | PCI Gigabit Ethernet Card Topsearch 94V-0, TS-M-8V01C, Broad Cam | |
19893 | PCTFE SEAT VCV | 2LDT8C-BV | PCTFE SEAT VCV, 2LDT8C-BV, Valve, Diaphragm, Air, 1/2VCR.N.C. SK(0.39~0.58MP) | ||
19894 | PEPPER+FUCHS | 71851 | KFD2-SD-Ex1.48 | 2 PEPPERL+FUCHS, K-Series 71851 Solenoid Driver, KFD2-SD-Ex1.48 | |
19895 | Pepperl | KHD3-ISD | PEPPERL + FUCHS SOLENOID DRIVER KHD3-ISD/Ex 147 | ||
19896 | Pepperl + Fuchs | 052025 | NBN3-8GM30-E2 | Pepperl + Fuchs 052025 Type NBN3-8GM30-E2 Proximity Sensor | |
19897 | Pepperl Fuchs | KFD2-UT-EX1 | Pepperl Fuchs KFD2-UT-EX1 Universal Temp Measurement Amplifier | ||
19898 | Pepperl+Fuchs | 33802 KHD2-SR2-EX2.W | PEPPERL+FUCHS 33802 KHD2-SR2-EX2.W ISOLATED SWITCH AMPLIFIER | ||
19899 | Percision Sensors | P17W-174 | Percision Sensors P17W-174 Pressure Switch | ||
19900 | Performance technologies | 84-W8787B01C | Performance Technologies Inc PT MVME 340B PCB, 84-W8787B01C; | ||
19901 | Performance Technologies | PT-VME 141 | VME64 | Performance Technologies PT-VME 141, VME64, PCB | |
19902 | Perkin Elemer | 198072 | Perkin Elmer 198072 Furnace Cooling system Chiller | ||
19903 | Perkin Elemer | 1760-X | Perkin Elmer 1760-X FTIR w Microscope w Olympus SZ-PT & Melles Griot Laser 3222H | ||
19904 | Perkin Elmer | 859-0742-001 | NEW Perkin Elmer 859-0742-001 AFA PCB module, SVG ADSP-2100 module, 851-8240-006 | ||
19905 | Perkin Elmer | 16-025 | Perkin Elmer 16-025 Heat Exchanger, Deionizer | ||
19906 | Perkin Elmer | 690-0715-004 | Perkin Elmer, 690-0715-004, 491,PCB, 690-5026-003 | ||
19907 | Perkin Elmer | 690-8121 | PERKIN-ELMAR, 690-8121, LINEAR ARRAY CAMERA | ||
19908 | Perkin Elmer | 851-8220-01 | NEW Perkin Elmer Board, 851-8220-01 PCB assymbly | ||
19909 | Perkin Elmer | 851-8242-006 | NEW Perkin Elmer Board AS102, 851-8242-006 PCB assymbly | ||
19910 | Perkin Elmer | 851-8242-006 | Perkin-Elmer 851-8242-006 PCB Assy, A5102 Prealign Controller, | ||
19911 | Perkin Elmer | 851-9953-003 | NEW Perkin Elmer board MAGK 019 PCB assembly 851-9953-003 | ||
19912 | Perkin Elmer | 859-8090-004 A | NEW A5875 Cap gauge ASP assembly 859-8090-004 A PCB board for Perkin Elmer | ||
19913 | Perkin Elmer | 859-8147-001-A | 859-8147-001-A PCB board assy ZBX mother board, 859-0529-001 REV A | ||
19914 | Perkin Elmer | 879-8060-006A | Joystick board Assy 879-8060-006A and 879-7060-004 | ||
19915 | Perkin Elmer | AAS | Perkin Elmer Auto sampler for atomic absoption spectrometer | ||
19916 | Perlast | 22-173564-00 | 5 new 22-173564-00 O-ring, material white perlast, compound G71H | ||
19917 | Perlast | 2-279 | 10 NEW Perlast 22-291392-00 Oring, 2-279, G67P x 3.53 | ||
19918 | Perlast | 22-173564-00 | 16 NEW Perlast 22-173564-00 Oring, white perlast G71H, 53.57 x 3.53 | ||
19919 | Perlast | 22-291392-0 | 2 NEW Perlast 22-291392-00 Oring G67P Compound x 3.53 | ||
19920 | Perlast | AS568-006 | 8 NEW Perlast 22-120990-00 Oring AS568-006, 2-006, SC 1107 | ||
19921 | Perlast | G47P-012-SC | Novellus | 22-275478-00 | Perlast G47P-012-SC, Translucent (FFKM) O-Ring, Novellus 22-275478-00 |
19922 | Perlast | G47P-020-SC | Novellus | 22-275479-00 | Perlast G47P-020-SC, Translucent (FFKM) O-Ring, Novellus 22-275479-00 |
19923 | Perlast | G47P-226-SC | Novellus | 22-275500-00 | Perlast G47P-226-SC, Translucent (FFKM) O-Ring, Novellus 22-275500-00 |
19924 | Perlast | G67P | NEW Perlast Oring, Translucent perlast G67P x 3.53 | ||
19925 | Perlast | NA | Novellus | 22-173564-00 | Perlast Oring, Compound G71H (FFKM), White, Novellus 22-173564-00 |
19926 | Perlast | NA | Novellus Systems Inc. | 22-169081-00 | Perlast Oring, Compound G70H (FFKM), White, Novellus 22-169081-00 |
19927 | Perlast | G70H-101.32-1.78 | Novellus Systems Inc. | 22-294910-00 | Perlast G70H-101.32-1.78 Oring, AS568a-045, G70H FFKM 70 White |
19928 | Perlast | NA | Novellus Systems Inc. | 22-169081-00 | Perlast Oring, Compound G70H (FFKM), White, Novellus 22-169081-00 |
19929 | Perlast | NA | Novellus Systems Inc. | 22-172777-00 | Perlast Oring, Compound G71H (FFKM), White, Novellus 22-172777-00 |
19930 | Perlast Limited | G47P-012-SC | Novellus | 22-275478-00 | 4 Perlast G47P-012-SC, Translucent (FFKM) O-Ring, Novellus 22-275478-00 |
19931 | Pfeiffer | 109240-A | Horiba STEC SEC-7350 Mass Flow Controller, MFC, SEC-7350M, NH3 | ||
19932 | Pfeiffer | 109240-A | Pfeiffer 109240-A Leak Detector Vacuum Pump, Alcatel | ||
19933 | Pfeiffer | P0993 595 RJ45 | Pfeiffer Vacuum P0993 595 RJ45 Patch Cable, SFTP | ||
19934 | Pfeiffer | P0993 595 RJ45 | Pfeiffer Vacuum P0993 595 RJ45 Patch Cable, SFTP | ||
19935 | Pfeiffer | TCP 015 | NEW Pfeiffer TCP 015 Vacuum Turbopump Controller PM C01 598, OEM BOX | ||
19936 | Pfeiffer | TCP 380 | Pfeiffer TCP380 Power Supply | ||
19937 | Pfeiffer | TCP 600 | Pfeiffer TCP 600, Turbo Pump Controller, D-35614 Asslar, PM C01 320 | ||
19938 | Pfeiffer | TCW 003 | Pfeiffer TCW 003 PM C00 139 Water Cooling Monitor for Turbo Pump, | ||
19939 | Pfeiffer | TMH 064 | Pfeiffer turbo pump TMH 064 and controller TCP 015 DN63 ISO-k 1p Working | ||
19940 | Pfeiffer | TMH 071 | PFEIFFER VACCUM TMH 071 P DN 63 ISO-K, 3P, TC750-E74, PM C01 712, OEM BOX | ||
19941 | Pfeiffer | TMH 071P | VAT Valve 26336-OA11-AGU1 Turbo pump TMH 071P and Turbo Controller TC 750-E74 | ||
19942 | Pfeiffer | TMH 260 | Pfeiffer turbo pump TMH 260 + controller TCP 380 DN100 ISO-k 2p+ cable, Working | ||
19943 | Pfeiffer | TMH071 | Pfeiffer TMH071 Turbo Pump, new in OEM box | ||
19944 | Pfeiffer | TMH071 P | Novellus | R02-255483-00 | Pfeiffer TMH071 P, Assy, Turbo Pump, Pfeiffer, THH071, Novellus R02-255483-00 |
19945 | Pfeiffer | TPH 510 | Balzers | PM P01 199 B G545 | Pfeiffer Balzers TPH 510 Turbomolecular High Vacuum Pump |
19946 | Pfeiffer | TPH 520M | PFEIFFER Vacuum TPH 520M, Emmeliusstrasse 33, DN 160 ISO-K, 2P. | ||
19947 | Pfeiffer | TPS 100 | Pfeiffer TPS 100 Turbo Pump Power Supply Controller, PM 041 828-T | ||
19948 | Pfeiffer | Splitflow 290 | PM P04 293 | Pfeiffer Splitflow 290 Turbo Pump w/ TCntroller, PM P04 293 | |
19949 | Pfeiffer | TSH 071 | PM S05 050 00A | Pfeiffer TSH 071 Dry Turbo Vacuum Pump Station, PM S05 050 00A, DCU | |
19950 | Pfeiffer | PM C01 201 | Pfeiffer PM C01 201 Type TCP 270 Turbo Pump Power Supply Controller | ||
19951 | Pfeiffer Balzers | PK100-181-T | Pfeiffer Balzers PK100-181-T, Filter Cartidge | ||
19952 | Pfeiffer Balzers | PM P01 230 H1091 | Pfeiffer Balzers TPH 330 Turbo Pump, PM P01 230 H1091 w/ Conflat Flange, | ||
19953 | Pfeiffer Balzers | TPU 170 | PM P01 221A G1346 | Pfeiffer Balzers TPU 170 Turbomolecular Vacuum Pump, PM P01 221A G1346 | |
19954 | Pfeiffer Vacuum | TCM 1601 | Pfeiffer TCM 1601 Turbo Molecular Pump Controller, PM C01 675 BA | ||
19955 | Pfeiffer Vacuum | TCP 600 | PM C01 320 B | Pfeiffer TCP 600, Turbo Pump Controller, D-35614 Asslar, PM C01 320 B | |
19956 | Pfeiffer Vacuum | TCP 600 | PM C01 320 B | Pfeiffer TCP 600, Turbo Pump Controller, D-35614 Asslar, PM C01 320 B | |
19957 | Pfeiffer Vacuum | TCP 600 | PM C01 320 B | Pfeiffer TCP 600, Turbo Pump Controller, D-35614 Asslar, PM C01 320 B | |
19958 | Pfeiffer Vacuum | TPS 300 | Pfeiffer TPS 300 Power Supply, PM 041 814-T | ||
19959 | Pfeiffer Vacuum | DUO 10 M | Pfeiffer DUO 10 M Vacuum Pump, PK D62 712 D, RS1053 | ||
19960 | Pfeiffer Vacuum | DUO 20 MC | Leroy Somer | 501856-2005 | Pfeiffer Vacuum DUO 20 MC Vacuum Pump Assy, PK D63 732, RS1051 |
19961 | Pfeiffer Vacuum | ZF0 025 | PK Z70 006 | Pfeiffer ZF0 025 Foreline Vacuum Filter, Absorber Trap, PK Z70 006 | |
19962 | Phase Aligned | 27820039 | Phase Aligned, Rotary, Joint, 27820039 | ||
19963 | Phase Aligned | 44060013 | Phase Aligned, Rotary Joint, 44060013 | ||
19964 | Phase Aligned Rotary | 44060027 | Phase Aligned Rotary, Joint, 44060027 | ||
19965 | Phasetronics | 999800 | 4 Phasetronics 999800 | ||
19966 | Phasetronics | 1038A | Phasetronics 1038A, AMAT 0015-09041, Lamp Driver, Phase Angle Controller | ||
19967 | Phasetronics | 1038A-X1 | Phasetronics 1038A-X1, AMAT 0015-09091, Phase Angle Lamp Driver | ||
19968 | Phasetronics | 1Z1-12/2425-X16 | 2 Phasetronics 1Z1-12/2425-X16 SCR power control | ||
19969 | Phasetronics | P1038 | Phasetronics P1038, AMAT 0015-09091, Phase Angle Controller, Lamp Driver | ||
19970 | Phasetronics | P1038 | Phasetronics P1038, AMAT 0190-09009, Phase Angle Controller | ||
19971 | Phasetronics | P1038 | Phasetronics P1038, AMAT 0190-09090, Phase Angle Controller | ||
19972 | Phasetronics | P1038-B | Phasetronics P1038-B #4639 AMAT 0190-09160, Lamp Driver | ||
19973 | Phasetronics | P1038-B | Phasetronics P1038-B, AMAT 0190-09160, Lamp Driver | ||
19974 | Phasetronics | P1038A | Phasetronics P1038A #5035, AMAT 0015-09091, Phase Angle Lamp Driver | ||
19975 | Phasetronics | P1038A | Phasetronics P1038A, AMAT 0015-09091, Phase Angle Lamp Driver | ||
19976 | Phasetronics | P1038A | Phasetronics P1038A, AMAT 0015-09091, Phase Angle Lamp Driver | ||
19977 | Phasetronics | P1038A | Phasetronics P1038A #6873 AMAT 00015-09091, Phase Angle Lamp Driver | ||
19978 | Phasetronics | P1038A | AMAT | 0015-09091 | Phasetronics P1038A, AMAT 0015-09091, Phase Angle Lamp Driver |
19979 | Phasetronics | P1098 | Phasetronics P1098 #1410, AMAT 0015-09091, Phase Angle Lamp Driver | ||
19980 | Phasetronics | P1125 | Phasetronics P1125 #7128 AMAT 0190-09378 Lamp Driver | ||
19981 | Phasetronics | P1210 | Phasetronics P1210, AMAT 0190-09573, Lamp Driver | ||
19982 | PHD | 0180502-3-01 | PHD, 4475-19 ParentD30, 0180502-3-01 Actuator, Mini Rot | ||
19983 | PHD | 19082-2-5002 | Parallel gripper, looks new | ||
19984 | PHD | AN12-6 | PHD AN12-6 Magnetic Switch Assembly Cable | ||
19985 | PHD | CTS1US0X1 | Air cylinder 1 1/4-I | ||
19986 | PHD | PHD Inc,-00 Rotary Actuator | |||
19987 | philips | 7023 | Philips Porjection Lamp Type 7023 FCR A1/215 12V 100W GY6.35 | ||
19988 | Phillips Asembleon | PA130800 | Phillips Asembleon PA130800 Eclipse Fine Pitch Placement system 2 heads 6500 /hr – | ||
19989 | PHOENIX CONTACT | 5521241 | NEW Pheonix Contact 5521241 National Instruments FLKM-50 | ||
19990 | PHOENIX CONTACT | CM 90-PS-110AC/2x150DC/1 | Phoenix Contact CM 90-PS-110AC/2x150DC/1, DIN Rail Power Supply. | ||
19991 | PHOENIX CONTACT | CM 90-PS-120AC/2x15DC/1 | Phoenix Contact CM 90-PS-120AC/2x15DC/1, Power Supply, 120VAC,Z. | ||
19992 | PHOENIX CONTACT | CM 90-PS-120AC/2x15DC/1 | Phoenix Contact CM 90-PS-120AC/2x15DC/1, Power Supply, 120VAC,Z. | ||
19993 | PHOENIX CONTACT | CM 90-PS-120AC/2x15DC/1 | Phoenix Contact CM 90-PS-120AC/2x15DC/1, Power Supply, 120VAC,Z. | ||
19994 | PHOENIX CONTACT | CM125-PS-120-230-230AC-23DC/5/F | PHOENIX CONTACT CM125-PS-120-230-230AC-24DC/5/F HEPA FILTER POWER SUPPLY | ||
19995 | PHOENIX CONTACT | D-OTTA 25 | PHOENIX CONTACT D-OTTA 25, CONNECTOR TERMINAL, LOT OF 10 | ||
19996 | PHOENIX CONTACT | EMG 75NZG/G24/2 | Din rail mount AC/DC converter. 27VAC in, 24VDC out | ||
19997 | PHOENIX CONTACT | EMG75-NZG/G24/2 | Phoenix contact EMG75-NZG/G24/2, DC-DC converter 24V-15VDC 2A for Novellus 964485 | ||
19998 | PHOENIX CONTACT | MCR-S-10-50-UI-DCI | AMAT | 1350-00034 | Phoenix Contact MCR-S-10-50-UI-DCI Current Measuring Transducer, AMAT 1350-00034 |
19999 | PHOENIX CONTACT | MCR-T-UI | PHOENIX CONTACT MCR-T-UI, Temperature measuring transducer, 2814090, LOT OF 4 | ||
20000 | PHOENIX CONTACT | MCR-T/UI-E | 2 PHOENIX CONTACT MCR-T/UI-E, MODULE, TEMPERATURE MEASURING TRANSDUCER | ||
20001 | PHOENIX CONTACT | MCR-TE/J/K/U-E | Phoenix Contact MCR-TE/J/K/U-E Thermocouple Measuring Transducer | ||
20002 | PHOENIX CONTACT | MCR*S-10-50-UI-DCI | PHOENIX CONTACT MCR-S-10-50-UI-DCI, CURRENT MEASURING TRANSDUCER, 28 14 72 8 | ||
20003 | PHOENIX CONTACT | QUINT-PS-100-240AC/24DC | PHOENIX CONTACT QUINT-PS-100-240AC/24DC/5 POWER SUPPLY 24V-DC 5A AMP | ||
20004 | Phoenix Contact | TRIO-PS/3AC/24DC/10 | Phoenix Contact TRIO-PS/3AC/24DC/10 Power Supply | ||
20005 | PHOENIX CONTACT | Unknown | 10 phoenix contact mounting block-16 | ||
20006 | Phoenix Contact | 2891673 | Phoenix Contact 2891673 FL Switch SFN 8GT | ||
20007 | Phoenix Contact | 2903334 | 7 Phoenix Contact 2903334 General Purpose Relay Module, 2900931, 2961192 | ||
20008 | Phoenix Contact | TRIO-DIODE/12-24DC/2X10/1X20 | Phoenix Contact TRIO-DIODE/12-24DC/2X10/1X20 Redundancy Module | ||
20009 | Phoenix Contact | QUINT-PS/1AC/24DC/5 | Phoenix Contact QUINT-PS/1AC/24DC/5 Power Supply | ||
20010 | Phoenix Contact | QUINT-PS/1AC/24DC/5 | Phoenix Contact QUINT-PS/1AC/24DC/5 Power Supply | ||
20011 | Phoenix x-ray systems | FRIE0001-10105 | Phoenix x-ray systems FRIE0001-10105, Friedemann 180KV x-ray generator | ||
20012 | Photon Dynamics | SV7550 | Photon Dynamics SV7550 High Speed Color AOI for Printed Wiring Assemblies | ||
20013 | Photonics Inc | 0T301SL | New Wave Research | 10-3951AC | Photonics Inc 0T301SL REV B Position Sensing Amplifier, Single Axis w/ New Wave |
20014 | Physical Acoustics | 4702F | Head/Disk Interference Detector 4702F | ||
20015 | Physik Instrumente | PC-C-850 | Physik Instrumente GMBH 1999, PC-C-850, PCB Board, F206B0002 | ||
20016 | Piab Vacuum | 06-01031-RP | Piab Vacuum 06-01031-RP, Eductor Assy, 20 Gal (9600). | ||
20017 | PIC Design | A11-8D | PIC Design A11-8D Predrilled Shafting, I19-12,-00 | ||
20018 | Picolight | PL-1LD-00-S40-C7 | Lot of 10G, 850nm, TOSA | ||
20019 | Piezo | E-610.K001 | Piezo Control E-610.K001, Zygo | ||
20020 | Piezo | E810.11 | Piezo Calibration Module E810.11 Physik Instrument | ||
20021 | Piezo | KMS300 | 260-00042-02 | 402-00240-01-A-PP | Piezo Instrument Company KMS300 Assembly, 260-00042-02240-01-A-PP |
20022 | Pike Technologies | AutoPRO | Pike AutoPRO Controller, 85-265VAC, 47-63Hz, 50W | ||
20023 | PILLAR | PNSP-M12B | PILLAR PNSP-M12B PVC, 12MM OD, PILLAR MANUAL SHUTOFF VALVE | ||
20024 | PILLAR | S-RU19-12B | Pillar S-RU19-12B, PFA, Union, Reducing, 19mm, 0DX12MM 0 | ||
20025 | PILLAR | TEL 06-305-1941 | PILAFLON | S-UT-12B | PILLAR TEL 06-305-1941 PILAFLON S-UT-12B |
20026 | Pillar Fitting | CS-6A | 5 Pillar Fitting CS-6A, CS-W2A, Cap Sleeve, FU713881, U713881-808-1 | ||
20027 | Pillar Fitting | RUE19-12A | Pillar Fitting RUE19-12A, PTFE, Elbow, Reducing, Union, 19x12M | ||
20028 | Pillar Fitting | S-UE-12B | Pillar Fitting S-UE-12B, PFA, Elbow, Union, 12mm, O.D. | ||
20029 | Pilz | PNOZs4 | Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c | ||
20030 | Pilz | PNOZs4 | Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c | ||
20031 | Pilz | PNOZs4 | Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c | ||
20032 | Pilz | S4 | PILZ PN0Z S4 751104 Two Operation Safety Relay 24VD | ||
20033 | Pilz | S5 | PILZ PN0Z S5 750105 Two Operation Safety Relay 24VD | ||
20034 | Pilz | PNOZs4 | Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c | ||
20035 | Pinnacle Systems | 161310 | Pinnacle Systems 161310 Top Gun Main PCB Board, FAB 161310-PWB | ||
20036 | Pinnacle Systems | 161310 | Pinnacle Systems 161310 Top Gun Main PCB Board, FAB 161310-PWB | ||
20037 | Pinnacle Systems | 161310 | Pinnacle Systems 161310 Top Gun Main PCB Board, FAB 161310-PWB | ||
20038 | Pinnacle Systems | 161310 | Pinnacle Systems 161310 Top Gun Main PCB Board, FAB 161310-PWB | ||
20039 | Pinnacle Systems | 172320 | Pinnacle Systems IAGO Assy 172320 PCB Board, FAB 172320-PWB | ||
20040 | Pioneer Magnetics | PM2972A-2-3 | Pioneer Magnetics PM2972A-2-3 Power Supply, | ||
20041 | Pioneer Materials | Pioneer Materials ZnO:Al203(2%wt) Round Target 99.95% Purity 8″ Diameter | |||
20042 | Pioneer Materials | Pioneer Materials NiV93/7wt% Round Target, 99.95% Purity, 8″ Diameter | |||
20043 | Pioneer Materials | Protech Materials Cu Round Target 99.99% Purity, 8″ Diameter, .25″ Thick | |||
20044 | Pioneer Materials | Pioneer Materials Al Round Target, 99.99% Purity, 8″ Diameter, 0.375″ Thick | |||
20045 | Pittman | 14202D764 | 6400-0025-01 | 4 Pittman 14202D764, 6400-0025-01 Rev.F, 19.1 VDC, Servo Motor. | |
20046 | Pittman | 14202D764 | 6400-0025-01 | Pittman Lo-Cog 14202D764, 6400-0025-01, 19.1 VDCR, HEDS-5540 A06 | |
20047 | Pittman | 14202D764 | 6400-0025-01 | Pittman Lo-Cog 14202D764, 6400-0025-01, 19.1 VDCR, HEDS-5540 A06 | |
20048 | Pittman | 14202D764-R1 | 2 Pittman 14202D764-R1, 19.1 VDC,R, Servo Motor. | ||
20049 | Pittman | 14202D764-R1 | Pittman 14202D764-R1, 19.1 VDV,R, Stepping Motor, | ||
20050 | Pittman | 14202D764-R2 | 9700-4317-01 | Pittman 14202D764-R2, 9700-4317-01 Rev.A, 19.1 VDC, Stepping Motor. | |
20051 | Pittman | 14232A127-R3 | Pittman 14232A127-R3 Motor, Asyst 9700-9102-01, 19.1 VDC,R | ||
20052 | Pittman | 14232A127-R3 | Pittman 14232A127-R3 Motor, Asyst 9700-9102-01, 19.1 VDC,R | ||
20053 | Pittman | 14232A127-R3 | Pittman 14232A127-R3 Motor, Asyst 9700-9102-01, 19.1 VDC,R | ||
20054 | Pittman | 6400-0018-01 | Pittman 6400-0018-01 Servomotor, | ||
20055 | Pittman | 6400-0018-01 | Pittman 6400-0018-01 Servomotor, | ||
20056 | Pittman | 6400-0018-01 | Pittman 6400-0018-01 Servomotor, | ||
20057 | Pittman | 9434K350 | 6400-0018-01 | Pittman 9434K350 Servo Motor, 6400-0018-01, 15.1 VDC, | |
20058 | Pittman | 6400-0018-01 | Pittman 6400-0018-01 Servomotor, | ||
20059 | Pittman | 6400-0051-01 | Pittman 6400-0051-01 Servomotor, | ||
20060 | Pittman | 9234E31-R3 | 9700-6191-01 | 2 Pittman 9234E31-R3, 9700-6191-01 Rev.E, Ametek, 24VDC, Stepping Motor. | |
20061 | Pittman | 9234E318-R1 | 9700-6191-01 | 2 Pittman 9234E318-R1, 9700-6191-01 Rev.A, Motor, 24VDC. | |
20062 | Pittman | 9234E318-R2 | Asyst | 9700-6191-01 | Pittman 9234E318-R2 Mini Motor, Asyst 9700-6191-01, 24 VDC |
20063 | Pittman | 9234E318-R2 | Asyst | 9700-6191-01 | Pittman 9234E318-R2 Mini Motor, Asyst 9700-6191-01, 24 VDC |
20064 | Pittman | 9234E318-R2 | Asyst | 9700-6191-01 | Pittman 9234E318-R2 Mini Motor, Asyst 9700-6191-01, 24 VDC |
20065 | Pittman | 9234E318-R2 | 9700-6191-01 | Pittman 9234E318-R2, 9700-6191-01, Motor, 24VDC. | |
20066 | Pittman | 9234E318-R2 | 9700-6191-01 | Pittman 9234E318-R2, 9700-6191-01, Motor, 24VDC. | |
20067 | Pittman | 9234E318-R2 | Pittman 9234E318-R2, Motor, 9700-6191-01, 24 VDC, ID | ||
20068 | Pittman | 9234E318-R2 | 9700-6191-01 | 5 Pittman 9234E318-R2, 9700-6191-01 Rev.D, D24 VDC, Stepper Motor. | |
20069 | Pittman | 9234E318-R3 | 9700-6191-01 | Pittman 9234E318-R3, 9700-6191-01 Rev.E, 24 VDC, Servo Motor. | |
20070 | Pittman | 9234E318-R3 | 9700-6191-01 | Pittman 9234E318-R3, 9700-6191-01 Rev.E, 24 VDC, Servo Motor. | |
20071 | Pittman | 9234E318-R4 | 9700-6191-01 | 2 Pittman 9234E318-R4, 9700-6191-01 Rev.F, Motor, 24VDC. | |
20072 | Pittman | 9234E318-R4 | 9700-6191-01 | 5 Pittman 9234E318-R4, 9700-6191-01 Rev.F, Ametek, 24VDC, Stepping Motor. | |
20073 | Pittman | 9234E318-R4 | Pittman 9234E318-R4 Servomotor, 9700-6191-01 | ||
20074 | Pittman | 9234E318-R4 | Pittman 9234E318-R4 Servomotor, 9700-6191-01 | ||
20075 | Pittman | 9234E318-R4 | Pittman 9234E318-R4 Servomotor, 9700-6191-01 | ||
20076 | Pittman | 9236E466 | 9700-8861-01 | 2 Pittman 9236E466, 9700-8861-01 Rev.A, Motor, 24VDC,. | |
20077 | Pittman | 9236E466 | Asyst | Pittman 9236E466 Asyst Loader Motor, 24 VDC,R, | |
20078 | Pittman | 9236E867-R3 | AMETEK 9236E867-R3 PITTMAN SERVO MOTOR | ||
20079 | Pittman | 9433K120-R1 | 676-6122 | Pittman Lo-Cog, 9433K120-R1, 676-6122, 24 VDC,R | |
20080 | Pittman | 9433K120-R1 | 676-6122 | Pittman Lo-Cog, 9433K120-R1, 676-6122, 24 VDC,R | |
20081 | Pittman | 9433K120-R1 | 676-6122 | Pittman Lo-Cog, 9433K120-R1, 676-6122, 24 VDC,R | |
20082 | Pittman | 9433K120-R1 | 676-6122 | Pittman Lo-Cog, 9433K120-R1, 676-6122, 24 VDC,R | |
20083 | Pittman | 9434K350 | Pittman 9434K350 Servo Motor, 6400-0018-01 | ||
20084 | Pittman | 9434K350 | Pittman 9434K350 Servomotor, 6400-0018-01 | ||
20085 | Pittman | 9434K350-R1 | 9700-6682-01 | Pittman 9434K350-R1, 9700-6682-01 Rev.003,BIZ AOB001 WK0808, Servo Motor. | |
20086 | Pittman | 9700-6191-01 | Pittman 9700-6191-01 Servomotor, | ||
20087 | Pittman | 9700-6191-01 | Pittman 9700-6191-01 Servomotor, | ||
20088 | Pittman | 9700-8053-01 | 2 Pittman 9700-8053-01 Rev.01, Motor. | ||
20089 | Pittman | GM8212S242 | Pittman GM8212S242 Bearing Motor, 24V 10:1 Ratio | ||
20090 | Pittman | GM8712-31 | Pittman GM8712-31 Motor Tune 0996-1003 L2-C02D06 | ||
20091 | Pittman | GM8712J110-R2 | Pittman GM8712J110-R2, Lo Cog Gear Motor, 9700-6680-01, 24 VDC, ID | ||
20092 | Pittman | GM8714D610 | Pittman GM8714D610 Bearing Motor, 24V 19.5:1 Ratio | ||
20093 | Pittman | GM8724H105-R1 | (Set of two) Pittman GM8724H105-R1, 12 VDC 96:1 Ratio. | ||
20094 | Pittman | GM87256414 | Pittman GM87256414 Motor, 24 VDC, 96:1 Ratio, Ametek, Asyst 9700-3565-01 | ||
20095 | Pittman | GM9213S316 | Pittman GM9213S316 Motor, 19.1 VDC | ||
20096 | Pittman | GM9413-2 | Pittman GM9413-2 12 VDC 19:7:1 Ratio | ||
20097 | Pittman | GM9413-2 | Pittman GM9413-2 12 VDC 19:7:1 Ratio | ||
20098 | Pittman | GM9413-3 | Pittman GM9413-3, 12VDC, 65.5:1 Ratio, Motor, Bearing Engr. | ||
20099 | Pittman | GM9413-3 | Pittman GM9413-3, 12VDC, 65.5:1 Ratio, Motor, Bearing Engr. | ||
20100 | Pittman | GM9413-3 | Pittman GM9413-3, 12VDC, 65.5:1 Ratio, Motor, Bearing Engr. | ||
20101 | Pittman | GM9413-3 | Pittman GM9413-3, 12VDC, 65.5:1 Ratio, Motor, Bearing Engr. | ||
20102 | Pittman | GM9413-3 | Pittman GM9413-3 Motor, 12/24 VDC, A676-000504-003, Aspect Systems | ||
20103 | Pittman | GM9413C292 | Pittman GM9413C292 Motor, 24 VDC 19:7:1 Ratio | ||
20104 | Pittman | GM9413C292 | Pittman GM9413C292 Motor, 24 VDC 19:7:1 Ratio | ||
20105 | Pittman | GM9413C292 | Pittman GM9413C292 Motor, 24 VDC 19:7:1 Ratio | ||
20106 | Pittman | GM9413C292 | Pittman GM9413C292 Motor, 24 VDC 19:7:1 Ratio | ||
20107 | Pittman | GM9413C316 | Pittman Bearing Energy GM9413C316, Motor, 15 VDC, 15.1V, 5.9:1 Ratio. | ||
20108 | Pittman | GM9413C356 | Pittman GM9413C356, 15 DVC, 19, 7:1 Ratio, Motor. | ||
20109 | Pittman | GM9413C356 | Pittman GM9413C356, 15 DVC, 19, 7:1 Ratio, Motor. | ||
20110 | Pittman | GM9413C506 | Pittman GM9413C506, Bearing Engr, Motor, 24V, 5.9:1 Ratio. | ||
20111 | Pittman | GM9413C506 | Pittman GM9413C506, Bearing Engr, Motor, 24V, 5.9:1 Ratio. | ||
20112 | Pittman | GM9413C506 | Pittman GM9413C506, Bearing Engr, Motor, 24V, 5.9:1 Ratio. | ||
20113 | Pittman | GM9413C506 | Pittman GM9413C506, Bearing Engr, Motor, 24V, 5.9:1 Ratio. | ||
20114 | Pittman | GM9413C506 | Pittman GM9413C506, Bearing Engr, Motor, 24V, 5.9:1 Ratio. | ||
20115 | Pittman | GM9413F3 | Pittman Gear Motor GM9413F3 | ||
20116 | Pittman | GM9413F765 | Pittman Motor E55000013 GM9413F765 | ||
20117 | Pittman | N2342A211 | 90325-180 | 7500-5011-01 | Pittman N2342A211, 90325-180, 7500-5011-01 |
20118 | Pittman | N2342A212 | ASSY, MOTOR, RADIAL DRIVE, LOWER | ||
20119 | Pittman | N2342A212 | PITTMAN N2342A212 ASSY, MOTOR, RADIAL DRIVE, LOWER,085, H-17-05-1 | ||
20120 | Pittman | N2342A212 | 90325-180 | Pittman N2342A212 Motor, Lower, 90325-180 | |
20121 | Pittman | N2342A212 | 90325-180 | Pittman N2342A212 Motor, Lower, 90325-180 | |
20122 | Pittman | N2342A212 | 90325-180 | Pittman N2342A212 Motor, Lower, 90325-180 | |
20123 | Pittman | N2342A212 | 641 174-2R | Pittman N2342A212 Motor, Lower, 641 174-2R | |
20124 | Pittman | 14202D764-R2 | Asyst | 9700-4317-01 | Pittman 14202D764-R2 Servo Motor, 19.1VDC, Ametek, Asyst 9700-4317-01 |
20125 | Pittman | 9234E982 | Pittman 9234E982 Servo Motor, 15.1VDC, Ametek | ||
20126 | Pittman | 14202D764-R1 | 2 Pittman 14202D764-R1, 19.1 VDC,R, Servo Motor | ||
20127 | Pittman | 9234E318-R4 | Asyst Technologies | 9700-6191-01 | Pittman 9234E318-R4 Servo Motor, Asyst 9700-6191-01, 24VDC, Ametek |
20128 | Pittman | 9234E318-R4 | Asyst Technologies | 9700-6191-01 | Pittman 9234E318-R4 Servo Motor, Asyst 9700-6191-01, 24VDC, Ametek |
20129 | Pittman | 9234E318-R4 | Asyst Technologies | 9700-6191-01 | Pittman 9234E318-R4 Servo Motor, Asyst 9700-6191-01, 24VDC, Ametek |
20130 | Pittman | 9234E318-R4 | Asyst Technologies | 9700-6191-01 | Pittman 9234E318-R4 Servo Motor, Asyst 9700-6191-01, 24VDC, Ametek |
20131 | Pittman | 9234E318-R3 | Asyst Technologies | 9700-6191-01 | Pittman 9234E318-R3 Servo Motor, Asyst 9700-6191-01, 24VDC, Ametek |
20132 | Pittman | 9234K350-R3 | Asyst Technologies | 6400-0051-01 | Pittman 9234K350-R3 Servo Motor, Asyst 6400-0051-01, 15.1VDC, Ametek |
20133 | Pittman | 9234K350-R3 | Asyst Technologies | 6400-0051-01 | Pittman 9234K350-R3 Servo Motor, Asyst 6400-0051-01, 15.1VDC, Ametek |
20134 | Pittman | 9234F003 | Asyst Technologies | Pittman 9234F003 Servo Motor, 15.1VDC, Asyst, Ametek | |
20135 | Pittman | 14203D203-R1 | GSI Microe Systems | H20A4E4B1U2500Y194 | Pittman 14203D203-R1 DC Motor w/ GSI H20A4E4B1U2500Y194 Encoder |
20136 | Pittman | N2342A212 | Asyst Technologies | 90325-180 | Pittman N2342A212 DC Motor w/ Asyst 90325-180 |
20137 | Pittman | N2342A181 | Asyst Technologies | 90325-124 | Pittman N2342A181 DC Motor w/ Asyst 90325-124 |
20138 | Pittman | N2342A114-R1 | Asyst Technologies | 90325-124 | Pittman N2342A114-R1 DC Motor w/ Asyst 90325-124 |
20139 | Pittman | N2342A114-R1 | Asyst Technologies | 90325-124 | Pittman N2342A114-R1 DC Motor w/ Asyst 90325-124 |
20140 | Pittman | N2342A114-R1 | Asyst Technologies | 90325-124 | Pittman N2342A114-R1 DC Motor w/ Asyst 90325-124 |
20141 | Pixel Vision | BV13CAAFLMV01FGFBNTX | Pixel Vision BV13CAAFLMV01FGFBNTX | ||
20142 | Planar | Unknown | Morse | J97MJ6266 | Carrier Drive assy with Morse J97MJ6266 1.06 HP motor |
20143 | Plantronics | M12 | Plantronics M12 Vista Telephone Headset Amplifier | ||
20144 | Plasma System | 75833200W | Plasma System Controller Board, PCB, 75833200W | ||
20145 | Plasmatech | 02F4S50 | Plasmatech 02F4S50 PTFE Fitting, 11F44, 13S50F4 | ||
20146 | Plasmatherm | SL-730 | PECVD equipment, SL730 CVD processing tool | ||
20147 | Plastomatic | BSD050T-NC-TF | PLAST-O-MATIC VALVES, INC, BSD050T-NC-TF, 1/2″ SHUT-OFF VALVE.(SET OF TWO) | ||
20148 | Plastomatic | PR025B-PV | Pressure regulator valve, liquid | ||
20149 | Plastomatic | PR025B-PV | Lot of 2 DI water regulators, look new | ||
20150 | Plastomatic | PR050B-PP | PLAST-O-MATIC PR050B-PP 1/2″ PP PRESSURE REGULATOR, Ryan Herco 5401-005 | ||
20151 | Plast-O-Matic | PRHM200EPS-CP | Plast-O-Matic PRHM200EPS-CP Pressure Regulator Valve 2″, 5-120 PSI, PRHM, RS1175 | ||
20152 | Plast-O-Matic | EASMT6V22W20 | Plast-O-Matic EASMT6V22W20 True-Blue Solenoid Valve, 1″, 120V, 20W | ||
20153 | Plast-O-Matic | PRHM200EPS-CP | Plast-O-Matic PRHM200EPS-CP Pressure Regulator Valve, 5-120 PSI | ||
20154 | Plast-O-Matic | RVT200VS-CP | Plast-O-Matic RVT200VS-CP Relief Valve Assy, LHC218T-4 Mid Range Spring | ||
20155 | Plating | Cell Plating Tool | |||
20156 | PMC | VMT-5525 | 853-0661 | VMT-5525 PY12″ PMC Cathode Housing Assy, 853-0661, | |
20157 | PMC | VMT-5525 | 853-0661 | VMT-5525 PY12″ PMC Cathode Housing Assy, 853-0661, | |
20158 | PMC | VMT-5525 | 853-0661 | VMT-5525 PY12″ PMC Cathode Housing Assy, 853-0661 | |
20159 | PMC | VMT-5525 | 853-0661 | VMT-5525 PY12″ PMC Cathode Housing Assy, 853-0661 | |
20160 | PMC | VMT-5525 | 853-0661 | VMT-5525 PY12″ PMC Cathode Housing Assy, 853-0661, | |
20161 | PMC | VMT-5525 | 853-0661 | VMT-5525 PY12″ PMC Cathode Housing Assy, 853-0661 | |
20162 | PMC | VMT-5525 | 853-0661 | VMT-5525 PY12″ PMC Cathode Housing Assy, 853-0661 | |
20163 | PMC | VMT-5525 | 853-0661 | VMT-5525 PY12″ PMC Cathode Housing Assy, 853-0661 | |
20164 | PMC | VMT-5525 | 853-0661 | VMT-5525 PY12″ PMC Cathode Housing Assy, 853-0661 | |
20165 | PMC | VMT-5525 | 853-0661 | VMT-5525 PY12″ PMC Cathode Housing Assy, 853-0661 | |
20166 | PMS | VMH-MF-80-AL-(3) | PMS VMH-MF-80-AL-(3) VACCUM EXHAUST PORT W/ OPTICAL VIEW 115VACz 3AMPS | ||
20167 | Pneumatics | AK06E00002LSTD | F20BBD00D000061 | Pneumatics AK06E00002LSTD Dual 3 way 2 pin F20BBD00D000061 | |
20168 | Pneutronics | 990-004350-083 | Pneutronics 990-004350-083,691-0095, PCB, Pneumatic Bus Bar | ||
20169 | Pneutronics | LDI 691-0035 | SSI | 05-00781-01 | Pneumatic PCB Some pins or components may be bent due to handling and storage |
20170 | Pneutronics | LDI 691-0035 | SSI | 05-00782-01 | Pneumatic PCB Some pins or components may be bent due to handling and storage |
20171 | PNY | 4MB60NS-C9 | 12 PNY 4×9-60 4MB60NS-C9, RAM, ID | ||
20172 | POC | 5248-801 | POC 5248-801 30 Degree Stereo Endoscope, LAM, 79-24404-002 | ||
20173 | POCO | S55BPZ3II | POCO S55BPZ3II UNOCAL GRAPHITE MITSUBISHI FDH 23597 | ||
20174 | POCO | S55BPZ3II | POCO S55BPZ3II UNOCAL GRAPHITE MITSUBISHI FDH 23597 | ||
20175 | POCO | S55BPZ3II | POCO S55BPZ3II UNOCAL GRAPHITE MITSUBISHI FDH 23597 | ||
20176 | Polaris | PS-02A | Polaris Industries PS-02A 12V DC Power Supply 2.1mm Plug – UL Listed | ||
20177 | Poly Hi Solidur | NA | Poly Hi Solidur Rinse Tank, 8″ Wafer, | ||
20178 | Poly Hi Solidur | NA | Poly Hi Solidur Rinse Tank, 8″ Wafer, | ||
20179 | Polycom | 2202-21060-210 | Polycom 2202-21060-210 V.35/Serial Interface Module for HDX Conference System | ||
20180 | Polyscience | 1160A | Polyscience 1160A Circulating Water Batch, Chiller | ||
20181 | Polytron Devices | P33-1-0VPLB | Polytron Devices P33-1-0VPLB and P37-0VPLB-07, Regulated Power Supply | ||
20182 | Pomona | 3230 | PomonaAluminum Box, Die Cast, Cover and Box, 2.25″x1.13″ With BNC (F-F), ,,,,,, | ||
20183 | Pomona Electronics | 2BA-36 | Pomona Electronics, 2BA-36 Cable Assembly | ||
20184 | Potter & Brumfield | KHAU-11D11-24 | Potter & Brumfield KHAU-11D11-24, 44-0004-005, Relay, 24V DC | ||
20185 | Potter & Brumfield | W91-X113-10 | Potter & Brumfield W91-X113-10 Circuit Breaker, Gasonics 73185-14 | ||
20186 | Potter & Brumfield | W91-X113-20 | Potter & Brumfield W91-X113-20 Circuit Breaker, Gasonics 73185-21 | ||
20187 | Potter & Brumfield | W91-X113-5 | 2 Potter & Brumfield W91-X113-5 Circuit Breaker, Gasonics 73185-13, 5A | ||
20188 | Potter & Brumfield | W92-X112-1 | Potter & Brumfield W92-X112-1 Circuit Breaker, Gasonics 73185-39 | ||
20189 | Potter & Brumfield | W93-X112-25 | 2 Potter & Brumfield Circuit Breaker W93-X112-25, 3 Pole, 25 Amps | ||
20190 | Potter & Brumfield | CHD-38-30011 | Potter & Brumfield CHD-38-30011 Time Delay Relay, 803, 1.0-10 Sec | ||
20191 | Potter & Brumfield | ODCQ-5 | Potter & Brumfield ODCQ-5 Solid State Relay | ||
20192 | Potter and brumfield | CDD-38-30003 | Potter and brumfield CDD-38-30003 IPEC AC3899 Adjustable time delay relay | ||
20193 | Potter and Brumfield | KUP-14D55-24 | Potter and Brumfield KUP-14D55-24 Lot of 2, 3 Pole relays 24VAC | ||
20194 | Potter and Brumfield | W93-X112-25 | Potter & Brumfield W93-X112-25 AMPS: 25 277VACz Circuit Breaker | ||
20195 | Potter Brumfield | KRPA-11DG-24 | Potter Brumfield KRPA-11DG-24 Relay, Gasonics 70964-03 | ||
20196 | Power | 02-04400 | 09-04399 | Power Distribution Board 02-04400, PCB, FAB 09-04399 | |
20197 | Power Convertibles | WPN20R48S05 | Power Convertibles WPN20R48S05, CP005050962, Power Convertibles, PCB. | ||
20198 | Power Designs | TW6050A | Power Designs TW6050A Universal DC Power Supply | ||
20199 | Power general | 73147-01 | 3050 power supply, +5vdc, plus/minus 12vdc | ||
20200 | Power General | CGI-794V0 | Power General, CGI-794V0, GHH-AVF-MJD, FLU1-100-4, PCB | ||
20201 | Power One | HC-15-3-A | Power One HC-15-3-A Power supply, looks new with paperwork | ||
20202 | Power One | HC24-2.4-A | POWER-ONE HC24-2.4-A POWER SUPPLY OUTPUT 24 VDC, 2.4 AMPS | ||
20203 | Power One | HCBB-75W-A | Power One HCBB-75W-A Power Supply | ||
20204 | Power One | HCBB-75W-A | 5, 12 or 15 V power supply | ||
20205 | Power One | HCC15-3-A | Power One HCC15-3-A 15 Volt 3 Amps Dual Power Supply | ||
20206 | Power One | HCC15-3-A | Lot of 5 new, Power one HCC15-3-A, power supply, +-12V or +_15 VDC | ||
20207 | Power One | HCC15-3-A | Power one HCC15-3-A, power supply, +-12V or +-15 VDC international series | ||
20208 | Power One | HCC15-3-A | Lot of 7 new 12VDCor 15VDC power supplies | ||
20209 | Power One | HD15-6-A | Power-One HD15-6-A, Power Supply, 15VDC, 6A. | ||
20210 | Power One | HE24-7.2-A | B53518FPR | E59712 | Power One HE24-7.2-A, B53518FPR, Assy, 53516, E59712 |
20211 | Power One | HE24-7.2-A | Power One HE24-7.2-A International Series Power Supply | ||
20212 | Power One | HTAA-16W-A | Power One HTAA-16W-A, Assy. 53031, International series, Power Supply. | ||
20213 | Power One | LWN2660-6 | Power One Convert Select 240 LWN2660-6 AC-DC/DC-DC Converter | ||
20214 | Power One | LWN2660-6 | Power One Convert Select 240 LWN2660-6 AC-DC/DC-DC Converter | ||
20215 | Power One | RD5-15/OVP | 19204 | Power-One RD5-15/OVP, 19204, DC Power Supply, 115/230 VAC, ±10% 47-440 HZ. | |
20216 | Power One | SWA175-4100 | power supply | ||
20217 | Power One | SWA175-4100 | Power One SWA175-4100 Power Supply | ||
20218 | Power Source | ERB24SA | Power Source ERB24SA DC Power Supply7 | ||
20219 | Power Source | ERB24SA | Power Source ERB24SA DC Power Supply6 | ||
20220 | Power Source | WRD15SX-U | Power Source WRD15SX-U Power Supply,Z, AC115/230V | ||
20221 | power supply | 8541034 | POWER SUPPLY 8541034, VGMOTR-00 | ||
20222 | Power Supply | 215KD048K | Power Supply 215KD048K | ||
20223 | Power Supply | 684-003-1AS | Power Supply 684-003-1AS Power Interface Module, PJM, for Onobeam | ||
20224 | Power Supply | n/a | Power Supply | ||
20225 | Power Supply | MAS-1215-22 | 6 PANEL POWER DISTRIBUTION NEMIC-LAMBDA NOISE FILTER MAS-1215-22 | ||
20226 | Power Technology Inc. | L28101AH/7131 | Power Technology Inc. L28101AH/7131, Laser Power Supply, ID | ||
20227 | Power Technology Inc. | L28101AH/7131 | Power Technology Inc. L28101AH/7131, Laser Power Supply, ID | ||
20228 | Power Ten | 5812D-20/10 | Power Ten 5812D-20/10 High Current Plating DC Power Supply, 190-253 V | ||
20229 | Power Ten | 3350D-2050 | Power Ten 3350D-2050 DC Power Supply, AC 190-253V, 2W+PE, 7-5A, 47-63 Hz | ||
20230 | Power Ten | P62B-10300D | Power Ten P62B-10300D RF Generator, 21/PH,z, 200-240 VIN | ||
20231 | Power Ten | 3350D-2050 | Power Ten 3350D-2050 DC Power Supply, AC 190-253V, 2W+PE, 7-5A, 47-63 Hz | ||
20232 | Power Ten | P63C-8400A | Power Ten P63C-8400A DC Variable Power Supply, 190-253, 0-8 V, 0-400 A | ||
20233 | Power Ten Inc | D3C-5500/5500B | Power Ten Inc D3C-5500/5500B, Power Supply, Volts 200-240 VAC AMPS 30-25. | ||
20234 | Power Ten Inc | P63C-30220A | FEM power supply 0-30V, 225A. Looks very clean, Surplus asset from Major semiconductor manufacturer | ||
20235 | Power Ten Inc. | 3300D-2050 | Power Ten Inc. 3300D-2050 DC Power Supply, Industrial Rack Mount, 3300D | ||
20236 | Power triad | ARX-X589 | Power Triade ARX-X589, HP08186824 | ||
20237 | Power Tronics | PQR | D-52 | Power Tronics PQR Series D-52 Power Quality Recorder | |
20238 | Powercom | KIN-1000AP | POWERCOM KIN-1000AP RM, UPS | ||
20239 | PowerCom | VGD-700 RM | PowerCom VGD-700 RM Power Supply,z, RS1047 | ||
20240 | Power-One | MAP130-4000 | Power-One MAP130-4000 D.C. Power Supply | ||
20241 | Power-One | MAP130-4000 | Power-One MAP130-4000 D.C. Power Supply | ||
20242 | Power-one | HPM5A2F6D8A6S435 | Teradyne | 405-268-00 | Power-one HPM5A2F6D8A6S435 Switching Power Supply, Teradyne8-00 |
20243 | Powersource | PS920956 | Powersource PS920956 Compvideo 3.0 PCB, | ||
20244 | Pozzetta | PH800-156SW1116 | Pozzetta PH800-156SW1116 Single Cell Shipper for 156mm Pseudo-Square, | ||
20245 | Practical peripherals | Universal 16 | Practical peripherals micro buffer, universal 16 with input and output cables and gender changer | ||
20246 | Praxair | UP100 | Praxair UP100 UltraPurge Gas Cabinet System Controller, 110VAC, 1A, | ||
20247 | Precise | Q50MR16C/FL40 | Precise MR16 Lamp Q50MR16C/FL40 12V 50Wm | ||
20248 | Precise Sensors | 3221-100-01-GA-4SF-B4 | Precise Sensors00-01-GA-4SF-B4 | ||
20249 | Precision Detectors | PD2001 | Precision Detectors PD2001 Dynamic Light Scattering Detector, PD2000DLS; | ||
20250 | Precision Flow Devices | Precision Flow Devices Output Channel Module | |||
20251 | Precision Instruments | DS1F192CZHMC | Precision Instruments DS1F192CZHMC Torque Driver, RS1289 | ||
20252 | Precision Robotics | C1 993 30302 | Precision Robotics C1 993 30302 SLIT EXEL, PCB, CBR-1 94V0, | ||
20253 | Precision Robots | C1993 | Precision Robots C1993, 30302, Ramcode, BM303030100R, BM30303000, | ||
20254 | Precision Sensors | 3421-0200001G4SFE02L | New Precise Sensor INC 3421-0200001G4SFE02L Range 0-2000 PSI Supply Voltage | ||
20255 | Precision Sensors | 3421-0500001G4SFE02L | Precise Sensors 3421-0500001G4SFE02L, Supply Voltage 10-30 VDC, Span Zero | ||
20256 | Precision Sensors | 4221-A132 | Precise Sensors132 90-264 VAC | ||
20257 | Precision Sensors | 70396-100-GA-P6-C | PRECISE SENSORS 70396-100-GA-P6-C | ||
20258 | Precision Sensors | E36W-H162 | Precision Sensors E36W-H162 Absolute Pressure Switch | ||
20259 | Precision Sensors | E48W-H79 | PRECISION SENSORS DIV ABSOLUTE PRESSURE SWITCH E48W-H79 | ||
20260 | Precision Sensors | E48W-H99 | Precision sensor 20 Torr switch, E48W-H99, novellus 34-158773-00 | ||
20261 | Precision Sensors | E48W-H99 | 2 Precision Sensors, 20 torr switch E48W-H99, 34-158773-00, Precision PV48W-102 | ||
20262 | Precision Sensors | PV48W-15 | Wasco | SV129-31W2A-X/6528 | Precision Sensors PV48W-15 Vacuum Switch Assy, Wasco SV129-31W2A-X/6528 |
20263 | Precision Sensors | P17W-173 | 29-000124-01 | Precision Sensors P17W-173 Pressure Switch, 1 Amp, 70 PSIG, 129-000124-01 | |
20264 | Precision Tube Systems | 31008-02 | Precision Tube Systems, PTS, 31008-02, Vacuum Tee Flange, Pumping System. | ||
20265 | Pressure Gauge | Model 719 | Pressure Gauge Model 719,0.5 LPM, 200 Bar, 3000 PSI | ||
20266 | Pre-Tech Co. | PT-06B | Pre-Tech Co., PT-06B, Ultrasonic Generator, 200V. | ||
20267 | Pre-Tech Co. | PT-06B | Pre-Tech Co., PT-06B, Ultrasonic Generator, 200V. | ||
20268 | Pre-Tech Co. | PT-06B | Pre-Tech Co., PT-06B, Ultrasonic Generator, 200V. | ||
20269 | Pre-Tech Co. | PT-06B | Pre-Tech Co., PT-06B, Ultrasonic Generator, 200V. | ||
20270 | Pre-Tech Co. | PT-06B | Pre-Tech Co., PT-06B, Ultrasonic Generator, 200V. | ||
20271 | Pre-Tech Co. | PT-06B | Pre-Tech Co., PT-06B, Ultrasonic Generator, 200V. | ||
20272 | Pre-Tech Co. | PT-06B | Pre-Tech Co., PT-06B, Ultrasonic Generator, 200V. | ||
20273 | Pre-Tech Co. | PT-06B | Pre-Tech Co., PT-06B, Ultrasonic Generator, 200V. | ||
20274 | Pre-Tech Co. | PT-06B | Pre-Tech Co., PT-06B, Ultrasonic Generator, 200V. | ||
20275 | Pre-Tech Co. | PT-06B | Pre-Tech Co., PT-06B, Ultrasonic Generator, 200V. | ||
20276 | Pre-Tech Co. | PT-06B | Pre-Tech Co., PT-06B, Ultrasonic Generator, 200V. | ||
20277 | PRI Automation | 5026293 | PRI BM2993 705C07C3 | ||
20278 | PRI Automation | BM23475L11R | PRI EMC-1 94V0, BM23475L11R, PC23475 REV B COMP SIDE | ||
20279 | PRI Automation | PB24499 | COMP SIDE PRI AUTOMATION BOARD, PB24499, REV A | ||
20280 | PRI Automation | 30302 REV C | Precision Robots C1993 30302 REV C SLTT EXEC BOARD | ||
20281 | PRI Automation | 915769-35 | PRI SO# 915769-35, Part # BM13285 Limit Sensor Assembly MTR | ||
20282 | PRI Automation | BM0624 | PRI BM06241 COMP SIDE REV F PB02807 BOARD | ||
20283 | PRI Automation | BM18220L01/F | MLR I/O PRI PB18220 REV C COMP SIDE PRI, BM18220L01/F | ||
20284 | PRI Automation | BM19549 | PRI CommKey BM19549 Rev.A, 319516, Robot Controller | ||
20285 | PRI Automation | BM24499RB | PB24499 REV A COMP SIDE PRI AUTOMATION BM24499RB LAYER4 | ||
20286 | PRI Automation | BM26012 | PRI BM26012 AB26012 and BM26011/K, Assy, V-STN XORB-100 | ||
20287 | PRI Automation | BM29066 | New Auto Motor I/O control, V2 PRI0305 | ||
20288 | PRI Automation | BM29066 | Auto Motor I/O control, V2 PRI0305 | ||
20289 | PRI Automation | BM301130100 | 14A-A.OR-24 | PRI, BM301130100, 14A-A.OR-24, BOARD, MAIN LADDER | |
20290 | PRI Automation | BM302950000RC REV B | CHASSIS PRI AUTOMATION BOARD BM302950000RC REV B | ||
20291 | PRI Automation | BM303010000/K | PRI PROGRAM MATION BM303010000/K 037 DAN BOARD | ||
20292 | PRI Automation | BM303010000/k | ProgramMation BM303010000R.KPRI0037T-M, Photosensor Ring PCB | ||
20293 | PRI Automation | ESC400 | 2002-2897 | PRI Automation ESC400, 2002-2897 Rev.B, I/O Controller Daughter Card. | |
20294 | PRI Automation | ESC400 | 2002-2891 | PRI Automation OEM Systems ESC400, 2002-2891, PCB, Controller Board. | |
20295 | PRI Automation | PB02807 | BM06240 R/J, COMP SIDE REV F PB02807 PRI BOARD | ||
20296 | PRI Automation | PB25972 REV C | Precision Robots C1994 acrotrak station PB25972 REV C | ||
20297 | PRI Automation | PB29066 REV A | BM44903/A 9945PRI T-M, PB29066 REV A COMP SIDE PRI | ||
20298 | PRI Automation | SC85-CE | PRI Automation SC85-CE, Robot/Motion Controller0VAC,z 6A,; | ||
20299 | Prior | H128PNV4 | Prior H128PNV4 Microscope Stage Controller | ||
20300 | Prior Scientific | H129V4 | Prior Scientific H129V4 ProScan Stage Controller, Microscope, XY Stage | ||
20301 | Pro Fab | LCTS04 | Pro Fab LCTS04 Controller MT4000-100018 | ||
20302 | Pro Fab | MT5000-100019 | Pro Fab LCTS Controller 3.X MT5000-100019, Liquid Cooled Thermoelectric Solution | ||
20303 | Pro Fab | MT5000-100019 | Pro Fab LCTS Controller MT5000-100019 Liquid Cooled Thermoelectric Solution, MLU | ||
20304 | Pro Fab | MT5000-100019 | Pro Fab LCTS Controller MT5000-100019 Liquid Cooled Thermoelectric Solution, MLU | ||
20305 | Pro Fab | MT5000-100019 | Pro Fab LCTS Controller 3.X MT5000-100019, Liquid Cooled Thermoelectric Solution | ||
20306 | Pro Fab | MT5000-100019 | Pro Fab LCTS 3.X Controller MT5000-100019, Liquid Cooled Thermoelectric Solution | ||
20307 | Pro Fab | MT5000-100019 | Pro Fab LCTS Controller MT5000-100019, Liquid Cooled Thermoelectric Solution | ||
20308 | Pro Fab | MT5000-100019 | Pro Fab LCTS Controller MT5000-100019, Liquid Cooled Thermoelectric Solution | ||
20309 | Pro Fab | MT5000-100019 | Pro Fab LCTS Controller 3.X MT5000-100019, Liquid Cooled Thermoelectric Solution | ||
20310 | Pro Fab | MT5000-100029 | Pro Fab LCTS 3.X Controller MT5000-100019, Liquid Cooled Thermoelectric Solution | ||
20311 | Pro Fab | MT5000-100029 | Pro Fab LCTS Controller MT5000-100029, Liquid Cooled Thermoelectric Solution | ||
20312 | Pro Fab | MT5000-100029 | Pro Fab LCTS 3.X Controller MT5000-100029, Liquid Cooled Thermoelectric Solution | ||
20313 | Pro Fab | MT5001-100029 | Pro Fab LCTS 3.X Controller MT5001-100029, Liquid Cooled Thermoelectric Solution | ||
20314 | Probe Station | 208-501049-5 | 281-501049-A | PCB Probe Station Interface 208-501049-5, 281-501049-A PCB Board | |
20315 | Probe Station | Probe Station Lift Controller | |||
20316 | Process Tube Systems | 22027-00 | Process Tube Systems, PTS, 22027-00, Vacuum Pipe, ISO Flange, Extension. | ||
20317 | Process Tube Systems | 22027-00 | Process Tube Systems, PTS, 22027-00, Vacuum Pipe, ISO Flange, Extension. | ||
20318 | Process Tube Systems Inc. | 102538-02 | 3 Process Tube Systems 102538-02 Buble, Electro Polished, L3-H02D32 | ||
20319 | Procon | 10564 | Procon 10564 Recirculating Pump SVG Coder, 55 PSI | ||
20320 | Procon | 105B240F31BD | Procon 105C240F31BD Recirculating Pump SVG Coder, 55 PSI | ||
20321 | Procon | 105C240F31BD | Procon 105C240F31BD Recirculating Pump SVG Coder, 55 PSI | ||
20322 | Procon | 228-022-0020 | LAM | 778-090825-001 | Procon 228-022-0020 Replacement Pump for M&W Systems, LAM 778-090825-001 |
20323 | Procon | 105C240F51BD055 | Procon 105C240F51BD055 Recirculating Pump, SVG Coder | ||
20324 | Proconics | A4000130-2 | Proconics A4000130-2 PC Assy, I/O Port, ALU Sensor Control, PCB | ||
20325 | Proconics | A4000130-2 | Proconics A4000130-2 PC Assy, I/O Port, ALU Sensor Control, PCB | ||
20326 | Proconics | A4000130-2 | Proconics A4000130-2 PC Assy, I/O Port, ALU Sensor Control, PCB | ||
20327 | Proconics International, Inc. | A4000130-AD | TCQC-ML2 94VO | Proconics A4000130-AD PC Assy, I/O Port, ALU Sensor Control, PCB | |
20328 | Proconics International, Inc. | A4000130-2 | TCQC-ML2 94VO | Proconics A4000130-2 PC Assy, I/O Port, ALU Sensor Control, PCB | |
20329 | Proconics International, Inc. | A4000130-AD | TCQC-ML2 94VO | Proconics A4000130-AD PC Assy, I/O Port, ALU Sensor Control, PCB | |
20330 | Products Inc | 37-2518 | Varian | F2518001 | Products Inc 37-2518 Signet Thermocouple Gauge Tube, Varian F2518001 |
20331 | Profacture | PRO-80-679-012 | Tegal | 80-679-012 | 10 Profacture PRO-80-679-012 Switch Opt SLTD IC=1800UA, Tegal 80-679-012, |
20332 | Profacture | PRO-81-007-077 | Tegal | 81-007-077 | Profacture PRO-81-007-077 Kit Int Pneumatic Valve Assembly, NW40, |
20333 | PRO-LOG | PWB111469-003 | TTL I/O CARD surplus inventory from major semiconductor facility. | ||
20334 | Prologix | GPIB-USB | Prologix GPIB-USB Controller, Connector | ||
20335 | Prometrix | 36-0290 | PROMETRIX 36-0290 PCB, X MOTOR INTERFACE UV 1250 54-0290 4691-9 ID | ||
20336 | Prometrix | 96-0265 | PROMETRIX 96-0265 TURRET INTERFACE STEPPER MOTOR PCB PCR 54-0265 UV 1250 | ||
20337 | Prometrix | SM-300 | KLA Tencor | Prometrix SM-300 Automatic Film Thickness Measurement System, KLA Tencor | |
20338 | Protech | Protech Target, Cr, 1550x117x6mm, 99.95%, Chrome w/ Copper Back Plate | |||
20339 | Protek | 3032B | Protek 3023B Dual DC Power Supply | ||
20340 | Proteus Industries | 0150SS24NF3M | Proteus Industries 0150SS24NF3M, Flow Switch, 24V, 30mA | ||
20341 | Proteus Industries | 0150SS24NF3M | Proteus Industries 0150SS24NF3M, Flow Switch, 24V, 30mA | ||
20342 | Proteus Industries | 92061012S24P4K1 | Proteus 92061012S24P4K1, Flow Meter, Sensor, W/ Red Lion Controls CUB41. | ||
20343 | Proteus Industries | 910113 | F229696 | Proteus Industries, 910113, F229696, High Temperature Fluid Flow Switch. | |
20344 | Proteus Industries | 0504SA4 | 10 Proteus 0504SA4 24V Flow Switch with Swagelok SS-6P6T-M1 Valve | ||
20345 | Proteus Industries | 0504SA4 | 3 NEW Proteus switch 800 series, proteus 0504SA4, 24 VDC, 30 mA | ||
20346 | Proteus Industries | 100SS24 | Proteus Industries Inc 100SS24 Fluid Flow Switch | ||
20347 | Proteus Industries | 500SS | Proteus Industries Inc. Fluid Flow Sensor. | ||
20348 | Proteus Industries | 666-090839-001 | Proteus 666-090839-001, Fluid Flow Switch | ||
20349 | Proteus Industries | 9100B24P2 | Proteus Industries 9100B24P2 Flow Meter, 24V, 30mA, P5000, | ||
20350 | Proteus Industries | Unknown | Proteus Digital flow meter, bezel unattached to faceplate in 2 locations | ||
20351 | Proxxon | BS/E | Proxxon BS/E Belt Sander,z, 0.9A, 110-120V, RS1288 | ||
20352 | PSItronix | H6200100 | AMAT | 3310-01240 | Precision digital pressure gauge |
20353 | PTI | 4501 | PTI ModelALCAP Module +/- 1% | ||
20354 | PTI | 4501 | PTI ModelALCAP Module, -60dB +/- ,5dB | ||
20355 | PTS | 250R6N1SX-51/X-13/X-54 | PTS 250R6N1SX-51/X-13/X-54 Frequency Synthesizer, 1-250 MHz | ||
20356 | Pulnix | TM-7EX CCD | Pulnix TM-7EX CCD Video Camera, Barcode Scanner337-4805 | ||
20357 | PULS | 11GS01 | PULS Dimension 11GS01 QS5 Power Supply | ||
20358 | PulseEngineering | PE68515L | Lot of 29 Pulse PE68515L, PE-68515L, Base-T single Port Transformer chip | ||
20359 | Purolite | PCL-1317D | 2 Purolite PCL-1317D Filter, Deionizer, 270-1-5-0103, 270-1-5-4405 | ||
20360 | PVD | 21931-1 | PVD Arm 21931-1 Wafer 1 and Wafer Blade | ||
20361 | PWS | P5MS | PWS P5MS, Pacific Western Systems Wafer Prober Assy, Probe II, 20, Controller | ||
20362 | PWS | Pacific Western Systems | p5ms | PWS P5MS, Pacific Western Systems Wafer Prober Assy, Probe Controller II, 20 | |
20363 | Pyramid | PS-26KX | Pyramid PS-26KX Regulated Power Supply | ||
20364 | Pyrex | 5738-6 | 5738-6 Window, Pyrex Viewport, CHA | ||
20365 | Qimonda | 2Rx8 PC2-5300F-555-11-B0 | 8 Qimonda 1 GB server memory 2Rx8 PC2-5300F-555-11-B0 | ||
20366 | Qlogic | FC5010409-60 | QLA2340 | 5 QLogic Fibre Channel HBA Card FC5010409-60 QLA2340 | |
20367 | QMC Technologies Inc. | 735-1966 | QMC 735-1966 Motor Spindle Assembly | ||
20368 | QO | QOU215 | QO QOU215, Square D, Circuit Breaker, 15A, 120/240V~z | ||
20369 | QO | QOU215 | QO QOU215, Square D, Circuit Breaker, 15A, 120/240V~z | ||
20370 | QRFA | VOM2P00CRA | 8080000170 | Quality RF Services, QRFA, RF Sampler, VOM2P00CRA, 8080000170 | |
20371 | QST | QST 10 | QST 10 head assembley tester, Quasi Static Testers, characterizing MR Head type | ||
20372 | Quantum | 6441030 | Quantum PWA 6441030 Tape Library 4 Port Cluster Controller | ||
20373 | Quartz | 2-39-30865 | QUARTZ PLATE 6″ 2-39-30865 | ||
20374 | Quartz | A16-56987A | Etch Chamber Window, A16-56987A, ID | ||
20375 | Quartz | MP0903792 | 11053006081 | Quartz Cover Cap MP0903792 VP Shutter, 11053006081, | |
20376 | Quartz | Quartz Viewport, Circular, 3 1/4″ Diameter | |||
20377 | Quartz | Quartz Viewport, Circular, 3 1/4″ Diameter | |||
20378 | Quartz | Quartz Viewport, oval, 9 3/4″ X 1 3/8″ | |||
20379 | Quartz | Quartz Viewport, oval, 9 3/4″ X 1 3/8″ | |||
20380 | Quel Powerline | ER200/V214/C | Quel Powerline ER200/V214/C Power Supply Unit, ER200 214C, +24V, 9AMP, | ||
20381 | Quester Technologies | 58-WA-0879 | Quester Technology 58-WA-0879 Vac Pad, Hi-temp, | ||
20382 | Quester Technology | 5407005 | Quester Technology 5407005 Filter N2 and O2 Carrier, Pall | ||
20383 | Quincy Compressor | QDTH 250 | Quincy QDTH 250 Heatless Desiccant Air Dryer Assy, Fixed, 150 PSIG, RS1312 | ||
20384 | Qzak | GH-25 | 2 QZak GH-25 Bearing Linear Ball (OZK) | ||
20385 | Racal Instrument | 6113G | Racal Instrument 6113G Digital Radio Tester | ||
20386 | RACO | 15 RACO liquid tight 90 degree elbow conduit fittings | |||
20387 | Radiant Surge Technology | Omron | S8VS-06024 | Radiant Surge Technology Solar Simulator, Omron S8VS-06024, SY5140-5GZ, RS1038 | |
20388 | Radioshack | 275-017A | Radioshack 275-017A SPDT Submini Roller Micro Switch Roller Lever Switch | ||
20389 | Radisys | 859-8379-001 | New PCB assy in sealed bag, with 851-8554-003 SBX/STD BUS and 68-0056 daughter boards, for SVG / ASML | ||
20390 | Radisys | EPC-7 | RADISYS CORPORATION EPC-7 WITH EXM-13A CARD, EXM-22 CARD, HEWELETT PACKARD EPC7B | ||
20391 | Radisys | RadiSys Corporation EPC-5P | |||
20392 | Radius Inc | 0014049-0001J | Radius Inc 0014049-0001J 3-Port Firewire PCI 2330 Assy Expansion Card | ||
20393 | Radius Inc | 0014049-0001J | Radius Inc 0014049-0001J 3-Port Firewire PCI 2330 Assy Expansion Card | ||
20394 | Radius Inc | 0014049-0001J | Radius Inc 0014049-0001J 3-Port Firewire PCI 2330 Assy Expansion Card | ||
20395 | RAE Systems | RRM1006 | RAE Systems RRM1006, Wireless Modem, RAELink2, Raelink Smart, Lifeshirt. | ||
20396 | RAF | 1250-31-AL-24 | 100 RAF 1250-31-AL-24, Round Anodized Al. spacers | ||
20397 | Ransco | Ransco Fast Rate Cycling Oven w/ LN2 Low Press Injection Despatch Chamber | |||
20398 | Ransco | 280DP | Ransco 280DP Temperature Controller, RDC 101 | ||
20399 | Ransco Despatch | Fast Rate | Ransco Despatch Fast Rate Cycling Chamber Oven w/ LN2 Low Press Injection | ||
20400 | Raytheon | CK6476A | Raytheon CK6476A Computer Dekatron Tube, 903-1070 | ||
20401 | Read Co | SD8-16EL-1H | Diamond Wheel | Read Co., Diamond Wheel, Elf Blade SD8-16EL-1H | |
20402 | Rechner | KAS-70-23-0-M22 | Rechner KAS-70-23-0-M22 Connection Cable, Output Signal | ||
20403 | RECIF | ANF | RECIFANF Automatic notch finder | ||
20404 | RECIF | IDLW8 | RECIF IDLW8 Notch Finder, 90V/260V,z, 1.2A/110V, 0.55A/220V | ||
20405 | RECIF | IDLW8 | RECIF IDLW8 Notch Finder, 90V/260V,z, 1.2A/110V, 0.55A/220V | ||
20406 | RECIF | IDLW8 | RECIF IDLW8 Notch Finder, 90V/260V,z, 1.2A/110V, 0.55A/220V | ||
20407 | RECIF | IDLW8 | RECIF IDLW8 Notch Finder, 90V/260V,z, 1.2A/110V, 0.55A/220V | ||
20408 | RECIF | IDLW8-A9087 | RECIF Technologies IDLW8-A9087 Cassette, Sensor, B, OCR Optical Character | ||
20409 | RECIF | IDLW8-A9087 | Front Cassette Sensor, OCR 1DLW8-A9087 | ||
20410 | Redback | SMS 1800 | Redback SMS 1800 w/ 2 dual ethernet, 2 AC P.S., 1 Processor, 1 ATMSTS3, & 1 FE2 | ||
20411 | Redhat | F320A9K | Red Hat valves F320A9K lot’s of 3, new | ||
20412 | Red-White Valve Corp. RWV | 5544AB | 13 RWV 5544AB LF Brass Full Port Ball Valve, 1.5″, Threaded Trim & Drain | ||
20413 | Regal | FS-30 | Lot of 3, REGAL FS-30, manifolds with REGAL FS-SE type flow sensors | ||
20414 | Reliance | Unknown | Reliance Conductor Small D-C motors | ||
20415 | Reliance Electric | 0644-06-011 | Reliance Electric 0644-06-011 Electro-craft Servo Motor, Model E19-3 | ||
20416 | Reliance Electric | 0644-06-011 | E19-3 | Reliance Electric 0644-06-011 Electrocraft Servo Motor, E19-3 | |
20417 | Reliance motion control | 0644-06-011 | E19-3 | Reliance Electric E19-3, Electrocraft Servo Motor, 0644-06-011 | |
20418 | Renco encoders | 79992-032 | novellus | 33-278384-00 | Renco Encoders 79992-032, RCH20, Polish Arm Drive Motor, Novellus 33-278384-00 |
20419 | Renishaw | RGB25H00R00 | Renishaw RGB25H00R00, RGB25 Readhead Interface Unit | ||
20420 | RENISHAW | RGB25H00R00 | RGB25 readhead interface unit | ||
20421 | RENISHAW | S83867 | RENISHAW X-Y Stage, XY Stage S83867 | ||
20422 | REXROTH | R021KK2071 | Rexroth R021KK2071 Bosch group, R005522733, NSK50, Opti, 19-314838-00, linear | ||
20423 | Rexroth | R021KK3164 | Animatics Corporation | SM23165D-LAM1 | Novellus Liner Actuator Assy, Rexroth R021KK3164, SM23165D-LAM1 |
20424 | RF | 233552283 | Connector, 7/16, Male, RF 233552283 | ||
20425 | RF | UG-58/U | 5 UG-58/U Type N Femal Panel Mount Coaxial Connector | ||
20426 | RF | Unknown | Water cooled feed through with insulated connector and metal or o’ring seaseal | ||
20427 | RF | Unknown | RF Water Feed | ||
20428 | RF | Unknown | JB VARIABLE CAPACITOR, 6.5″ | ||
20429 | RF Cable | 3-A3-P1 | TRU-8704-98T | 1-A2-P1 | RF Cable, Top Generator 3-A3-P1, TRU-8704-98T, Top Match 1-A2-P1 |
20430 | RF cable | Alpha Wire PS/MK30P02,5, M674610-05, RF Cable, Power Cable, | |||
20431 | RF Coax | BNC | Lot of 3 BNC male to Low Frequency Fe Union | ||
20432 | RF Coax | BNC | BNC Female Union | ||
20433 | RF Coax | KN 7945-MO6 | RF Coax Bulkhead Connector KN 7945-MO6 | ||
20434 | RF Coax | Unknown | 4 BNC Coax Terminators Female | ||
20435 | RF Coax | Unknown | BNC Coax Connection Unions | ||
20436 | RF Connector | 233522282 | QC, Connector, IEC, 7-16, Jack, 233522282 | ||
20437 | RF Plasma Products | AMNPS-2A | RF Plasma Products AMNPS-2A RF Match Network Controller 117 VACz | ||
20438 | RF Power | 7621482040 | AMAT | 0190-71100 | RF Power 7621482040 RF Match, AMAT 0190-71100,atts, 13.56MHz |
20439 | RF Power Products Inc | 9520815010 | RF Power Products Inc RFPP 9520815010, Filter Box. | ||
20440 | RF Services | RFS 716 | RF SERVICES, MODEL RFS 716 P/N 233110-01A REV: A | ||
20441 | RF Services | RFS 716 | RF SERVICES, MODEL RFS 716 P/N 233110-01 REV: A | ||
20442 | RF Services Inc | RFS-3011 | LAM | 553-16430-00 | RF Services Inc RFS-3011 RF Match, 232770-01, LAM 553-16430-00 |
20443 | RF terminal | 20043895G1 | 18713-2004754P1 | 10325A | RF Terminal and Connector 20043895G1, 18713-20047542P1, Assembly 10325A |
20444 | RFPP | 7522326010 | RFPP RF-30S/PWT RF Generator, 7522326010 | ||
20445 | RFPP | 27-032578-00 | RFPP 27-032578-00 LF-30 RF Generator, Novellus, 208VACZ 3PH 3000W | ||
20446 | RFPP | LF-5 | RFPP LF-5 RF Generator 0920-01014, 7520572050, Astech ATL-100RA RF Match | ||
20447 | RFPP | LF-5 | RFPP LF-5 RF Generator, 7520572050 LFSWC SE091 Astech ATL-100RA RF Match | ||
20448 | RFPP | LF-5 | RFPP LF-5 RF Generator, 7520572050 LFSWC SE091 Astech ATL-100RA RF Match | ||
20449 | RFPP | RF30S | RFPP RF30S RF Generator, Mattson, | ||
20450 | RHETECH | 459S00201 | RHETECH201 EP BOWL | ||
20451 | Richmond Static | CMG-3803 | Static wrist guard Tester, looks new | ||
20452 | Richmond Static | iS24 | Richmond intelligent balance controller,RTI Ionize iS24 | ||
20453 | Richmond Static | Unknown | Richmond Static Control, Ionization Controller. | ||
20454 | Richmond Static | Richmond Static Control Services PulseFlow Controller | |||
20455 | RIFOCS | 255MT | RIFOCS 255MT LED Source-255MT fiber tools | ||
20456 | Rigaku | 4814-109 | Nippon Oil | Rigaku 4814-109 Three Phase Induction Motor Pump Assembly, | |
20457 | Rigaku | 9300-0042 | Rigaku 9300-0042 Power Supply HV, | ||
20458 | Rigaku | F45K | Rigaku F45K Gauge | ||
20459 | Rigaku | MK23573S | Rigaku MK23573S, Magnetic Fero Fluid Seal | ||
20460 | Rigaku | MK23573S | Rigaku MK23573S, Magnetic Fero Fluid Seal | ||
20461 | Rigaku | X8210D95VMDC24V | Rigaku X8210D95VMDC24V Valve, | ||
20462 | Riken Keiki | 570-SR-PF | GH-581H-PF | Riken Keiki 570-SR-PF Gas Indicator Alarm System, GH-581H-PF, Novellus | |
20463 | Riken Keiki RKI | GD-K77D | 815018-765 | Riken Keiki RKI GD-K77D Gas Detector w/ Manual CLF3 0-0.6 PPM 815018-765, RS1198 | |
20464 | Riken Keiki RKI | GD-K77D | 815018-765 | Riken Keiki RKI GD-K77D Gas Detector w/ Manual CLF3 0-0.6 PPM 815018-765, RS1199 | |
20465 | Riken Keiki RKI | GD-K77D | 815018-765 | Riken Keiki RKI GD-K77D Gas Detector w/ Manual, CLF3, 0-0.6 PPM, 815018-765, RS1200 | |
20466 | Riken Keiki RKI | GD-K77D-PF | GD-K77D-CLF3 | Riken Keiki RKI GD-K77D-PF Gas Detector w/ Manual, GD-K77D-CLF3, RS1191 | |
20467 | Riken Keiki RKI | GD-K77D-PF | GD-K77D-CLF3 | Riken Keiki RKI GD-K77D-PF Gas Detector w/ Manual, GD-K77D-CLF3, RS1192 | |
20468 | Riken Keiki RKI | GD-K77D-PF | GD-K77D-CLF3 | Riken Keiki RKI GD-K77D-PF Gas Detector w/ Manual, GD-K77D-CLF3, RS1193 | |
20469 | Risshi Co. | YR-830SC(L) | Risshi Co. YR-830SC(L) Chiller, LAM 778-039851-002 | ||
20470 | Rite Track | 99-71103-01 | Rite Track 99-71103-01 CPU Board, PCB, 1318-501 | ||
20471 | RJ | N16-5224-0502 | RJ N16-5224-0502 E91964 3134L PCB FUJITSU LIMITED FPF4020NR5F 60086-11A | ||
20472 | RK Industries | RK-FPR | RK Industries RK-FPR Pressure Valve | ||
20473 | RK Industries | RK-NLF | RK Industries RK-NLF, NFL-501EX, Pressure Flow Regulator, (DI Water), PCW | ||
20474 | RK Industries | RK-SLB | RK Industries RK-SLB Valve | ||
20475 | RKC | REX-B871*NN-CS4E1 | RKC REX-B871*NN-CS4E1 Temperature Controller | ||
20476 | RKC Instrument | D100F-8N | DN-NN-NN | ZK-1095 | RKC Instrument D100F-8N, DN-NN-NN, ZK-1095, REX-D100 Logic Controller |
20477 | RKC Instrument | F700FD12-V*AN-NNN-4A | RKC Instrument F700FD12-V*AN-NNN-4A, 06829, Hot Plate Controller | ||
20478 | RKC Instrument | H-D0-E-D | 2 RKC H-D0-E-D SR MINI HG SYSTEM TEMPERATURE CONTROL ID | ||
20479 | RKC Instrument | H-PCP-D-34N-M*TT | RKC Instrument H-PCP-D-34N-M*TT SR Mini HG System | ||
20480 | RKC Instrument | H-TI-K09 | 3 RKC H-TI-D-K09 SR MINI HG SYSTEM TEMPERATURE CONTROL ID | ||
20481 | RKI Instruments | 24-0023RK | 57-0026RK | RKI Instruments 24-0023RK PCB, DC Power Supply, PION 16, 57-0026RK | |
20482 | RLC | CUB3TR01 | Red Lion Control CUB3TR01, Electronic Timer | ||
20483 | RM Engineering | RR-1021 | RM Engineering, RR-1021 30 Chan. Mux, Factory set Chs 32-63 | ||
20484 | RO ASSOCIATES | ET31 | RO Associates ET 24 +/- 15VDC =/-200mA Power Supply | ||
20485 | RO Associates | PS 551 EUN | RO Associates PS 551 EUN Power Supply, 24-28V, PS551EUN, | ||
20486 | Robitech | 980-4825 | New 8 solenoid module PCB assy,in sealed bag | ||
20487 | Robitech | 990-9131 | New Valve Module assy. | ||
20488 | Robo Cylinder | RCA-S-RMA | Lot of 2, cylinder drivers IAI | ||
20489 | Robo Cylinder | RCA-S-RSA | Lot of 2, mounted cylinder drivers IAI | ||
20490 | Robot | n/a | Wafer Handler Robot End Effector | ||
20491 | Robot | Teach Pendant | Teach Pendant, Controller, Robot | ||
20492 | Robot | Robot Blade End Effector, 300mm | |||
20493 | Robot | Blade | Robot Blade End Effector, 300mm | ||
20494 | Robot | P295453-G01 | P295453 | P295453-G01 Robot Hand Set. Arm, B, P295453 | |
20495 | Rocket Switch | SR5018846 | 11-008254 | SR5018846 Rocket Switch, 11-008254 | |
20496 | RocketPort | NA | RocketPort 9-Port Interface, Controller | ||
20497 | Rockwell Automation Korea LTD | MAC-TP01 | Rockwell Automation Korea LTD OE Max MAC-TP01, Teaching Pendant. | ||
20498 | ROD-L | M25 | ROD-L M25 25 AMP Ground Continuity Tester | ||
20499 | ROD-L | M100DC | ROD-L M100DC HIPOT Tester | ||
20500 | Rofin | EasyScribe F20 | Fumex | FA2P | Rofin EasyScribe F20 Laser Marker, Fumex FA2P Dust Collection Cabinet |
20501 | Rohrbogen | AG 261754 | Rohrbogen AG 261754 Vacuum Pipe, Flexible Elbow | ||
20502 | Root Inc. | RMR2400G | Root Inc. RMR2400G Router, Switch, RF | ||
20503 | Rorze | 00420-0 | RORZE Automation 00420-0, Robot Arm, End Effector, AMAT | ||
20504 | Rorze | RE1Z0-002-001 | Rorze RE1Z0-002-001, Indexer Elevator Assy, Wafer, Mapper, 200MM. | ||
20505 | Rorze | RR700L1528-3A3-131-1 | CURR-2961-0 | RORZE RR700L1528-3A3-131-1 Wafer Transfer Robot + CURR-2961-0 controller & lift | |
20506 | Rose Electronics | UPL-8U | Rose Electronics UPL-8U Ultra View Keyboard Monitor Mouse Switch | ||
20507 | Rose Electronics | UPL-8U | Rose Electronics UPL-8U Ultraview Keyboard-Monitor-Mouse w/ On-Switch Display | ||
20508 | Rotec | D76437 | ROTEC PCB D76437 RASATT VCPU486-ISA-2 MULTIPORT 8XV24 | ||
20509 | Rotec | DIG 32-IS | Rotec DIG PCB | ||
20510 | ROX | ROX-43 | NEW ROX Wafer mapping sensors ROX-43 in OEM box | ||
20511 | RS Components | 341-547 | RS Components 341-547 LCD Timer | ||
20512 | RTD Electronic | 0020-78912 | AMAT 0090-77106 Assembly, RTD Electronic. 0020-78912 | ||
20513 | RTD Electronic | 0020-78912 | AMAT 0090-77106 Assembly, RTD Electronic. 0020-78912 | ||
20514 | RU | TK-010N | RU TK-010N Photoelectric Liquid Sensor, | ||
20515 | Rudolph | A19287-G | A16431 | Rudolph A19287-G Measurement Processor Card Assy, w/ A16431 & A19289-D | |
20516 | Rudolph Technologies | FE-3 | Rudolph FE-3 Focus ellipsometer, 4″ 6″ 8″ capable | ||
20517 | Rudolph Technologies | IV-NIR-3 | Rudolph Technologies AutoEL Automatic Ellipsometer Model IV-NIR-3, SS1, 4E, | ||
20518 | Russard | 06952-001 | 2 new RUSSARD 06952-001 screw, lead | ||
20519 | Russard, Inc. | 08493001 | Russard 08493001 Support Plate | ||
20520 | RV | 14314 | RV Precision Sensors 14314 | ||
20521 | RWV | 5544AB | 3 RWV 5544AB LF Brass Full Port Ball Valve, 2″, Threaded, Trim & Drain | ||
20522 | RWV | 5544AB | 20 RWV 5544AB LF Brass Full Port Ball Valve, 3/4″, Threaded Trim & Drain | ||
20523 | RWV | 5544AB | 3 RWV 5544AB LF Brass Full Port Ball Valve, 1″, Threaded Trim & Drain | ||
20524 | Ryan Herco | 5334 | Pressure gauge Ryan Herco 100 psi 5334.100 233.53 2.5″ | ||
20525 | Ryan Herco | LC10025T | Ryan Herco LC10025T Universal stopcock, 1/4″ PVC | ||
20526 | Ryan Herco | 0114-505 | Ryan Herco 0114-505 Pipe Union Connector, Fitting, Liquid Flow | ||
20527 | Ryan Herco | 0121-203 | Ryan Herco 0121-203 Elbow, Pipe, 90 Degree, Liquid Flow | ||
20528 | Ryan Herco | 5401-00 | 4 PLAST-O-MATIC PR050B-PP | ||
20529 | Ryan Herco | AOV-503 VX2 | RK industries Ryan Herco, AOV-503 VX2, PVDF/VIT AIR OP VLV 1/2″ NO | ||
20530 | Ryan Herco Flow Solutions | 3762.215 | Ryan Herco 3762.215 Connector | ||
20531 | Saes Getters | DHC504M608-7 | Saes Getters DHC504M608-7 3-Way Manual Valve Assembly | ||
20532 | Saes Getters | DHC504M706-7 | Saes Getters DHC504M706-7 3-Way Manual Valve Assembly | ||
20533 | Saes Getters | UHP508C1-001854 | Saes Getters UHP508C1-001854 Valve Assembly, Cryolab | ||
20534 | Saginaw Control | SCE-HF2001A | Saginaw Control & Engineering SCE SCE-HF2001A Heater w/ Thermostat | ||
20535 | Saginaw Control | SCE-HF2001A | Saginaw Control & Engineering SCE SCE-HF2001A Heater w/ Thermostat | ||
20536 | Saginomiya | Karuman Ace | TEL | CT2985-511354-12 | Swirl flow sensor VLK Vortex |
20537 | Saginomiya | QLK-1030TX | Saginomiya QLK-1030TX Flow Sensor, Karuman Ace, 2-20L/Min, ID001. | ||
20538 | Saginomiya | WEV-150GLW | 3 new Saginomiya WEV-150GLW, Brass Solenoid valves 220VAC | ||
20539 | Saginomiya | WNS-C106 | Saginomiya WNS-C106 Pressure Controller Disco | ||
20540 | Saint Gobain | 1114377 | Saint Gobain FMF12128UTRN-1 Furon Flare Grip II Valve 1107263-1, MAN-HPV | ||
20541 | Saint Gobain | 2805-700918 | Saint Gobain 2805-700918 Masterflex neoprene hose assy with white fittings | ||
20542 | Saint Gobain | 2805-739454 | Lot of 58 Saint Gobain 2805-739454 Masterflex neoprene hose assy w/ grey fitting | ||
20543 | Saint Gobain | 2805-739454 | Saint Gobain 2805-739454 Masterflex neoprene hose assy with grey fittings | ||
20544 | Saint gobain | AFL0003 | Tygon Tubing, 1/16″,black neoprene | ||
20545 | Saint Gobain | CDV2-F88NC-2 | Saint Gobain CDV2-F88NC-2 Furon 1106124 Pneumatic Actuated Valve | ||
20546 | Saint Gobain | CDV2-F88NC-2 | Saint Gobain CDV2-F88NC-2 Furon 1106124 Pneumatic Actuated Valve | ||
20547 | Saint Gobain | FMF6UEN-1 | Furon | 1107332-1 | Saint Gobain FMF6UEN-1 Flare Grip II Elbow Union, Furon 1107332-1, RS1097 |
20548 | Saint Gobain | LCVMF-88-1 | Furon | 1113850-1 | Saint Gobain LCVMF-88-1 Check Valve, Furon 1113850-1, RS1098 |
20549 | Saint Gobain | FMF6UEN-1 | Furon | 1107332-1 | Saint Gobain FMF6UEN-1 Flare Grip II Elbow Union, Furon 1107332-1, RS1104 |
20550 | Saint Gobain | FMF4UEN-1 | Furon | 1107331-1 | Saint Gobain FMF4UEN-1 Flare Grip II Union Elbow, Furon 1107331-1, RS1105 |
20551 | Saint Gobain | FMF8UEN-1 | Furon | 1107333-1 | Saint Gobain FMF8UEN-1 Flare Grip II Union Elbow, Furon 1107333-1, RS1106 |
20552 | Saint Gobain | FMF6UTN-1 | Furon | 1107253-1 | Saint Gobain FMF6UTN-1 Flare Grip II Tee, Furon 1107253-1, RS1096 |
20553 | Saint Gobain | FMF884UTRN-1 | 1107260-1 | Saint Gobain FMF884UTRN-1 Flare Grip II Tee Valve, Furon 1107260-1 | |
20554 | Saint Gobain | FMF8UEN-1 | 1107333-1 | Saint Gobain FMF8UEN-1 Flare Grip II Union Elbow, Furon 1107333-1 | |
20555 | Saint Gobain Furon | 1107263-1 | Ryan Herco | 146.775 | Furon 1107263-1 Flare Grip II PFA Union Tee, FMF12128UTRN-1 |
20556 | Saint Gobain Furon | 1106715-1 | FMF48UAFN-1 | Furon 1106715-1 Flare Grip II Connector, FMF48UAFN-1 | |
20557 | Saint-Gobain Furon | 1107263-1 | FMF12128UTRN-1 | Furon 1107263-1 Flare Grip II Valve, FMF12128UTRN-1 | |
20558 | Saint-Gobain Furon | 1105853-1 | FMF66UAMN-1 | Furon 1105853-1 Flare Grip II Connector, FMF66UAMN-1 | |
20559 | Saint-Gobain Furon | 11072252-1 | Ryan Herco | 146.222 | Furon 1107252-1 Flare Grip II PFA Union Tee, Ryan Herco 0146.222 |
20560 | Saint-Gobain Furon | 1107252-1 | FMF4UTN-1 | Furon 1107252-1 Flare Grip II PFA Union Tee, FMF4UTN-1 | |
20561 | Saint-Gobain Furon | 1107300-1 | FMF42EAMN-1 | Furon 1107300-1 Flare Grip II Elbow Male Adapter, FMF42EAMN-1 | |
20562 | Saint-Gobain Furon | 1107314-1 | FMF1212EAMN-1 | Furon 1107314-1 Flare Grip II Elbow Connector, FMF1212EAMN-1 | |
20563 | Saint-Gobain Furon | 1105853-1 | FMF66UAMN-1 | Furon 1105853-1 Flare Grip II Connector, FMF66UAMN-1 | |
20564 | Saint-Gobain Furon | 1107331-1 | FMF4UEN-1 | Furon 1107331-1 Flare Grip II Union Elbow, FMF4UEN-1 | |
20565 | Sakae | MPH22B11S25HP-10EMS | Sakae MPH22B11S25HP-10EMS Motor Potentiometer, MPH22B11-25HP10G | ||
20566 | Sakae | MPH22B8-25HP-10GE | Sakae MPH22B8-25HP-10GE Motor Potentiometer, MPH22B11-25HP10G | ||
20567 | Sakae | MPH22B8S25HP-10EMS | Sakae MPH22B8S25HP-10EMS Motor Potentiometer, Servo, G. Level | ||
20568 | Sakae | SMPH22B8S25HP-10MSE | Sakae SMPH22B8-S25HP-10MSE, Motor Potentiometer, Servo, A.MG, | ||
20569 | SAMCO | 5000 | Sierra Monitor PECVDas Leak Detector Chasis Power Supply and Controller | ||
20570 | Samsung Industrial | PB08P3400 | X-POWER ATX-450TD PSU, DBI A/N 30000354 REV.H, ROCKY-4786EV-RS-R40, INTEL CELERON 2GH/128/400, L623A829, VOYAGER INC, PBP-08P3-400. | SAMSUNG INDUSTRIAL PC, VOYAGER PBP08P3400 INTEL CELERON 2GH L623A829, 512MB DDR | |
20571 | Sanden | S-PS-033B | Sanden S-PS-033B Power Supply, PCB, | ||
20572 | Santa Clara Plastics | 583-057-1A | SCP, Santa Clara Plastics, 3107A Process Timer, 583-057-1A. | ||
20573 | Sanwa Denki | SVS-I | Sanwa Denki, SVS-I, +5 -35, AC 250V 5V, DC 100V 1A, Vacuum Switch. | ||
20574 | Sanwa Denki | SVS-I | Sanwa Denki, SVS-I, +5 -35, AC 250V 5V, DC 100V 1A, Vacuum Switch. | ||
20575 | Sanwa Denki | SVS-I | Sanwa Denki, SVS-I, +5 -35, AC 250V 5V, DC 100V 1A, Vacuum Switch. | ||
20576 | Sanwa Denki | SVS-I | Sanwa Denki, SVS-I, +5 -35, AC 250V 5V, DC 100V 1A, Vacuum Switch. | ||
20577 | Sanwa Denki | SVS-I | Sanwa Denki, SVS-I, +5 -35, AC 250V 5V, DC 100V 1A, Vacuum Switch. | ||
20578 | Sanwa Denki | SVS-I | Sanwa Denki, SVS-I, +5 -35, AC 250V 5V, DC 100V 1A, Vacuum Switch. | ||
20579 | Sanwa Denki | SVS-I | Sanwa Denki, SVS-I, +5 -35, AC 250V 5V, DC 100V 1A, Vacuum Switch. | ||
20580 | Sanyo Denki | 1095091 | Sanyo Denki 1095091 San Ace 92 Fan50/60Hz | ||
20581 | Sanyo Denki | 103-715-02E1 | E560050BDK | Sanyo Denki Step-Syu 103-715-02E1 Stepping Motor, E560050BDK Optical Shaft Encoder | |
20582 | Sanyo Denki | E560050BDK | E560050BDK | Sanyo Denki Step-Syu 103-715-02E1 Stepping Motor, E560050BDK Optical Shaft Encoder | |
20583 | Sanyo Denki | JP-C 129087 | YEAUJ_GA1503 | Sanyo Keiki Co, JP-C, 129087, YEAUJ-GA1503, Pressure Switch, wiring unit. | |
20584 | Sanyo Denki | PDT-S1010 | SANYO DENKI PDT-S1010 SAN DRIVER POWER SUPPLY (2 PARTS) PDT-S1010-202 | ||
20585 | Sanyo Denki | PV1A015SFYNP50 | AMAT | 0870-01073 | Sanyo Denki PV1A015SFYNP50, BL Super PV Servo Amplifier, AMAT 0870-01073, |
20586 | Sanyo Denki | PV1A015SFYNP50 | AMAT | 0870-01073 | Sanyo Denki BL Super PV Servo Amplifier PV1A015SFYNP50, AMAT 0870-01073 |
20587 | Sanyo Denki | PV1A015SFYNP50 | AMAT | 0870-01073 | Sanyo Denki PV1A015SFYNP50 BL Super PV Servo Amplifier, AMAT 0870-01073 |
20588 | Sanyo Denki | PV1A015SFYNP50 | AMAT | 0870-01073 | Sanyo Denki PV1A015SFYNP50 BL Super PV Servo Amplifier, AMAT 0870-01073 |
20589 | Sanyo Denki | PV2A015SN71PA0-C2 | Sanyo Denki PV2A015SN71PA0-C2, BL Super PV Servo Amplifier. | ||
20590 | Sanyo Denki | PV1A015SM61P50 | SANYO BL SUPER PV SERVO AMPLIFIER PV1A015SM61P50 | ||
20591 | Sanyo Denki | 109P0912H216 | Sanyo Denki 109P0912H216 DC Mini Ace, DC 12V 0.31 A, 070027F | ||
20592 | Sanyo Denki | PU0D015RMH1S01 | Sanyo Denki | PU0-S1010R-00 | Sanyo Denki PU0D015RMH1S01 + PU0-S1010R-00 Servo Assy, P50B03003PX500 |
20593 | Sanyo Denki | PMDPA1C3P20 | 6 Sanyo Denki PMDPA1C3P20 PM Driver, Type C | ||
20594 | SAS | 4007-099-0037 | SAS99-0037, 219403, Theta Stage Cable, Assembly, Flex Lead. | ||
20595 | Sasaki Electronic Corporation | RU-24 | Sasaki RU-24 Patlite Mini Red Revolving Warning Light, 24VDC, 24V6W | ||
20596 | Saunders | AJ-13-05 | SAUNDERS AJ-13-05 1/4- 1/2″ TEF | ||
20597 | SBC | B9300600AB18710822 | Lot of 3 B9300600AB18710822 Single Board Computer w/P4 2.0Ghz CPU & 1GB Ram ROBO-8710VLA | ||
20598 | SBS Embedded Computers | TB-CPR03-AP | SBS Embedded Computers TB-CPR03-AP, 5427-30-29 Rev. 10, PCB Board. | ||
20599 | Scale | Stirring Machines & Scale | |||
20600 | SCEU-106AA | V39-A | SCEU-106AA, V39-A, AP-447E, BOARD, CONTROLLER | ||
20601 | SCEU-106AA | V39-A | SCEU-106AA, V39-A, AP-447E, BOARD, CONTROLLER | ||
20602 | SCG | SCG Coater AIO-0220 Resist Catch Cup Coater Track | |||
20603 | Schaffner | FN256-80-34 | Schaffner FN256-80-34 Neutral EMC Line Filter, 3 Phase | ||
20604 | Schalter | 18800045 | 18800045 SRV/S06D06 SCHALTER MICRO- mit ROLLE | ||
20605 | SCHEM | 331570 | 331550 | SCHEM 331570 DAQ Hummingbird Becton Dickinson Assembely 331550 | |
20606 | Schlumberger | 740021410 | Schlumberger 740021410 DC Power Supply REV 002 | ||
20607 | Schlumberger | A3012503000 | interface PCB | ||
20608 | Schlumberger | A3069253000 | Actuator assy, SCKT, PLGA | ||
20609 | Schlumberger | A3126022000 | NGH Theta Axis motor assy | ||
20610 | Schlumberger | A3165550037 | Schlumberger A3165550037, XY controller ribbon cable | ||
20611 | Schlumberger | A3165550078 | Schlumberger A3165550078 Video Harness cable assy | ||
20612 | Schlumberger | A3165550099 | Schlumberger A3165550099 Tray Gripper I/O cable | ||
20613 | Schmersal | BN533-11z | Schmersal BN533-11z Safety Sensor | ||
20614 | Schneider Electric | 10A | Schneider Electric Circuit Breaker 10A lots of 13 | ||
20615 | Schneider Electric | 25A | Schneider Electric Circuit Breaker 25A lots of 7 | ||
20616 | Schneider Electric | 60260F | Schneider Electric 60260F C60 Miniature Circuit Breaker | ||
20617 | Schneider Electric | componar-s 2.8/50 | SCHNEIDER KREUZNACH COMPONAR-S 2.8/50 | ||
20618 | Schneider Electric | DFCC3V | SCHNEIDER ELECTRIC DFCC3V CLASS CC 10X38, FUSE HOLDER | ||
20619 | Schneider Electric | LAD4TBD | TeSys | LC1DT406BL | Schneider Electric LAD4TBDL, TeSys-073546, LC1DT406BL |
20620 | Schneider Electric | MG24491 | Schneider Electric MG24491 Supplementary Protector Volts Poles Amps | ||
20621 | Schneider Electric | XPS-AC | Schneider XPS-AC, MODULE FOR EMERGENCY STOP, XPSAC5121, AMAT 1200-00611, 24V | ||
20622 | Schrack | RMC05024 | Schrack RMC05024 Relay | ||
20623 | Schrader Bellows Series | Schrader Bellows Series, TT AIR Cylinder, 1.12 x 2.00, 200 PSI | |||
20624 | Schroff | 23021-603 | Schroff 23021-603 Test adapter card/48/48-pin | ||
20625 | Schuetz | MR-1 | Schuetz Messtechnik MR-1 Surface Resistance Meter | ||
20626 | Schumacher | 1491-1500N | TEL | SU03096 | Schumacher 1491-1500N Refill Controller, Model SCM, DSCE for Trans LC |
20627 | Schumacher | 1491-1500N | TEL | SU03096 | Schumacher 1491-1500N Refill Controller, Model SCM, DSCE for Trans LC |
20628 | Schumacher | 1491-1500N | Schumacher 1491-1500N bulk Refill controller for Bubbler | ||
20629 | Schumacher | SCHUMACHER F1 1.5A SW1 POWER SUPPLY | |||
20630 | Schurter | AS168X-CB2 | AS168X-CB2G200 | 5 Schurter AS168X-CB2 Circuit Breaker, AS168X-CB2G200, 20A, G200 | |
20631 | Schurter | AS168X-CB2 | AS168X-CB2G200 | 4 Schurter AS168X-CB2 Circuit Breaker, AS168X-CB2G200, 20A, G200 | |
20632 | Scientific Instruments | 120-476 | novellus | 27-128714-00 | Aqua Trap regen controller in OEM box |
20633 | Scientific technologies | MS4300B-2 | Scientific technologies MS4300B-2, Minisafe Light curtain | ||
20634 | Scientific Technologies Inc | T2007 | 28361-0010, ICE 60947-5-1 | 6 Scientific Technologies Inc T2007, 28361-0010, ICE 60947-5-1, Safety Switch | |
20635 | Scientific Technologies Incorporated | 51552-0100 | STI 51552-0100 SSLE10 Single w/ STD STI-SSLE55 Prosafe | ||
20636 | SCP | 326-067-1K | Preco | 170 074 1C | SCP 1100B Quick Dump Rinser7-1K, Controller. 10-Sol, 3-Disp |
20637 | SCR | 1014-03 | SCR 1014-03, AMAT 0190-35634, Heater Driver DCSXZ | ||
20638 | Scramnet | H-AS-GPM128SC-22 | SCRAMNET H-AS-GPM128SC-22 PCB board AHPRBSCGT2PM BIT STREAM | ||
20639 | Screen | 2-F2-3333Z | Screen 2-F2-3333Z Spin Chuck, 0054mm | ||
20640 | Screen | 5-39-50339 | Screen 5-39-50339, Temperature Control Circuit Board, PCB | ||
20641 | Screen | SEMC00442 | Screen SEMC00442 Master Controller, SECU-104A2, SESI-104 | ||
20642 | Screen | SESC-0062 | Screen SESC-0062 Controller Unit, SEAD-0044 SPAN ,Misio SEIO-004, SECU-004A | ||
20643 | Screen | SSC-005 | Screen SSC-005 Spin Chuck, Wafer Scrubber | ||
20644 | Screen | SSC-005 | Screen SSC-005 Spin Chuck, Wafer Scrubber | ||
20645 | Screen | SSC-005 | Screen SSC-005 Spin Chuck, Wafer Scrubber | ||
20646 | Screen | 2-J4-85827 | S2-306484-01 | 5 Screen 2-J4-85827 Stripper Ring, S2-306484-01 | |
20647 | Screen | 2-F3-38251 | Screen 2-F3-38251 Pulley, S2-310622-01 | ||
20648 | Screw | 0770-739052 | 000225-004022 | 10 0770-739052 Rev.A, 000225-004022, Screw. | |
20649 | SCV | TD4SC-GC-1671FA | SCV KIT2 SCT TD4SC-GC-1671FA Valve, SST, 06-16216-00 | ||
20650 | SCV KITZ | SUS316LE | SCV KITZ SCT Pneumatic N.C. Diaphragm Valve, Body:SUS316LE, Seat: PCTFE | ||
20651 | SCV KITZ | SUS316LE | SCV KITZ SUS316LE, TD4CS-GC-1671-FA, SCT Pneumatic, N.C. Diaphragm Valve. | ||
20652 | SDI | CMS III-A | SDI CMS III-A Contamination Monitoring System, CMS, Parker 106061S10M | ||
20653 | Seagate | ST-157N | Seagate ST-157N, 48MB Hard Drive, ST-157-N, ST157N, | ||
20654 | Seagate | ST251-1 | Seagate ST251-1rddrive, ID | ||
20655 | Seagate | Barracuda 7200.9 | ST3808110AS | Seagate Barracuda 7200.9 Hard Drive, 80 GB, ST3808110AS, 9BD131-525 | |
20656 | Seal | CEP022-BLC1260 | 2 CEP022-BLC1260 (CEP011-BLC1260) OIL SEAL NOBLC033 | ||
20657 | Seal | ISH005 | 38 ISH005 Bearing Seal | ||
20658 | Seal | 000125-019-101104 | Rubber Stops 000125-019-101104 | ||
20659 | Seal | 03495-01970 | 2 Gasket, 03495-01970 | ||
20660 | Seba | 4 Seba SCTSF-SL-030-P3/4-CMN Dimmer Module | |||
20661 | SEC | 3100-377 | SEC 3100-377 PCB Board, FAB PCB3100-650 | ||
20662 | SECU-10 5 | V39-A | SECU-10 5, V39-A, AP-447E, BOARD | ||
20663 | SECU-105 | W92304602 | AP-447E | SECU-105, AP-447E, W92304602, Circuit Board | |
20664 | SECU-105 | W92304602 | AP-447E | SECU-105, AP-447E, W92304602, Circuit Board | |
20665 | Seeka | PT30NAL | 2 Seeka PT30NAL, Photo Sensor, Left. | ||
20666 | Seeka | PT30NAR | Seeka PT30NAR Photo Sensor, Right, | ||
20667 | Seeka | PT30NAR | Seeka PT30NAR Photo Sensor, Right, | ||
20668 | Seeka | SST-112-L | Seeka SST-120-L Wide Sensor Light Curtain | ||
20669 | Seeka | SST-120-L | Seeka SST-120-L Wide Sensor Light Curtain | ||
20670 | Seeka | SST-120-L | Seeka SST-120-L Wide Sensor Light Curtain | ||
20671 | SEEKA TAKENAKA | SST108 | SEEKA TAKENAKA SST108 WIDE SENSOR LIGHT CURTAIN PHOTO | ||
20672 | Seiko Seiki | SCU-H600 | Seiko Seiki SCU-H600 Turbo Molecular Pump Control Unit, STP, STP-H600 | ||
20673 | Seiko Seiki | SCU-H1301L1B | Seiko Seiki SCU-H1301L1B, Turbomolecular Pump Control Unit, STP-H1301L1B | ||
20674 | Seiko Seiki | STP-X600A | VAT | VAT Gate Valve, Seiko Seiki STP-X600A Turbo Molecular Pump High Vacuum Isolation | |
20675 | Seiko Seiki | STP-X600A | Seiko Seiki STP-X600A Turbo Molecular Pump, High Vacuum | ||
20676 | Seiko-Seiki | STP-400 | Seiko-Seiki STP-400 Turbo Molecular Pump, AMAT 3620-90094 | ||
20677 | Seimens | 3RV1041-4KA10 | Seimens 3RV1041-4KA10 AC Contactor, Sirus Circuit Breaker | ||
20678 | Seimens | KPY53A | SEIMENS KPY53A Silicon Piezoresistive Absolute Pressure Sensor | ||
20679 | Seki Denko | 2100 | Advanced Energy | 2100 | Sekidenko Advanced Energy 2100 Optical Fiber Thermometer Emissometer |
20680 | Seki Denko | 2100 | Novellus Ipec speedfam | 27-289250-00 | AE Advanced Energy Sekidenko DR2000 Series Optical Fiber Thermometer Instrument With 4 channel Emissometer Probe Sensor PL600X27-2.0-3142 , Cables, eDAS Software Disk, surplus from major semiconductor fab |
20681 | Seki Denko | PL1500-03 | Seki Denko PL1500-03 Optics cable ceof 1.1963 Gasonics pn 94-3050 | ||
20682 | Sekidenko | 2000 | AMAT | 950-3015-01 | Sekidenko 2000 Optical Fiber Thermometry AMAT 950-3015-01 Wafer Temp Monitor |
20683 | SEM | 0001-00001 rev C | Used PCB Scan driver bd for SEM 0001-00001 rev C | ||
20684 | SEM | 0003-00596 rev c | Used PCB Scan Focus Board for SEM 0003-00596 rev c | ||
20685 | SEM | 549-6309 | SEM Stage Control Interface Panel 549-6309 | ||
20686 | Semi Gas Systems | CS-350A | SPAN | GCS-300 | Semi Gas Systems CS-350A Cylinder Scale Monitor w/ SPAN GCS-300, RS1168 |
20687 | Semi Gas Systems | CS-350A | SPAN | GCS-300 | Semi Gas Systems CS-350A Cylinder Scale Monitor w/ SPAN GCS-300, RS1170 |
20688 | Semi Parts | SPI-99-247-003ALT | Tegal | 99-247-003 | Semi Parts SPI-99-247-003ALT Spatula Inerface SPI-3, Tegal 99-247-003, |
20689 | Semi Technology Solutions | 0200-09002 | Lot of 2 Semi Technology Solutions 0200-09002 Window Slit CVD Chamber | ||
20690 | Semi Techology Solutions | 0200-09577 | Semi Technology Solutions 0200-09577, Lift Pin, Rev 1 Ceramic | ||
20691 | Semiconductor Diagnostics | 210E-SPV | Semiconductor Diagnostics SDI 210, 210E-SPV, FAST, Wafer Measurement | ||
20692 | Semiconductor systems | 02-10720 | Semiconductor systems 02-10720 Spin logic PCB assy w 02-10719 and 02-10723 spin driver PCB, repaired with paperwork, There may be some bent components due to handling | ||
20693 | Semiconductor Systems | P/N02-10001 | Semiconductor Systems P/N02-10001 Driver I/O PCB, FAB 09-04352, | ||
20694 | SemiFlow Engineering | SFC2010 | Perkin Elmer | SemiFlow SFC2010 Mass Flow Controller, MFC, N2OCCM, Perkin Elmer | |
20695 | SemiFlow Engineering | SFC2010 | Perkin Elmer | SFC-2000 | SemiFlow SFC2010 Mass Flow Controller MFC, SiH4, 2000 SCCM, Perkin Elmer |
20696 | SemiFlow Engineering | SFC2010 | SemiFlow SFC2010 Mass Flow Controller, MFC, SiH4, 2 SLPM, Perkin Elmer | ||
20697 | SemiFlow Engineering | SFC2010 | SemiFlow SFC2010 Mass Flow Controller MFC 2%TMB/H2 700 SCCM Perkin Elmer | ||
20698 | SemiFlow Engineering | SFC2010 | SemiFlow SFC2010 Mass Flow Controller, MFC, NH3CCM, Perkin Elmer | ||
20699 | SemiFlow Engineering | SFC2010 | SemiFlow SFC2010 Mass Flow Controller, MFC, O2,CM, Perkin Elmer | ||
20700 | Semi-Gas Systems | AS-200 | Semi-Gas Systems AS-200 Automatic Switchover | ||
20701 | Semi-Gas Systems | GSM-1A | Semi-Gas Systems GSM-1A Gas Safety Monitor | ||
20702 | Semi-Gas Systems | GSM-1A | Semi-Gas Systems GSM-1A Gas Safety Monitor | ||
20703 | Semi-Gas Systems | GSM-4A | Semi-Gas Systems GSM-4A Gas Safety Monitor | ||
20704 | Semi-Gas Systems | GSM-4A | Semi-Gas Systems GSM-4A Gas Safety Monitor | ||
20705 | Semi-Gas Systems | Unknown | SEMI GAS SYSTEM 2 AUTOMATIC SWITCHOVER AND 1 CYLINDER SCALE | ||
20706 | Semi-Parts | 99-125-008 | Semi-Parts 99-125-008 PCB, FPI-8, Front Panel Interface, SPI-99-125-008, | ||
20707 | Semi-Parts | SPI-99-224-001 | Tegal | 99-224-001 | 2 Semi-Parts SPI-99-224-001 Center Sensor, CSN-1, PCB, Tegal 99-224-001, |
20708 | Semitool | 14863-505 | Motor interface board assy surplus inventory from major semiconductor factory | ||
20709 | Semitool | 14863-507 | Semitool 14863-507 Board Assembly MTR Interface INHBTD PCB | ||
20710 | Semitool | 16745-501 | Semitool 16745-501 Overtemp PCB | ||
20711 | Semitool | 16750-505-0016 | SEMITOOL 2601800 , 16750-505-0016 | ||
20712 | Semitool | 23861-01 | Overtemp 5CH HDR Latched5 Deg PCB Board Semitool 23861-01, New | ||
20713 | Semitool | 4″ | Semitool, 1-Phase, SRD, Spinner Rinser Dryer, 4″, | ||
20714 | Semitool | 60701-01 | KBIC-118 DC Motor speed control Surplus inventory from major semiconductor facility. Very clean | ||
20715 | Semitool | 70961-114 | Switch Flow 70961-114 100 SCC/M CO2, Lot of 3, Used | ||
20716 | Semitool | 72056-23 | SM312FPH | Semitool 72056-23 SM312FPH 1000ML Fiber Optic Flowmeter Sensor Banner SM312FPH | |
20717 | Semitool | 80787-04 | Nozzle FLT 80787-04 80deg@40psi, Looks New, Lot of 8 | ||
20718 | Semitool | 80787-50 | Nozzle FLT 80787-50 95Deg@500psi, Lot of two, New | ||
20719 | Semitool | 80787-50 | Nozzle FLT 80787-50 95Deg@500psi, New | ||
20720 | Semitool | 97004-35 | Belt Gate 97004-35, New | ||
20721 | Semitool | A182-60MB-0215 | 921R-A1A | 6″ SEMITOOL SRD A182-60MB-0215 2800 RPM CONTROLLER, 921R-A1A Relay | |
20722 | Semitool | IMBT12-450-375M-185 | Semitool Solvent tool Rotor IMBT12-450-375M-185, RPM Max 2800, 34798-1 | ||
20723 | Semitool | SST408 | Rhetech | Semitool SST408 Solvent Chemical Stripping Resist Remover Tool, SST, 6″ | |
20724 | Semitool | SSTF42120F | Semitool SSTF42120F Solvent Chemical Stripping Resist Remover Tool, SST, 6 inch, | ||
20725 | Semitool | WST308A(1CC)BT | Semitool WST 308 Solvent Chemical Stripping Resist Remover Tool, SST, | ||
20726 | Semitool | Semitool 9004-228, Colder Products Company, CPC CQG06PMKIT01, ChemQuik Panel Kit | |||
20727 | Semitool | PSC-101 | Semitool PSC-101 SRD Controller, F Model, 906-01189, 120 VAC, 1 Phase | ||
20728 | Semprex | 17-2358.00 | Semprex 17-2358.00 Motor Controller | ||
20729 | SEMR | SEMR-104 | SEMR-104, SPME AP-503B, AP-50, HI07006A, PCB | ||
20730 | SEMR | SEMR-104 | SEMR-104, SPME AP-503B, AP-50, HI07006A, PCB | ||
20731 | SEMR-104 | SPME AP-503B | SEMR-104, SPME AP-503B, PCB Board | ||
20732 | Semr-104, | AP-503B | Semr-104, SPME AP-503B PCB Board | ||
20733 | Semtronics | EN762-1 | Semtronics EN762-1 Footwear/Wrist Strap ESD Grounding Test Station | ||
20734 | SEMY | MYP820800 | SEMY engineering MYP820800, Control rack with 9 boards. MYP820700,MYP820900A, MYP820100, MYP820300, MYP820400, MYP820200, MYP820500, MYP820600 | ||
20735 | Sena | 2450DR | Sena 2450DR Power Supply Unit, Lamp House, LPC50 Remote Control, | ||
20736 | Sena | 2450DR | Sena 2450DR Power Supply Unit, Lamp House, LPC50 Remote Control, | ||
20737 | SensArray | 13B9A4 | SU/2-18 | RTD/V | SensArray 13B9BA4, Thermal Map, Intelligent Sensor Input System, SU/2-18, RTD/V |
20738 | SensArray | 1501D-8-0003 | Sensarray 1501D-8-0003 Process Probe Instrumented wafers, lot of two | ||
20739 | SensArray | 1530D-8-0010 | SensArray 1530D-8-0010 8″ Single Probe Wafer 0-1100 C, Type K Thermocouples | ||
20740 | SensArray | 1770A-8-0028 | SensArray 1770A-8-0028 8″ 5 Probe Wafer 150-200 C, Type K Thermocouples 1770 | ||
20741 | SensArray | 1770A-8-0028 | SensArray 1770A-8-0028 8″ 5 Probe Wafer 150-200 C, Type K Thermocouples | ||
20742 | SensArray | 1770A-8-0028 | SensArray 1770A-8-0028 8″ 5 Probe Wafer 150-200 C, Type K Thermocouples | ||
20743 | SensArray | 480-10-36-01 | TC/V | SensArray 480-10-36-01, Thermal Map, TC/V, I/O Interface Module | |
20744 | SensArray | 482-05-0002 | SensArray 482-05-0002 Thermal Track Wafer, Temp Measurement Calibrator | ||
20745 | SensArray Corporation | 1501A-6-0258A | SensArray 1501A-6-0258A Probe Wafer Type K Thermocouple, 0-1100 C | ||
20746 | Sensiron | Unknown | Sensirion the sensor company products, kit, used | ||
20747 | sensor | 233315405 | Sensor, Hall-Effect 233315405, SO# 528397, Lot of 3 | ||
20748 | sensor | BM26476 | BM26476 LEFT CORNER I/R SENSOR | ||
20749 | sensor | MS4332B-2 | No Cable Light Curtain MS4332B-2 32″ | ||
20750 | Sensorik | 4003 | 2 Sensorik 04003, Amplifier, SV-45/30/15-N-SVDC, 1A300mA. | ||
20751 | Sensotec | 060-3147-02 | Sensotec 060-3147-02 Digital Pressure Transducer | ||
20752 | Sensotec | 41/571-06-02 | New Load cell. 0-1000 Lbs low profile, with paperwork, surplus inventory large semiconductor co. | ||
20753 | Sensotec | Model GM | 060-3147-01 | Sensotec Model GM Display Signal Conditioner, 060-3147-01, 0-5 VDC | |
20754 | Sensys | 43-0044 | Sensys Assy 43-0044, CMS Interconnect Board, FAB4, PCB | ||
20755 | Sentro Tech Corp | ST-1200C-101016 | Sentro Tech Corp ST-1200C-101016 Muffle Box Furnace, NSH-33-R | ||
20756 | Sequoia-Turner | 9600424 | Sequoia-Turner Chopper Drive P.C.B | ||
20757 | Seren | IPS R5001 | Seren IPS R5001 RF Generator, 9600960001, 13.56 MHz | ||
20758 | Seren | IPS R5001 | Seren IPS R5001 RF Generator, 9600960001, 13.56 MHz | ||
20759 | Seren | R301 | Seren R301 RF Power Supply, 300W, 13.56MHz, 9600730016 | ||
20760 | Seren | Seren IPS L300 | Seren IPS L300 Radio Frequency Power Supply, 208 VAC7/63 Hz | ||
20761 | Seren | R2001 | Seren IPS R2001 RF Generator, 9600650012, 2000W, 1.7-2.1 MHz, 190-264V | ||
20762 | Seren | R5001 | 9600960001 | Seren IPS R5001 RF Generator, 9600960001, 13.56 MHz, 190-264V | |
20763 | Seren IPS | R2001 | 9600650012 | Seren IPS R2001 RF Generator, 9600650012, 2000W, 1.7-2.1 MHz, 190-264V | |
20764 | Seren IPS | R2001 | 9600650012 | Seren IPS R2001 RF Generator, 9600650012, 2000W, 1.7-2.1 MHz, 190-264V | |
20765 | Series GR | 162P-T | Series GR 162P-T, Powertrak, Twenty One Links. | ||
20766 | Servo Amp | 260-56110-01 | SERVO AMPLIFIER ASSY 260-56110-01 BOARD | ||
20767 | Servo amplifier | 260-56110-01 | PCB board assy 260-56110-01 servo amplifier, 260-56110-AB | ||
20768 | ServSwitch Jr. | SW622A | Black Box SW622A, ServSwitch Jr, 4- Port KVM Switch | ||
20769 | SETRA | 209 | Pressure transducer -14.7 – 100 psiG, 24VDC 209101-05-5F | ||
20770 | Setra | 225 | 2251050PGC42C06 | Setra 225 Pressure Transducer, 2251050PGC42C06 | |
20771 | Setra | 225 | 2251050PGC42C06 | Setra 225 Pressure Transducer, 2251050PGC42C06 | |
20772 | SETRA | 264 | Setra model 264 Differential pressure transducer | ||
20773 | SETRA | 213100-SP | Setra 213100-SP, SP Pressure Transducer, 212FT, 0 to 100 PSIG. | ||
20774 | SETRA | 2231025PABW2CD1M | Setra 2231025PABW2CD1M Pressure Transducer, Model 223, 0-25 PSIA, 13-30 VDC | ||
20775 | SETRA | 2271100PAE52CD1M | Setra 2271100PAE52CD1M, Range 0-100 PSIA, Excitation 13-30VDC, Output 0-10 VDC | ||
20776 | SETRA | 2651001WDACT1C | Setra 2651001WDACT1C Pressure Transducer | ||
20777 | Setra | 26710R1WD2ED9CN | Novellus | Setra 26710R1WD2ED9CN Differential Pressure Transducer, Novellus 03-417044-00/1 | |
20778 | SETRA | C280E | Setra Systems C280E Pressure Transmitter, 0-100 PSIG, 24VDC | ||
20779 | SETRA | Model 223 | 2231100PABW2CD1M | Setra Model 223 Pressure Transducer, 0-100 PSIA, 2231100PABW2CD1M | |
20780 | SETRA | Unknown | Dual Gas Manifold W/ Setra 217 Pressure switch, Tescom Iso valve, Pall Filters | ||
20781 | Setra | 2231025PABW2CD1M | 223 | Setra 2231025PABW2CD1M Pressure Transducer, Model 223, 0-25 PSIA | |
20782 | Setra | 2231100PAEB2CD1M | 223 | Setra 2231100PAEB2CD1M Pressure Transducer, Model 223, 0-100 PSIA | |
20783 | Sew Eurodrive | MC07B0004-5A3-4-00 | Sew Eurodrive MC07B0004-5A3-4-00 Movitrac Inverter Drive, 18211364, RS1260 | ||
20784 | Sew Eurodrive | MC07B0004-5A3-4-00 | Sew Eurodrive MC07B0004-5A3-4-00 Movitrac Inverter Drive, 18211364, RS1261 | ||
20785 | SGN | 34 A16-43 65 | SGN 34 A16-43 65, 474×94, Up Glass Plate. | ||
20786 | Shaffner | FN 356-100-34 | Line filter, 480/240 | ||
20787 | Shaffner | FN 356-100-34 | Line filter, 480/240 | ||
20788 | Shaft | AD2686B1 | 3 Shafts AD2686B1 Rev. C | ||
20789 | Sharp Dryden | FHT-10 (410090104) | 12 Sharp Dryden FHT-10 (410090104) Filter, Air Gun Replacement | ||
20790 | SHB Instruments | Model 109 | SHB INSTRUMENTS MODLE 109 SCOPE | ||
20791 | Shibaura | 9QA2414 | Shibaura 9QA2414, G-210(P), Perfluoro, O-ring | ||
20792 | Shibaura | 9QA3045 | Shibaura 9QA3045 Joint | ||
20793 | Shibaura | P1827T | 8 Shibaura P1827T, 9BE2011, G-75 (P), Perfluoro, O-ring | ||
20794 | Shibaura | P1911T | 9B2099 | 3FA3282G-1 | Shibaura Electec P1911T Buffer Ring, 9B2099, 3FA3282G-1 |
20795 | Shibaura | SFA1151-RO | 3 Shibaura SFA1151-RO Sensor | ||
20796 | Shim | CEP022-YAR0430 | 16 Shim CEP022-YAR0430 Metallic Ring | ||
20797 | Shimaden | SR25-2P-N-00699609 | Canon | Y75-1143-000 | Shimaden SR25-2P-N-00699609 Temperature Controller, Canon Y75-1143-000, |
20798 | Shindengen | EY122R1U | Shindengen EY122R1U Switching Power Supply, EY Series, | ||
20799 | Shindengen | EY124R2U | SHINDENGEN ELECTRIC EY124R2U SWITCHING POWER SUPPLY 12V4.2A | ||
20800 | Shindengen | GY24010 | Shindengen Electric GY24010 GN 24VDC 10A Power supply | ||
20801 | Shindengen | GY24010GN | Novellus Ipec Speedfam | 950630 | Lot of 2 used switching power supplies look new and unused |
20802 | Shindengen | SP1996-5450 | Shindengen SP1996-5450 Switching Power Supply | ||
20803 | Shindengen | SP1996-5451 | Shindengen EY122R1U Switching Power Supply SP1996-5451, | ||
20804 | Shindengen Electric | EY124R2U | Shindengen Electric EY124R2U Switching Power Supply, 12v, 4.2A, | ||
20805 | Shinko | 3EF113C005100 | NEW SHINKO 3FE113C005100 ASSY, PCB NC-POW | ||
20806 | Shinko | OHT-G | Asyst | 3ASSYC006800 | Shinko OHT-G PCB Assy, Asyst 3ASSYC006800 |
20807 | Shinlin | S-C112 | Shinlin S-C112, Magnetic Contactor. | ||
20808 | Shoplink | Shoplink Controller System, | |||
20809 | Showa Optronics | GLG5410 | Showa Optronics GLG5410 Laser | ||
20810 | Showa Optronics | GLS 3080 | Showa Optronics GLS 3080 Gas Laser Powersupply with ducted exhaust housing | ||
20811 | Showa Optronics | GLS3078 | Showa Optronics GLS3078 Laser Power Supply, AC200-240V,z, 6A | ||
20812 | Showa Optronics | GLS3078 | Showa Optronics GLS3078 Laser Power Supply, AC200-240V,z, 6A | ||
20813 | Shrader Scientific | 13549-01 | Shrader Scientific 13549-01 High Vacuum Valve, Helium Leak Tested | ||
20814 | Shrader Scientific Inc | 295-0100-1 | Shrader Scientific Inc 295-0100-1, Valve, Right Angel 1″. | ||
20815 | SI Span Instruments | SI Span InstrumentsSI Vacuum Pressure Gauge | |||
20816 | SIC | MC-2100 | MASS FLOW CONTROLLER MC-2100 NEW | ||
20817 | SIC | MC-2200 | MASS FLOW CONTROLLER MC-2200 NEW | ||
20818 | SIC | MC-4400 | MASS FLOW CONTROLLER MC-4400 NEW | ||
20819 | SIC Electronics | PA5570998A | 10 SIC Electronics PA5570998A O-ring, Kalrez (MXP+LID), 9107-1102, | ||
20820 | SICK | CM18-08BPP-TW0 | SICK CM18-08BPP-TW0 Proximity Switch Sensor | ||
20821 | Sick | i10-M0233 | Sick i10-M0233 Safety Switch w/ Key & Key Mount Locking Mechanical Lock | ||
20822 | Sick | PPS-AA1 | Parker Veriflo 930AOPLPNCSFSFMVESP2.56 Pneumatic Diaphragm Valve, 54013691 | Sick PPS-AA1 12.5VDC Handheld Power Supply | |
20823 | Sick Optic | PPS-AA1 | Sick PPS-AA1 12.5VDC Handheld Power Supply | ||
20824 | Sick Optic | VE-2P2438 | 2 Sick VE-2P2438, Through Beam Photoelectric Sensor. | ||
20825 | Sick Optic | VE18-2P2132 | Sick VE18-2P2132, Photo Electric Sensor. | ||
20826 | Sick Optic | WL12-2B560 | Sick WL12-2B560 Photoelectric Sensor | ||
20827 | Sick Optic | WL9-2P131 | Sick WL9-2P131 Photoelectic Reflex Sensor, Switch | ||
20828 | Sie Sensorik | SK1-TM-6-M12/60-P-nb-O-PTFE | AMAT | 1400-01340 | Sie Sensorik SK1-TM-6-M12/60-P-nb-O-PTFE Sensor, AMAT 1400-01340, |
20829 | Siebe | MSC-U1000 | 4 SIEBE ENVIRONMENTAL CONTROLS MSC-U1000 4 channel smoke control | ||
20830 | Sieger | DIGI-CHEM | Sieger Gas detection DIGI-CAT and DIGI-CHEM with sensor | ||
20831 | Siemen | 3RH1921-1DA11 | Siemens 3RH1921-1DA11 Auxiliary Contact Block | ||
20832 | Siemens | 3TF2010-0BB4 | 2 siemens 3TF2010-0BB4 | ||
20833 | Siemens | 057-4CA00-0AA0 | Siemens GED1 057-4CA00-0AA0, Contractor, Contact 24. | ||
20834 | Siemens | 153-1AA03-0XB0 | Siemens Simatic S7, 1P 6ES7 153-1AA03-0XB0, ET 200M I/O Station. | ||
20835 | Siemens | 230RCLB11 | Siemens Logo 230RCLB11 Module 6ED1 053-1FH00-0BA1 | ||
20836 | Siemens | 3RH1921-1DA11 | 3RV1901-1J | Siemens 3RH1921-1DA11 & 3RV1901-1J Aux Contact Blocks | |
20837 | Siemens | 3RH1921-1DA11 | 3RV1901-1J | Siemens 3RH1921-1DA11 & 3RV1901-1J Aux Contact Blocks | |
20838 | Siemens | 3RT1046-1B | Siemens 3RT1046-1B Contactor, 3RT1046-1BB40, w/ 3RV1901-1J Aux Contact | ||
20839 | Siemens | 3RV1011-1CA10 | SIEMENS circuit breaker 3RV1011-1CA10 starter motor protector | ||
20840 | Siemens | 3TF32 11-0BB4 | Novellus IPEC Speedfam | 972856 | 4 IPEC Speedfam Novellus 972856, Seimens 3TF32 11-0BB4, Contactor, 3ph, 24VDC, Looks new |
20841 | Siemens | 3TF32 11-0BB4 | Novellus IPEC Speedfam | 972856 | IPEC Speedfam Novellus 972856, Seimens 3TF32 11-0BB4, Contactor, 3ph, 24VDC, |
20842 | Siemens | 3UA52 00-2B | Siemens 3UA52 00-2B, Overload Relay 12, 5-20A | ||
20843 | Siemens | 422-8MA11 | Siemens 6ES5A11, Digital Input Module, Simatic S5. | ||
20844 | Siemens | 5SX2 | SIEMENS 5SX2, 1AMP Circuit Breaker, Max 480V AC. | ||
20845 | Siemens | 5SX2 | Siemens 5SX2, Circuit Breaker, 1 Pole, 20 Amp | ||
20846 | Siemens | 5SX2 | Siemens 5SX2, Circuit Breaker, 1 Pole, 6 Amps | ||
20847 | Siemens | 5SX2 | Siemens 5SX2, Circuit Breaker, 1 Pole, 1 Amp | ||
20848 | Siemens | 5SX2 | Siemens 5SX2, Circuit Breaker, 1 Pole, 5 Amp | ||
20849 | Siemens | 5SX2 | Siemens 5SX2, 3 Pole | ||
20850 | Siemens | 5SX23 | 0897 C32 | Siemens 5SX23, 0897 C32, 3 pole, 32A | |
20851 | Siemens | 5SY42 | Siemens 5SY42, MCB, C6, 2 Pole Circuit Breaker | ||
20852 | Siemens | 5SY42 | Siemens 5SY42, MCB, C6, 2 Pole Circuit Breaker | ||
20853 | Siemens | 5SY42 MCB C6 | Siemens 5SY42 MCB C6 2 Pole Circuit Breaker | ||
20854 | Siemens | 5SY42 MCB C6 | Siemens 5SY42 MCB C6 2 Pole Circuit Breaker | ||
20855 | Siemens | 5SY42 MCB C6 | Siemens 5SY42 MCB C6 2 Pole Circuit Breaker | ||
20856 | Siemens | 6ED1 | 057-4CA00-0AA0 | 3NO+1NC | Siemens 6ED1 057-4CA00-0AA0, 3NO+1NC, module |
20857 | Siemens | 6EP1336-2BA10 | Siemens SITOP Psu100s Power Supply 6EP1336-2BA10, PLC, 24V 20A | ||
20858 | Siemens | 6EP1336-2BA10 | Siemens SITOP Psu100s Power Supply 6EP1336-2BA10, PLC, 24V 20A | ||
20859 | Siemens | 6ES5524 | Siemens Simatic S5 Communications Processor 6ES5524-3UA13 | ||
20860 | Siemens | 6ES5524-3UA13 | Siemens 6ES5524-3UA13 Simatic S5 Communications Processor Board, PCB | ||
20861 | Siemens | 6ES7 235-0KD22-0XA0 | 3 Siemens PLC, 6ES7 235-0KD22-0XA0, 6EP1 331-1SL11, 6ES7 214-1AD23-0XB0 | ||
20862 | Siemens | 6EW1380-4AB01 | SIEMENS 6EW1380-4AB01 POWER SUPPLY STROMVERSORGUNG | ||
20863 | Siemens | 70980-01 | 2 SIEMENS 70980-01 BQ2B030 I-T-E MOLDED CASE CIRCUIT BREAKERS 2 POLE | ||
20864 | Siemens | BAS 28 E-6327 | Siemens Components BAS 28 E-6327 Switching Diode 85V, 0.2A Approx 2500 | ||
20865 | Siemens | BQ2B030 | Siemens BQ2B030 Circuit Breaker, Gasonics 70980-01, 2 Pole, 30A, 60Hz | ||
20866 | Siemens | BQB030 | 2 Siemens BQ2B030 Circuit Breaker, 2 Pole, 120/240 V, 60 Hz | ||
20867 | Siemens | C79458-L9006-B1 | Siemens C79458-L9006-B1 Communications Processor Board, PCB | ||
20868 | Siemens | CUA32 | Siemens | 340 | Siemens Sinamics CUA32 PLC Control Unit Adapter and 340 Power Module |
20869 | Siemens | ET200U | Siemens Simatic S5 ET200U Interface Module, 6ES5 318-8MB11 | ||
20870 | Siemens | FXD63B100 | Novellus Ipec Speedfam | 951072 | 100 Amp, 3 phase, Main Power circuit breaker |
20871 | Siemens | HFD63F250 | Siemens HFD63F250 Sentron Series Circuit Breaker, 600V, 250A, 3 Pole | ||
20872 | Siemens | K84 D1-X5 | Siemens K84 D1-X5, Cable | ||
20873 | Siemens | SB16001 | BQ2B030 | 2 SIEMENS SB16001 BQ2B030 I-T-E MOLDED CASE CIRCUIT BREAKERS 2 POLE | |
20874 | Siemens | SCI SFH750V | SIEMENS SCI SFH750V PLASTIC FIBER OPTIC TRANSMITTER DIODE | ||
20875 | Siemens | Simatic S5 | 6ES5524-3UA13 | Siemens Simatic S5 Communications Processor 6ES5524-3UA13 | |
20876 | Siemens | Simatic S5 | 6ES5524-3UA13 | Siemens Simatic S5 Communications Processor 6ES5524-3UA13 | |
20877 | Siemens | Siemens 6GK 11430TA02 Simatic S5 Module Card | |||
20878 | Siemens | 505-7339 | TI/SIEMENS39 TIWAY I NETWORK INTERFACE | ||
20879 | Siemens | 6EP1332-5BA00 | SITOP | PSU100C | Siemens 6EP1332-5BA00 Power Supply, SITOP PSU100C |
20880 | Siemens | 6EP1332-5BA00 | SITOP | PSU100C | Siemens 6EP1332-5BA00 Power Supply, SITOP PSU100C |
20881 | Siemens | 6EP1332-5BA00 | SITOP | PSU100C | Siemens 6EP1332-5BA00 Power Supply, SITOP PSU100C |
20882 | Siemens | 6ES7 | 307-1EA00-0AA0 | Siemens 6ES7 PLC Power Supply Module, 307-1EA00-0AA0 | |
20883 | Siemens | 6ES7 | 332-5DH01-0AB0 | Siemens 6ES7 Digital Output ModuleH01-0AB0 | |
20884 | Siemens | 6ES7 | 332-5DH01-0AB0 | Siemens 6ES7 Digital Output ModuleH01-0AB0 | |
20885 | Siemons | 3VU1300-1MG00 | 5 Siemens 3VU1300-1MG00 Motor Starter Protector Assembly w/ 5 3TF2 | ||
20886 | Sierra | Side Trak III | Sierra Side Trak III Mass Flow Controller, MFC, N2,CM | ||
20887 | Sierra Instruments | 810C-DR-2 | Sierra Instruments 810C-DR-2 Mass Flow Controller, MFC, 300 SCCM, HE, | ||
20888 | Sierra Instruments | 810C-DR-2 | Sierra Instruments 810C-DR-2 Mass Flow Controller, MFC, 150 SCCM, Air, | ||
20889 | Sierra Instruments | 860-SC-973-505-1C-P | Sierra Instruments 860-SC-973-505-1C-P Mass Flow Meter Assembly | ||
20890 | Sierra Side Trak | Sierra Side Trak Mass Flow Controller, MFC, N2CM | |||
20891 | Sierratherm | 2K36-182C128-14NC | Sierratherm 2K36-182C128-14NC Furnace, Large Re-flow System | ||
20892 | Sight Systems | LS-8 | Sight Systems LS-8 Digital Light Controller | ||
20893 | Sigma | E21SRFS-JSC-NS-03 | Sigma E21SRFS-JSC-NS-03, Stepping Motor. | ||
20894 | Sigma | H33NRHB-LDW-NS-00 | Sigma H33NRHB-LDW-NS-00, Stepping Motor | ||
20895 | Sigmameltec | PS-10N | 23-2774 | Sigmameltec Type PS-10N Pressure Switch, 23-2774 | |
20896 | Sigmameltec | PS-10N | 23-2774 | Sigmameltec Type PS-10N Pressure Switch, 23-2774 | |
20897 | Sigmameltec | PS-10N | 23-2774 | Sigmameltec Type PS-10N Pressure Switch, 23-2774 | |
20898 | Signameltec | NA | Signameltec Photomask Cup Set, | ||
20899 | Signatone | S-250 | Signatone S-250 Manual Probe Station, Wafer, MicroZoom Microscope | ||
20900 | Signet | 20-3187 | Signet 20-3187, Electrode, M/C Ground Extraction | ||
20901 | Signet | 20-3187 | Signet 20-3187 M/C Ground Extraction Electrode, | ||
20902 | Signet | 20-3187 | Signet 20-3187, Electrode, M/C Ground Extraction | ||
20903 | Signet | 20-5120 | SIGNET 20-5120 ELECTRODE SUPPORT PLATE | ||
20904 | Signet | 20-5120 | SIGNET 20-5120 ELECTRODE SUPPORT PLATE | ||
20905 | Signet | 20-5168 | Signet 20-5168, Gas Tube, M/C, New Style | ||
20906 | Signet | 20-UG-IS | SIGNET 20-UG-IS IONIZATION GAUGE TUBE, ION TUBE (UGD-1S TYPE) | ||
20907 | Signet | 20-UG-IS | SIGNET 20-UG-IS IONIZATION GAUGE TUBE, ION TUBE (UGD-1S TYPE) | ||
20908 | Signet | 20-UG-IS | SIGNET 20-UG-IS IONIZATION GAUGE TUBE, ION TUBE (UGD-1S TYPE) | ||
20909 | Signet | 37-7149 | 17 new signet 37-7149, varian support 07149001 | ||
20910 | Signet | ELH003 | Signet ELH003 Ground Electrode, | ||
20911 | Signet | 20-5108 | Signet 20-5108 Tube, M/C Gas Line | ||
20912 | Signet | 20-5108 | Signet 20-5108 Tube, M/C Gas Line | ||
20913 | Signet | 20-5108 | Signet 20-5108 Tube, M/C Gas Line | ||
20914 | Sii | Snyder Siillon Deionized Water Tank | |||
20915 | SIKA | TD32500 | SIKA electronic TD32500 digital flow and volume measurement instrument | ||
20916 | Silicon Systems | SSD-C25MI-3012, | Silicon Systems SSD-C25MI-3012, KIT, FRU, 256MB, C, Flash, Bootable | ||
20917 | Silicon Thermal | LB300 | Silicon Thermal Powercool LB300 RS-232 Chiller with Dual Power Outputs, | ||
20918 | Silicon Thermal | PS500 | Silicon Thermal PS500 Power Supply, AC Input 120VAC, 60Hz, 7AMP. | ||
20919 | Silicon Thermal | RS-232 | PS500 | Silicon Thermal RS-232 LB300-I Controller w/ PS500 Power Supply | |
20920 | Simco | 4009242 | SIMCO2 SCORPION I REMOTE CONTROL SPEC 70006-239, BATTERY 1604, 70006-239 | ||
20921 | Simco | 4009242 | SIMCO2 SCORPION I REMOTE CONTROL SPEC 70006-239, BATTERY TYPE 1604 | ||
20922 | Simco | 4102098 | Simco8 I/O Clean, static neutralizing air controller | ||
20923 | Simco | SS-2X | Simco Electrostatics Locator TYPE SS-2X | ||
20924 | Simco | Aerostat Guardian | Aerostat Guardian Ionization Air Blower with lights | ||
20925 | Simgelmode | P1-460A-FC-2 | SIMGELMODE 2 METER THORLABS CABLE P1-460A-FC-2, FC/UPC – FC/UPC | ||
20926 | Simple tech | STM-TPAD/12 | Simple Tech 64 MB Memory Upgrades | ||
20927 | SimpleTech | STC3136/256 | Lot of 4 SimpleTech STC3136/256 SDRAM modle 256Mb PC100, Compaq proliant | ||
20928 | SINC | ZSE2-T1-15CL | New SINC ZSE2-T1-15CL Sensor | ||
20929 | Singapore technologies | M27C512 | New Lot of 13 ST M27C512-45XF1 27C512 512KBIT UV EPROM | ||
20930 | singelmode | P1-630A-FC-2 | SINGELMODE 2 METER THORLABS CABLE P1-630A-FC-2, FC/UPC – FC/UPC | ||
20931 | Singelmode | P1-630A-FC-2 | SINGELMODE 2 METER THORLABS CABLE P1-630A-FC-2, FC/UPC – FC/UPC | ||
20932 | Sinko | MLS-006 | Sinko MLS-006 AMP Unit, STI, FS-T1. | ||
20933 | SK Series | SK9067 | SKSeries SK9067 IC Voltage Regulator, | ||
20934 | SKF | 21310 | SKF 21310 Spherical Roller Bearing | ||
20935 | SKF | 528759 | SKF 528759 Oil Seal, 02529-001 | ||
20936 | SKF | 2203E-2RS1TN9 | SKF 2203E-2RS1TN9/LHT23, 3060-01264, Ball Bearing, Self Aligning. | ||
20937 | SKF | FYT 5/8 FM | Applied Materials AMAT | 3060-01671 | 2 SKF FYT 5/8 FM Ball Bearing Flange Unit, AMAT 3060-01671 |
20938 | SKF | 10 SKF 1200 Double Row Self-Aligning Ball Bearing ETN9 BF/01, 8/86, -11- | |||
20939 | SKK | SKK Vacuum Engineering | |||
20940 | Skywell | C0402C103K4RACTU | Lot of 10000 AML .1uF 16V Surface mount capacitors C0402C103K4RACTU | ||
20941 | Skywell | 0603N8RQD500NT | Lot of 2500 AVX Skywell 8pF capacitors 0603N8RQD500NT | ||
20942 | Skywell | 08052R152K500BA | Lot of 2500 AVX Skywell 1.5nF capacitors 08052R152K500BA | ||
20943 | Skywell | 08052R152K500BA | Lot ofVX Skywell1500pFpacitorsLot of 8000 08052R152K500BA | ||
20944 | Skywell | 0805N271J500NT | Lot of 8000 AVX Skywell 270pFpacitors 0805N271J500NT | ||
20945 | Skywell | 0805N271J500NTL | Lot of 8000 Skywell 270pFpacitors 0805N271J500NTL | ||
20946 | Skywell | 0805Y105M160BD | Lot ofywell 1000000pF Capacitors 0805Y105M160BD | ||
20947 | SLI | 17089731 | SLI 17089731 Rev.B, Dual Ground Plate, Panel, Graphite. | ||
20948 | SLI | 17089731 | SLI 17089731, Cracked Dual Ground Plate, Panel Graphite | ||
20949 | SLS Corp | SLS-ASY-ESDK | PROTO-5 | SLC SLS-ASY-ESDK Embedded Systems Development Kit PCB, PROTO-5 | |
20950 | Smart View | DV-101 | Smart View DV-101 DVI to VGA Set | ||
20951 | SMC | 1000 | SMC TigerSwitch 1000 8606T 6 Port Gigabit Switch | ||
20952 | SMC | 99110628 | SMC 99110628 DI SENSOR, PCB, FD-9811001-1C | ||
20953 | SMC | COMBN40-110-50-X | SMC COMBN40-110-50-X Cylinder, Main Tube (SMC), | ||
20954 | SMC | KQL07-11-X2 | 80 SMC FITTINGS KQL07-11-X2 | ||
20955 | SMC | KQP-11 | 29 new SMC FITTINGS KQP-11 | ||
20956 | SMC | MHL2-10D | SMC MHL2-10D parallel gripper actuator with 2 position sensors | ||
20957 | SMC | XGT300-30-1A-X2 | 60-251903-00 | SMC XGT300-30-1A-X2,60-251903-00 Rev.A, Gate Valve, XGT High Vacuum Transfer | |
20958 | SMC | ZSE40-T1-22L | SMC ZSE40-T1-22L pressure switch and display | ||
20959 | SMC | 03-179013-00 | SMC Digital Pressure Switch 03-179013-00 | ||
20960 | SMC | 10-CDQ2B12-10DC | AMAT | 3020-01221 | SMC 10-CDQ2B12-10DC, AMAT 3020-01221, 913-00225-00, Air Cylinder 12 X 10, |
20961 | SMC | 10-CDQ2B12-10DC | AMAT | 3020-01221 | SMC 10-CDQ2B12-10DC, AMAT 3020-01221, 913-00225-00, Air Cylinder 12 X 10, |
20962 | SMC | 10-CDQ2B12-10DC | AMAT | 3020-01221 | SMC 10-CDQ2B12-10DC, AMAT 3020-01221, 913-00225-00, Air Cylinder 12 X 10, |
20963 | SMC | 10-CDQ2B12-10DC | AMAT | 3020-01221 | SMC 10-CDQ2B12-10DC, AMAT 3020-01221, 913-00225-00, Air Cylinder 12 X 10, |
20964 | SMC | 10-CDQ2B12-10DC | AMAT | 3020-01221 | SMC 10-CDQ2B12-10DC, AMAT 3020-01221, 913-00225-00, Air Cylinder 12 X 10, |
20965 | SMC | 10-CDQ2B20-20D-X303 | SMC2B20-20D-X303, Cylinder, 1.0Mpaf/cm², 145PSI. | ||
20966 | SMC | 10-CDM2L20-100-C73L3 | Air cylinder with 3 sensors | ||
20967 | SMC | 10-CMFN20-185-XC1 | 2 tokyo electronics AIR CYLINDERS 72 KST 09391 , SMC 10-CMFN20-185-XC1 | ||
20968 | SMC | 10-IR1000-N01B | SMC 10-IR1000-N01B Precision Regulator | ||
20969 | SMC | 10-VQ1101Y-5 | SMC 10-VQ1101Y-5, 12 Station Pneumatic Manifold Assembly. | ||
20970 | SMC | 10-VQ1201Y-5 | 10-VQ1101Y-5 | SMC 12 Station Pneumatic Manifold, 6 10-VQ1201Y-5 Valve, 6 10-VQ1201Y-5 | |
20971 | SMC | 10-VZ110 | SMC 10-VZ110 SOLENOID VALVE, 14 BASE TYPE | ||
20972 | SMC | 104CS-N8A | 2 SMC VNB104CS-N8A Process Valve | ||
20973 | SMC | 4A-08-051 | SMC 4A-08-051 Ejector, Robot, HCLU, New | ||
20974 | SMC | A-474-0100103 | VVQ1000-10A-1, VQ1301N-5 | SMC A-474-0100103, Solenoid Valve, VVQ1000-10A-1, VQ1301N-5. | |
20975 | SMC | AR10-M5-Z | SMC AR10-M5-Z, AR10P-270AS, 7-100PSI 1/16 IN NPT PNEUMATIC REGULATOR, W/ GAUGE | ||
20976 | SMC | AR20-N02E-Z | SMC AR20-N02E-Z, PNEUMATIC REGULATOR, 7 TO 125 PSI | ||
20977 | SMC | AR2000 | SMC AR2000, Air Regulator w/ Gauge | ||
20978 | SMC | AR2000 | SMC AR2000 Air Regulator | ||
20979 | SMC | AR2000 | SMC AR2000 Air Regulator | ||
20980 | SMC | AR2000-M5B-1-1X528 | Lot of 3, Air regulators | ||
20981 | SMC | AR25-N02B-Z | SMC AR25-N02B-Z Valve Regulator | ||
20982 | SMC | AT71YM4CL6007-BA1 | Image Scan, Image Capture Assembly, Controller, Stage, Faldo Drive. | ||
20983 | SMC | AW2000 | SMC AW2000 Filter Regulator | ||
20984 | SMC | BES-7192-10 | AMAT | 0190-18329 | Cold fluid outlet and return |
20985 | SMC | BES-7192-10 | AMAT | 0190-18329 #2 | Cold fluid outlet and return |
20986 | SMC | C95SDB40-40+90 | SMC C95SDB40-40+90 (A0002) Tie Rod Air Cylinder 0,05-1 MPa C -10/+60 | ||
20987 | SMC | CDM2UZ20-D9033-150 | SMC CDM2UZ20-D9033-150 Cylinder, Lift Assembly | ||
20988 | SMC | CDM2UZ20-D9033-150 | SMC CDM2UZ20-D9033-150 Cylinder, Lift Assembly | ||
20989 | SMC | CDM2UZ20-D9033-150 | SMC CDM2UZ20-D9033-150 Cylinder, Lift Assembly | ||
20990 | SMC | CDM2UZ20-D9033-150 | SMC CDM2UZ20-D9033-150 Cylinder, Lift Assembly | ||
20991 | SMC | CDM2UZ25-D9034 | SMC CDM2UZ25-D9034 Cylinder, Lift Assy | ||
20992 | SMC | CDM2UZ25-D9034 | SMC CDM2UZ25-D9034 Cylinder, Lift Assy | ||
20993 | SMC | CDMBA40-110B51 | SMC CDMBA40-110B51 Lift Cylinder, Main Tube, ID | ||
20994 | SMC | CDQ2B16-10T | SMC CDQ2B16-10T Compact Cylinder, S/Act, S/EX, CQ2 | ||
20995 | SMC | CDQ2B20 | SMC CDQ2B20-10DCM Pneumatic Cylinder, 233-3851-38 | ||
20996 | SMC | CDQ2B32-30D-F79L | SMC CDQ2B32-30D-F79L Cylinder, | ||
20997 | SMC | CDQ2B50D-P4096-XC11 | SMC CDQ2B50D-P4096-XC11 Pneumatic Compact Cylinder | ||
20998 | SMC | CDQMB25-10-DAJ00226 | Novellus | 02-291754-00 | SMC CDQMB25-10-DAJ00226 Air Cylinder, Clamp, Door, LLK |
20999 | SMC | CDQMB25-10-DAJ00226 | Novellus | 02-291754-00 | SMC CDQMB25-10-DAJ00226 Air Cylinder, Clamp, Door, LLK |
21000 | SMC | CDQMB25-10-DAJ00226 | Novellus | 02-291754-00 | SMC CDQMB25-10-DAJ00226 Air Cylinder, Clamp, Door, LLK |
21001 | SMC | CDRQBW15180D97 | SMC Rotary Actuator CDRQBW15180D97 | ||
21002 | SMC | CDU10-30D | SMC CDU10-30D Pneumatic Air Cylinder | ||
21003 | SMC | CDU25-50D-XB9 | SMC CDU25-50D-XB9 Cylinder | ||
21004 | SMC | CDU25-50D-XB9 | SMC CDU25-50D-XB9 Cylinder | ||
21005 | SMC | CDUK10-10D | SMC CDUK10-10D, Cyl, Free Mount, CU Compact Cylinder | ||
21006 | SMC | CRB1BW20-905 | SMC CRB1BW20-905 Rotary Actuator | ||
21007 | SMC | CRB1BWU10-90S | SMC CRB1BWU10-90S Rotary Actuator | ||
21008 | SMC | CRB1BWU10-90S | SMC CRB1BWU10-90S Rotary Actuator | ||
21009 | SMC | CRB1BWU10-90S | SMC CRB1BWU10-90S Rotary Actuator | ||
21010 | SMC | CRB80-180 | SMC CRB80-180 Air Cylinder | ||
21011 | SMC | CY1B10H-170 | Tokyo Electron | CT024-005316-1 | SMC CY1B10H-170 Air Cylinder, TEL, Tokyo Electron, CT024-005316-1 |
21012 | SMC | D-A73 | AMAT | 0090-00350 | REED SWITCH, RAIL MOUNT SENSOR CABLE |
21013 | SMC | EX121-SDN1 | Devicenet serial interface unit w 16 SMC VQ1171-5LO-CO solenoids mounted on DIN rail | ||
21014 | SMC | EX150-SDN1-X97 | SMC EX150-SDN1-X97(PNP) DeviceNet SI Unit,SS0750-06-DAO00978 | ||
21015 | SMC | HRGC002-AN-T | SMC ThermoCooler Chiller HRGC002-AN-T, | ||
21016 | SMC | HRZ-S0092 | novellus | 38-306234-00 | Transfer Cable, Heat exchanger, firmware, |
21017 | SMC | HRZ004-L1-NY | SMC HRZ004-L1-NY Thermo Chiller | ||
21018 | SMC | HRZ008-L-C | SMC HRZ008-L-C Thermo Chiller | ||
21019 | SMC | HRZ010-WS-C | SMC HRZ010-WS-C Thermo Chiller | ||
21020 | SMC | HRZ010-WS-C | SMC HRZ010-WS-C Thermo Chiller | ||
21021 | SMC | IFW510-04-01 | SMC IFW510-04-01, Flow Switch, 0.1~0.6MPa(1~6.1kgf/cm²), 5~60C(40~140F) | ||
21022 | SMC | IN587-21 | SJ2A60T-5NFZ-N1-X21 | SMC IN587-21 With 21 SJ2A60T-5NFZ-N1-X21 Ports | |
21023 | SMC | IN587-21 | SMC IN587-21 Pneumatic Manifold | ||
21024 | SMC | INR-244-217A | Thermo-con controller, | ||
21025 | SMC | IT1001-N31-X6 | SMC IT1001-N31-X6, E/P Regulator. | ||
21026 | SMC | IT1011-N31-X4 | SMC IT1011-N31-X4, E/P Regulator. | ||
21027 | SMC | IT1011-N31-X4 | SMC IT1011-N31-X4, E/P Regulator | ||
21028 | SMC | IT1011-N31-X4 | SMC IT1011-N31-X4, E/P Regulator. | ||
21029 | SMC | IT2011-N32 ITV2000 | SMC IT2011-N32 ITV2000 Series Electronic Pneumatic E/P EP Regulator Looks new | ||
21030 | SMC | IT2021-N32-X34 | SMC IT2021-N32-X34, E/P Regulator. | ||
21031 | SMC | ITV1031-311S4-DIK00694 | SMC ITV1031-311S4-DIK00694 E/P Regulator Assembly, | ||
21032 | SMC | ITV2011-31n2l4-x25 | SMC ITV2011-31N2L4-X25 e/p regulator | ||
21033 | SMC | KDM10-01 | SMC KDM10-01 Multi-connector, KDM ONE TOUCH Pneumatic, 1/8IN. ODTUBETION | ||
21034 | SMC | KDM10-03 | 2 New SMC KDM10-03, pneumatic manifolds (KDB20)ition | ||
21035 | SMC | KDM10-07 | SMC KDM10-07 Multi-Connector, KDM, 1/4 inch, OD Tubetion, pneumatic | ||
21036 | SMC | KMD10-03 | 0300-737848 | pneumatic manifold | |
21037 | SMC | KQ2P-11 | AMAT | 3300-05695 | 28 SMC KQ2P-11 Fitting, Plug, 3/8T x 1.7 LG, AMAT 3300-05695 |
21038 | SMC | KQH11-34S-X23 | 50 SMC fittings KQH11-34S-X23 FTG CONN ML 1/8NPT X 3/8T SST 2250-737784 | ||
21039 | SMC | KQL07-34S-X23 | 10 SMC KQL07-34S-X23 Valve Fittings | ||
21040 | SMC | KQL07-34S-X23 | 10 SMC KQL07-34S-X23 Valve Fitting | ||
21041 | SMC | KQL07-35S-X2 | 100 SMC fittings KQL07-35S-X2 | ||
21042 | SMC | KQL13-35S-X2 | 33 SMC KQL13-35S-X2 right angle quick connect air fittings, pre wrapped with tape | ||
21043 | SMC | KQT07-36S-X23 | 100 SMC fittings KQT07-36S-X23 | ||
21044 | SMC | LVC200-S04 | SMC LVC200-S04 Fluoropolymer Valve, | ||
21045 | SMC | LVC21-S07 | SMC LVC21-S07 Fluoropolymer Valve | ||
21046 | SMC | LVQ20-S07CM | SMC LVQ20-S07CM Viper Valve, | ||
21047 | SMC | LVQ20-Z07N-8 | Lot of 2 new viper valves | ||
21048 | SMC | LVQ50-Z19N-9 | SMC LVQ50-Z19N-9 Pneumatic Valve, RS1185 | ||
21049 | SMC | MHKL2-20C1S | SMC MHKL2-20C1S, Gripper, Parallel Wedge Cam, | ||
21050 | SMC | MHQJ2-20CS | SMC MHQJ2-20CS Air Gripper | ||
21051 | SMC | MHQJ2-20CS | SMC MHQJ2-20CS Air Gripper | ||
21052 | SMC | MHQJ2-20CS | SMC MHQJ2-20CS Air Gripper | ||
21053 | SMC | N/A | SMC, Pneumatic, 24 Solonoid, Manifold, 24VDC | ||
21054 | SMC | N/A | SMC 10 Station Pneumatic Manifold, 6 VQC1300NR-51 Solenoid Valve | ||
21055 | SMC | NA | SMC 12 Station Pneumatic Manifold, 6 10-VQ1201Y-5 Valve, 6 10-VQ1101Y-5 | ||
21056 | SMC | NCDA1B400-0400N-A53L-XB9C6 | Novellus Ipec Speedfam | 951173 | Lot of 5 new SMC cylinder assy with 2 position sensors |
21057 | SMC | NCDA1B400-0400N-A53L-XB9C6 | Novellus Ipec Speedfam | 951680 | Lot of 8 new SMC cylinder assy with 2 position sensors |
21058 | SMC | NCDA1B400-0400N-A53L3 | Novellus | 951677 | IPEC Speedfam Novellus 951677 SMC NCDA1B400-0400N-A53L3 Lot of 2, large Pneumatic Cylinder with 2 position sensors, looks new, sell as is. |
21059 | SMC | NCDGNN40-UIA990739 | SMC NCDGNN40-UIA990739 Cylinder Lift Assy | ||
21060 | SMC | NCDGNN40-UIA990739 | SMC NCDGNN40-UIA990739 Cylinder Lift Assy | ||
21061 | SMC | NCDGNN40-UIA990739 | SMC NCDGNN40-UIA990739 Cylinder Lift Assy | ||
21062 | SMC | NCDGNN40-UIA990739 | SMC NCDGNN40-UIA990739 Cylinder Lift Assy | ||
21063 | SMC | NCDQ1B63-01-70338 | SMC | NJ08 | AMAT Lift Assy SMC NCDQ1B63-01-70338 Cylinder Assy, NJ08 Floating Joint |
21064 | SMC | NCDQ1B63-01-70338 | SMC | NJ08 | AMAT Lift Assy SMC NCDQ1B63-01-70338 Cylinder Assy, NJ08 Floating Joint |
21065 | SMC | NCDQ1WB63-G0070-100 | AMAT Lift Assy, SMC NCDQ1WB63-G0070-100 Cylinder Assy | ||
21066 | SMC | NCDQ2B20-30D | SMC NCDQ2B20-30D Cylinder, 145 PSI, 1.00 MPa, | ||
21067 | SMC | NCDQ2B50-XA-0001US | SMC NCDQ2B50-XA-0001US Cylinder, | ||
21068 | SMC | NCDQ2B50-XA-0001US | SMC NCDQ2B50-XA-0001US Cylinder, | ||
21069 | SMC | NCDQ2D32-100DM-F79 | SMC NCDQ2D32-100DM-F79, CYL, COMPACT, AUTO-SW, Press. 145 PSI (1.0MPa). | ||
21070 | SMC | NCDQ2WB63-G0747 | SMC NCDQ2WB63-G0747 Air Cylinder For Endura Lift, 1.0 MPa, 145 PSI, | ||
21071 | SMC | NCDQ2WBS63-G0639-50 | SMC NCDQ2WBS63-G0639-50 Cylinder, | ||
21072 | SMC | NCDQB125-U1A97 | SMC NCDQ2B125-U1A970691 Pneumatic Cylinder AMAT 0010-03051 | ||
21073 | SMC | NCDRA1BW30-180 | SMC NCDRA1BW30-180, ncra 30 dbl rod w/auto-sw, NCRA ROTARY ACTUATOR. | ||
21074 | SMC | NCDRB1BW20-90S | SMC NCDRB1BW20-90S Rotary Actuator | ||
21075 | SMC | NCDRB1BW30-90S | SMC NCDRB1BW30-90S Rotary Actuator | ||
21076 | SMC | NCDRB1BW30-90S | SMC NCDRB1BW30-90S Rotary Actuator | ||
21077 | SMC | NCDRB1BWU20-270S | SMC NCDRB1BWU20-270S Rotary Actuator | ||
21078 | SMC | NCMC075-0600-XB9 | SMC NCMC075-0600-XB9 Cylinder, 1300-036, 4931198, | ||
21079 | SMC | NCQ7B150-037S | 2 Air cylinder, double acting, spring return | ||
21080 | SMC | NRBC037-025 | 5 SMC Air Pot, NRBC037-025 | ||
21081 | SMC | NVFM250 | SMC NVFM250 Mechanical Valve | ||
21082 | SMC | NVJ3123 | 2 SMC NVJ3123 Solenoid Valve | ||
21083 | SMC | NVJ314 | 15 SMC NVJ314 Pneumatic Solenoid Manifold, Valve, | ||
21084 | SMC | NVJ3143 | 3 SMC NVJ3143 Solenoid | ||
21085 | SMC | NVJ314R-5LZ-M5 | Ultratech Stepper | 57-15-04203 | SMC NVJ314R-5LZ-M5 Solenoid Valve, Ultratech Stepper 57-15-04203, NVJ314R. |
21086 | SMC | NVJ5143Y-5LZ | SMC NVJ5143Y-5LZ, valve sol 24vdc, VJ5000 SOL VALVE 4/5 PORT, PNEUMATIC | ||
21087 | SMC | NVKF332W-5G-M5 | Asyst | 9700-8957-01 | SMC NVKF332W-5G-M5 Solenoid Valve, Asyst 9700-8957-01 |
21088 | SMC | NVNB212C-N10A-4G | SMC NVNB212C-N10A-4G Process Valve | ||
21089 | SMC | NVZ3140 | SMC NVZ3140 Pneumatic Solenoid Valve, 701877 | ||
21090 | SMC | NVZ3223 | SMC NVZ3223 Solenoid Valve | ||
21091 | SMC | NY-075 | SMC NY-075 Clevis mounting | ||
21092 | SMC | NZSE1-T1-14 | Spares/AMAT | 0150-09066 | SMC NZSE1-T1-14 Vacuum Switch, Spares LLC,AMAT 0150-09066 |
21093 | SMC | PA2210 | Ipec speedfam Novellus | 961704 | New Process pump |
21094 | SMC | PF2W720-03-27-Q | SMC PF2W720-03-27-Q Flow Switch Assy, Water, 2~16L/min, DC12~24V | ||
21095 | SMC | PF2W720-03-27-Q | SMC PF2W720-03-27-Q Flow Switch Assy, Water, 2~16L/min, DC12~24V | ||
21096 | SMC | PF2W720-03-67-Q | SMC Flow Switch PF2W720-03-67-Q | ||
21097 | SMC | PF2W720-F03-67N | SMC PF2W720-F03-67N, Digital Flow Switch. | ||
21098 | SMC | PFW720-04-27 | SMC | VNB104B | SMC PFW720-04-27 Digital Flow Switch Assy w/ VNB104B Process Valve |
21099 | SMC | REA32-Z2727-375 | SMC REA32-Z2727-375 Sine (Sain) Rodless Cylinder | ||
21100 | SMC | SMC 10-CMD2L20-100-C73L3 | Lot of 4 SMC Air cylinder with 3 sensors | ||
21101 | SMC | SRF10-T07N | NEW SMC Teflon Diaphragm valve SRF10-T07N | ||
21102 | SMC | SRF30-S07N | SMC SRF30-S07N Valve teflon, Viper valve | ||
21103 | SMC | SS3Y1-UIB000277 | SMC SS3Y1-UIB000277 Pneumatic Manifold | ||
21104 | SMC | SS5V1-10FD-X44 | SMC SS5V1-10FD-X44 Pneumatic manifol | ||
21105 | SMC | SS5V1-DUK01498 | SMC SS5V1-DUK01498 5 Station Manifold Assembly | ||
21106 | SMC | SS5V1-DUO02376 | 2 NEW SMC SS5V1-DUO02376 Pneumatic manifold | ||
21107 | SMC | SS5V1-DUO02376 | SMC SS5V1-DUO02376 pneumatic manifold | ||
21108 | SMC | SS5X3-45 | SMC SS5X3-45 Pneumatic Manifold Valve, 7 SX3240-5L0Z-Q | ||
21109 | SMC | SS5YJ3-ULB960167 | SMC SS5YJ3-ULB960167 Manifold Assembly, SPL, 3 SYJ3140 | ||
21110 | SMC | SS5YJ3-ULB960170 | 6 Position air manifold w 4 syj53340 and 2 SYJ3140 solenoid vlves | ||
21111 | SMC | SS5YJ3-ULB960200 | New 20 port pneumatic manifold with SYJ3140 valves, 24 VDC | ||
21112 | SMC | SS5YJ5-ULB960126 | SMC SS5YJ5-ULB960126 Pneumatic Solenoid Manifold, Valve, | ||
21113 | SMC | SS5YJ5-ULB960127 | SMC SS5YJ5-ULB960127 Pneumatic Solenoid Manifold, Valve, | ||
21114 | SMC | SS5YJ3-ULB960167 | 4 SMC SS5YJ3-ULB960167, 3 position air manifold with SYJ3140 solenoid valves | ||
21115 | SMC | SV1200-5FU-X7 | 14 SMC SV1200-5FU-X7 Solenoid Valve | ||
21116 | SMC | SV1A00-5FU | 4 SMC SV1A00-5FU Solenoid Valve EX150 DeviceNet | ||
21117 | SMC | SV1A00-5FU-X7 | 14 SMC SV1A00-5FU-X7, Pneumatic Valve, Manifold, | ||
21118 | SMC | SY114-6MZ | SMC SY114-6MZ with two screws for each one | ||
21119 | SMC | SY3440-5L0Z | 14 SMC SY3440-5L0Z Pneumatic Manifold | ||
21120 | SMC | SYJ714R-5M0Z | SMC SYJ714R-5M0Z SYJ3000, 5 Port Solenoid Valve | ||
21121 | SMC | SYJ3140 | SMC SYJ3140 Solenoid Valve, 2200-770241 | ||
21122 | SMC | SYJ3140-5M0z | SMC SYJ3140-5M0Z, valve, SYJ two pos single PO RT | ||
21123 | SMC | SYJ3140-5M0Z | SMC SYJ3140-5M0Z Valve, SYJ TWO POS Single PO RT | ||
21124 | SMC | SYJ3140-5M0Z | Lot of 25 SMC SYJ3140-5M0Z manifold mount solenoid valves 2200-770241 | ||
21125 | SMC | SYJ3243 | SMC SYJ3243 Solenoid Valve | ||
21126 | SMC | SYJ714R-5MOZ | SMC SYJ714R-5MOZ, 3 SMC D-A73 | ||
21127 | SMC | SYJ714R-5MOZ | SMC SYJ714R-5MOZ, 3 SMC D-A73 | ||
21128 | SMC | SZ3260R-5NL0Z | 9 SMC SZ3260R-5NL0Z Pneumatic Manifold | ||
21129 | SMC | SZ3A60-5NL0Z-C4 | SMC With 7 SZ3A60-5NL0Z-C4 Ports Pneumatic Solenoid | ||
21130 | SMC | SZ3A60-5NL0Z-C4 | 7 SMC SZ3A60-5NL0Z-C4 Valve Bank | ||
21131 | SMC | US2344 | VQ1101N-5, VQ1000-P-1-C6 | SMC US2344, 9 Station Pneumatic Manifold Assembly. | |
21132 | SMC | US2344 | SMC US2344 Pneumatic Manifold, 7 VQ1101N-5, 2 VVQ1000-P-1-C6, | ||
21133 | SMC | US2344 | SMC US2344 Pneumatic Manifold, 7 VQ1101N-5, 2 VVQ1000-P-1-C6, | ||
21134 | SMC | US2979 | SMC US2979 Pneumatic Manifold, 9 VQ1101N-5, | ||
21135 | SMC | US2979 | SMC US2979 Pneumatic Manifold, 9 VQ1101N-5, | ||
21136 | SMC | US4800 | SMC US4800 Pneumatic Manifold, 2 VVQ1000-P-1-N7, | ||
21137 | SMC | VJ3000-21-1, SMC-NVJ3130Y, SYJ3430-6LZ, SYJ5143-6LZ | SMC PNEUMATIC MANIFOLD | ||
21138 | SMC | VJ3000-21-1, SMC-NVJ3130Y, SYJ3430-6LZ, SYJ5143-6LZ | SMC PNEUMATIC MANIFOLD, VJ3000-21-1 | ||
21139 | SMC | VJ3000-21-1, SMC-NVJ3130Y, SYJ3430-6LZ, SYJ5143-6LZ | SMC PNEUMATIC MANIFOLD, VJ3000-21-1 | ||
21140 | SMC | VJ3000-21-1, SMC-NVJ3130Y, SYJ3430-6LZ, SYJ5143-6LZ | SMC PNEUMATIC MANIFOLD, VJ3000-21-1 | ||
21141 | SMC | VJ3000-21-1, SMC-NVJ3130Y, NVJ330Y, SYJ3480-6LZ | SMC PNEUMATIC MANIFOLD, VJ3000-21-1 | ||
21142 | SMC | VJ3000-21-1, SMC-NVJ3130Y, NVJ3430 | SMC PNEUMATIC MANIFOLD, VJ3000-21-1 | ||
21143 | SMC | VJ3000-21-1, SMC-NVJ3130Y, NVJ3430 | SMC PNEUMATIC MANIFOLD, VJ3000-21-1 | ||
21144 | SMC | VNB-204AS | 2 SMC VNB-204AS Process Valve | ||
21145 | SMC | VNB402CS-25A | SMC VNB402CS-25A, Process Valve. | ||
21146 | SMC | VNB504A | New Brass Process valve VNB504A-32AN | ||
21147 | SMC | VO301 | SMC VO301, Pilot Solenoid Valve, 0~1MPa, )~10.2kgf/cm². | ||
21148 | SMC | VO301 | SMC VO301 Pilot Solenoid Valve | ||
21149 | SMC | VPW 2135 | SMC VPW 2135 Solenoid Valve, Rigaku VPW-2135-0256 | ||
21150 | SMC | VQ1101-5, VVQ1000-P1-N7, VQ1301-5 | SMC VQ1101-5, VVQ1000-P1-N7, 9 Station Pneumatic Manifold Assembly. | ||
21151 | SMC | VQ1101Y-5 | SMC VQ1101Y-5, Valve. Solenoid | ||
21152 | SMC | VQ1200NY-5-X35 | 5 VQ1200NY-5-X35 DeviceNet EX160-SDN1 Pneumatic Manifolds | ||
21153 | SMC | VQ1200NY-5-X35 | EX160-SDN1A | 8 SMC VQ1200NY-5-X35 Supply Voltages EX160-SDN1A Solenoid Valve | |
21154 | SMC | VQ2201Y-5 | 6 SMC VQ2201Y-5 Pneumatic Manifold | ||
21155 | SMC | VQD1151W-6L0 | 3 SMC VQD1151W-6L0 Solenoid Valve | ||
21156 | SMC | VV5Q11-05-DAK00308 | SMC VV5Q11-05-DAK00308 Manifold | ||
21157 | SMC | VV5Q11-ULB980126 | 8 position pneumatic manifold with 8 VQ110N-5 solenoids | ||
21158 | SMC | VV5Q11-ULB990274 | SMC PNEUMATIC MANIFOLD VV5Q11-ULB990274, SMC VQ1A01Y-5 | ||
21159 | SMC | VV5Q13-ULB000119 | SMC VV5Q13-ULB000119 Pneumatic Manifold, 5 VQ1331-5-C0, 2 VVQ1000-P-3-N7, | ||
21160 | SMC | VV5Q13-ULB000119 | SMC VV5Q13-ULB000119 Pneumatic Manifold, 5 VQ1331-5-C0, 2 VVQ1000-P-3-N7, | ||
21161 | SMC | VV5Q13-ULB000119 | SMC VV5Q13-ULB000119 Pneumatic Manifold, 5 VQ1331-5-C0, 2 VVQ1000-P-3-N7, | ||
21162 | SMC | VX2330 | Lot of 4 SMC VX2330, air or water solenoid valves, look unused, | ||
21163 | SMC | VX2340L | SMC VX2340L VX2330J-X2 SOLENOID VALVE SP1994-1077 | ||
21164 | SMC | VXA3 | SMC VXA3 piloted air valved Looks new | ||
21165 | SMC | VXZ2230-02-5TZ-B | 9 SMC VXZ2230-02-5TZ-B Solenoid valves brass | ||
21166 | SMC | VZ110 | 5 SMC VZ110 Solenoid Valve Manifold VZ1120 | ||
21167 | SMC | VZ3123-5LZ-M5 | 2 SMC VZ3123-5LZ-M5 Solenoid Valve, ID | ||
21168 | SMC | VZ3223-5LZ-M5 | SMC VZ3223-5LZ-M5 Solenoid Valve | ||
21169 | SMC | VZ3243 | 2 SMC VZ3243 Solenoid Valve, ID | ||
21170 | SMC | VZ3340 | SMC VZ3340 Solenoid Valve Assembly | ||
21171 | SMC | VZ3343 | SMC VZ3343 Solenoid Valve | ||
21172 | SMC | VZ3343 | SMC Solenoid Valve Model VZ3343 | ||
21173 | SMC | VZ5240 | SMC VZ5240 Solenoid Valve, 024-002515-1, ID | ||
21174 | SMC | VZ5243 | SMC VZ5243 Process Solenoid Valve | ||
21175 | SMC | XGT300-30-1A-X1 | Novellus | 60-151975-00 | SMC XGT300-30-1A-X1 Gate Valve w/Omron H7EC Counter, 60-151975-00 |
21176 | SMC | XGT300-30-1A-X1 | Novellus | 60-151975-00 | SMC XGT300-30-1A-X1 Gate Valve w/Omron H7EC Counter, 60-151975-00 |
21177 | SMC | XGT300-30-1A-X1 | Novellus | 60-151975-00 | SMC XGT300-30-1A-X1 Gate Valve w/ Omron H7EC Counter, Novellus 60-151975-00 |
21178 | SMC | XGT300-30-1A-X1 | Novellus | 60-151975-00 | SMC XGT300-30-1A-X1 Gate Valve w/ Omron H7EC Counter, Novellus 60-151975-00 |
21179 | SMC | XGT300-30-1A-X1 | Novellus | 60-151975-00 | SMC XGT300-30-1A-X1 Gate Valve w/ Omron H7EC Counter, Novellus 60-151975-00 |
21180 | SMC | XGT300-30-1A-X1 | Novellus | 60-151975-00 | SMC XGT300-30-1A-X1 Gate Valve w/ Omron H7EC Counter, Novellus 60-151975-00 |
21181 | SMC | XLA-80-X741 | Isolation Valve | ||
21182 | SMC | XLG-16-X1085 | 4 used vacuum isolation valves, KF16, with position switch | ||
21183 | SMC | XLG-16-X1085 | 2 Vacuum Isolation valves KF16, with one toggle clamp each 120C capable | ||
21184 | SMC | XLG-16-X1122 | Novellus | 60-342545-00 | Pneumatic angle valve, KF 16, TSB, phase 2, back S |
21185 | SMC | XMA-40C-X626 | Right angle vacuum isolation valve. Metal seal conflat 2 1/4″, 150C capable. Metal bellows. Comes with conflat -KF40 adapter | ||
21186 | SMC | ZM103H-K5LZB | SMC ZM103H-K5LZB Pressure Sensor, SMC ZM103H Vacuum Ejector, ID | ||
21187 | SMC | ZSE2-T1-15 | New vacuum switch | ||
21188 | SMC | ZSE40-T1-22 | SMC ZSE40-T1-22L Pressure Switch and Display | ||
21189 | SMC | 10 SMC KPL04-01 | |||
21190 | SMC | 21 Solenoid Valves | |||
21191 | SMC | SMC Process Valve AC176-242V/50Hz, AC187-253V/60Hz, VNB 212C | |||
21192 | SMC | SMC PCB, Detect, Plsma, UL, ID | |||
21193 | SMC | SMC PCB, Detect, Plsma, UL, ID | |||
21194 | SMC | SMC 10 Port Pneumatic Multiconnector | |||
21195 | SMC | XT244-S008 | SMC Thermocon XT244-S008 Temperature controlling unit. | ||
21196 | SMC | MGQL63-75 | SMC MGQL63-75 MGQ Guided Cylinder USIP | ||
21197 | SMC | NCDGBN32-0600 | SMC NCDGBN32-0600 Pneumatic Cylinder, RS1303 | ||
21198 | SMC | SMC AR50-N06BE-Z | SMC AR50-N06BE-Z Regulator, Modular, RS1103 | ||
21199 | SMC | ZSE30A-N7L-E-B | SMC ZSE30A-N7L-E-B Vacuum Switch Assembly, RS1016 | ||
21200 | SMC | XT13-253-04 | SMC XT13-253-04 Pilot Regulating Control Valve | ||
21201 | SMC | XT13-253-N04 | SMC XT13-253-N04 Pilot Regulating Control Valve | ||
21202 | SMC | AN900-N20 | SMC AN900-N20 Muffler, Silencer, NANA62 | ||
21203 | SMC | MGQL63-75 | SMC MGQL63-75 MGQ Guided Cylinder USIP | ||
21204 | SMC | SJ2160T-5CZ-C4 | SJ2A60T-5CZ-C4 | SMC Pneumatic Manifold Assy (4) SJ2160T-5CZ-C4 (5) SJ2A60T-5CZ-C4 SJ2460T-5CZ-C4 | |
21205 | SMC | ITV2011-31N3N4-X95 | SMC ITV2011-31N3N4-X95 E/P Pressure Regulator | ||
21206 | SMC | ITV2011-31N3N4-X95 | SMC ITV2011-31N3N4-X95 E/P Pressure Regulator | ||
21207 | SMC | ITV2011-31N3N4-X95 | SMC ITV2011-31N3N4-X95 E/P Pressure Regulator | ||
21208 | SMC | ITV2011-31N3N4-X97 | SMC ITV2011-31N3N4-X97 E/P Pressure Regulator | ||
21209 | SMC | PFW720-04-27 | AMAT | 0010-02051 | SMC PFW720-04-27 Digital Flow Switch, AMAT 0010-02051, PFW720-UIB990257 |
21210 | SMC | PFW720-04-27 | AMAT | 0010-02051 | SMC PFW720-04-27 Digital Flow Switch, AMAT 0010-02051, PFW720-UIB990257 |
21211 | SMC | VNB104B | AMAT | 0010-02049 | SMC VNB104B Process Valve, AMAT 0010-02049 |
21212 | SMC | VV100-DUP02920 | SMC | V110-D5CU-N1 | SMC VV100-DUP02920 Manifold Assy, 12 SMC V110-D5CU-N1 Valves |
21213 | SMC | KQT07-36S-X23 | 42 SMC KQT07-36S-X23 Tee Fittings | ||
21214 | SMC | SS5V1-DUO03135 | SMC SS5V1-DUO03135 Manifold Assy | ||
21215 | SMC | SS5YJ3-UIB970351 | SMC SS5YJ3-UIB970351 Manifold Assy w/ 8 SYJ3140-5M0Z Valves | ||
21216 | SMC | SY3100-5Z1 | SMC SY3100-5Z1 Solenoid Valve | ||
21217 | SMC | AR40-N04-Z-B | SMC AR40-N04-Z-B AR Mass Pro Pneumatic Regulator | ||
21218 | SMC | AR25-N03-Z-A | SMC AR25-N03-Z-A AR Mass Pro Pneumatic Regulator | ||
21219 | SMC | SY7140-5GZ | SMC SY7140-5GZ Solenoid Valve | ||
21220 | SMC | NVKF334V-5D | SMC NVKF334V-5D Solenoid Valve, 24VDC | ||
21221 | SMC | SS5Y7-42-03-02T | SMC SS5Y7-42-03-02T Manifold Assy | ||
21222 | SMC | SY7140-5LZ-03T | SMC SY7140-5LZ-03T Solenoid Valve Manifold, 0.15-0.7MPa | ||
21223 | SMC | SY7140R-5L0Z-03 | SMC SY7140R-5L0Z-03 Solenoid Valve Manifold, 0.25-0.7MPa | ||
21224 | SMC | SY7140R-5L0Z-03 | SMC SY7140R-5L0Z-03 Solenoid Valve Manifold, 0.25-0.7MPa | ||
21225 | SMC | SY5240-5GZ | SMC SY5240-5GZ Solenoid Valve, 0.1~0.7MPa | ||
21226 | SMC | SY3140-5GZ | SMC SY3140-5GZ Solenoid Valve, SY3C15 | ||
21227 | SMC | SY5140-5L | SMC SY5140-5L Solenoid Valve | ||
21228 | SMC | VQD1121-6M-M5 | 2 SMC VQD1121-6M-M5 Solenoid Valve | ||
21229 | SMC | AR30-N03BE-Z-B | SMC AR30-N03BE-Z-B Regulator, Modular, 3/8NPT, Airline, Bracket, Gauge | ||
21230 | SMC | AW30-N03-Z | SMC | VHS30-N03-Z | SMC AW30-N03-Z Regulator w/ VHS30-N03-Z Valve |
21231 | SMC | AW30-N03-Z | SMC | VHS30-N03-Z | SMC AW30-N03-Z Regulator w/ VHS30-N03-Z Valve |
21232 | SMC | AR30-NO3E-Z | SMC AR30-NO3E-Z, Regulator, 3/8 PT, Gauge, 7-125 PSI | ||
21233 | SMC | AR30-NO3E-Z | 2 SMC AR30-NO3E-Z Regulator Assy, 3/8 PT, Gauge, 7-125 PSI | ||
21234 | SMC | AW20-F01C | SMC | VH820-F01 | SMC AW20-F01C Filter Regulator w/ VH820-F01 Valve |
21235 | SMC | AR25-NO2E-Z | SMC AR25-NO2E-Z Regulator, 7-125 PSI | ||
21236 | SMC | ITV2011-31N3N4-X95 | 5 SMC ITV2011-31N3N4-X95 E/P Pressure Regulator | ||
21237 | SMC | SS5YJ3-UIB970351 | 0830-739170 | SMC SS5YJ3-UIB970351 Manifold Assy w/ 8 SYJ3140-5M0Z Valves, 232806 | |
21238 | SMC | ISE30A-NO1-P | SMC ISE30A-NO1-P Digital Pressure Switch, 12-24VDC, 40mA | ||
21239 | SMC | MGQL63-50 | SMC MGQL63-50 Heavy Duty MGQ Guide Cylinder | ||
21240 | SMC | SQ1A31NY-5-C4 | SMC | SQ1231N-5-C4 | SMC 7 Port Solenoid Valve Bank, SQ1A31NY-5-C4, SQ1131NY-5-C4 |
21241 | SMC | NCDMKB106-0100 | SMC NCDMKB106-0100 Air Cylinder Assy, 250 PSI, 1.7MPa | ||
21242 | SMC | SY3140-5GZ | SMC SY3140-5GZ Solenoid Valve Assy w/ Phoenix Contact VIP-2/SC/D15SUB/M | ||
21243 | SMC | SY5245-5FU | SMC SY5245-5FU Solenoid Valve Assembly, Dual Body Style, 0.1~0.7MPa | ||
21244 | SMC | CDS1F160TN-100 | SMC CDS1F160TN-100 Tie Rod Cylinder, RS1276 | ||
21245 | SMC | SJ2A60T-5NF2-N1-X21 | SMCt SJ2A60T-5NF2-N1-X21 Solenoid Valve Electric EV Manifold Assy | ||
21246 | SMC | SJ2A60T-5NF2-N1-X21 | SMCt SJ2A60T-5NF2-N1-X21 Solenoid Valve Electric EV Manifold Assy | ||
21247 | SMC | SJ2A60T-5NF2-N1-X21 | SMCt SJ2A60T-5NF2-N1-X21 Solenoid Valve Electric EV Manifold Assy | ||
21248 | SMC | SJ2A60T-5NF2-N1-X21 | SMC 63 Port SJ2A60T-5NF2-N1-X21 Solenoid Valve Electric EV Manifold Assy | ||
21249 | SMC | VV5Q11-ULB000047 | SMC VV5Q11-ULB000047, 16 Valve Pneumatic Manifold w/ NP420-DN1 | ||
21250 | SMC | VV5Q11-ULB980180 | Applied Materials AMAT | 4060-01155 | SMC VV5Q11-ULB980180, 16 Valve Pneumatic Manifold, NP420-DN11155 |
21251 | SMC | VV5Q11-ULB990036 | SMC VV5Q11-ULB990036, 16 Valve Pneumatic Manifold w/ NP420-DN1, | ||
21252 | SMC | VV5Q11-ULB990036 | SMC VV5Q11-ULB990036, 16 Valve Pneumatic Manifold w/ NP420-DN1, | ||
21253 | SMC | VV5Q11-ULB990068 | SMC VV5Q11-ULB990068, 16 Valve Pneumatic Manifold w/ NP420-DN1 | ||
21254 | SMC | VV5Q11-ULB990070 | SMC VV5Q11-ULB990070, 16 Valve Pneumatic Manifold w/ NP420-DN1 | ||
21255 | SMC | VV5Q11-ULB990070 | SMC VV5Q11-ULB990070, 16 Valve Pneumatic Manifold w/NP420-DN1 | ||
21256 | SMC | VV5Q11-ULB990062 | SMC VV5Q11-ULB990062, 16 Valve Pneumatic Manifold w/NP420-DN1 | ||
21257 | SMC | VV5Q11-ULB990062 | SMC VV5Q11-ULB990062, 16 Valve Pneumatic Manifold w/ NP420-DN1 | ||
21258 | SMC | NVJ314M | SMC NVJ314M Solenoid Valve, 24VDC, 0.15-0.7MPa | ||
21259 | SMC | VNB2120 | SMC VNB2120 Process Valve, AC176-242V,AC187-253V, 60Hz | ||
21260 | SMC | PF2W720-03-27-Q | Applied Materials AMAT | 1270-03143 | SMC PF2W720-03-27-Q Digital Flow Switch, JPN SPL, AMAT 1270-03143 |
21261 | SMC | VV5Q11-ULB970095 | SMC VV5Q11-ULB970095, 16 Valve Pneumatic Manifold w/ NP420-DN1 | ||
21262 | SMC | VV5Q11-ULB970095 | SMC VV5Q11-ULB970095, 16 Valve Pneumatic Manifold w/ NP420-DN1 | ||
21263 | SMC | VV5Q11-ULB990070 | SMC VV5Q11-ULB990070, 16 Valve Pneumatic Manifold w/ NP420-DN1 | ||
21264 | SMC | VV5Q11-ULB000050 | SMC VV5Q11-ULB000050, 16 Valve Pneumatic Manifold w/ NP420-DN1, | ||
21265 | SMC | VV5Q11-ULB000050 | SMC VV5Q11-ULB000050, 16 Valve Pneumatic Manifold w/ NP420-DN1, | ||
21266 | SMC | VV5Q11-ULB000050 | SMC VV5Q11-ULB000050, 16 Valve Pneumatic Manifold w/ NP420-DN1, | ||
21267 | SMC | VV5Q11-ULB000050 | SMC VV5Q11-ULB000050, 16 Valve Pneumatic Manifold w/ NP420-DN1, | ||
21268 | SMC | VV5Q11-ULB000050 | SMC VV5Q11-ULB000050, 16 Valve Pneumatic Manifold w/ NP420-DN1, | ||
21269 | SMC | SV1A00-5FU-X7 | SMC 14 Valve Pneumatic Manifold, SV1A00-5FU-X7, SV1200-5FU-X7 | ||
21270 | SMC | 10-AF40-N04B-JZ | SMC 10-AF40-N04B-JZ Filter, Modular, AF Mass | ||
21271 | SMC | MGQL12-20-Y69A-XB9 | SMC MGQL12-20-Y69A-XB9 Cylinder Guide, 1.0 MPa | ||
21272 | SMC | NVNB212C-N10A-4G | 5 SMC NVNB212C-N10A-4G Process Valve, NO | ||
21273 | SMC | NA | SMC Pneumatic Manifold Block Assembly | ||
21274 | SMC | XT13-253-N04 | SMC XT13-253-N04 Pilot Regulating Control Valve, 0.05-0.83MPa | ||
21275 | SMC | AF40-N04-Z | SMC AF40-N04-Z Filter Modular, 150 PSI | ||
21276 | SMC | AR30-N03H-Z | SMC AR30-N03H-Z Modular Regulator, 7~125 PSI | ||
21277 | SMC | AR20-N02H-Z | 4 SMC AR20-N02H-Z Modular Regulator, 7~125 PSI | ||
21278 | SMC | SRH3101-N02 | 2 SMC SRH3101-N02 Regulator, 0.01-0.2 MPa | ||
21279 | SMC | VQ1A01Y-5 | SMC 12 Port Pneumatic Manifold, VQ1A01Y-5 Solenoid Valve | ||
21280 | SMC | ISE40-01-62L | 7 SMC ISE40-01-62L Pressure Sensor | ||
21281 | SMC | XT13-253-N04 | 2 SMC XT13-253-N04 Pilot Regulating Control Valve, 0.05-0.83MPa | ||
21282 | SMC | VQZ3450-5LO | SMC VQZ3450-5LO Valve Manifold Assy, 0.15~0.7 MPa | ||
21283 | SMC | VV5Q11-ULB990277 | VQ1A01Y-5 | SMC VV5Q11-ULB990277 Pneumatic Manifold, VQ1A01Y-5 Solenoid Valve | |
21284 | SMC | IT2011-N32 | SMC IT2011-N32 Pneumatic E/P Regulator Valve | ||
21285 | SMC | IT2011-N32 | SMC IT2011-N32 Pneumatic E/P Regulator Valve | ||
21286 | SMC | IT2011-N32 | SMC IT2011-N32 Pneumatic E/P Regulator Valve | ||
21287 | SMC | 4228287 | SMC7 Pressure Gauge, 111.16, 1.5″I/MPA, 1/8″ NPT | ||
21288 | SMC | ZM133MJ5LNZB-E15 | SMC | ZSE1-00-15 | SMC ZM133MJ5LNZB-E15 Vacuum Ejector Switch Assy, ZSE1-00-15, ZM133M |
21289 | SMC | ZM133MJ5LNZB-E15 | SMC | ZSE1-00-15 | SMC ZM133MJ5LNZB-E15 Vacuum Ejector Switch Assy, ZSE1-00-15, VJ114 |
21290 | SMC | ZM133M-K5LZEB-E15 | SMC | ZSE1-00-15 | SMC ZM133M-K5LZEB-E15 Vacuum Ejector Switch Assy, ZSE1-00-15, ZM133M |
21291 | SMC | ZM133MK5LNZB-E15 | SMC | ZM133M | SMC ZM133MK5LNZB-E15 Vacuum Ejector Assy, ZM133M, VJ114 |
21292 | SMC | NCDQ1WB63-01-70339 | SMC | CDQ2B80-25D-XA2US | AMAT Preclean Lift Assembly, SMC NCDQ1WB63-01-70339 Cylinder |
21293 | SMC | XGT310-30-1A-X2S | SMC XGT310-30-1A-X2S Gate Valve w/ Screw, Hex, M8 x 60mm, SST, 316 | ||
21294 | SMC | XGT310-30-1A-X2 | Novellus | 60-313773-00 | SMC XGT310-30-1A-X2 Gate Valve, Novellus 60-313773-00 |
21295 | SMC | XGT310-30-1A-X2 | Novellus | 60-313773-00 | SMC XGT310-30-1A-X2 Gate Valve, Novellus 60-313773-00 |
21296 | SMC | CDRA1BW30-90 | SMC CDRA1BW30-90 Rotary Actuator, 1.0 MPa/cm 145 PSI | ||
21297 | SMC | CU20-50D | SMC CU20-50D Free Mount Cylinder Double Acting Single Rod | ||
21298 | SMC | VJ314MY | SMC VJ314MY Solenoid Valve | ||
21299 | SMC Corporation | HRZ010-WS | SMC HRZ010-WS Thermo Chiller, Heat Exchanger | ||
21300 | SMC Corporation | HRZ010-WS | SMC HRZ010-WS Thermo Chiller, Heat Exchanger, TEL TAC-3PPZZPP | ||
21301 | SMC Corporation | HRZ010-WS-Z | SMC HRZ010-WS-Z Thermo Chiller, Heat Exchanger | ||
21302 | SMC Corporation | HRZ010-WS-Z | SMC HRZ010-WS-Z Thermo Chiller, Heat Exchanger | ||
21303 | SMC Corporation | INR-496-003D-X007 | SMC INR-496-003D-X007 Thermo Chiller, Heat Exchanger Galden, HT110 HT135 FC-3283 | ||
21304 | SMC Corporation | SS5V1-GCJ08 | SMC Corporation | EX250-SCN1 | SMC SS5V1-GCJ08 16 Valve Manifold Assy w/ EX250-SCN1 Serial Interface |
21305 | SMC Corporation | SS5V1-GCJ08 | SMC Corporation | EX250-SCN1 | SMC SS5V1-GCJ08 16 Valve Manifold Assy w/ EX250-SCN1 Serial Interface |
21306 | SMC Corporation | SS5V1-GCJ08 | SMC Corporation | EX250-SCN1 | SMC SS5V1-GCJ08 16 Valve Manifold Assy w/ EX250-SCN1 Serial Interface |
21307 | SMC Corporation | SS5V1-GCJ09 | SMC Corporation | EX250-SCN1 | SMC SS5V1-GCJ09 8 Valve Manifold Assy w/ EX250-SCN1 Serial Interface Unit |
21308 | SMC Corporation | SS5V1-GCJ09 | SMC Corporation | EX250-SCN1 | SMC SS5V1-GCJ09 8 Valve Manifold Assy w/ EX250-SCN1 Serial Interface Unit |
21309 | SMG | 575-00040-00 | SMG 575-00040-00 Float Level Switch, 20 | ||
21310 | SMG | 575-00040-00 | SMG 575-00040-00 Float Level Switch, 20 | ||
21311 | SMG | 575-00040-00 | SMG 575-00040-00 Float Level Switch, 20 | ||
21312 | SMIF | SMIF Convenience outlet box | |||
21313 | Smith | 30-150-580 | Smith 30-150-580 Gas Regulator w/ USG Gauges, 3000 PSI Inlet | ||
21314 | Smith | Smith Silverline Gas Cylinder, Regulator, Pressure Gauge | |||
21315 | Smith Equipment | NA | Smith Gas Regulator w/ Gauges, 300SI, Max Inlet 3000 PSI | ||
21316 | SNK | 8911A | SNK 8911A, Heater, Sink300W. | ||
21317 | SNK | 8911B | SNK 8911B, Heater, Sink, 200V, | ||
21318 | Socomec | 2200 1010_UL | Socomec 2200 1010_UL Pole Module, Switch, M3, 100A | ||
21319 | Socomec | 22003010 | Socomec 22003010 Sirco M100 General Purpose Switch, Disconnect100571 | ||
21320 | SOLA | SDN 8-5-24 | SOLA power supply SDN 8-5-24 | ||
21321 | SOLA | SDN2.5-24-100 | SOLA ELECTRIC SDN2.5-24-100 POWER SUPPLY 24VDC 2.5 AMP, 115/230 VAC | ||
21322 | Sola | SDN 2.5-24-100P | Sola SDN 2.5-24-100P Power Supply, OVP, 115/230VAC, 1.3-0.7A,z | ||
21323 | Sony | APS-111 | Sony APS-111ower Supply,Hz, Cisco 34-0873-01 | ||
21324 | Sony | UP-880 | Sony UP-880 Video Graphic Printer, | ||
21325 | Sony | UP-D890 | Sony UP-D890 Digital Graphic Printer, | ||
21326 | Sony | UPP-110HA | Sony UPP-110HA Superior Density Printing Paper | ||
21327 | Sony | UPP-110HD | Sony UPP-110HD High Density Printing Paper 110mmx20m, | ||
21328 | Sony | UPP-110S | Sony UPP-110S High Quality Printer Paper, 110mm X 20mm KLA | ||
21329 | Sony | XC-75 CCD | Sony XC-75 CCD Video Camera Module, C Mount TV Lens Extension Tube Set | ||
21330 | Sorensen | DCR 40-13B | Sorensen DCR Power Supply, DCRM5 | ||
21331 | Sorensen | DCS 40-25M37 | 4 Sorensen DCS37 Power Supply, 0-40 Volts, 0-25 Amps | ||
21332 | Sorensen | SGA200X25C-1AAA | Xantrex | Sorensen SGA200X25C-1AAA High Power Programmable Power Supply | |
21333 | Southco | 95017-18 | 2 Southco 95017-18 Hinge ADJ Compression Front Mount | ||
21334 | SP Bel-Ar | SP Bel-Art Cool Stir, Large Volume Magnetic Stirrer, 17 x 17 x 10 IN | |||
21335 | SP Bel-Ar | SP Bel-Art Cool Stir, Large Volume Magnetic Stirrer, 17 x 17 x 10 IN | |||
21336 | Span | 1504365 | Span 1504365 Pressure Gauge, 3000 PSI | ||
21337 | Span | PR040 | Span PR040 Panel Meter | ||
21338 | Span Instruments SI | S122 | Applied Materials AMAT | 3310-01052 | Span S122 Pressure Gauge, AMAT 3310-01052, 13-0847-01, 0-30IN, HG, 316LSS |
21339 | Spares | 5030006 | Belt and pulley mounting kit.6 70018288 | ||
21340 | Spares | 33-0008-025 | 2 NEW bushing, 7/8 shaft, 3/4 L, T5008-025 | ||
21341 | Spares | 500666-00 | Shaft, stainless steel-00 | ||
21342 | Spares | 509266-00 | Toothed belt,-00 C15-3 | ||
21343 | Spares | 7001-5343-901 | 7001-5343-901 | ||
21344 | Spares | NP105, 95086-52 | 3 NEW Linear actuatorrail two long NP105, 95086-52 | ||
21345 | Spares | RT0300-2929 | RT0300-2929 MECHANICAL ASSEMBLY | ||
21346 | Spares | RT0399-2686 | RT0399-2686. mechanical assembly, machine part | ||
21347 | Spares | SSP-1 | OM Speed Control Pack SSP-1, 250V, 7.5A | ||
21348 | Spares | Unknown | Blade for air flow sensor | ||
21349 | Spares | V7-2B17D8-048 | RJ V7-2B17D8-048 Micro Switch | ||
21350 | Spares | VMT8_M0006 | VMT8_M0006 Toothed Rod Support, Lot of 5 | ||
21351 | SPARES LLC | MODR061 | SPARES, LLC MODRZ061, SUBASSY Z AXIS BALL | ||
21352 | SPARES LLC | TG360M | SPARES LLC TG360M, G360M-91 TURBO PUMP | ||
21353 | Spears | 805-005 | Spears 805-005 PVC Tee, 1/2″ | ||
21354 | Spears | 839-101 | 7 Spears 839-101 PVC Reducer Bushing, 3/14 x 1/2″, SCH-80 | ||
21355 | Spears | Schedule 80 | Spears Schedule 80 PVCI D2467 | ||
21356 | Spectra | LM-18 31596002 | Spectra LM-18 31596002 Vacuum controller | ||
21357 | Spectra | LM56 | Spectra LM56, Microvision, | ||
21358 | Spectra | LM59 | Spectra LM59, Vacuum Controller, | ||
21359 | Spectra | LM59 | Spectra LM59, Vacuum Controller, | ||
21360 | Spectra | LM59 | Spectra LM59, Vacuum Controller, | ||
21361 | Spectra | LM59 | Spectra LM59, Vacuum Controller, | ||
21362 | Spectra | LM59 | Spectra LM59, Vacuum Controller, | ||
21363 | Spectra | LM59 | Spectra LM59, Vacuum Controller, | ||
21364 | Spectra | LM59 | Spectra LM59 Vacuum Controller, RS1151 | ||
21365 | Spectra | LM59 | Spectra LM59 Vacuum Controller, RS1152 | ||
21366 | Spectra | LM59 | Spectra LM59 Vacuum Controller, RS1153 | ||
21367 | Spectra | LM59-31796004 | Spectra LM59-31796004 Vacuum Controller | ||
21368 | Spectra | LM59-32696014 | Spectra LM59-32696014 Vacuum Controller, | ||
21369 | Spectra | LM69 | Spectra LM69 Vacuum Controller, RS1149 | ||
21370 | Spectra | LM69 | Spectra LM69 Vacuum Controller, RS1150 | ||
21371 | Spectra | LM70 | Spectra LM70, Microvision Plus, | ||
21372 | Spectra | LM75 | Spectra LM75 HPQ2, | ||
21373 | Spectra | LM76 | Spectra LM76 Microvision Plus Controller, Analyzer, RS1176 | ||
21374 | Spectra | Microvision Plus | Spectra Microvision Plus Orienter “F”, LM70-10598012, LM501-98513-2D2, | ||
21375 | Spectra | Microvision Plus | Spectra Microvision Plus Orienter “F”, LM70-10499010, LM501-98460-2D2, | ||
21376 | Spectra | NA | Spectra Vacscan Plus Gas Lab Analyzer, Leda-Mass, | ||
21377 | Speedaire | 2Z766C | Air line filter separator | ||
21378 | Speedaire | 1R427 | lot of 12 Speedaire 1R427 Coalescing element filters 12 SCFM | ||
21379 | Speedaire | 4ZM15 | 2 Speedaire 4ZM15 Air Regulator, ABS Gauge, 300 PSIG, 3/8″ | ||
21380 | Speedaire | 4ZM15 | 2 Speedaire 4ZM15 Air Regulator, ABS Gauge, 300 PSIG, 3/8″ | ||
21381 | Speedaire | 4ZM15 | Speedaire 4ZM15 Air Regulator, ABS Gauge, 300 PSIG, 3/8″ | ||
21382 | Speedaire | 4ZM20 | 3 Speedaire 4ZM20 Air Regulator, ABS Gauge, 300 PSIG, 1/2″ | ||
21383 | Speedaire | 4ZM15 | Parker | R25-01B | Speedaire 4ZM15, Parker R25-01B Regulator Valve Assy, ABS, Ashcroft |
21384 | Speedaire | 4ZM15 | Speedaire | 4ZM20 | Speedaire 4ZM15 + 4ZM20 Air Regulator Assy, ABS Gauge |
21385 | Speedaire | 4ZM15 | Speedaire 4ZM15 Air Regulator, ABS Gauge, 300 PSIG, 3/8″ | ||
21386 | Speedaire | 4ZM20 | Speedaire 4ZM20 Air Regulator Assy, ABS Gauge | ||
21387 | SPI | 20-703-5 | SPI 20-703-5, Dial Drop Indicator w/ 914919 Fixture, 914754-001 | ||
21388 | SPI | 20-703-5 | SPI 20-703-5, Dial Drop Indicator w/916547-001 Fixture, 914754-001 | ||
21389 | SPI | 31-020-1 | SPI 31-020-1, Correx Gram Gage w/ Round Tip | ||
21390 | SPI | 44405-00 | Depth Gauge 44405-00 Rev. 2 | ||
21391 | SPI Westek | 36612-1242 | SPI Westek 36612-1242 Combo Wrist Strap Footwear Tester | ||
21392 | Spirent | SMB-0200 | Spirent SMB-0200 Multi Port Stream Layer Performance Analysis System | ||
21393 | Sporlan | CG-052 | 026-28173-000 | Sporlan CG-052 Filter Drier 026-28173-000 | |
21394 | Sprague Atom | TVA1227 | 4761001 | Sprague Atom TVA1227 Compacitor PCB, 04761001, 4761 | |
21395 | Spreacher + Schuh | CA 6-105 | Spreacher + Schuh CA 6-105, CA 6-P, Motor Starter. | ||
21396 | Sprecher + Schuh | CA 3-9C | Sprecher + Schuh CA 3-9C, CA 3-9C+CT 3(K) Meter Starter w/ O/L Relay | ||
21397 | Sprecher + Schuh | KTA7-25S-10A | AMAT | 0680-03199 | Sprecher + Schuh KTA7-25S-10A AMAT 0680-03199 Motor Starter |
21398 | Sprecher Schuh | CA3-9C-01-24D-NO | Sprecher Schuh CA3-9C-01-24D-N0, Meter Starter with O/L Relay | ||
21399 | Square D | 26565 | ,Square D 63A, 4 pole circuit breaker with door mounted handle and rotary operated extension hassy with VIGI module 26565 | ||
21400 | Square D | 2NR-301-001 | Square D 2NR-301-001 Current Transformer | ||
21401 | Square D | 2NR-301-001 | 11 Square D 2NR-301-001 Current Transformer | ||
21402 | Square D | 50A | Lot of 2, New,, 3 ph, 240 V circuit breakers | ||
21403 | Square D | DP-4075 | Square D DP-4075 Circuit Breaker Assembly, 120/240VAC | ||
21404 | Square D | HD 060 | HDF 36030 | Square D, PowerPact HD 060, Circuit Breaker, HDF 36030, 3 Pole, 30 Amp | |
21405 | Square D | HDF36030 | Square D circuit breaker power pact HD 060, HDF36030 | ||
21406 | Square D | HDF36050 | Square D HDF36050 PowerPact, AL150HD | ||
21407 | Square D | KP-1 | Square D company pilot light 110-120V type KP-1z series F class 9001 | ||
21408 | Square D | MCM8364M3 | Square D MCM8364M3, Monitoring Assembly0VAC L-Nz | ||
21409 | Square D | MG24504 | 36 New SQUARE D C60N CIRCUIT BREAKER 6Amp 277VAC MG24504 | ||
21410 | Square D | NQ0M354L600T | New Square D Circuit breaker Panelboard NQ0M354L600T | ||
21411 | Square D | Q0B | Square D Type Q0B, 30 Amp, 1 Pole, Circuit Breaker | ||
21412 | Square D | Q0B2305237 | Square D Q0B2305237 Bolt-on Circuit Breaker, 120/240v,Hz, | ||
21413 | Square D | Q0B3205237 | AMAT | 0680-01353 | Square D Q0B3205237 Circuit Breaker, 20A, 3 Pole, 240V,Hz, AMAT |
21414 | Square D | QOB3205231 | AMAT | 0680-01353 | Square D QOB3205231 Circuit Breaker, 20A, 3 Pole, 240v,z |
21415 | Square D | QOB320VH5237 | Schneider Electric | SQUARE D Schneider Electric QOB320VH5237, 0029, Bolt-On Circuit Breaker. | |
21416 | Square D | QOU330 | AMAT | 0680-01772 | Square D QOU330 Circuit Breaker, 30A, 240V,z, AMAT 0680-01772, |
21417 | Square D | RH99M | Schneider Electric | 3TUF0076359 | Square D Vigirex RH99M Ground Fault Relay, Schneider Electric 3TUF0076359 |
21418 | Square D | 8910 | DPA93 | Square D, Contactor, Class 8910, Type DPA93 | |
21419 | Square D | 30299-083 | AMAT | Square D 30299-083 VME Seriplex Bus Board, PCB, VNE6U1V2, FAB 30299-082 | |
21420 | Square D | Type QOU | Square D Type QOU Series 3 Circuit Breaker, 3-Pole, 240VAC | ||
21421 | Square D | Type QOU | Square D Type QOU Series 3 Circuit Breaker, 3-Pole, 240VAC | ||
21422 | SRT Sierra Summit | 1100 | SRT Sierra Summit Pick & Place 1100 Automated Workstation, Optical, Shuttle Assy | ||
21423 | SSEC | M20 | SSEC Evergreen M20 Mask, Wafer Cleaner, Solid State Equipment | ||
21424 | SSI | 01-17421-004 | Semiconductor systems inc. CSC OS/2 COMPUTER SSI FSI 01-17421-004R5 | ||
21425 | SSI | 01-22172-001 | Semiconductor systems Inc PROCESS MODULE 01-22172-001 SSI, FSI | ||
21426 | SSI | 01-22172-002 | Semiconductor systems Inc MINI TPM CONTROLLER 01-22172-002 SSI, FSI | ||
21427 | SSI | 01-22172-006 | Semiconductor systems Inc. SMIF controller 01-22172-006 SSI, FSI | ||
21428 | SSI | 01-22172-007 | Semiconductor systems Inc. SYSTEM MODULE 01-22172-007 SSI, FSI | ||
21429 | SSI | 02-22014 | Semiconductor systems PDU PRIMARY ORBITRAK SSI FSI 02-22014 | ||
21430 | SSI | 02-22015 | Semiconductor system PDU SECONDARY FSI SSI ORBITRAK 02-22015 | ||
21431 | Staeubli AG | T024S | Staeubli AG T024S DC-Micromotor | ||
21432 | Stahl | 9001/01-280-280-101 | 15 Stahl 9001/01-280-280-101 Intinspak Safety Barrier Assy | ||
21433 | Stancor | P-6377 | Transformer, 115/230V primary, 12/24 V secondary, 48VA | ||
21434 | Stancor | P-8689 | Stancor P-8689 Auto Transformer input 115V/230V, Used | ||
21435 | Stanley | 407-060 | Stanley0 Anti-Static ESD Ground Wrist Band | ||
21436 | Star | 1651-29X-10 | Star 1651-29X-10 Runner Block, Ball Rail | ||
21437 | Star Technologies | 1651-294-10 | Star Technologies 1651-294-10, Runner Block, Ball Rail | ||
21438 | Staset | EA100D-24 | Staset EA100D-24 Solid State Pressure Switch | ||
21439 | State Logic | IC693CHS391H | GE Fanuc | AD693CMM301A | State Logic CPU IC693CHS391H PLC, GE Fanuc Series 90-30, AD693CMM301A Com Module |
21440 | Static Control Service | 0370-0559 | Static Control Services 0370-0559 Pulse Flow, AB250, ID | ||
21441 | Static prevention Inc. | SP4500 | Self Balancing Ionizer SP4500 Auto-Ion, used | ||
21442 | STD | FC40-G2 | PCB LD-102A LPM STD BUSS DRIVER FC40-G2 | ||
21443 | STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, N2, 200 SCCM, Calibrated | ||
21444 | STEC | SEC-4400M | AMAT | 3030-04222 | STEC SEC-4400M Mass Flow Controller, MFC, N2, 1 SLM, AMAT 3030-04222 |
21445 | STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2CM, Calibrated | ||
21446 | STEC | SEC-4400M | AMAT | 3030-01138 | STEC SEC-4400M Mass Flow Controller, MFC, N2, 20 SCCM, AMAT 3030-01138, |
21447 | STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, N2,CM, Calibrated | ||
21448 | STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, AR,CM, Calibrated | ||
21449 | STEC | SEC-4400M | AMAT | 3030-12516 | STEC SEC-4400M Mass Flow Controller, MFC, N2, 20 SCCM, AMAT 3030-12516 |
21450 | STEC | SEC-4400M | AMAT | 3030-12515 | STEC SEC-4400M Mass Flow Controller, MFC, N2M, AMAT 3030-12515 |
21451 | STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2CM | ||
21452 | STEC | SEC-4400M | AMAT | 3030-12519 | STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, AMAT 3030-12519 |
21453 | STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM | ||
21454 | STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, N2,CM, | ||
21455 | STEC | SEC-4400MO | STEC SEC-4400MO Mass Flow Controller, MFC, BF3, 2 SCCM | ||
21456 | STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, H2CM | ||
21457 | Steelman | 5515 | 2 Steelman 05515 Lighted Pick-Up Tools Replacement Lamp | ||
21458 | Stepping motor | 208-500464-2 | 281-500464 | Stepping Motor Driver Micro 208-500464-2 281-500464 PCB board | |
21459 | Step-Sys | 103-770-02E1 | Step-Sys 103-770-02E1, E560050BDK, Optical Shaft Encoder, Stepping Motor, Y-Axis. | ||
21460 | STI | 41666 | Omron | STIOS-L Latching Relay w/ Snubbers, SPDT, 5A, 15 VAC, PCB, Sensor | |
21461 | STI | 1683-01-0047 | STI 16831 PCB, 1683-01-0047, 2601800 | ||
21462 | STI | 44506-5070 | Omron | ER6022-022M | STI 44506-5070 Rope Pull Switch, ER6022-022M, 2 N/C+2 N/O, M20, Omron |
21463 | STI | BS3-RM | STI, BS3-RM, Transmitter Receiver 3M Cable. | ||
21464 | STI | BS3-XM | STI, BS3-XM, Transmitter 3M Cable, Range 1M: Resp. Time: <20ms. | ||
21465 | STI | ESD5020-21 | STI ESD5020-21 Enabling Switch Device, No Cable, Omron | ||
21466 | STI | FGRMS22-24 | Omron | 44532-2010 | STI FGRMS22-24 Relay Module, 44532-2010, FGRMS22, Omron P7SA-10F, 24VDC |
21467 | STI | SR105E02 | Omron | SR105E02 | STI SR105E02 Safety Switch, SR105E02, Omron, Scentific Technologies |
21468 | STI | SR106ED02 | Omron | STI SR106ED02 Safety Relay 44510-1062, 115VAC, Omron, Sensor | |
21469 | STI | SR106ED02 | Omron | 44510-1062 | STI SR106ED02 Safety Relay 44510-1062, 110VAC, Omron, Sensor |
21470 | STI | SR11M | Omron | 44510-0450 | STI SR11M Safety Relay Unit, SR11M24, 44510-0450, 24VAC/DC, Omron Sensor |
21471 | STI | SR11M | Omron | 44510-0450 | STI SR11M Safety Relay Unit, SR11M24, 44510-0450, 24VAC/DC, Omron Sensor |
21472 | STI | SR129AD01 | Omron | STI SR129AD01 Safety Relay, 44510-1291, Phoenix Contact, Omron, Sensor | |
21473 | STI | SR129AD01 | Omron | 44510-2034 | STI SR203M Safety Limit Switch, 44510-2034, Omron, Sensor |
21474 | STI | T2011-030 | STI T2011-030 Switch, 3 N/C, M16, Omron | ||
21475 | STI | TL4024 | STI TL4024 Safety Switch, TL4024-31102, 24V, NO ACT, Omron | ||
21476 | Straight Man’d | 1621-263-12 | Straight Man’d, KF40, 1621-263-12 | ||
21477 | Striko | U-6028-01 | Striko U-6028-01 Oseco S-OTU Min. 2,26 – Max 4,14 barg @ +22C | ||
21478 | SUMILINK | FFIZ-MM-20 | SUMILINK FFIZ-MM-20 CABLE PHOTO FIBER | ||
21479 | SUMILINK | FFIZ-MM-20 | SUMILINK FFIZ-MM-20 CABLE PHOTO FIBER | ||
21480 | Sumitomo | CNA-11C | SRDK-101 | Sumitomo CNA-11C Helium Compressor Unit, CNA-11B, CNA-11, CRYOCOOLER SRDK-101 | |
21481 | Sumitomo | FLX-20FM23R0-00 | Sumitomo SHI FLX-20FM23R0-00 Supply Flexible Hose, Stainless Steel | ||
21482 | Sumitomo | FLX-20FM23S0-00 | Sumitomo SHI FLX-20FM23R0-00 Supply Flexible Hose, Stainless Steel | ||
21483 | Sumitomo | SCP6828-MECH | 10 Sumitomo Electric SCP6828-MECH Transceiver GBIC | ||
21484 | Sumitomo | SU-01C72 | Aviza | 815008-418 | 2 Sumitomo SU-01C72 Aviza 815008-418 Ozone Generating Unit |
21485 | Sumitomo | 3874001641 | Sumitomo 3874001641 Thermo Sensor | ||
21486 | Sumitomo Electric | SCP6GXX-GL | 10 Sumitomo Electric SCP6GXX-GL SFP Module Surplus | ||
21487 | Sumitomo Electric | CF-2001 | FF-2001-mm-1 | Sumitomo Electric CF-2001 Loop Way Photo Fiber Cable, FF-2001-mm-1 | |
21488 | Summit Contactor | 8-32S9K/ST2ATC | Summit Contactor 8-32S9K/ST2ATC Cover Set, 1906484-101 | ||
21489 | SUN | 501-7314-01 | Sun14-01 Advanced Lights Out Remote Management Card, REV 50 | ||
21490 | Sun Microsystems | 501-1823 | Sun Microsystems23emory Expansion Board Primary SPARC 2 | ||
21491 | Sun Sitec | SRPT-VI | Sun Sitec SRPT-VI Si Resistivity & Type Tester | ||
21492 | Sunitomo Eaton | 1187273 I/I-NOV-501-B | 2 LOT SUMITOMO EATON NOVA 1187273 I/I-NOV-501-B CLAMP LIFTER SUPPORT | ||
21493 | Sunnex | HS740 | Sunnex HS740 Halogen Lamp, Red, 24V, 20W, AMAT, Cendura, Endura Platform | ||
21494 | Sunnex | Series 740 | Sunnex Series 740 Halogen Lamp, White, 24V, 20W, AMAT, Cendura, Endura | ||
21495 | SUNX | 36016900 | SUNX Sensor System 36016900, SU-7, E7 | ||
21496 | SUNX | 003RE00036 | Sunx 003RE00036 Encoder, Rotary, Paddle In/Out, S/L 003RE00036 | ||
21497 | SUNX | 00RE00036 | Sunx 003RE00036 Encoder, Rotary, Paddle In/Out, S/L 003RE00036 | ||
21498 | SUNX | 03370-0534 | Sunx 03370-0534 Switch, Vacuum (DP-22) | ||
21499 | SUNX | 3CL520A008400-02 | Sunx PX-22, Asyst Shinko 3CL520A008400-02 Assembly, Obstruction Sensor | ||
21500 | SUNX | 73042-41D | 4 NEW SUNX Sensor Photoelec sourcing 73042-41D PNP DARK ON | ||
21501 | SUNX | CYM3096 | 2 new CYM3096, 6900-1890-01 Sensor SUNX EX-13EP | ||
21502 | SUNX | DPH-101 | UDPH101 | NEW SUNX DPH-101, UDPH101, DPH-100 series Pressure sensor , sensor head | |
21503 | SUNX | DPH-101 | DPH-100 series | NEW SUNX DPH-101 Digital Pressure Sensor DPH-100 Series, DPH101 | |
21504 | SUNX | EX-13EP | EX-13EBD | Sharp 2D150A F 6Y | Sensor Mounting Frame for Asyst Wafer Lift, Elevator, Transfer, SUNX EX-13EP EBD |
21505 | SUNX | EX-23 | SUNX EX-23 Sensor Thru-beam, Side Sens | ||
21506 | SUNX | EX-23 | SUNX EX-23 Sensor Thru-beam, Side Sens | ||
21507 | SUNX | FT-FM2 | Sunx FT-FM2, LED Sensing Fiber Optic Sensor | ||
21508 | SUNX | FX-101 | FX-100 series | 8 NEW SUNX FX-101 Digital Fiber Sensor FX-100 Series, Box of 8 | |
21509 | SUNX | FX-301 | Sunx FX-301 Photoelectric Sensor Kit | ||
21510 | SUNX | FX-8 | H8 | SUNX FX-7, H8, Photoelectric Amplifier, Sensor, W/ Instructions, Screws. | |
21511 | SUNX | GX-411 | Sunx GX-411, UCX411, 8KBZ, E010101405, Photoelectric Sensor. | ||
21512 | SUNX | HL-C1C-GS | Sunx HL-C1C-GS Laser Sensor | ||
21513 | SUNX | HL-C1C-M | Sunx HL-C1C-M Laser Sensor | ||
21514 | SUNX | LA-A1 LED | Sunx LA-A1 LED Collimated Beam Sensor Controller | ||
21515 | SUNX | Rec-32-100 | 2 Sunx Rec-32-100 Rotary Encoder | ||
21516 | SUNX | SC-MIL | USCMIL | SUNX SC-MIL, USCMIL, SC Series Sensor-To-PLC/PC Connection System | |
21517 | SUNX | SF-C11 | Sunx SF-C11, Light Curtain Control Unit, 24V DC ± 10%, 0.1A, 230V AC/6A.Emitter Model Number: SFB-CB05/5/10(E), | ||
21518 | SUNX | SH-84R L0 | SUNX SH-84R Photoelectric Sensor Switch | ||
21519 | SUNX | SU-7 | Sun X SU-7 Photoelectrix Amplifier, Sensor | ||
21520 | SUNX | SU-7 | Sun X SU-7 Photoelectrix Amplifier, Sensor | ||
21521 | SUNX | VF2-PRM3-SE | NEW Photoelectric Sensor VF2-PRM3-SE SUNX limited box of 10 | ||
21522 | SUNX LTD. | PA-201-58 | 2 SUNX PA-201-58 Sensor AMP | ||
21523 | Superior Electric | M062-CS03 | Superior Electric M062-CS03, 5.3V, 1.6A, Synchronous/Stepper Motor. | ||
21524 | Superior Electric | M062-LS03 | Superior Electric M062-LS03, Slow-Sync, Synchronous/Stepper Motor, DC5.3. | ||
21525 | Superior Electric | M062-LS03 | Superior Electric M062-LS03, Slow-Sync, Synchronous/Stepper Motor, DC5.3. | ||
21526 | Superior Electric | M062-LS03 | Superior Electric M062-LS03, Slow-Sync, Synchronous/Stepper Motor, DC5.3. | ||
21527 | Superior Electric | M063-LE-507E | Superior Electric M063-LE-507E Slo-Syn Stepping Motor, 2.9A, 3.36VDC. | ||
21528 | Superior Electric | M063-LE-507E | Superior Electric M063-LE-507E Slo-Syn Stepping Motor, 2.9A, 3.36VDC. | ||
21529 | Superior Electric | M063-LE-507E | Superior Electric M063-LE-507E Slo-Syn Stepping Motor, 2.9A, 3.36VDC. | ||
21530 | Superior Electric | 226435-001 | 31773-00 | Superior Electric 226435-001 Slo-Syn XWC External Wiring Card, PCB | |
21531 | Superior Electric Co. | RP50GWI | 4 Supercon RP50GWI White Pin Receptacle,ere type | ||
21532 | Superior Slo-Syn | 226435-001 | Superior Slo-Syn 226435-001 XWC External Wiring Card | ||
21533 | Supermicro | AOC-STGN-I2S | Supermicro AOC-STGN-I2S Dual-Port Low Profile Gigabit Network Card | ||
21534 | Supermicro | CBL-0022-01 | Supermicro CBL-0022-01, HDD 29″ Cable Ribbon. | ||
21535 | Surpass | PCM-V010X-3 | Surpass PCM-V010X-3 Pressure Sensor | ||
21536 | SVG | 90 | SUG 90 Litho Track Coating, Developer Robot Arm, Blade, End Effector | ||
21537 | SVG | 90 | SUG 90 Litho Track Coating, Developer Robot Arm, Blade, End Effector | ||
21538 | SVG | 90 | SVG 90 ASML Module Controller, Coater, Developer, Resist, Litho, | ||
21539 | SVG | 600072-05 | SVG Aviza card cage 600072-05 with top motherboard 600057-01 and 10 boards | ||
21540 | SVG | 20198-01-55-UF1 | SVG SVG90 Elect Cabinet 20198-01-55-UF1 w/ System Power Supply | ||
21541 | SVG | 21-02501-0 | SVG 21-02501-0 Track Coating, Developer Robot Arm, Blade, End Effector | ||
21542 | SVG | 778006-001 | QMC | 23MBEG2448 | SVG 778006-001 Motor Gearhead Encoder, Stepper Motor, QMC 23MBEG2448. |
21543 | SVG | 778006-001 | QMC | 23MBEG2448 | SVG 778006-001 Motor Gearhead Encoder, Stepper Motor, QMC 23MBEG2448, |
21544 | SVG | 778006-001 | MCG | 23MBEG2448 | SVG 778006-001 Motor Gearhead Encoder, Stepper Motor, MCG 23MBEG2448, |
21545 | SVG | 80166F2-01 | SVG 80166F2-01 STATION CPU BOARD, PCB | ||
21546 | SVG | 80166F2-01 | SVG 80166F2-01 STATION CPU BOARD | ||
21547 | SVG | 80216A-01 | SVG 80216A-01 Centering Device Sensors Board, PCB | ||
21548 | SVG | 80216A-01 | SVG 80216A-01 Centering Device Sensors Board, PCB | ||
21549 | SVG | 80266B | Station CPU | ||
21550 | SVG | 854-2187-001 | P01-E303001-1 | SVG 854-2187-001 37.6″ EFL Relay Lens, AFL, P01-E303001-1, ASML, AOA | |
21551 | SVG | 858-8150-001 | SVG 858-8150-001 Frameless Reticle Handler Optical Interrupt PCB, | ||
21552 | SVG | 879-0262-001 | 859-0727-010 | Shutter Interlock Control PCB 859-0727-010 | |
21553 | SVG | 913999-001 | Swagelok | 6LV-D4D333P-AA | 2 SVG 913999-001 Gas Manifolds w/ 2 Swagelok 6LV-D4D333P-AA Valves, RS1166 |
21554 | SVG | 914224-001 | Lot of 17 Heater, Gas, Mini, Right Angle | ||
21555 | SVG | 99-082-003 | PCB board 99-082-003 | ||
21556 | SVG | 99-80184-02 | Vacuum sensor PCB assy | ||
21557 | SVG | 99-80184-02 | SVG Aviza 99-80184-02 Vacuum Sensor PCB Assy | ||
21558 | SVG | 99-80184-02 | SVG Aviza PCB ASSY VACUUM SENSOR 99-80184-02 SVG 80184C | ||
21559 | SVG | 99-80207-02 | SVG 99-80207-02 Spin Station Expansion Board, PCB, Fab 03-80207-01 | ||
21560 | SVG | 99-80207-02 | SVG 99-80207-02 PCB, 90S, Spin, STA, Expansion, for ASML 90S I-Line Developer Coater Track system | ||
21561 | SVG | 99-80207-02 | SVG 99-80207-02 Spin Station Expasion, PCB, | ||
21562 | SVG | 99-80207-02 | SVG 99-80207-02 SPIN STATION EXPANSION BOARD, REV A | ||
21563 | SVG | 99-80266 | SVG 99-80266-01 Station CPU Resist coater ASML Litho, | ||
21564 | SVG | 99-80266 | SVG 99-80266-01 Station CPU Resist coater ASML Litho, Looks new in sealed bag | ||
21565 | SVG | 99-80266-01 | 2 SVG 99-80266-01 Station CPU Resist coater ASML Litho | ||
21566 | SVG | 99-80267-01 | Shuttle Inteface PCB, Surplus inventory from major semiconductor facility. Very clean | ||
21567 | SVG | 99-80268 | SVG 99-80268 System Power Supply Board, PCB | ||
21568 | SVG | 99-80268 | SVG 99-80268 System Power Supply Board, PCB | ||
21569 | SVG | 99-80268-0 | SVG 99-80268-0 System Power Supply Board, PCB | ||
21570 | SVG | 99-80268-0 | SVG 99-80268-0 System Power Supply Board, PCB | ||
21571 | SVG | 99-80269-01 | SVG 99-80269-01 Shuttle Interface Board, PCB, 03-80269-01 | ||
21572 | SVG | 99-80269-01 | SVG 99-80269-01 Shuttle Interface Board, PCB, 03-80269-01 | ||
21573 | SVG | 99-80412-01 | SVG 99-80412-01, Silicon Valley, PCB, CES I/O Distribution. | ||
21574 | SVG | A1300 | SVG ASML control rack, A1300 power I/O, A1850 DC power supply, Galil DMC1850 | ||
21575 | SVG | 854-8082-004 | SVG Lithography 854-8082-004 PCB, A2363 COIL PLT ELECT I/CT, 010A0137, | ||
21576 | SVG | 99-06660-02 | SVG 99-06660-02 Encoder For Spin Motor, Harness, Spindle | ||
21577 | SVG | 99-80333-01 | SVG ASML 99-80333-01 90S End Station CPU Board | ||
21578 | SVG | 914224-001 | SVG 914224-001 Gas, Mini, Right Angle, ASML AVIZA, WJ | ||
21579 | SVG | 851-9075-011 | SVG 851-9075-011 Wafer Handling Robot, 878-7000-001 | ||
21580 | SVG | 90 Series | SVG 90 Series Track System, Photo Process | ||
21581 | SVG | 90 Series | SVG 90 Series Track System, Photo Process | ||
21582 | SVG ASML AVvza | SVG 90 S | SVG90 S ASML Coat and Develop Track System 2C2D, 6 inch wafer, Photoresist Pumps | ||
21583 | Swagelok | 152086 | SS-8NS4-C | SWAGELOK 152086 SS-8NS4-C 316L SS HIGH-PURITY BELLOWS-SEALED VALVE | |
21584 | Swagelok | 689971 SS-CHS2 | Swagelok 689971 SS-CHS2, 1/3″ | ||
21585 | Swagelok | 6LV-DAFR4-C | 2 Swagelok 6LV-DAFR4-C Stainless Steel Sealed Diaphragm Valve | ||
21586 | Swagelok | 6LV-DTFR4-P-BL | AMAT 3870-02817 Swagelok 6LV-DTFR4-P-BL VALVE MNL DIAPH 145PSI 1/4VCR-F/F | ||
21587 | Swagelok | B-4P4T2 | Lot of 8 Swagelok Nupro B-4P4T2 plug valve | ||
21588 | Swagelok | SS-BNV51-C | SWAGELOK NUPRO SS-BNV51-C BELLOWS VALVE | ||
21589 | Swagelok | 11-256751-02 | SWAGELOCK 11-256751-02 | ||
21590 | Swagelok | 316LV P | Pall | GLFPF3000VMM4 | Swagelok 316LV P, Micro-Fit, Pall GLFPF3000VMM4 Filter, |
21591 | Swagelok | 588N-E | SWAGELOK cooling hose with connecting fitting, 588N-E, 810994 00, Parflex 588N-6 | ||
21592 | Swagelok | 6LV-4-HVCR-3S-6TB7 | Swagelok 6LV-4-HVCR-3S-6TB7 VCR Fitting, Cajon 86489BCAK7, | ||
21593 | Swagelok | 6LV-4-VCR-6-DM-025P | Swagelok 6LV-4-VCR-6-DM-025P Restrictor | ||
21594 | Swagelok | 6LV-BNBW4-C | AMAT | Swagelok 6LV-BNBW4-C, 316L High-Purity Bellows-Sealed Valve, 1/4″ | |
21595 | Swagelok | 6LV-BNBW4-O | Swagelok 6LV-BNBW4-O Valve Assembly, | ||
21596 | Swagelok | 6LV-DAFR4-P-0 | Swagelok 6LV-DAFR4-P-0, Valve, | ||
21597 | Swagelok | 6LV-DAFR4-P-0 | Swagelok 6LV-DAFR4-P-0, Valve, | ||
21598 | Swagelok | 6LV-DFVBW6-P-C | Swagelock 6LV-DFVBW6-P-C Diaphragm Valve | ||
21599 | Swagelok | 6LV-F1VVDD2DP-AA | Swagelok 6LV-F1VVDD2DP-AA 3 way valve | ||
21600 | Swagelok | 6LVV-A6T13850P-AA | Swagelok 6LVV-A6T13850P-AA ALD Valve, VCR | ||
21601 | Swagelok | 6LVV-A6T13850P-AA | Swagelok 6LVV-A6T13850P-AA ALD Valve, VCR | ||
21602 | Swagelok | 6LVV-A6V11456P-AS-NV | SWAGELOK, 6LVV-A6V11456P-AS-NV, VALVE | ||
21603 | Swagelok | 6LVV-ALD6HFR4-P-CS-NV | Novellsu | 22-323741-00 | NEW SWAGELOK 6LVV-ALD6HFR4-P-CS-NV, Novellus 22-323741-00 |
21604 | Swagelok | 6LVV-DPFR4-P1 | SWAGELOK 6LVV-DPFR4-P1-0 and 6LVV-DPFR4-P1-C | ||
21605 | Swagelok | 6LVV-P1V222P-AA | Swagelok 6LVV-P1V222P-AA 3Way Gas Valve, | ||
21606 | Swagelok | 931523-11 | 5 SWAGELOK 90 degree 1/4″ compression 316 KTF, 931523-11 | ||
21607 | Swagelok | ALD | 2 NEW Swagelok 3 Port ALD Diaphragm Valve | ||
21608 | Swagelok | ALD-3 | Dual pneumatic valve with manifold | ||
21609 | Swagelok | B-45558 | PALL | SGLFPF6402VMM4 | KINETICS GAS LINE, TESCOM 150, SWAGELOK B-4558, PALL SGLFPF6402VMM4 |
21610 | Swagelok | B-45S8 | APTech | AK12158 4PL 8800 | Kinetics Fluid System Gas Line APTech AK12158 4PL 8800 Regulator Swagelok B-45S8 |
21611 | Swagelok | metering | Swagelok Metering Valve VCR Connection MRC#_00 | ||
21612 | Swagelok | PFA4-12-FTF-R-12X-3 | Swagelok PFA4-12-FTF-R-12X-3 Tight FL Red FxM W/PFA, ADPT 70835-69 | ||
21613 | Swagelok | R1HK10596B | SS-6-HC-6 | Swagelok R1HK10596B, SS-6-HC-7-6, Hose Connector | |
21614 | Swagelok | SC-11 | Swagelok SC-11 VCR Union | ||
21615 | Swagelok | SC-11 | SS-CHVCR4 | 379650001 | Swagelok SC-11 VCR, Union, SS-CHVCR4, 379650001 |
21616 | Swagelok | SS_FJ4RF4RF-120 | 7 New CONVOLUTED STAINLESS STEEL BRAID HOSE | ||
21617 | Swagelok | SS-18RS12 | Swagelok SS-18RS12 Stainless Integral Needle Valve | ||
21618 | Swagelok | SS-4-VCR-RP | Swagelok VCR Fitting SS-4-VCR-RP | ||
21619 | Swagelok | SS-4BK-K1 | Swagelok SS-4BK-K1 Bellows Replacement Kit, | ||
21620 | Swagelok | SS-4BMW-664 | New Nupro SS-4BMW-664 Metering Valve , VCR connection | ||
21621 | Swagelok | SS-4C-VCRKZ-1/3 | Swagelok SS-4C-VCRKZ-1/3, VCR Fitting 00996-0783 | ||
21622 | Swagelok | SS-63TS12-JLK-SI | 2 Swagelok SS-63TS12-JLK-SI 3/4″ Valve, 2way Ball, 3/4″ 3870-06011 | ||
21623 | Swagelok | SS-BN3847-C | AMAT | 3870-01424 | 3 New, Bellows valve, SC-11, 3870-01424 |
21624 | Swagelok | SS-BN3847-c | AMAT | 3870-01424 | Swagelok 3 port Nupro Bellows valve, VCO |
21625 | Swagelok | SS-BNV51-C | SWAGELOK NUPRO SS-BNV51-C 316L SS HIGH-PURITY BELLOWS-SEALED VALVE | ||
21626 | Swagelok | SS-BNV51-C | SWAGELOK NUPRO SS-BNV51-C 316L SS HIGH-PURITY BELLOWS-SEALED VALVE | ||
21627 | Swagelok | SS-BNV51-C | Swagelok SS-BNV51-C Valve, Gas Stick, Humphrey 3E1 Mini Mizer Valve, | ||
21628 | Swagelok | SS-BNV51-C | Swagelok SS-BNV51-C, 316L SS, High-Purity Bellows Valve, 1/4 in, | ||
21629 | Swagelok | SS-BNV51-C | 2 Swagelok SS-BNV51-C Sealed Bellow Valve | ||
21630 | Swagelok | SS-BNV51-DU-C | SWAGELOK NUPRO SS-BNV51-DU-C ES1914 HIGH-PURITY BELLOWS-SEALED VALVE | ||
21631 | Swagelok | SS-DLV51 | Swagelok SS-DLV51 Manual Valve 1/4″ VCR | ||
21632 | Swagelok | SS-DLVCR4 | Swagelok | SS-BNV51-C | Swagelok SS-DLVCR4 Manual Gas Valve, SS-BNV51-C, |
21633 | Swagelok | SS-FL4RM4RM4-24 | AMAT | 3400-01885 | 3 Swagelok SS-FL4RM4RM4-24 AMAT 3400-01885 convoluted, flexible, SS, hose |
21634 | Swagelok | SS-HBV51-CM | NEW Swagelok Nupro SS-HBV51-CM Bellows valve | ||
21635 | Swagelok | SS-HBVFR4-P-O | NEW Swagelok SS-HBVFR4-P-O | ||
21636 | Swagelok | SS-HFS4A-HVCR4-P-9510 | SWAGELOK PRESSURE CONTROLLER SS-HFS4A-HVCR4-P-9510 | ||
21637 | Swagelok | SS-PB4-PM4 | Swagelok SS-PB4-PM4 Fitting, Push-On Hose End Connection, 1/4″, NPT | ||
21638 | Swagelok | SS-QC8-D-810 | Swagelok SS-QC8-D-810 Fitting, Quick-Connect Stem w/Valve, 1/2″, 1.5Cv | ||
21639 | Swagelok | SS-SS2 | 2 Swagelok SS-SS2 Needle Valve, | ||
21640 | Swagelok | Unknown | 2 Swagelok Fittings 1/4 compression x 1/4 npt female | ||
21641 | Swagelok | Unknown | Swagelok Teflon Liquid Flow Valve, Pneumatic | ||
21642 | Swagelok | Swagelok Elbow 1/4″ Compression FE -3/8 WPT Male, 1 lot of 8 | |||
21643 | Swagelok | Swagelok Adapter 1/8″ Microfit to 1/8″ Flare SS, 1 lot of 8 | |||
21644 | Swagelok | 5212017 | Swagelok 5212017 Tube Fitting | ||
21645 | Swagelok | 316L-4-VCR-3AP | Cajon VCR Fitting 316L-4-VCR-3AP | ||
21646 | Swagelok | 316L-8-VCR-3A6P | Cajon Swagelok 316L-8-VCR-3A6P VCR Fitting, 1/2 x 3/8 ATW, | ||
21647 | Swagelok | 316LV-P | Gasline Assy, 316LV-P,6LV-DAVR4-P, 74-24P2KR920-067, 01-001E, Swagelok | ||
21648 | Swagelok | 321-4-X-2FRB | B-6-I | Cajon B-6-IX-2FRB, Tubing, Flex. ,, | |
21649 | Swagelok | 321-4-X-6 | CAJONX-6 FLEX VACUUM HOSE BELLOWS PIPE GAS LINE VCR CONNECTION | ||
21650 | Swagelok | 321-4-X-6DFR | CajonX-6DFR Stainless Steel Flexible Tubing, | ||
21651 | Swagelok | 321-4-X-6DMR | CAJONX-6DMR FLEX VACUUM HOSE BELLOWS PIPE GAS LINE VCR CONNECTION | ||
21652 | Swagelok | 321-4-x-8DMR | CAJONX-8DMR FLEX VACUUM HOSE BELLOWS PIPE GAS LINE VCR CONNECTION | ||
21653 | Swagelok | 321-4-X-8FOR | CajonX-8FOR Flex Vacuum Hose Bellows Pipe Gas Line VCR Connection | ||
21654 | Swagelok | 6L-LD8-5457 | NUPRO 6L-LD8-5457 DIAPHRAGM VALVE | ||
21655 | Swagelok | 6L-LDA-1B1PX-K | NUPRO N74018, 6L-LDA-1B1PX-K High purity clean room valve | ||
21656 | Swagelok | 6LV-4-HVCR-3S-6TB7 | Cajon 6LV-4-HVCR-3S-6TB7 VCR Fitting | ||
21657 | Swagelok | 6LV-BNBW4-C | Swagelok 6LV-BNBW4-C Bellows Valve | ||
21658 | Swagelok | 6LV-BNBW4-C | AMAT | 0050-09639 | Swagelok 6LV-BNBW4-C Bellows Valve Weldment Assy, AMAT 0050-09639 |
21659 | Swagelok | 6LV-BNBW4-C | Swagelok 6LV-BNBW4-C, High Purity Bellows Valve. | ||
21660 | Swagelok | 6LV-BNBW6-C | Porter | NA | 4 Nupro 6LV-BNBW6-C Gas Manifold, 2 Porter Valve, |
21661 | Swagelok | 6LV-D2V222P-AA | Swagelok 6LV-D2V222P-AA Diaphragm Valve | ||
21662 | Swagelok | 6LV-DABW4-P-GR | Nupro 6LV-DABW4-P-GR Shutoff Valve, On/Off, 914114-001-1 Panel, | ||
21663 | Swagelok | 6LV-DABW4-P-GR | Nupro 6LV-DABW4-P-GR Shutoff Valve, On/Off, | ||
21664 | Swagelok | 6LV-DABW4-P-GR | Nupro 6LV-DABW4-P-GR Shutoff Valve, On/Off, 914115-001-1 Panel, | ||
21665 | Swagelok | 6LV-DABW4-P-GR | Nupro 6LV-DABW4-P-GR Shutoff Valve, On/Off, 914115-001-1 Panel, | ||
21666 | Swagelok | 6LV-DABW4-P-GR | Nupro 6LV-DABW4-P-GR Shutoff Valve, On/Off, 914114-001-1 Panel, | ||
21667 | Swagelok | 6LV-DABW4-P-GR | Nupro 6LV-DABW4-P-GR Shutoff Valve, On/Off, 914114-001-1 Panel, | ||
21668 | Swagelok | 6LV-DAFR4-P-C | Nupro Swagelok 6LV-DAFR4-P-C, Diaphram Valve | ||
21669 | Swagelok | 6LV-DF11371-P1-C | Swagelok 6LV-DF11371-P1-C 3-Way Pneumatic Valve, | ||
21670 | Swagelok | 6LV-DF11373-P1-C | Swagelok 6LV-DF11373-P1-C, 3-Way Pneumatic Valve. | ||
21671 | Swagelok | 6LV-DFMR8-C | Swagelok 6LV-DFMR8-C Diaphragm Valve | ||
21672 | Swagelok | 6LV-F1V939P-AA | Swagelok 6LV-f1V939P-AA, B40354 Diaphragm Valve | ||
21673 | Swagelok | 6LVDAL11PO-6309 | Swagelok 6LVDAL11PO-6309 Pneumatic Bellows Valve, 30412-02 | ||
21674 | Swagelok | 6LVV-DPC313P-0 | Swagelok 6LVV-DPC313P-0, 3-Way Pneumatic Valve. | ||
21675 | Swagelok | 6LVV-DPFR4-P-C | Swagelok 6LVV-DPFR4-P-C Diaphragm Valve | ||
21676 | Swagelok | 6LVV-DPGV111P-C | Swagelok 6LVV-DPGV111P-C Diaphragm Valve | ||
21677 | Swagelok | MS-ISK-0 | Swagelok MS-ISK-0 Indicator Switch | ||
21678 | Swagelok | MS-ISK-BK | Swagelok Nupro MS-ISK-BK Indicator Switch KIT | ||
21679 | Swagelok | MS-ISK-BK | Swagelok Nupro MS-ISK-BK Indicator Switch KIT | ||
21680 | Swagelok | MS-STR-4 | 8 Swagelok, MS-STR-4, Strip Teeze, 1/4 x 576 TFE Tape, | ||
21681 | Swagelok | N15978 | NUPRO N15978 6LV-DABW4-P-GR SHUT OFF VALVE ON/OFF T 914114-001-1 PANEL | ||
21682 | Swagelok | N15978 | NUPRO N15978 6LV-DABW4-P-GR SHUT OFF VALVE ON/OFF T | ||
21683 | Swagelok | N15978 | NUPRO N15978 6LV-DABW4-P-GR SHUT OFF VALVE ON/OFF T 914114-001-1 PANEL | ||
21684 | Swagelok | N15978 | NUPRO N15978 6LV-DABW4-P-GR SHUT OFF VALVE ON/OFF T | ||
21685 | Swagelok | N15978 | NUPRO N15978 6LV-DABW4-P-GR SHUT OFF VALVE ON/OFF T 914114-001-1 PANEL | ||
21686 | Swagelok | N15978 | NUPRO N15978 6LV-DABW4-P-GR SHUT OFF VALVE ON/OFF T | ||
21687 | Swagelok | NI-4-VCR-2 | 50 Swagelok NI-4-VCR-2 1/4″ VCR Gasket | ||
21688 | Swagelok | NXT-DRP41AFDFD-0 | Swagelok NXT-DRP41AFDFD-0 2-Way, Flare 3/8,” AMAT 3870-04958 | ||
21689 | Swagelok | PFA4-12-FTF-R-12X-3 | Swagelok PFA4-12-FTF-R-12X-3 Tight FL Red FxM Adapter w/ PFA | ||
21690 | Swagelok | PFA4-8-FTFX-95-3 | Swagelok PFA4-8-FTFX-95-3 1/2″ Union Elbow, Female, PFA | ||
21691 | Swagelok | PTFE | Swagelok Braided SS flex hose with Compression fitting PTFE hose | ||
21692 | Swagelok | QF25 | 2 Cajon QF25 to 1/2″, VCR Foreline Adapter, Flange, ID | ||
21693 | Swagelok | SS-18VM8 | Swagelok SS-18VM8 Needle Valve, Isolation, 1/2″ | ||
21694 | Swagelok | SS-18VM8 | Swagelok SS-18VM8 Needle Valve | ||
21695 | Swagelok | SS-4-VCR-CP | 6 Swagelok SS-4-VCR-CP VCR Fitting | ||
21696 | Swagelok | SS-400-1-2RS | 5 Swagelok SS-400-1-2RS Connectors | ||
21697 | Swagelok | SS-42XS4 | Swagelok SS-42XS4, 3-Way Ball Valve Assy W/ Sispan 21-0119-D1 Gauge. | ||
21698 | Swagelok | SS-42XVCR4 | Swagelok Whitey SS-42XVCR4 3-Port Ball Valve | ||
21699 | Swagelok | SS-43GS4 | Swagelok SS-43GS4,Ball Valve | ||
21700 | Swagelok | SS-43GS4 | Swagelok SS-43GS4,Ball Valve | ||
21701 | Swagelok | SS-43GS4 | Swagelok SS-43GS4, Ball Valve | ||
21702 | Swagelok | SS-43GS4 | Swagelok SS-43GS4, Ball Valve | ||
21703 | Swagelok | SS-43GS4 | Swagelok SS-43GS4,Ball Valve | ||
21704 | Swagelok | SS-43GS4 | Swagelok SS-43GS4,Ball Valve | ||
21705 | Swagelok | SS-43GS4 | Swagelok SS-43GS4,Ball Valve | ||
21706 | Swagelok | SS-43GS4 | Swagelok SS-43GS4, Ball Valve | ||
21707 | Swagelok | SS-43GS4 | Swagelok SS-43GS4, Ball Valve | ||
21708 | Swagelok | SS-43GS4 | Swagelok SS-43GS4, Ball Valve | ||
21709 | Swagelok | SS-43S4 | Swagelok, SS43S4, Ball Valve | ||
21710 | Swagelok | SS-43S4 | Swagelok SS-43S4, Ball Valve | ||
21711 | Swagelok | SS-43VCO04 | Swagelok SS-43VCO4 Ball Valve 1/4″ 2 Way Angle 2500PSI | ||
21712 | Swagelok | SS-44S6 | Swagelok SS-44S6, Ball Valve | ||
21713 | Swagelok | SS-45S8 | Swagelok SS-45S8, Ball Valve | ||
21714 | Swagelok | SS-45S8 | Swagelok, SS-45S8, Ball Valve | ||
21715 | Swagelok | SS-43S6 | Swagelok, SS43S6, Ball Valve | ||
21716 | Swagelok | SS-45S8 | Swagelok SS-45S8, Ball Valve | ||
21717 | Swagelok | SS-4558 | Swagelok SS-4558 Ball Valve | ||
21718 | Swagelok | SS-4BG-V51 | NUPRO SS-4BG-V51 manual vent valves mounted to 2 3/4″ conflat flange. | ||
21719 | Swagelok | SS-4BK | Nupro | Swagelok Nupro SS-4BK VC-1C Bellows Sealed Actuator Valve, | |
21720 | Swagelok | SS-4BK-10 | Nupro, Swagelok SS-4BK-10, Valve 1/4″, Swag Fitting | ||
21721 | Swagelok | SS-4BK-1C-319 | Nupro | Swagelok Nupro SS-4BK-1C-319 Bellows Sealed Valve | |
21722 | Swagelok | SS-4BK-V13-91N0 | Nupro SS-4BK-V13-91N0, | ||
21723 | Swagelok | SS-4BK-V51-10 | Swagelok SS-4BK-V51-10 Isolation Valve, Bellows, Pneumatic, Nupro | ||
21724 | Swagelok | SS-4BK-V51-1C | Swagelok SS-4BK-V51-1C Bellows Valve | ||
21725 | Swagelok | SS-4BK-V51-1C | Swagelok SS-4BK-V51-1C Bellows Valve | ||
21726 | Swagelok | SS-4BK-VCR-1C | Swagelok Nupro SS-4BK-VCR-1C Pneumatic Bellows Valve | ||
21727 | Swagelok | SS-4BKVC-1C | Nupro SS-4BKVC-1C Valve | ||
21728 | Swagelok | SS-4FW-VCR-15 | Swagelok 6LV-DAVR4-ZD-CM Diaphragm Valve 1/4″ VCR | ||
21729 | Swagelok | SS-4H-TW | ss-4h-tw | SS Bellows-Sealed Valve, Welded, SS Stem Tip, 1/4 in. TSW and 3/8 in | |
21730 | Swagelok | SS-6BKTW-1C | Swagelok SS-6BKTW-1C Pneumatic Bellows Valve | ||
21731 | Swagelok | SS-8-RB | Swagelok, Cajun SS-8-RB-6 1/2″ MNPT X 3/8″ FNPT | ||
21732 | Swagelok | SS-8-RB-6 | 5 Cajon Company SS-8-RB-6, Fitting, 1/2″ MNPT x 3/8″ FNPT. | ||
21733 | Swagelok | SS-8-VCR76-TQC | Cajon | Swagelok Cajon SS-8-VCR76-TQC SS Female NPT Connector Body 1/2″ | |
21734 | Swagelok | SS-8BHT-24 | Swagelok SS-8BHT-24 Braided Flex Hose, 24 Long, 1/2, | ||
21735 | Swagelok | SS-8BK | Nupro SS-8BK Manual Valve, | ||
21736 | Swagelok | SS-8BK-TP3-1C | Nupro SS-8BK-TP3-1C, | ||
21737 | Swagelok | SS-8BK-VCR-IC | Nupro SS-8BK-VCR-IC Valve, NC, ID | ||
21738 | Swagelok | SS-BNA-121-C | Varian | 67837801 | Nupro SS-BNA-121-C Bellow Valve, Dir, Varian 0067837801, |
21739 | Swagelok | SS-BNV51-2C | Swagelok SS-BNV51-2C, Bellows Valve | ||
21740 | Swagelok | SS-BNV51-2C | Swagelok SS-BNV51-2C, Bellows Valve | ||
21741 | Swagelok | SS-BNV51-2C | Swagelok SS-BNV51-2C, Bellows Valve | ||
21742 | Swagelok | SS-BNV51-2C | Swagelok SS-BNV51-2C Bellows Valve | ||
21743 | Swagelok | SS-BNV51-C | Pall | GLFF4000VM4 | Nupro SS-BNV51-C Valve w/ Pall GLFF4000VM4 Filter, 4HS-N5582-1, |
21744 | Swagelok | SS-BNV51-C | Swagelok SS-BNV51-C Valve, Bellows, | ||
21745 | Swagelok | SS-BNV51-CM | Swagelok SS-BNV51-CM, Bellows Valve | ||
21746 | Swagelok | SS-BNV51-DU-0 | Swagelok SS-BNV51-DU-0 Bellows Sealed Valve | ||
21747 | Swagelok | SS-BNV51-DV-C | Swagelok SS-BNV51-DV-C Bellows Valve | ||
21748 | Swagelok | SS-BNV51-O | Swagelok SS-BNV51-O Bellows Valve | ||
21749 | Swagelok | SS-BNVCR4-2C | Swagelok SS-BNVCR4-2C Pneumatics Bellows Valve | ||
21750 | Swagelok | SS-BNVCR4-2C | Swagelok SS-BNVCR4-2C Pneumatics Bellows Valve | ||
21751 | Swagelok | SS-DLFR4-P | Swagelok SS-DLFR4-P Diaphragm Valve Assy | ||
21752 | Swagelok | SS-DLFR4-P | SS-BNVCR4-C | Swagelok SS-DLFR4-P Diaphragm Valve Assy w/ SS-BNVCR4-C Bellows Valve | |
21753 | Swagelok | SS-FJ8RF8RF8-36 | Swagelok SS-FJ8RF8RF8-36, stainless steel flexible hose with VCR fitting | ||
21754 | Swagelok | SS-FL4TA4TA4-23 | Swagelok SS-FL4TA4TA4-23, Flexible Metal Flow Series | ||
21755 | Swagelok | SS-FL4TA4TA4-24 | Swagelok SS-FL4TA4TA4-24, Flexible Gas Line, | ||
21756 | Swagelok | SS-FL8TA8SL8-28 | Swagelok SS-FL8TA8SL8-28, Flexible Metal, FL Series. | ||
21757 | Swagelok | SS-FM6SL6SL6-19 | Swagelok SS-FM6SL6SL6-19, Flexible Gas Line, | ||
21758 | Swagelok | SS-FM6TA6TA6-7 | Swagelok SS-FM6TA6TA6-7 Flexible Metal Hose | ||
21759 | Swagelok | SS-GSKT-4B-T | Nupro | Swagelok Nupro SS-GSKT-4B-T Bellows Valve w/ Gasket Replacement | |
21760 | Swagelok | SS-HBV51C | Nupro | Swagelok Nupro SS-HBV51C Bellows Valve | |
21761 | Swagelok | SS-HBVCR4-CM | Swagelok SS-HBVCR4-CM Bellows Valve Assembly | ||
21762 | Swagelok | SS4558 | Swagelok SS4558 Ball Valve | ||
21763 | Swagelok | Intel Gasline Port, Swagelok | |||
21764 | Swagelok | Tescom | Nupro, Tescom NH3, Regulator Valve | ||
21765 | Swagelok | Swagelok | 6LV-HDFR4-CM2 | Nupro, Swagelok 6LV-HDFR4-CM2, Bellows Sealed Gas Valve; | |
21766 | Swagelok | Nupro SS-4BK-10 Valve, 1/4″, Swag. N.O. | |||
21767 | Swagelok | Swagelok SS-BNV51-DV-C Bellows Valve | |||
21768 | Swagelok | SS-4FW-VCR-15 | Swagelok SS-4FW-VCR-15 Stainless Steel All-Welded Inline Filter 1/4 in Male VCR | ||
21769 | Swagelok | SS-FL4TA4TA4-24 | Swagelok SS-FL4TA4TA4-24 Flexible Metal Hose, 1/4TA x 24″, RS1278 | ||
21770 | Swagelok | 6LVV-DPFR4-P-C | Swagelok 6LVV-DPFR4-P-C Pneumatic Diaphragm Sealed Valve | ||
21771 | Swagelok | 6LVV-DPFR4-P-C | Swagelok 6LVV-DPFR4-P-C Pneumatic Diaphragm Sealed Valve | ||
21772 | Swagelok | 6LVV-DPFR4-P-C | Swagelok 6LVV-DPFR4-P-C Pneumatic Diaphragm Sealed Valve | ||
21773 | Swagelok | 6LVV-DPFR4-P-C | Swagelok 6LVV-DPFR4-P-C Pneumatic Diaphragm Sealed Valve | ||
21774 | Swagelok | 6LVV-DPFR4-P-C | Swagelok 6LVV-DPFR4-P-C Pneumatic Diaphragm Sealed Valve | ||
21775 | Swagelok | 6LVV-DPFR4-P-C | Swagelok 6LVV-DPFR4-P-C Pneumatic Diaphragm Sealed Valve | ||
21776 | Swagelok | 6LVV | Swagelok Micro-Fit 6LVV Tee Fitting | ||
21777 | Swagelok | 6LVV | Swagelok Micro-Fit 6LVV Tee Fitting | ||
21778 | Swagelok | 6LVV | Swagelok Micro-Fit 6LVV Tee Fitting | ||
21779 | Swagelok | SS-HFS4B-HF4HM41-P80 | Swagelok SS-HFS4B-HF4HM41-P80 Pressure Regulator, 3000 PSI, Filter | ||
21780 | Swagelok | SS-HFS4B-HF4HM41-P80 | Swagelok SS-HFS4B-HF4HM41-P80 Pressure Regulator, 3000 PSI, Filter | ||
21781 | Swagelok | SS-SCF3-VR4-P-600 | Swagelok SS-SCF3-VR4-P-600 VAR UHP In-Line Gas Filter, Membralox | ||
21782 | Swagelok | 6LVV-DPRVR4-P-1 | Swagelok 6LVV-DPRVR4-P-1 Manual Valve, 1/4″ FVCR, Isolation | ||
21783 | Swagelok | 6LVV-P1V222P-AA | Swagelok | 6LVV-DPFR4-P-24597 | Swagelok 6LVV-P1V222P-AA Diaphragm Valve Assy w/ 6LVV-DPFR4-P-24597 Valve RS1094 |
21784 | Swagelok | 6LVV-P1V222P-AA | Swagelok | 6LVV-DPFR4-P-24597 | Swagelok 6LVV-P1V222P-AA Diaphragm Valve Assy w/ 6LVV-DPFR4-P-24597 Valve RS1095 |
21785 | Swagelok | SS-43S6 | Swagelok, SS43S6, Ball Valve | ||
21786 | Swagelok | 6LVV-DPFR4-P-C | Swagelok 6LVV-DPFR4-P-C Diaphragm Valve, Novellus | ||
21787 | Swagelok | SS-SCF3-VR4-P-600 | Novellus | 10-393369-00 | Swagelok SS-SCF3-VR4-P-600 In-Line Gas Filter Assy, Membralox MPXD300, Novellus 10-393369-00, 79-344986-00 |
21788 | Swagelok | 6LVV P | Novellus | 10-393369-00 | Swagelok Micro-Fit 6LVV P Tee Fitting, Novellus 10-393369-00 |
21789 | Swagelok | 6LVV-DPFR4-P-C | Swagelok 6LVV-DPFR4-P-C Diaphragm Valve, VL39, Novellus | ||
21790 | Swagelok | SS-SCF3-VR4-P-600 | Novellus | 79-344986-00 | Swagelok SS-SCF3-VR4-P-600 VAR UHP In-Line Gas Filter, Membralox MPXD300 |
21791 | Swagelok | SS-45S12-K | Turck | FCI-D10A4P-2ARX-H1160/D209 | Swagelok SS-45S12-K Ball Valve w/ Turck Flow Control Monitor |
21792 | Swagelok | SS-8BK-VCR-1C | Swagelok SS-8BK-VCR-1C Deionised Water Bellows Valve Assembly | ||
21793 | Swagelok | SS-8BK-VCR-1C | Swagelok SS-8BK-VCR-1C Deionised Water Bellows Valve Assembly | ||
21794 | Swagelok | B-4MX | AMAT | 3870-01208 | Swagelok B-4MX M and L Series Metering Valve, 1/4″, AMAT 3870-01208 |
21795 | Swagelok | B-4MX | AMAT | 3870-01208 | Swagelok B-4MX M and L Series Metering Valve, 1/4″, AMAT 3870-01208 |
21796 | Swagelok | 321-4-X-6 | SwagelokX-6 Convoluted Tubing, 1/4OD, 6″NOM LGTH, Flex, Bellows, RS1321 | ||
21797 | Swagelok | 6LV-DAVR4-P | Swagelok 6LV-DAVR4-P Isolation Valve | ||
21798 | Swagelok | SS-8BK-VCR-1C | Swagelok SS-8BK-VCR-1C Deionised Water Bellows Valve Assembly | ||
21799 | Swagelok | B-PB8-TA8 | Swagelok B-PB8-TA8 Push On Hose Fitting | ||
21800 | Swagelok | SS-PB8-SL8 | Swagelok SS-PB8-SL8 Push On Hose Fitting | ||
21801 | Swagelok | B-QC8-D-810 | Swagelok B-QC8-D-810 Instrumentation Quick Connect | ||
21802 | Swagelok | B-QC8-B-810 | Swagelok B-QC8-B-810 Quick Connect Body, 1/2T, Instrumentation | ||
21803 | Swagelok | SS-PB8-TA8 | Swagelok SS-PB8-TA8 Push-On Hose Fitting, 1/2PB X 1/2TA | ||
21804 | Swagelok | Swagelok SS-45S12-LL Ball Valve, 2500 PSIG | |||
21805 | Swagelok | KPR1FJF412A20000 | Scott Specialty Gases | Swagelok KPR1FJF412A20000 Regulator Assy w/ Gauges, Scott Valve | |
21806 | Swagelok | SS-4BK-V51 | Swagelok SS-4BK-V51 Manual Isolation Valve | ||
21807 | Swagelok | B-45S8 | Swagelok B-45S8 Brass Valve, Manual, Isolation, 2500 PSIG, 172 Bar | ||
21808 | Swagelok | SS-43XVCR4 | Swagelok SS-43XVCR4 3-Way Ball Valve, 2500 PSI, 172 BAR | ||
21809 | Swagelok | 22-292965-00 | 25 new Swagelok diffuser fitting, 1/8 inch plug brass vent, 22-292965-00 | ||
21810 | Swagelok | KPR1FRH412A20000 | Swagelok KPR1FRH412A20000 Regulator Assy000 PSI, 300 Bar | ||
21811 | Swagelok | KPR1EPH412A22G50 | Swagelok KPR1EPH412A22G50 Regulator Assy, 60,SI0000 kPa | ||
21812 | Swagelok | 6LV-BNBW4-C | Swagelok 6LV-BNBW4-C High Purity Bellows Valve | ||
21813 | Swagelok | 6LV-BNBW4-C | Swagelok 6LV-BNBW4-C High Purity Bellows Valve | ||
21814 | Swagelok | SS-DLV51 | Swagelok | SS-4CS-TW-10 | Swagelok SS-DLV51 Manual Valve w/ SS-4CS-TW-10 Mini Sample Cylinder |
21815 | Swagelok | SS-42XVCR4 | Swagelok SS-42XVCR4 3-Port Ball Valve, 2500 PSI, 172 BAR | ||
21816 | Swagelok | SS-4-VCR-CP-SC11 | Swagelok SS-4-VCR-CP-SC11 VRC Cap, Fitting | ||
21817 | Swagelok | 4 Swagelok VCR Cap, Fitting | |||
21818 | Swagelok | 11 Swagelok VCR Cap, Fitting | |||
21819 | Swagelok | SS-QC6-D-4PM | Swagelok SS-QC6-D-4PM Fitting-Male NPT 1/4 PT | ||
21820 | Swagelok | SS-QC6-D-4PM | Swagelok SS-QC6-D-4PM Fitting-Male NPT 1/4 PT | ||
21821 | Swagelok | SS-400-6-1SC11 | Swagelok SS-400-6-1SC11 Fitting | ||
21822 | Swagelok | 316 X | 2 Swagelok 316 X Fitting | ||
21823 | Swagelok | 22-1212-1 | 5 Swagelok 22-1212-1 316 Stainless Steel Nut for 3/4 in for Tube Fitting | ||
21824 | Swagelok | SS-4-VCR-CP-SC11 | Swagelok SS-4-VCR-CP-SC11 Fitting | ||
21825 | Swagelok | 22-1212-1 | 5 Swagelok 22-1212-1 316 Stainless Steel Nut for 3/4 in for Tube Fitting | ||
21826 | Swagelok | SS-4-VCR-CP-SC11 | Swagelok SS-4-VCR-CP-SC11 Cap | ||
21827 | Swagelok | SS-405-170SC11 | D1KGJ0327B | 7 Swagelok SS-405-170SC11 Tubing Insert, D1KGJ0327B, GD0133, VDYKE | |
21828 | Swagelok | SS-4-VCR-CP-SC11 | Swagelok SS-4-VCR-CP-SC11 Cap | ||
21829 | SWIA | AMM003-S55PBZZZ | 4 SWIA 0000058989 AMM003-S55PBZZZ CARBON A/M | ||
21830 | Swiftech | MDO-531079 | MDO-537173-REV1 | Swiftech MDO-531079 Cable, MDO-537173-REV1, 12FT, Cryo, Turbo | |
21831 | Swiss | EVAC | Swiss Clamps, EVAC | ||
21832 | SWITCHCRAFT | E24546 | SWITCHCRAFT E24546 22/6 AWM STYLE 2661 105C VW-1 | ||
21833 | Sygo | 260-00102-01 | 4 Zygo 260-00102-01 PCB Board | ||
21834 | Sylvania | 387 | Sylvania Sunray 387 Minature Bulb | ||
21835 | Sylvania | 500T3Q/CL (FCL) | 58996-2 | 20 Sylvania/CL (FCL) Halogen Bulbs,120V, 58996-2 | |
21836 | Symbios | 348-0029611A | Symbios 348-0029611A, NCR815XS, PCI to S.E. SCSI Adapter. | ||
21837 | Symbol | 50-14000-008 | Symbol00-008 Class 2 Power Supply, Adapter, 120V AC, 60Hz, 11W | ||
21838 | Symmetricon | NTS-200 | Symmetricon True Time NTS-200 GPS Network Time Server | ||
21839 | Synchroflex | 101-530078 | 4 Synchroflex 101-530078, SB07204, SP-GY11, 5/300 Low Load Timing Belt. | ||
21840 | Synetics | BR942980 | Synetics BR942980 Third Arm Spacer | ||
21841 | sysmac | CQM1 | omron | PA203 | SYSMAC CQM1 omron programmable controller, PLC PA203, W/ OCH, ID211, and 2 OC221 |
21842 | Sysmelec | 181 | SYSMELEC HANDHELD ROBOT & AUTOMATION CONTROLLER NO 181 | ||
21843 | System chemistry | 99-11610 | Power control PCB, Surplus inventory form major semiconductor facility. Looks new in sealed bag. Surplus inventoryfrom major semiconductor facility. | ||
21844 | Systems Chemistry Inc | 99-85004-01 | MDU Front panel assy. Looks new | ||
21845 | Systron-Donner | TL8-3 | Systron-Donner TL8-3 DC Power Supply, Triple Output | ||
21846 | Systron-Donner | TL8-3 | Systron-Donner TL8-3 DC Power Supply, Triple Output | ||
21847 | T.E.M. Filter Company | TEM-915 | T.E.M. Filter Company TEM-915 Gas Filter, 3000 PSI, 003 Microns | ||
21848 | Tachi Fujikoshi | FGS6FPC3000 | Tachi Fujikoshi FGS6FPC3000 Optical Fiber Cable | ||
21849 | Taitec | CH-400AHS-MO-MODEL-F | Taitec CH-400AHS-MO-MODEL-F Cooling Pump, Chiller, Refrigerator, Model F | ||
21850 | Taitec | CH-400AHS-MO-MODEL-FH | Taitec CH-400AHS-MO-MODEL-FH Cooling Pump, Chiller, Refrigerator | ||
21851 | Taitec | CH-400AHS-MO-MODEL-FH | Taitec CH-400AHS-MO-MODEL-FH Cooling Pump, Chiller, Refrigerator | ||
21852 | Taitec | DEX-30A | Taitec DEX-30A Chiller Heat Exchange | ||
21853 | Taitec | DEX-30A | Taitec DEX-30A Chiller Heat Exchange Stackable | ||
21854 | Taiyo | USR530-CM8QW | Taiyo USR530-CM8QW Solenoid Valve, 0-100 psig | ||
21855 | Taiyo | USR530-SRM8QW | Taiyo USR530-SRM8QW Solenoid Valve, USR530-SRM8 | ||
21856 | Taiyo Yuden | XE30-6-1351 | 800-859-03 | Taiyo Yuden XE30-6-1351 Power Supply, 800-859-03 | |
21857 | Taiyo Yuden | XP45-30-7665 | 800-933-04 | Taiyo Yuden XP45-30-7665 Power Supply, 800-933-04 | |
21858 | Taiyo Yuden | XP45-30-7665 | 800-933-04 | Taiyo Yuden XP45-30-7665 Power Supply, 800-933-04 | |
21859 | Taiyo Yuden | XP45-30-7665 | 800-933-04 | Taiyo Yuden XP45-30-7665 Power Supply, 800-933-04 | |
21860 | Taiyo Yuden | XP45-30-7665 | 800-933-04 | Taiyo Yuden XP45-30-7665 Power Supply, 800-933-04 | |
21861 | Taiyo Yuden | XP45-30-7665 | 800-933-04 | Taiyo Yuden XP45-30-7665 Power Supply, 800-933-04 | |
21862 | Taiyo Yuden | XP45-30-7665 | 800-933-04 | Taiyo Yuden XP45-30-7665 Power Supply, 800-933-04 | |
21863 | Taiyo Yuden | XP45-6-7667 | 800-935-04 | Taiyo Yuden XP45-6-7667 Power Supply, 800-935-04 | |
21864 | Taiyo Yuden | XP45-6-7667 | 800-935-04 | Taiyo Yuden XP45-6-7667 Power Supply, 800-935-04 | |
21865 | Taiyo Yuden | XE90-1355 | 800-841-04 | Taiyo Yuden XE90-1355 Power Supply, 800-841-04 | |
21866 | Taiyo Yuden | XE90-15-1366 | 804-891-00 | Taiyo Yuden XE90-15-1366 Power Supply, 804-891-00 | |
21867 | Taiyo Yuden | XP90-12-7664 | 800-840-04 | Taiyo Yuden XP90-12-7664 Power Supply, 800-840-04 REV A | |
21868 | Taiyo Yuden | XP90-12-7664 | 800-840-04 | Taiyo Yuden XP90-12-7664 Power Supply, 800-840-04 REV A | |
21869 | Takenaka | SST 120-R | Takenaka SST 120-R Light Curtain | ||
21870 | Takenaka | SST 120-R | Takenaka SST 120-R Light Curtain | ||
21871 | Takenaka | SST 120-R | Takenaka SST 120-R Light Curtain | ||
21872 | Takex | SS20-TR40 | 3 Takex Wide Sensors SS20-TR40, Input 12-24V DC, 150mA. Output 30V DC, 100mA | ||
21873 | Tamagawa | TS3653N95E8 | Tamagawa TS3653N95E8 Stepper Motor Assembly, 4.5V, 2A, Asyst | ||
21874 | Tamagawa | TS3653N95E8 | Tamagawa TS3653N95E8 Stepper Motor Assembly, 4.5, 2A | ||
21875 | Tamagawa | AU3501N8 | Seiki | Tamagawa Seiki AU3501N8 Turbo Pump Controller | |
21876 | Tamagawa | AU3501N8 | Seiki | Tamagawa Seiki AU3501N8 Turbo Pump Controller | |
21877 | Tamagawa | AU3501N8 | Seiki | Tamagawa Seiki AU3501N8 Turbo Pump Controller | |
21878 | Tamagawa | TS3413N1E4 | Seiki | AU9023NI | Tamagawa Seiki TS3413N1E4 Motor w/ AU9023NI, Motor Driver, BL-DC |
21879 | Tamagawa Seiki | TS3413N1E4 | Tamagawa Seiki TS3413N1E4 BL-DC Motor w/ AU9023NI Motor Driver | ||
21880 | Tamagawa Seiko Co., LTD | 66-1000 CT-C2-5V | FMI | 43-0800-00061 | Tamagawa 66-1000 CT-C2-5V FA-CODER Rotary Encoder, FMI 43-0800-00061 |
21881 | TAMRON | ANM8808 | Tamron CCTV Lens ANM8808 – 171206911057 | ||
21882 | Tamron | ANM8802 | Tamron ANM8802 TV Lens, 1/3″, 4mm, 1:1.2 CS, CCTV | ||
21883 | TBW | 2.11105C | 7 TBW 2.11105C Grid-Abrade, 2″ Standard, 250 Micron, Diamond Pads | ||
21884 | TBW | 4.1112C | Grid-Abrade | TBW 4.1112C, Grid-Abrade 4″ Standard, 200 Grit, Diamond | |
21885 | TC | TYPE 0531 | TC VACUUM GUAGE TYPE 0531 LED 21612 P 6359 | ||
21886 | TCK | TAS300 | TDK TAS300 Wafer Load Port, Loader, TAS-LED, TAS-RIN11, SMC RSDQB32-10DK | ||
21887 | TCP | VT-11D-L2P | TCP VT-11D-L2P Smart screen display operator interface | ||
21888 | TCR | 20S30-1-0502 | TCR 20S30-1-0502/20S30 Source Magnet Power Supply Electronic Measurements, | ||
21889 | TCR | 20S30-1-0502 | TCR 20S30-1-0502/20S30 Source Magnet Power Supply Electronic Measurements, | ||
21890 | TDK | TAS300 | TDK TAS300 Wafer Load Port, Loader | ||
21891 | TDK | ZAC2205-D0U | TDK ZAC2205-D0U Noise Filter | ||
21892 | TDK Lambda | EAK05-20R | TDK EAK05-20R Switching Power Supply, | ||
21893 | TDK Lambda | EAK15-1ROG | TDK EAK15-1ROG Power Supply Switching | ||
21894 | TDK Lambda | EAK15-1ROG | Disco | BDEAK15-1RO | TDK, Disco EAK15-1ROG Power Supply Switching |
21895 | TDK Lambda | EAK24-2R1 | TDK EAK24-2R1 Switching Power Supply5V,z | ||
21896 | TDK Lambda | EAK24-2RA | TDK EAK24-2RA Switching Power Supply5V,z | ||
21897 | TDK Lambda | GENH750W | 5071029 | TDK-Lambda GENH750W Power Supply, Input Rating 100-240V ~9.5A,z | |
21898 | TDK Lambda | RKW15-22K | Kepco | TDK RKW15-22K, Kepco, Adjustable Power Supply0V. | |
21899 | TDK Lambda | RKW15-22K | Kepco | TDK RKW15-22K, Kepco, Adjustable Power Supply0V. | |
21900 | TDK-Lambda | 515029 | TDK-Lambda 00515029 Variable DC Power Supply, Gen 30-170-3P208, 5000W | ||
21901 | TDK-Lambda | 515029 | TDK-Lambda 00515029 Variable DC Power Supply, Gen 30-170-3P208, 5000W | ||
21902 | TDK-Lambda | 515029 | TDK-Lambda 00515029 Variable DC Power Supply, Gen 30-170-3P208, 5000W | ||
21903 | TDK-Lambda | 515029 | TDK-Lambda 00515029 Variable DC Power Supply, Gen 30-170-3P208, 5000W | ||
21904 | TE | 4000 | TEower Electrode, Static Chuck, ESC, Pedestal, 2012401-M. | ||
21905 | Tecan Systems | 32558-01 | Tecan Systems01 Syringe, 0.5 ML | ||
21906 | Tech 5 | 11-0106012-00 | Tech 5 Hellma, PCI Interface Board, PD-PCI01v1, 11-0106012-00. | ||
21907 | TECH INSTR CO | 260-00033-AA | TECH INSTR CO. 260-00033-AA Rev.D, Keyboard Switches PCB, 660-00033-01. | ||
21908 | TECH INSTR CO | 260-00033-AA | TECH INSTR CO. 260-00033-AA Rev.D, Keyboard Switches PCB, 660-00033-01. | ||
21909 | TECH INSTR CO | 260-00033-AA | TECH INSTR CO. 260-00033-AA Rev.D, Keyboard Switches PCB, 660-00033-01. | ||
21910 | TECH INSTR CO | 260-00033-AA | TECH INSTR CO. 260-00033-AA Rev.D, Keyboard Switches PCB, 660-00033-01. | ||
21911 | TECH INSTR CO | 260-00033-AA | TECH INSTR CO. 260-00033-AA Rev.D, Keyboard Switches PCB, 660-00033-01. | ||
21912 | Technical Instrument | 2ygol | Ballscrew Assembly 2ygol Technical Instrument | ||
21913 | Technical Instrument | K2IND KMS310 | Technical Instrument K2IND KMS310, Attachment Module for Industrial Microscope | ||
21914 | Technical Instrument | KLH-1 | Technical Instrument Company, Focus Array | ||
21915 | Technical Instrument | KLH-1 | Technical Instrument Company, Focus Array, KLH-1 | ||
21916 | Technical Instrument | KLH-1 | Technical Instrument Company, KLH-1, Focus Array | ||
21917 | Technical Instrument | KMS310RT | Technical Instrument KMS310RT Measurement Microscope w/ Accessory Cabinet | ||
21918 | Technics | PE-IIA | Technics PE-IIA Plasma System, 115V, 20A,z, | ||
21919 | Technics SSEM | SSEM-Sputtering | Technics SSEM Sputtering Series 4, Omron E5C4 | ||
21920 | Techniquip | FOI-150 | Techniquip FOI-150 Lamp EKE, Light Source | ||
21921 | Techno Isel | 0670-07-098 | Techno Isel 0670-07-098 Model E670m Motor, H50R10-067, | ||
21922 | Technology systems | Turbo Parallel Buffer | Technology systems BF3-24M Turbo parallel bufferwith power transformer and 2 cables | ||
21923 | TECH-STAR | 0020-21708 | TECH-STAR 0020-21708, CHUCK ORIENTER, 8″ | ||
21924 | Techware | PAL-00356 | Techware PAL-00356 Cluster Module Controlleray I/O, 48 AI/O | ||
21925 | Techware | PAL-00525 | Techware PAL-00525 Cluster Module Controlleray I/O, 48 AI/O | ||
21926 | Techware brooks | PAL-00386 | Techware PAL-00386, Cluster module controller 16 Dig I/OOay I/O | ||
21927 | Teco | F510-4005-C3-U | Teco F510-4005-C3-U Frequency Inverter Drive, F510 | ||
21928 | Teco | F510-4005-C3-U | Teco F510-4005-C3-U Frequency Inverter Drive | ||
21929 | Teco | F510-4005-H3 | Teco F510-4005-H3 Frequency Inverter Drive, 4.0kW, F510 | ||
21930 | Teflon | 500661-00 | 2 Insulator, Chuck Body-00, PTFE Material | ||
21931 | Teflon | MODRT062 | 3 Guide Teflon TRA MODRT062 | ||
21932 | Tegal | 755601 | Tegal 00755601 Temperature Display Board, PCB, | ||
21933 | Tegal | 39-680-003 | M.E.C. TECH | MEC1500-1028 | Tegal-003, Electrode, Non-anodize shower head Tegal 903, Aluminum, |
21934 | Tegal | 40-140-003D | Tegal-003D, Ring, Insultator, Ceramic, | ||
21935 | Tegal | 41-137-001 | Lot of 3 Tegal-001, Chuck, BTTM Flag 903, | ||
21936 | Tegal | 55-005-001 | 5 Tegal 55-005-001 Drive Loader Belt | ||
21937 | Tegal | 59-011-001 | 2 Tegal 59-011-001, Linkage Top PL Latch, | ||
21938 | Tegal | 80-202-046 | 23 Tegal 80-202-046, Gromnet, 5/16ID, 7/16DIA Hole | ||
21939 | Tegal | 80-202-186 | Tegal 80-202-186, Timing Belt, | ||
21940 | Tegal | 80-202-189 | Lot of 10 Tegal 80-202-189, O-R Viton 7, 1/4ID 1/8W, D70, | ||
21941 | Tegal | 80-202-190 | Lot of 5 Tegal 80-202-190, O-R Viton, 1/2ID 3/16W, D70, | ||
21942 | Tegal | 93-137-009 | Tegal 93-137-009, RF Cable, | ||
21943 | Tegal | 94-400-001 | Tegal 94-400-001, Harness Sensor, | ||
21944 | Tegal | 94-484-001 | Tegal 94-484-001 Cable Assy, | ||
21945 | Tegal | 99-052-001 | Tegal 99-052-001 PCB, AUX-1, 99-049-001 PCB, | ||
21946 | Tegal | 99-081-001 | Gas control interface, GCS-1 PCB Assy | ||
21947 | Tegal | 99-081-001 | Gas control interface, GCS-1 PCB Assy | ||
21948 | Tegal | 99-082-003 | Gas control Logic, GCL-3 PCB assy | ||
21949 | Tegal | 99-104-001 | TEGAL 99-104-001 PCB ELEVATOR CONTROL FAB 98-104-001 | ||
21950 | Tegal | 99-104-001 | Tegal 99-104-001, PCB Elevator Control, FAB 98-104-001 | ||
21951 | Tegal | 99-112-001 | Top Display control, TDC-1 PCB assy | ||
21952 | Tegal | 99-126-006 | Tegal 99-126-006 PCB, IGC-6, Gas Interface, 98-126-005, | ||
21953 | Tegal | 99-126-006 | Tegal 99-126-006 PCB, IGC-6, Gas Interface, 98-126-006, | ||
21954 | Tegal | 99-126-006 | Tegal 99-126-006 PCB, IGC-6, Gas Interface, 98-126-006, | ||
21955 | Tegal | 99-138-001 | Tegal 99-138-001 PCB Assembly, FAB 98-138-001, | ||
21956 | Tegal | 99-173-004 | Tegal 99-173-004 PCB, RFG-4, RF Gen Interface, | ||
21957 | Tegal | 99-173-008 | Tegal 99-173-008 PCB, RFG-8, RF Gen Interface, | ||
21958 | Tegal | 99-173-008 | Tegal 99-173-008 PCB, RFG-8, RF Gen Interface, | ||
21959 | Tegal | 99-200-005 | Tegal 99-200-005 PCB, SIS-5, Sensor Interface, 98-200-002, | ||
21960 | Tegal | 99-200-300 REV.B | TEGAL 99-200-300 REV. B, PCB SENSOR INTERFACE | ||
21961 | Tegal | 99-223-002 | Tegal 99-223-002 PCB, DSN-2, Dual Sensor, | ||
21962 | Tegal | 99-223-002 | Tegal 99-223-002 PCB, DSN-2, Dual Sensor, | ||
21963 | Tegal | 99-223-002 | Tegal 99-223-002 PCB, DSN-2, Dual Sensor, | ||
21964 | Tegal | 99-228-001 | Tegal 99-228-001 PCB, FLS-1, Flag Sensor, 98-228-001, | ||
21965 | Tegal | 99-228-001 | Tegal 99-228-001 PCB, FLS-1, Flag Sensor, 98-228-001, | ||
21966 | Tegal | CR1350-00300 | Tegal source reator ICP CR1350-00300 with Tegal MHZ controller CR1325-00400 | ||
21967 | Tegal | CW1078-W00304RW | 2 Tegal CW1078-W00304RW Spatula Drive, 99-200-003 PCB Board | ||
21968 | Tegal | CW1299-10102 | Tegal Vacuum Cassette Elevator Loadlock VCE0MM, Left CW1299-10102 | ||
21969 | Tegal | Pro-37-221-002 | Tegal 903 Showerhead Profacture Pro-37-221-002 Electrode Upper 903E | ||
21970 | Tegal | Unknown | TEGAL Front Robot Loader interface | ||
21971 | Tegal | XJET-11503 | TEGAL XJET-11503 TCU Elbow Fitting, | ||
21972 | Tek | 108773 | 108770, 108771 | Tek PWB 108773 Rev.F, Termination Network Card, CCD Assy. | |
21973 | Tek | P6130 | Tek P6130 10Mohm 12.7pF 10X 1.5m Passive Voltage Oscilloscope Probe | ||
21974 | TEK Micro Systems | HL266 | TEK Micro Systems HL266 IWB Assy D2360163 Daughter Board Enigma-M | ||
21975 | Teknic | IEC947-5-1 | ############ | Teknic Push Button Assy, 2LHB24 IEC947-5-1, 60947-5-1 S1 & S2 | |
21976 | Teknic | IEC947-5-1 | ############ | Teknic Push Button Assy, 2LHB24 IEC947-5-1, 60947-5-1 S1 & S2 | |
21977 | Teknic | IEC947-5-1 | ############ | Teknic Push Button Assy, 2LHB24 IEC947-5-1, 60947-5-1 S1 & S2 | |
21978 | Teknic | IEC947-5-1 | ############ | Teknic Push Button Assy, 2LHB24 IEC947-5-1, 60947-5-1 S1 & S2 | |
21979 | Teknic | IEC947-5-1 | ############ | Teknic Push Button Assy, 2LHB24 IEC947-5-1, 60947-5-1 S1 & S2 | |
21980 | Teknic | IEC947-5-1 | ############ | Teknic Push Button Assy, 2LHB24 IEC947-5-1, 60947-5-1 S1 & S2 | |
21981 | Teknic | ################## | S1E | Teknic 60947-5-1 On/Off Switch Assy, S1E, (3) S1 Contact Block | |
21982 | Teknic | ################## | S1E | Teknic 60947-5-1 On/Off Switch Assy, S1E, (3) S1 Contact Block | |
21983 | Teknic | ################## | S1E | Teknic 60947-5-1 On/Off Switch Assy, S1E, (3) S1 Contact Block | |
21984 | Teknic | 2LHB130 | 3 Teknic 2LHB130 Pushbutton | ||
21985 | Tektronix | 265B | Tektronix 265B, 5970, Oscilloscope. | ||
21986 | Tektronix | 92A96 | Tektronix 92A96 60″ (Inch) Probe Cable for (DAS) Digital Analysis System | ||
21987 | Tektronix | 92A96 | 3 Tektronix 92A96 Probe Interface Housing | ||
21988 | Tektronix | P6062B | Tektronix P6062B, 6 Ft, Switchable Attenuation Probe | ||
21989 | Tektronix | P6137 | Tektronix P6137 10X 10.8pF Passive Voltage Probe for 2400 Oscilloscope | ||
21990 | Tektronix | P6139A | Tektronix P6139A 10X 8.0pF Passive Voltage Probe Oscilloscope 1.3 Meter | ||
21991 | Tektronix | P6464 | 92A96 | Tektronix P6464 Pattern Generator Probe, 92A96 | |
21992 | Tektronix | P6464 | 92A96 | Tektronix P6464 Pattern Generator Probe, 92A96 | |
21993 | Tektronix | P6464 | 92A96 | Tektronix 010-6403-00 Pattern Generator Probe, 92A96 | |
21994 | Tektronix | P6860 | 8 Tektronix P6860 High Density Logic Analyzers | ||
21995 | Tektronix | P6860 | Tektronix P6860 High Density Logic Analyzers | ||
21996 | Tektronix | PWB113571-002 | Tektronix M1 PWB113571-002, PCB, | ||
21997 | Tektronix | S9-0550-01 | Tektronix S9-0550-01 Handler Interface Board, PCB. 671-4033-01; | ||
21998 | Tektronix | TCA-BNC | Tektronix TCA-BNC Tekconnect Adapter | ||
21999 | Tektronix | TMS816 | Tektronix TMS816 Probe Adapter0 VAC,Hz, 6.0 AMPS, | ||
22000 | Tektronix | TMSCAB3X | 8 Tektronix TMSCAB3X Cable Assembly, Logic Analyzer, Probe | ||
22001 | Tektronix | TMSCAB3X | Tektronix TMSCAB3X Cable Assembly, Logic Analyzer, Probe | ||
22002 | Tektronix | TMSCAB3X | Tektronix TMSCAB3X Cable Assembly, Logic Analyzer, Probe | ||
22003 | Tektronix | TMSCAB3X | 66 Tektronix TMSCAB3X Cable Assembly, Logic Analyzer, Probe | ||
22004 | Tektronix | 11401 | Tektronix 11401 Digitizing Oscilloscope, 11A32 Amplifier, 016-0829-00 | ||
22005 | Tektronix | TDS 684B | Tektronix TDS 684B Color Four Channel Digital Real Time Oscilloscope | ||
22006 | Tektronix | 7633 | Tektronix 7633 Oscilloscope w/ 7A26, 7A13, and 7B53 Plug-In Modules | ||
22007 | Tektronix | TDS 754C | Tektronix TDS 754C Color Four Channel Digitizing Oscilloscope w/ InstaVu | ||
22008 | Tektronix | 92A96 | Tektronix 92A96 60″ Inches Probe Cable For (DAS) Digital Analysis System | ||
22009 | TEL Tokyo Electron | 3200 | TEL, TOKYO ELECTRONICS, MODELAS CONTROL PANEL | ||
22010 | TEL Tokyo Electron | 1011440 | Tokyo Electron 1011440 Power Supply, +-15VDC, 1.5A LIN | ||
22011 | TEL Tokyo Electron | 5022330 | Tokyo Electron LTD solenoid kit,0 | ||
22012 | TEL Tokyo Electron | 5022330 | Tokyo Electron LTD solenoid kit0 | ||
22013 | TEL Tokyo Electron | 8119761 | SP1981-3955 | 3 TEL 8119761, SP1981-3955, Stand, Leg. | |
22014 | TEL Tokyo Electron | 10609086 | TEL, Tokyo Electronic86 Multi Finger, End Effector, Blade Clamp | ||
22015 | TEL Tokyo Electron | 10609086 | TEL, Tokyo Electronic86 Multi Finger, End Effector, Blade Clamp | ||
22016 | TEL Tokyo Electron | 10609086 | TEL, Tokyo Electronic86 Multi Finger, End Effector, Blade Clamp | ||
22017 | TEL Tokyo Electron | 10609086 | TEL, Tokyo Electronic86 Multi Finger, End Effector, Blade Clamp | ||
22018 | TEL Tokyo Electron | 120011561 | TOKYO ELECTRON 0120011561 THROTTLE VALVE PRESSURE CONTROLLER OM 2GK120 | ||
22019 | TEL Tokyo Electron | 1.11E+11 | TEL, Tokyo Electron, 110530060811, Quartz, VR Shutter. | ||
22020 | TEL Tokyo Electron | 06PV000201 | 2 TEL 06PV000201 Valve, Solenoid, Air, Gas Jungle | ||
22021 | TEL Tokyo Electron | 071-000874-1 | 2 Tokyo Electron TEL 071-000874-1, Alvania Grease 50CC | ||
22022 | TEL Tokyo Electron | 1181-000145-16 | TEL, Tokyo Electron, 1181-000145-16 PCB, DC-201B, CVD2200, Temp A/D, | ||
22023 | TEL Tokyo Electron | 1181-000145-16 | TEL, Tokyo Electron, 1181-000145-16 PCB, DC-201B, CVD2200, Temp A/D, | ||
22024 | TEL Tokyo Electron | 1.181E+11 | 80-2040B | Tokyo Electron, 118100017414, PCB Assy. 80-2040B | |
22025 | TEL Tokyo Electron | 1208-001150-11 | TEL 1208-001150-11 Indexer Board, | ||
22026 | TEL Tokyo Electron | 1208-001151-11 | TEL, Tokyo Electron, 1208-001151-11, Indexer PCB. | ||
22027 | TEL Tokyo Electron | 1208-001151-11 | TEL, Tokyo Electron, 1208-001151-11, Indexer PCB. | ||
22028 | TEL Tokyo Electron | 1381-658459-12 | Lot of 5, Connector PCB, Look new | ||
22029 | TEL Tokyo Electron | 1880-027845-11 | TEL, Tokyo Electron, 1880-027845-11, PCB, TSCB-ARCTC Board. | ||
22030 | TEL Tokyo Electron | 1B05-100320-11 | TEL 8″ Bath QDR type E, Quartz, 1B05-100320-11 | ||
22031 | TEL Tokyo Electron | 1B87-512643-11 | TEL 1B87-512643-11 ASSY, SMIF-4 POD BASE | ||
22032 | TEL Tokyo Electron | 1D10-102215-11 | Plate 36001M-21511 | ||
22033 | TEL Tokyo Electron | 1D10-102216-11 | Plate 36001M-21611 | ||
22034 | TEL Tokyo Electron | 1D10-402043-11 | Support, S- pipe, C, (ORMZ) | ||
22035 | TEL Tokyo Electron | 1D81-000114 | KE-1, TYB415-1/RF, TYB212-1/RF, CN2, 1D86-004723-11, 1D08-000097-13 | Tokyo Electronic TEL 1D81-000114, KE-1, TYB415-1/RF, PCB, Board Assembly. | |
22036 | TEL Tokyo Electron | 1D81-000130 | TYB416-1/PUMP, KE-1, 1D08-000130-11 | TEL, Tokyo Electron 1D81-000130, PCB, Board, TYB416-1/PUMP, KE-1, 1D08-000130-11 | |
22037 | TEL Tokyo Electron | 1D81-000396-11 | Distribution PCB, some pins may be bentdue to handling and shipping | ||
22038 | TEL Tokyo Electron | 208-500347-3 | 281-500347 | TEL Stage driver IF Board 208-500347-3, 281-500347, Encoder IF Board 208-600427 | |
22039 | TEL Tokyo Electron | 208-500463-2 | 281-500463-9 | 2 TEL PCb board, Motor Driver Cardinal 208-500463-2, 281-500463-9, 208-500463-2 | |
22040 | TEL Tokyo Electron | 208-500463-2 | 281-500463-9 | TEL PCb board, Motor Driver Cardinal 208-500463-2, 281-500463-9, 208-500463-2 | |
22041 | TEL Tokyo Electron | 208-501035-7 | 208-501035-6 | TEL 208-501035-7 Loader Station Interface 80E, 208-501035, 208-501035-6 | |
22042 | TEL Tokyo Electron | 210-17046-00 | 2 210-17046-00 Support, Blower Tube, EQS-300 | ||
22043 | TEL Tokyo Electron | 2110-320626-11 | TEL 2110-320626-11 SIC insulated liner looks new | ||
22044 | TEL Tokyo Electron | 233-3056-57 | Tokyo Electron, TEL, 233-3056-57 Clamp Shield, API-492; other | ||
22045 | TEL Tokyo Electron | 242 SR 00471 | TEL 242 SR 00471, ANO. 141 RW03542-08 11-BLC1260, 11-BMB0280, O-RING, SEAL. 4 | ||
22046 | TEL Tokyo Electron | 281-600354-1 | Tel 281-600354-1, Stage Base I Board, PCB, FAB 208-600354-1 | ||
22047 | TEL Tokyo Electron | 281-600354-1 | TEL, TOKYO ELECTRON, 281-600354-1 STAGE BASE I BOARD, FAB 208-600354-1 | ||
22048 | TEL Tokyo Electron | 281-601078-1 | Tel, Tokyo Electron 281-601078-1, A.C. Switching Board | ||
22049 | TEL Tokyo Electron | 381-500241-7 | 381-500186-2, SBC 85/10T | TEL, Tokyo Electron, 381-500241-7, 381-500186-2, Board, SBC 85/10T, Autoloader | |
22050 | TEL Tokyo Electron | 381-500246-3 | SBV-429T, CCT381 | TEL, 381-500246-3, SBC-429T, Rom/RAM II, Board, PCB, CT381-500246-3 | |
22051 | TEL Tokyo Electron | 381-500246-3 | SBC-429T, J8928-6003K | TEL, Tokyo Electron, 381-500246-3, SBC-429T, J8928-6003K,Rom/Ram II, Board, PCB. | |
22052 | TEL Tokyo Electron | 381-600316-3 | TEL, Tokyo Electron, 381-600316-3, IF Drive Board, PCB | ||
22053 | TEL Tokyo Electron | 381-600316-3 | TEL, Tokyo Electron, 381-600316-3, IF Drive Board, PCB | ||
22054 | TEL Tokyo Electron | 381-633126-2 | TEL 381-633126-2 Liquid Auto Supply and Change Board, PCB, 308-633126-1 | ||
22055 | TEL Tokyo Electron | 381-633126-2 | TEL 381-633126-2 Liquid Auto Supply and Change Board, PCB, ID | ||
22056 | TEL Tokyo Electron | 381-633126-2 | TEL 381-633126-2 Liquid Auto Supply and Change Board, PCB, 309-633126-1 | ||
22057 | TEL Tokyo Electron | 381-633126-2 | TEL 381-633126-2 Liquid Auto Supply and Change Board, PCB, 308-633126-1 | ||
22058 | TEL Tokyo Electron | 385-439680-2 | 3 TEL 385-439680-2 Nozzle Side Rinse Assembly | ||
22059 | TEL Tokyo Electron | 3AS0143010 | TEL, Tokyo Electron, 3AS0143010 Attachment Plate | ||
22060 | TEL Tokyo Electron | 3BR00902 | 4 TEL 3BR00902, BEARING, ELEVATOR, KB-30, | ||
22061 | TEL Tokyo Electron | 481-5000018-2 | TEL 481-5000018-2, Tokyo Electron, SBC-Z80-2, PCB, ID | ||
22062 | TEL Tokyo Electron | 481-600022-1 | ISBC/FDC board with daughter board connector. Surplus inventory from major semiconductor factory | ||
22063 | TEL Tokyo Electron | 581-1AE1-006 | TEL, Tokyo Electron, 581-1AE1-006 Sensor Transducer, 911 Magnetrol Level | ||
22064 | TEL Tokyo Electron | 581-1AE1-006 | TEL, Tokyo Electron, 581-1AE1-006 Sensor Transducer, 911 Magnetrol Level | ||
22065 | TEL Tokyo Electron | 721EP00056 | TEL, Tokyo Electron, 721EP00056, Power Detector Board, PCB, 81-690004-1 | ||
22066 | TEL Tokyo Electron | 810-220297-2 | TOKYO ELECTRON 810-220294-2 VARIAN UPPER PLATE 8102202944 | ||
22067 | TEL Tokyo Electron | 810-4-21472-1 | TEL 810-4-21472-1 Insulating Ring, | ||
22068 | TEL Tokyo Electron | 810-421247-1 | TEL, Tokyo Electron 810-421247-1, Exhaust Ring. | ||
22069 | TEL Tokyo Electron | 810-421472-1 | TEL, Tokyo Electron, 810-421472-1 Insulating Ring, ID | ||
22070 | TEL Tokyo Electron | 884-13-000 | TEL 884-13-000, PCB, Water Interlock | ||
22071 | TEL Tokyo Electron | 884-54-000 | TEL 884-54-000, 884-54-101, PCB, Process Control Interface | ||
22072 | TEL Tokyo Electron | 8A14-2005K | TEL, Tokyo Electron, 8A14-2005K Control Board, PCB, | ||
22073 | TEL Tokyo Electron | B22980-092561-11 | 2T-11-STB31 | Tokyo Electron B22980-092561-11 Filter Unit, 2T-11-STB31 (299510033012); we sell as: new other | |
22074 | TEL Tokyo Electron | B22986-401217 | Tokyo Electron, TEL, B22986-401217-12 8 Belt Sensor Assembly | ||
22075 | TEL Tokyo Electron | B3023-001754-1 | BR940160 | TEL Tokyo Electron B3023-001754-1 Transfer System BR940160 3023-001754-1 | |
22076 | TEL Tokyo Electron | B3501-001009-1 | TEL B3501-001009-1, Weight Counter, F71008 2KG Spring Scale. | ||
22077 | TEL Tokyo Electron | BBB-06A | PCB Assy,for TEL BBC-15 controller DVA 06 module | ||
22078 | TEL Tokyo Electron | BBB-99AG1 | G1 PCB assy | ||
22079 | TEL Tokyo Electron | BE-737-ENC | TEL, Tokyo Electron, Limited, BE-737-ENC, Board Assembly S/L | ||
22080 | TEL Tokyo Electron | BE-737-ENC | TEL, Tokyo Electron, Limited, CP-8090, Board Assembly S/L | ||
22081 | TEL Tokyo Electron | CP-8280 | TEL CP-8280 PCB, Circuit | ||
22082 | TEL Tokyo Electron | CP-8340 | TEL CP-8340 PCB Board, S/L, ID | ||
22083 | TEL Tokyo Electron | CP8120A | A938081 | TEL, Tokyo Electron CP8120A, A938081, PC Board Assy, PCB. | |
22084 | TEL Tokyo Electron | CP8321A | TEL CP8321A computer PCB with 4 zero power RAM MK48z-02B-15 | ||
22085 | TEL Tokyo Electron | CT012-002-166-1 | TEL CT012-002-166-1 Valve, Solenoid, VZ1120 | ||
22086 | TEL Tokyo Electron | CT024-000602-1 | TEL, Tokyo Electron, CT024-000602-1 Regulator, WR110-02-X215 | ||
22087 | TEL Tokyo Electron | CT036-001665 | TEL CT036-001665-1, Detector, Resistive Temp Y-113, Tokyo Electron | ||
22088 | TEL Tokyo Electron | CT036-002771-1 | TEL CT036-002771-1 Switch, Photoelectric, FE7C-FC6, ID | ||
22089 | TEL Tokyo Electron | CT310-211696-3 | TEL CT310-211696-3, Chuck, 6″ Spin, ID | ||
22090 | TEL Tokyo Electron | CT310-211696-3 | TEL CT310-211696-3, Chuck, 6″ Spin, ID | ||
22091 | TEL Tokyo Electron | CT310-415683-1 | Tel, Tokyo Electron, CT310-415683-1, Centering Wafer, 6″ | ||
22092 | TEL Tokyo Electron | CT381-500242-B | TEL | SBX-500T | TEL, Tokyo Electron, CT381-500242-B CPU Board #2, w/ SBX-500T Board, |
22093 | TEL Tokyo Electron | CT381-632910-2 | Varian | 381329102 | TEL, Tokyo Electron, CT381-632910-2, R/E Utility Board, PCB, Varian 381329102 |
22094 | TEL Tokyo Electron | CT386-400576-1 | SMC | D-A80 | TEL, Tokyo Electron, CT386-400576-1 6″ Belt Up Down Sensor Harness, SMC |
22095 | TEL Tokyo Electron | CT387-400299 | TEL CT387-400299 6″IN Belt Sensor Harness, Tokyo Electron, ID | ||
22096 | TEL Tokyo Electron | CT387-432268-2 | TEL CT387-432268-2 6″ RollerRail In Sensor Harness, 387-432268-2 | ||
22097 | TEL Tokyo Electron | CTA1471-12″ | TEL CTA1471-12″, 200-00046.RTD, Remote, 12″, CFM Lower Vessel Temp | ||
22098 | TEL Tokyo Electron | D117750 | TEL Insulator, Cath, RMX-10, Teflon Ring | ||
22099 | TEL Tokyo Electron | D117750 | TEL Insulator, Cath, RMX-10, Teflon Ring | ||
22100 | TEL Tokyo Electron | D119279 | TEL D119279, Shield, Aluminum Door, SP1, RMX-12, Assy 82604-1100 | ||
22101 | TEL Tokyo Electron | D123021 | quartz dome | ||
22102 | TEL Tokyo Electron | D123227 | TEL D123227 Spacer, Target, SPA-10, Ring | ||
22103 | TEL Tokyo Electron | D123466 | TEL D123466 Shield, Ring, Spacer, SS | ||
22104 | TEL Tokyo Electron | D124955 | Tokyo Electron D124955 Roller, Back | ||
22105 | TEL Tokyo Electron | D124955 | 1000 Tokyo Electron D124955 Roller, Black | ||
22106 | TEL Tokyo Electron | D125409-125A | TOKYO ELECTRON D125409-125A SHIELD W/H 125MM CR ALUM ID | ||
22107 | TEL Tokyo Electron | D125663 | TEL D125663 Clamp Ring | ||
22108 | TEL Tokyo Electron | D125663 | TEL D125663 Clamp Ring | ||
22109 | TEL Tokyo Electron | D126943 | 200 Tokyo Electron D126943 Tab, W/H, Cal | ||
22110 | TEL Tokyo Electron | D126943 | 20 Tokyo Electron Arizona, TEL, D126943, Rev.3, TAB, W/H, CAL. | ||
22111 | TEL Tokyo Electron | DS000-OPQF99-8 | TEL DS000-OPQF99-8 Assembly, C/L End Lid | ||
22112 | TEL Tokyo Electron | DS000-OPQF99-9 | TEL DS000-OPQF99-8 Assembly, Tokyo Electron, C/L End Lid, ID | ||
22113 | TEL Tokyo Electron | DS1181-000145-16 | TEL, Tokyo Electron, DS1181-000145-16 Circuit (DC-201B) Board, PCB, | ||
22114 | TEL Tokyo Electron | DS1181-000295-11 | TEL DS1181-000295-11 Board, Circuit, CP-8390, 1181-000295-11, ID | ||
22115 | TEL Tokyo Electron | DS1181-000295-11 | CP-8390 | TEL, Tokyo Electron, DS1181-000295-11, Circuit Board, PCB, CP-8390. | |
22116 | TEL Tokyo Electron | DS1181-000957-11 | TEL DS1181-000957-11, Tokyo Electron, MC-31027, ID | ||
22117 | TEL Tokyo Electron | DSTB000005 | Digital I/O PCB Assy | ||
22118 | TEL Tokyo Electron | DSTB000006 | PCBIJ-560 PCB assy | ||
22119 | TEL Tokyo Electron | ES071-000527-1 | TOKYO ELECTRON UNLIMITED ES071-000527-1 SHINETSU CHEMICAL GREASE | ||
22120 | TEL Tokyo Electron | ES1D10-405111-11 | ES1D10-405111-11 Screw Shield, BY203, DRM2 | ||
22121 | TEL Tokyo Electron | FX50K25 | 5 TEL, Tokyo Electron, FX50K25 Bellows, NW50 (SUS316L), 30CM | ||
22122 | TEL Tokyo Electron | FX50K25 | TEL, Tokyo Electron, FX50K25 Bellows, NW50 (SUS316L), 30CM | ||
22123 | TEL Tokyo Electron | M+P8MT MP30 | TOKYO ELECTRON SA05698R SHOWER E2 ASSEMBLY | ||
22124 | TEL Tokyo Electron | M52-8 | M52-8 PCB for Speed Controller,6150, TEL-TPC, T-319-12 | ||
22125 | TEL Tokyo Electron | M52-8 | M52-8 PCB for Speed Controller,6150, TEL-TPC, T-319-12 | ||
22126 | TEL Tokyo Electron | MC-31023 | TOKYO ELECTRON F/C PANEL MC-31023 2109-321369-11 ID | ||
22127 | TEL Tokyo Electron | MC-31884 | TEL MC-31884, 1181-000631-11, SW-Board, PCB | ||
22128 | TEL Tokyo Electron | ME2053030C | TEL, Tokyo Electron, ME2053030C Inner Tube Supporter, DSME2-053030-C | ||
22129 | TEL Tokyo Electron | ML9002713A | TOKYO ELECTRON LIMITED X-AXIS FLAT CABLE P/N ML9002713A | ||
22130 | TEL Tokyo Electron | ML9002720B | Z-axis Curler Cord | ||
22131 | TEL Tokyo Electron | MX-302602-0A | TEL, Tokyo Electron, MX-302602-0A, Quartz, VF SIN P-Gas Injector420096 | ||
22132 | TEL Tokyo Electron | MX-302602-0A | TEL, Tokyo Electron MX-302602-0A, Quartz, VF SIN P-Gas Injector420092 | ||
22133 | TEL Tokyo Electron | P-12XL | P12XL | TEL Tokyo Electron P-12XL Fully Automatic Wafer Prober, P12XL, Chuck | |
22134 | TEL Tokyo Electron | S004003 | 2 TEL S004003, SP-GT73, AFLAS, 1.39X8.038in, Oring | ||
22135 | TEL Tokyo Electron | S004099 | 5 TEL S004099 AFLAS, .070X.114, O-ring | ||
22136 | TEL Tokyo Electron | S004806 | TEL, Tokyo Electron, S004806 O-Ring, AS568-455 (Silicon), SA55707242A | ||
22137 | TEL Tokyo Electron | S014716 | 5 S014716, SP-GT1, Cassette Door, Spliced, Cassette Chamber, O-ring | ||
22138 | TEL Tokyo Electron | S1109 | TEL S1109, DDC 200 Driver, Valve Board, PCB | ||
22139 | TEL Tokyo Electron | SA03054 | TEL, Tokyo Electron SA03054, Left Roller Arm, Brush Station SH03018. | ||
22140 | TEL Tokyo Electron | SA05002 | TOKYO ELECTRON SA05002 RESIST CATCH POT SP-GU0Q DISPOSABLE CUP 8″ | ||
22141 | TEL Tokyo Electron | SA05614R | TOKYO ELECTRON SA05614R ASSY AUXILARY SUM COMPUTER SYSTEM SPARC STATION 5 | ||
22142 | TEL Tokyo Electron | SA05698R | TOKYO ELECTRON SA05698R SHOWER E2 ASSEMBLY | ||
22143 | TEL Tokyo Electron | SB02034 | TEL, Tokyo Electron, SB02034 Replacement Bellow (DV21700011) | ||
22144 | TEL Tokyo Electron | SB02034 | TEL, Tokyo Electron, SB02034 Replacement Bellow (DV21700011) | ||
22145 | TEL Tokyo Electron | SB05078R | TOKYO ELECTRON SB05078R BEARING ASSY GYRO GIMBAL CC-132 | ||
22146 | TEL Tokyo Electron | SB05125 | TEL SB05125 Ball Screw Nut SROL, SHBO, 10X3, | ||
22147 | TEL Tokyo Electron | SB07046 | TEL, Tokyo Electron, SB07046 Timing Belt | ||
22148 | TEL Tokyo Electron | SB071150 | TEL SB071150, Timing Belt (Out), SP-B100S5M108 | ||
22149 | TEL Tokyo Electron | SBC-555T | V08-500081-1 | TEL SBC-555T, V08-500081-1, Board, Autoloader, Comm. | |
22150 | TEL Tokyo Electron | SC12205 | 4 TEL SC12205 FFU Cable | ||
22151 | TEL Tokyo Electron | SC15003 | Tokyo Electron, TEL, SC15003, MIO CKA Board, PCB, | ||
22152 | TEL Tokyo Electron | SC22011 | 2 TEL SC22011, SP-GK32, Coupler, Black, Flex, 3/8″ 1/4″ Bore | ||
22153 | TEL Tokyo Electron | SC23036 | TEL, Tokyo Electron SC230360474, Tray, Dresser Cleaning A. | ||
22154 | TEL Tokyo Electron | SC23037 | TEL, Tokyo Electron SC230370474, Tray, Dresser Cleaning A. | ||
22155 | TEL Tokyo Electron | SC23049 | TEL, Tokyo Electron, SC23049 Low Load Coupling | ||
22156 | TEL Tokyo Electron | SF04039 | 5 TEL SF04039, SP-GH21, Teflon Treded Fitting | ||
22157 | TEL Tokyo Electron | SF10004 | TOKYO ELECTRON SF10004 FINGER 1PC SC-S8-260 | ||
22158 | TEL Tokyo Electron | SF10015 | TOKYO ELECTRON TEL SF10015 FINGER (SCU-S851-01) 10609086 3D-00015 | ||
22159 | TEL Tokyo Electron | SF10015 | TOKYO ELECTRON TEL SF10015 FINGER (SCU-S851-01) 10609086 3D-00015 | ||
22160 | TEL Tokyo Electron | SF10015 | TOKYO ELECTRON TEL SF10015 FINGER (SCU-S851-01) 10609086 3D-00015 | ||
22161 | TEL Tokyo Electron | SG04188 | TEL, Tokyo Electron, SG04188 Guide Upper Unload 200mm | ||
22162 | TEL Tokyo Electron | SH02158 | TEL, Tokyo Electron, SH02158 Tape Heater Cover MP6 (NMT), Vacuum Line4 | ||
22163 | TEL Tokyo Electron | SH02159 | TEL, Tokyo Electron, SH02159 Tape Heater Cover MP7 (NMT), Vacuum Line | ||
22164 | TEL Tokyo Electron | SJ00006R | 2 TEL Tokyo Electron SJ00006R Rotary Joint For Top Ring, Union, SP-CS34 | ||
22165 | TEL Tokyo Electron | SK00085 | 4 TOKYO ELECTRON SK00085 TEL TURBO PUMP GAS KIT | ||
22166 | TEL Tokyo Electron | SM00169 | 4 TEL, Tokyo Electron, SM00169 DC Motor, | ||
22167 | TEL Tokyo Electron | SM00177 | TEL SM00177 DC Motor With Gear Box | ||
22168 | TEL Tokyo Electron | SM04019 | Y60-1129-000 | TEL, Tokyo Electron, SM04019 Bar Mirror Unit (Y) Y60-1129-000,; other | |
22169 | TEL Tokyo Electron | SO04022 | 3 TOKYO ELECTRON TEL SO04022 O-RING VITON.070×4.489IN 2-047 ORNG145D04489 | ||
22170 | TEL Tokyo Electron | SP-CJ13 | TEL SP-CJ13, SR00611, Showerhead, Shield, Ceramic Ring | ||
22171 | TEL Tokyo Electron | SP00078 | 24 TOKYO ELECTRON SP00078 TEL PIN FOR PUSHER STAGE FOR 8″ WA | ||
22172 | TEL Tokyo Electron | SP00079 | 16 TOKYO ELECTRON SP00079 TEL PLATE PIN FOR PUSHER STAGE FOR | ||
22173 | TEL Tokyo Electron | SP00195 | TEL, Tokyo Electron, SP00195 A101 Pin ZS2000-2710, SP-GJ11. | ||
22174 | TEL Tokyo Electron | SP01086 | TOKYO ELECTRONICS TEL SP01086 SWITCHING POWER SUPPLY 24V 10A ASYST | ||
22175 | TEL Tokyo Electron | SP24028 | LAM | 13-8800-428 | 4 TEL Tokyo Electron SP24028 Pully, Conveyor, Long, LAM 13-8800-428, |
22176 | TEL Tokyo Electron | SP25008 | 2 TEL Tokyo Electron, SP25008 Optical Probe, Light Guide, Splitter, | ||
22177 | TEL Tokyo Electron | SR00114 | TOKYO ELECTRON SR00114 ORING PERFLOURO, G-75(P) | ||
22178 | TEL Tokyo Electron | SR00116 | 2 TOKYO ELECTRON TEL SR00116 ORING PERFLOURO, P-11 (P) | ||
22179 | TEL Tokyo Electron | SR00264 | 62 TEL, Tokyo Electron, SR00264, O-ring | ||
22180 | TEL Tokyo Electron | SR00267 | 2 TEL, Tokyo Electron, SR00267 O-ring | ||
22181 | TEL Tokyo Electron | SR00440 | 2 TEL, Tokyo Electron, SR00440 Viton, .103×2.175in, 142E021, O-ring | ||
22182 | TEL Tokyo Electron | SR00444 | 2 TEL, Tokyo Electron, SR00444 O-ring, Aflas, .139 x 8.038″, 012F08038 | ||
22183 | TEL Tokyo Electron | SR00468 | 2 TEL, Tokyo Electron, SR00468, O-ring, 22-CTA1250 | ||
22184 | TEL Tokyo Electron | SR00609 | 3 TEL, Tokyo Electron SR00609, 8″, Extension Ring, MIT w/ Data Sheet. | ||
22185 | TEL Tokyo Electron | SR00609 | 2 TEL, Tokyo Electron SR00609, 8″, Extension Ring, MIT w/ Data Sheet. | ||
22186 | TEL Tokyo Electron | SR00622 | TEL SR00622 SLIDE RING | ||
22187 | TEL Tokyo Electron | SR00649 | TOKYO ELECTRON SR00649 ESC QUARTZ SHADOW RING MODIFIED DD20400007 | ||
22188 | TEL Tokyo Electron | SR00649 | TOKYO ELECTRON SR00649 ESC QUARTZ SHADOW RING MODIFIED DD20400007 | ||
22189 | TEL Tokyo Electron | SR00649 | TOKYO ELECTRON SR00649 ESC QUARTZ SHADOW RING MODIFIED DD20400007 | ||
22190 | TEL Tokyo Electron | SR00691 | TEL, Tokyo Electron, SR00691 Guide Ring, Shield. | ||
22191 | TEL Tokyo Electron | SR0089 | TOKYO ELECTRON SR0089 SP-CY56 CMP RING PAD CONDITIONER-GOLDEN ID | ||
22192 | TEL Tokyo Electron | SRA-1 | 53 Tokyo Electron Mini-Circuits SRA-1 Demodulator | ||
22193 | TEL Tokyo Electron | SRA-1 | 100 Tokyo Electron Mini-Circuits SRA-1 Demodulator | ||
22194 | TEL Tokyo Electron | SS00029 | 6 TOKYO ELECTRON TEL SS00029 SPRING (NIE-41706) | ||
22195 | TEL Tokyo Electron | SS03101R | TEL, Tokyo Electron, SS03101R 8″ Ferro Seal, Cobalt, Ferrotec, | ||
22196 | TEL Tokyo Electron | SS03102 | 3 TEL SS03102 Seal, Teflon, Spring-load, | ||
22197 | TEL Tokyo Electron | SS04119 | TEL Tokyo Electron SS04119 Cable 7 COND 10″ LG T21-CFM, 2200303 | ||
22198 | TEL Tokyo Electron | SS04151 | 4 TOKYO ELECTRON SS04151 TEL TEFLON PRESSURE SENSOR CEPO22-BHQ1060 | ||
22199 | TEL Tokyo Electron | SS04188 | 4 TEL SS04188, SP-GS53, Arm Lift Sensor | ||
22200 | TEL Tokyo Electron | SS04255 | Omron | FX-7 | TEL Tokyo Electron SS04255 Photo Sensor Unit (56525-EA15), Omron FX-7, |
22201 | TEL Tokyo Electron | SS05012 | TEL Tokyo Electron SS05012 Sensor | ||
22202 | TEL Tokyo Electron | SS09088 | TEL Tokyo Electron SS09088 Shaft Center Spindle, | ||
22203 | TEL Tokyo Electron | ST03003 | TEL, Tokyo Electron, ST03003, SP-CB86, T.C. for Profile (Js-34-007769), | ||
22204 | TEL Tokyo Electron | ST03003 | TEL, Tokyo Electron, ST03003, SP-CB86, T.C. for Profile (Js-34-007769), | ||
22205 | TEL Tokyo Electron | ST12012 | TOKYO ELECTRON ST12012 CGM MIXING MODULE TEMPERATURE | ||
22206 | TEL Tokyo Electron | SU01005R | Deublin | 54-085-173 | TEL, Tokyo Electron SU01005R, Union Rotary, Deublin 54-085-173. |
22207 | TEL Tokyo Electron | SU03013R | TEL, Tokyo Electron, SU03013R, Exposure Shutter Unit. | ||
22208 | TEL Tokyo Electron | SU03013R | TEL, Tokyo Electron, SU03013R, Exposure Shutter Unit. | ||
22209 | TEL Tokyo Electron | SU03013R | TEL, Tokyo Electron, SU03013R, Exposure Shutter Unit. | ||
22210 | TEL Tokyo Electron | SU03013R | TEL, Tokyo Electron, SU03013R, Exposure Shutter Unit | ||
22211 | TEL Tokyo Electron | SV00344 | TOKYO ELECTRON TEL SV00344 DRAIN DIUTION VALVE (HIGH TEMP) HFDDV-1C-61212 | ||
22212 | TEL Tokyo Electron | SV03010 | TEL TOKYO ELECTRONIC SV03010 SEAL UNIT MSP-08-0041 | ||
22213 | TEL Tokyo Electron | SV09518 | 4 TOKYO ELECTRON SV09518 TEL SOLENOID VALVE (LONG TYPE) SMC SYJ3143-5L0Z | ||
22214 | TEL Tokyo Electron | SVA004A-1-S | TEL SVA004A-1-S, SVME/AT-486Ea, AT-486Ea, SVA004a-1-P1, Sanritz, Unity II PCB | ||
22215 | TEL Tokyo Electron | SVME/AT-BCN/A | TEL, Tokyo Electron SVME/AT-BCN/A PCB Board, SVA603A-1-S, ID | ||
22216 | TEL Tokyo Electron | TE6036A7 | Tokyo Electronic Industry TE6036A7 Touch Screen Monitor; | ||
22217 | TEL Tokyo Electron | TEB102-1/NASS | EC81-0001, EC08-000016-11 | TEL, Tokyo Electron TEB102-1/NASS, Board, PCB, EC81-0001, EC08-000016-11 | |
22218 | TEL Tokyo Electron | TF-311837-002 | TEL FSI TF-311837-002, 80173961, 621456746, PCB Comparator Board | ||
22219 | TEL Tokyo Electron | TS3210-201270-11 | Tel, Tokyo Electron, TS3210-201270-11, Furnace ATMO Tube Holder, | ||
22220 | TEL Tokyo Electron | TS3210-201270-11 | Tel, Tokyo Electron, TS3210-201270-11, Furnace ATMO Tube Holder, | ||
22221 | TEL Tokyo Electron | TS3210-201270-11 | Tel, Tokyo Electron, TS3210-201270-11, Furnace ATMO Tube Holder, | ||
22222 | TEL Tokyo Electron | TS3210-201270-11 | Tel, Tokyo Electron, TS3210-201270-11, Furnace ATMO Tube Holder, | ||
22223 | TEL Tokyo Electron | TYB412-1/PC | Lot of 2, interface PCB, Tokyo electron 1D81-000396-12, may have some bent pins or components due to handling and storage | ||
22224 | TEL Tokyo Electron | U81-590007-1 | TEL, Tokyo Electron, U81-590007-1 Control Board, PCB, | ||
22225 | TEL Tokyo Electron | U81-590021-1 | SBX-500T, U81-590006-1 | TEL, U81-590021-1, Control Board, CPU #2 w/ SBX-500T Serial Board, U81-590006-1 | |
22226 | TEL Tokyo Electron | U81-590021-1 | SBX-500T, V81-500040-1 | TEL, U81-590021-1, Control Board, CPU #1 w/ SBX-500T Serial Board, V81-500040-1 | |
22227 | TEL Tokyo Electron | UC-320 | TEL, Tokyo Electron, UC-320 T Belt Controller Unit, Clean Rack, | ||
22228 | TEL Tokyo Electron | UC-320 | TEL, Tokyo Electron, UC-320 T Belt Controller Unit, Clean Rack, | ||
22229 | TEL Tokyo Electron | V81-300361-2 | TEL V81-300361-2 Isolation Autoloader, PCB Board, ID | ||
22230 | TEL Tokyo Electron | V81-300361-2 | TEL V81-300361-2 Isolation Autoloader, PCB Board, ID | ||
22231 | TEL Tokyo Electron | TEL Prober Chuck00791-1201781-11, 028-001236-1 | |||
22232 | TEL Tokyo Electron | Heater Chuck, Prober, 8″ | |||
22233 | TEL Tokyo Electron | 047-000275-1 | Tokyo Electron 047-000275-1 Heat Shrinkable Tube | ||
22234 | TEL Tokyo Electron | SR05006 | Tokyo Electron TEL SR05006 Resistor PT100 Four Wire/FEP-D | ||
22235 | TEL Tokyo Electron | 1381-645098-13 | FGZWN10 | TEL Photoresist Controller Chassis Case, Resist, 1381-645098-13, FGZWN10 | |
22236 | TEL Tokyo Electron | M-121CTL | M-121CTL | Tokyo Electron TEL M-121CTL Temperature Controller | |
22237 | TEL Tokyo Electron | M-121CTL | Tokyo Electron TEL M-121CTL Temperature Controller | ||
22238 | Telebyte | Model 458 | Telebyte Modelntrol Module | ||
22239 | Telefrank GmbH | 013501-186-27 | Interface connector. | ||
22240 | Telefrank GmbH | ZPW60-0512/115 | TeleFrank ZPW60-0512/115 DC Power Supply | ||
22241 | Telemark | 123-1003-6 | 123-1009-1 | Telemark 123-1003-6 Power Cabinet, TT w/ 123-1009-1 Emission Control Mod. | |
22242 | Telemecanique | ABL7 RE2410 | Telemecanique ABL7 RE24100VAC, 5 / 2.5 A,Hz | ||
22243 | Telemecanique | LC1-115 | NEW Telemecanique LC1-115,AMAT 1200-01442 RLY Control MAG 3P 1KV, 115 F-FRAME | ||
22244 | Telemecanique | GV2 M16 | Telemecanique GV2 M16 Circuit Breaker Balzers | ||
22245 | Telemecanique | GV2 M32 | Telemecanique GV2, M32 Circuit Breaker, Balzers | ||
22246 | Telemecanique | LC1D1810B5 | TELEMECANIQUE LC1D1810B5 CONTRACTOR LC1 D12 10 120V 60 HZ G6 | ||
22247 | Telemecanique | LC1D3201F7 | AMAT 1200-00158 Relay Contactor 3 Poleelemecanique LC1D3201F7 | ||
22248 | Telemecanique | LC1F1154 | Telemecanique LC1F1154, Contactor w/2A1DN22, 600VAC, 175A, 4 Pole | ||
22249 | Telemecanique | LP1D4011BD | Contactor Motor starter, 24V 3ph 40A | ||
22250 | Telemecanique | LR2D1321 | Telemecanique LR2D1321 Motor Starter Overload Relay, Thermal Overload | ||
22251 | Telemecanique | XUS-L | Telemecanique XUS-L Test Rod w/ XUS-LZ500 & 2 XUS-LZ218 XUS-LN | ||
22252 | Telemecanique | 2B2-BE102 | Telemecanique 2B2-BE102 Key Switch, Lot of 3 | ||
22253 | Telemecanique | LD1LB030 | telemecanique LD1LB030 square D starter overload switch | ||
22254 | Teli | TK411A4 | Teli TK4114A4 CCD Camera Module CS8430 | ||
22255 | Tellabs | 812572 | Tellabs 812572, 2572 64 ms T1 echo canceller, card | ||
22256 | TELTEC OIV | 2790 | 96157D, 965158 | TELTEC OIV 2790, 96157D, 965158 | |
22257 | TEM | TEM-715-6 | TEM TEM-715-6 Gas Filter, 1/2″ VCR Connector, | ||
22258 | TEM | TEM-715-6 | TEM TEM-715-6 Gas Filter, 1/2″ VCR Connector, | ||
22259 | TEM | TEM-715-6 | TEM TEM-715-6 Gas Filter, 1/2″ VCR Connector, | ||
22260 | TEM | TEM-715-6 | TEM TEM-715-6 Gas Filter, 1/2″ VCR Connector, | ||
22261 | TEM | TEM-715-6 | TEM TEM-715-6 Gas Filter, 1/2″ VCR Connector, | ||
22262 | TEM | TEM-715-6 | TEM TEM-715-6 Gas Filter, 1/2″ VCR Connector, | ||
22263 | TEM | TEM-715-6 | TEM TEM-715-6 Gas Filter, 1/2″ VCR Connector, | ||
22264 | TEM | TEM-715-6 | TEM TEM-715-6 Gas Filter, 1/2″ VCR Connector, | ||
22265 | TEM | TEM-915 | T.E.M. Filter #TEM-915 Gas Filter | ||
22266 | TEM | TEM-915MFCM | T.E.M. Filter Company TEM-915MFCM Gas Filter | ||
22267 | TEM | TEM-915MFCM | T.E.M. Filter Company TEM-915MFCM Gas Filter | ||
22268 | TEM Tech | HYPTMV-052B1 | 6 Tem Tech Lab pressure switch HYPTMV-052B1, -14.7 100 PSIG, looks new | ||
22269 | TEM tech lab | HYPTMV-WD050-1/2 | novellus | G33-0000033 | Pressure transducer, 0-120 PSIG, |
22270 | Tempress | 92697 | General Signal, Tempress 92697 Front Panel LED Distribution Board Assy; | ||
22271 | Tempress | 92697 | General Signal, Tempress 92697 Front Panel LED Distribution Board Assy | ||
22272 | Temptronic | TP450A | Temptronic TP450A Controller | ||
22273 | Tencor | 148750 | AS-300 | Tencor 148750 Alpha-Step 300 Profiler, AS-300 | |
22274 | Tencor Instruments | 80-00100 | 80-00110 | Tencor 80-00100 Benchtop Profilmeter w/ 80-00110 Printer & 80-00177 Stylus, KLA | |
22275 | Tenuline | 8340-100 | Tenuline 8340-100 Coaxial Attenuator5WS, 8340-100W424012 | ||
22276 | TEOCOM / BECO | M442C2DFS-HT-AP | TEOCOM / BECO M442C2DFS-HT-AP In line liquid solenoid valve PFA/PTFE | ||
22277 | TEOS | 030E1-PSL | TEOS AUTO REFILL CABINET SIGMA 6, 5 KOGANEI 030E1-PSL DC24V | ||
22278 | TEQCOM | M663W2DFS – HV | BECO | Lot of 5 TEQCOM M663W2DFS – HV, 3 way valves, 3/8 FPT, BECO | |
22279 | TEQCOM | ATP-2W8P-C-MAH | TEQCOM ATP-2W8P-C-MAH Pneumatic Valve | ||
22280 | Teradyne | LD873 | LD870 | Teradyne LD873 Comparator Board w/ LD870 & LD873 Daughter Board | |
22281 | Teradyne | 314-135-00 | 454-038-01 | Teradyne 314-135-00 Assembly8-01 HCU Power Supply, 807-290-00 | |
22282 | Teradyne | 451-068-01 | Teradyne8-01 Heat Sink Assembly | ||
22283 | Teradyne | 451-068-01 | Teradyne8-01 Heat Sink Assembly | ||
22284 | Teradyne | 804-972-00 | Teradyne 804-972-00 Kinematic Coupler | ||
22285 | Terminator I/O | T1K | Terminator I/O T1K Assembly containing DC Power supply, Devnets controller | ||
22286 | Terranova | 906A | Terranova Model 906A Convection Gauge Controller, RS-2320V,z or DC, 40 VA | ||
22287 | Tesco | 12-1B11AADD-029 | Tesco 12-1B11AADD-029 Valve, | ||
22288 | Tesco | 74-3061TRR30 | Swagelok | 6LVV-DPLMR4FR4-P | Tesco 74-3061TRR30 Gas Stick, Swagelok 6LVV-DPLMR4FR4-P Valve, |
22289 | TESCOM | 12-1B11IGS2C-030 | Tescom 12-1B11IGS2C-030 Manual Diaphragm 2-Port 150psi Surface Mount Valve | ||
22290 | TESCOM | 24-1A16AAEM6EM64 | novellus | 22-121295-00 | Regulator, 1/4″ MVCR, Fixed |
22291 | TESCOM | 24-1A16AAHH-010 | NEW Novellus G33-0000035 Pressure Regulator 30 PSI, TESCOM 24-1A16AAHH-010 | ||
22292 | TESCOM | 24-1A16AAHH-010 | Tescom 24-1A16AAHH-010 Regulator 3/4″ VCR | ||
22293 | TESCOM | 24-2A26AA77-001 | Tescom 24-2A26AA77-001 Valve | ||
22294 | TESCOM | 44-2213-242-010 | ATC | L2A-VRGF | Kinetics Fluid System Gas Line, Tescom 44-2213-242-010, ATC L2A-VRGF, Entegris |
22295 | TESCOM | 44-2260-942-151 | Tescom 44-2260-942-151 Single Stage Pressure Regulator, | ||
22296 | TESCOM | 44-226X-24Z | Tescom 44-226X-24Z Pressure Regulator Valve | ||
22297 | TESCOM | 44-226X-742-065 | Tescom 44-226X-742-065, Regulator Valve w/ Span 21-0111-01 Gauge. | ||
22298 | TESCOM | 44-2661-RK2-059 | Tescom 44-2661-RK2-059 Regulator Valve, Isolation, 1/2″ | ||
22299 | TESCOM | 44-3261HA83 | Tescom 44-3261HA83 Gas Liner Pressure Regulator, 4-Way Valve,I | ||
22300 | TESCOM | 44-3261HA83-027 | Tescom 44-3261HA83-027 Gas Liner Pressure Regulator, Shut off valve, | ||
22301 | TESCOM | 44-3261HA83-027 | Tescom 44-3261HA83-027 Gas Liner Pressure Regulator, Shut off valve, | ||
22302 | TESCOM | 44-5061-RA0-041 | Tescom 44-5061-RA0-041 Pressure Regulator Valve | ||
22303 | TESCOM | 64-2261-KA410 | Tescom 64-2261-KA410 Regulator | ||
22304 | TESCOM | 64-3660KRM40 | Tescom 64-3660KRM40 Pressure Regulator Valve | ||
22305 | TESCOM | 74-2461 KRN20 | Tescom 74-2461 KRN20, Regulator Valve Assembly, W/ Celerity GFF02A4BVM. | ||
22306 | TESCOM | 74-2461 KRN20 | Tescom 74-2461 KRN20, Regulator Valve Assembly, W/ Celerity GFF02A4BVM. | ||
22307 | TESCOM | 74-2461 KRN20 | Tescom 74-2461 KRN20, Regulator Valve Assembly, W/ Celerity GFF02A4BVM. | ||
22308 | TESCOM | 74-24P2KR920-067 | Tescom 74-24P2KR920-067 Shut-off Valve, Regulator | ||
22309 | TESCOM | PV65-O1T | Tescom PV65-O1T Gas Shutoff Valve With Gas Bottle Connection and Pigtail | ||
22310 | TESCOM | PV73A4K | Tescom PV73A4K Valve | ||
22311 | TESCOM | PV73A8V-073 | Tescom PV73A8V-073 Pneumatic Bellows Valve, 125 PSI | ||
22312 | TESCOM | PV73A8V-073 | Tescom PV73A8V-073 Pneumatic Bellows Valve, 125 PSI | ||
22313 | TESCOM | PV73A8V-073 | Tescom PV73A8V-073 Pneumatic Bellows Valve, 125 PSI | ||
22314 | TESCOM | PV73A8V-073 | Tescom PV73A8V-073 Pneumatic Bellows Valve | ||
22315 | TESCOM | PV73A8V-073 | Tescom PV73A8V-073 Pneumatic Bellows Valve | ||
22316 | TESCOM | PV73A8V-073 | Tescom PV73A8V-073 Pneumatic Bellows Valve | ||
22317 | TESCOM | PV73A8V-073 | Tescom PV73A8V-073 Pneumatic Bellows Valve | ||
22318 | TESCOM | PV73R9K-107 | Tescom PV73R9K-107 Diaphragm Valve, 250 PSI | ||
22319 | TESCOM | PV73R9K-107 | Tescom PV73R9K-107 Diaphragm Valve, 250 PSI | ||
22320 | TESCOM | PV73R9K-107 | Tescom PV73R9K-107 Diaphragm Valve, 250 PSI | ||
22321 | TESCOM | RT049038A | Tescom RT049038A Manual Pressure Controller 12-1B11IGS2C-030 | ||
22322 | TESCOM | SK 3635 | Tescom SK 3635 Pneumatic Actuated Packless Valve | ||
22323 | Tescom | PV73R9K-107 | Tescom PV73R9K-107 Diaphragm Valve, 250 PSI, 15257-00 | ||
22324 | Tescom | PV73R9K-107 | Tescom PV73R9K-107 Diaphragm Valve, 250 PSI, 15257-00 | ||
22325 | Tescom | 74-2462KR922-079 | Span | 01-0120-E | Tescom 74-2462KR922-079 Regulator w/ Span 01-0120-E Gauge |
22326 | Tescom | 44-3213H282-296 | Tescom 44-3213H282-296 Manual Pressure Regulator w/ Gauge | ||
22327 | Tescom | 44-3213H283-001 | Ashcroft | 595-08 | Tescom 44-3213H283-001 Manual Pressure Regulator w/ Gauge |
22328 | Tescom | 24-1A16AAEM6EM64 | Tescom 24-1A16AAEM6EM64 Regulator, 1/4 Inch MVCR | ||
22329 | Tescom | 44-2361-T9-051 | Tescom 44-2361-T9-051 Pressure Regulator | ||
22330 | Tescom | 74-24P1KR920-067 | GS-08 | Tescom 74-24P1KR920-067 Regulator Assy, w/ Ametek Gauge, GS-08 | |
22331 | Tescom | 44-3213H282-296 | Swagelok | B-45S8 | Tescom 44-3213H282-296 Pressure Regulator w/ Swagelok B-45S8 BrassValve |
22332 | Tesmic | STD-8090 | TE-21146 | Tesmic STD-8090 PMC, TE-21146, TE-21147 w/ connector | |
22333 | Test & Measurement Technology Inc. | 950008-01 | 950008-01-1137117JP | Test & Measurement Technology Inc. 950008-01 Test Board, PCB | |
22334 | TestEquity | 123H | TestEquity Model 123H Temperature/Humidity Chamber | ||
22335 | Texas Idustrial Peripherals | DT-820 | Hulapoint | 0125-770593 | Texas Industrial Peripherals DT-820 Hulapoint Industrial Keyboard 0125-770593 |
22336 | Texas Industrial Peripherals | DT-820 | 0125-770593 | Texas Industrial Peripherals DT-820 Hulapoint Industrial Keyboard, 0125-770593 | |
22337 | Texas Instruments | 500-2114 | Texas Instruments14, Remote Base Controller With Serial Port | ||
22338 | Texas Instruments | 70CJ350200017 | 1501160 | Texas Instruments 70CJ350200017, 1501160, PCB | |
22339 | Texas Instruments | 994761-000 | 994759-000, 994760-0001 | Texas Instruments 994761-000, 994759-000, 994760-0001, PCB Assy Board, Subassy. | |
22340 | Texas Instruments | ASC-TI486 | Texas instrument 1/2 CPU PCB board ASC-TI486 | ||
22341 | Thermalcote | NA | Thermalcote Thermal Grease | ||
22342 | Thermalogic | 121-201X | Thermalogic 121-201X Temperature Controller Board, PCB | ||
22343 | Thermalogic | 121-201X | Thermalogic 121-201X Temperature Controller Board, PCB | ||
22344 | Thermawave | 14-006060 | Thermawave 14-006060 PCB AT, A/D Analog to digital converter PCB Looks new | ||
22345 | Thermawave | 186469-300 | 2 Thermawave 186469-300 PCB, DC motor 1V2 | ||
22346 | Thermionics | 6343/004 | Thermionics 6343/004 Vacuum Gauge | ||
22347 | Thermionics Laboratory | 569310 | 45A-AA2-DDAA-1CA | Thermionics Laboratory High Wafer Transfer Module Chamber Robot Interface | |
22348 | Thermionics Laboratory | C94062NW-31 | Thermionics C94062NW-31 Vacuum Chamber Wafer Holder Slot, Flange, Access Window | ||
22349 | Thermionics Laboratory | PFB-TLG-2 | Thermionics PFB-TLG-2 Gate Isolation Valve, Transfer to Process Chamber Actuator | ||
22350 | Thermionics Laboratory | PFB-TLG-2 | Thermionics PFB-TLG-2 Gate Isolation Valve, Transfer to Process Chamber Actuator | ||
22351 | Thermo Electron | C10018 | Thermo Electron C10018 Noran System Six Microanalysis System, 700P153349 | ||
22352 | Thermo Electron | CC58114PBA-1 | Thermo Electron Corporation control consoles CC58114PBA-1,Tube Furnace HTF55322A | ||
22353 | Thermo Electron | C10018 | 700P152249 | Thermo Electron C10018 Noran System Six, 700P152249 Microanalysis System | |
22354 | Thermo Fisher Neslab | 2.62112E+11 | Thermo Electron Neslab Merlin M25 262112032000 Turns On Without Pressure | ||
22355 | Thermo Fisher Neslab | HX 150 | HX150-CHX | Neslab HX 150 Recirculating Chiller, HX150-CHX | |
22356 | Thermo Fisher Neslab | HX 750 | Neslab HX 750 Recirculating Chiller Thermo Fisher Scientific HX-750W TU-9 | ||
22357 | Thermo Fisher Neslab | KMC100 | Thermo Fisher Neslab KMC 100 Chiller Controller, BOM# 145199991502 | ||
22358 | Thermo Fisher Neslab | Merlin M150 | Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific | ||
22359 | Thermo Fisher Neslab | Merlin M150 | Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific | ||
22360 | Thermo Fisher Neslab | Merlin M150 | Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific | ||
22361 | Thermo Fisher Neslab | Merlin M75 | Neslab Merlin M75 Recirculating Chiller, Thermo Fisher Scientific | ||
22362 | Thermo Fisher Neslab | ThermoFlex 5000 | Neslab ThermoFlexecirculating Chiller, Thermo Fisher Scientific | ||
22363 | Thermo Fisher Neslab | ThermoFlex900 | Neslab ThermoFlex900 Recirculating Chiller, Thermo Fisher Scientific | ||
22364 | Thermo Fisher Neslab | ThermoFlex900 | Neslab ThermoFlex900 Recirculating Chiller, Thermo Fisher Scientific | ||
22365 | Thermo Fisher Neslab | ThermoFlex900 | Neslab Recirculating Chiller, Thermo Fisher Scientific | ||
22366 | Thermo Fisher Neslab | 1.01101E+14 | Thermo Fisher Scientific Chiller 101101010000008 | ||
22367 | Thermo Fisher Neslab | 1.41E+14 | Thermo Scientific Neslab Thermoflexhiller, BOM 141121910000001 | ||
22368 | Thermo Fisher Neslab | CFT-25 | Neslab Coolflow CFT-25 Refrigerated Recirculator,411442 | ||
22369 | Thermo Fisher Neslab | CFT-25 | Neslab CFT-25 Chiller Coolflow Refrigerated Recirculator 15GAL Water Tank | ||
22370 | Thermo Fisher Neslab | CFT-300 | Thermo Neslab CFT-300 Refrigerated Chiller | ||
22371 | Thermo Fisher Neslab | CFT-33 | Neslab CFT-33 Refridgerated Recirculating chiller | ||
22372 | Thermo Fisher Neslab | CFT-75 | Neslab CFT-75 Recirculating Chiller, BOM040202 | ||
22373 | Thermo Fisher Neslab | CFT-75 | Neslab CFT-75, Recirculating Chiller | ||
22374 | Thermo Fisher Neslab | Haake A25 SC150 | Thermo Scientific Haake A25 SC150 Refrigerated Bath Chiller, BOM 1535358 | ||
22375 | Thermo Fisher Neslab | HX-100W/C | Neslab HX-100W/C COOLFLOW Refrigerated Recirculator 24376-01REV.5 Chiller | ||
22376 | Thermo Fisher Neslab | HX-150 | Neslab HX-150 CoolFlow Refridgerated Recirculator, Chiller | ||
22377 | Thermo Fisher Neslab | HX-75A | LAM | 778-250779-00 | Neslab HX-75A Chiller, LAM 778-250779-00, TU-1 Pump, BOM# 386104060213, |
22378 | Thermo Fisher Neslab | HX-75A | LAM | 778-250779-00 | Neslab Chiller HX-75A, LAM 778-250779-00, No Compressor, |
22379 | Thermo Fisher Neslab | HX+300W/C | Neslab | Thermo Neslab HX+300W/C Recirculating Chiller, HX300 | |
22380 | Thermo Fisher Neslab | HX+300W/C | Neslab Instruments HX+300W/C071606, Chiller, Franklin, 1303142103. | ||
22381 | Thermo Fisher Neslab | HX+75A/C | Neslab HX+75A/C Chiller, BOM# 386104060222 | ||
22382 | Thermo Fisher Neslab | HX150 | Neslab HX150 Recirculating Chiller, 208-230V, 13A,Z, 1 Phase | ||
22383 | Thermo Fisher Neslab | HX300 | Neslab HX300 Recirculating Chiller071602, 199089038 | ||
22384 | Thermo Fisher Neslab | M150 | Thermo Electron Neslab Merlin M150 Chiller, 208/230V, 60Hz, 1 PH, 15.4A | ||
22385 | Thermo Fisher Neslab | M25 | Neslab Merlin 25 Recirculating chillier, working | ||
22386 | Thermo Fisher Neslab | M25 | Neslab | 2.62112E+11 | Thermo Neslab Merlin M25 262112032000 Missing Water Pump |
22387 | Thermo Fisher Neslab | M25 | Thermo Scientific Neslab Merlin M25 Recirculation Chiller | ||
22388 | Thermo Fisher Neslab | Merlin 25 | Neslab Merlin 25 Recirculating chillier, Untested, Sell as is, Condition unknown | ||
22389 | Thermo Fisher Neslab | Merlin M150 | Thermo Scientific Neslab Merlin M150 Chiller, BOM 266216042000 | ||
22390 | Thermo Fisher Neslab | RCDM 3 | Thermo Electron KeyTek RCDM 3 Robotic CDM Test System | ||
22391 | Thermo Fisher Neslab | RTE-111 | Neslab RTE-111 Refrigerated Bath, Chiller, Z-Pump, BOM# 134103200103 | ||
22392 | Thermo Fisher Neslab | RTE-221 | Neslab RTE-221 Chiller, Refrigerated, Heating Bath, Type R-134A | ||
22393 | Thermo Fisher Neslab | V27-E01 | NESLAB V27-E01 CONTROL BOARD, 110-030, ECU-A-6 94V-0 | ||
22394 | Thermo Fisher Neslab | RTE-221 | Neslab RTE-221, Chiller, Refrigerated, Heating Water Bath, R-134A | ||
22395 | Thermo Fisher Scientific | 6916 | Thermo Fisher Scientific 6916 IsoTemp Vacuum Oven | ||
22396 | Thermo Fisher Scientific | 6916 | Thermo Fisher Scientific 6916 IsoTemp Vacuum Oven | ||
22397 | Thermo Gastech | 68-0020-05 | Thermo Gastech 68-0020-05, Sample-Drawing Gas Detector, Transmitter. | ||
22398 | Thermo Optics | DN135 | Thermo Optics DN135 Logarithmic Current to Voltage Converter Evaluation Kit | ||
22399 | Thermo Scientific | 6700 FT-IR | THERMO SCIENTIFIC NICOLET 6700 FT-IR SPECTROMETER, MAP300 and Wafer stage | ||
22400 | Thermo Scientific | EDXRF | Thermo Scientific ARL-QUANT’X EDXRF Analyzer (Needs Service) | ||
22401 | Thermolyne | SP10105B | Thermolyne Type 10100 stirring hot plate SP10105B | ||
22402 | Thermonics | T-2420 | Thermonics T-2420 Precision Temperature Forcing System, T-2425 | ||
22403 | Thermonics | T-2420 | Thermonics T-2420 Precision Temperature Forcing System, T-2425 | ||
22404 | Thermonics | T-2420 | Thermonics T-2420 Precision Temperature Forcing System, T-2420/IC5 | ||
22405 | Thermonics | T-2420 | Thermonics T-2420 Precision Temperature Forcing System, T-2425 | ||
22406 | Thermonics | T-2420 | Thermonics T-2420 Precision Temperature Forcing System, T-2425 | ||
22407 | Thermonics | T-2425 | Thermonics T-2425 PTFS Precision Temperature Forcing System, T2425 | ||
22408 | Thermonics | T-2425 | Thermonics T-2425 PTFS Precision Temperature Forcing System, T2425 | ||
22409 | Thermonics | T-2425 | Thermonics T-2425 PTFS Precision Temperature Forcing System, T2425 | ||
22410 | ThermX | 202AJF08 | 12 channel temperature display switch box | ||
22411 | Thernco | 163330-001 | Thernco, 163330-001, EP, Manifold, 010C0039, Adaptor, SS | ||
22412 | Thernco | 163330-001 | Thernco, 163330-001, EP, Manifold, 010C0039, Adaptor, SS | ||
22413 | Theta | 244736-001 | Electroglas HorizonTheta Z Inker Driver 244736-001 Teltec 01V 3193 | ||
22414 | THK | A7K26-1060 | THK 11110 20132 64000 LM SYSTEM A7K26-1060 RSR12VMUU+470LM | ||
22415 | THK | 1461-656690 | THK TKK 1461-656690, PCB Interface Card. | ||
22416 | THK | BNT2005-2.6ZZ | THK BNT2005-2.6ZZ, Ball Screw Nut | ||
22417 | THK | LM30 | THK LM30 Linear Ball Bearing, LM30M, P656431-G01 | ||
22418 | THK | LM40 | THK LM40 Linear Bush | ||
22419 | THK | LM40 | THK LM40 Linear Ball Bearing | ||
22420 | THK | RSR12VM | Novellus Ipec speedfam | AC2174B1 | 3 new Linear Motion guide rail, 2RSR12ZVMUUT220 |
22421 | THK | SR-30V | THK SR-30V LM System Linear Motion Guide | ||
22422 | THK | SR-30V | THK SR-30V LM System | ||
22423 | THK | SR-30V | THK Co. LTD, LM System, SR-30V, Linear Motion Guide. | ||
22424 | Thomas & Betts | H100-TB | 5 Thomas & Betts H100-TB Conduit Hub, 1″, Insulated, Zinc Die Cast | ||
22425 | Thomas and Betts | Unknown | Lot of 16 T&B Liquid tight, 1 1/4″ fitting , 90 degree elbow Thomas and Betts | ||
22426 | Thomas Products | 12691 | Thomas Products 12691 Water Flow Switch | ||
22427 | Thomas West | 815-C350-6 | 10 Thomas West SCF 815-C350-6 Wetpads, Pads, VLSI 63140073 | ||
22428 | Thomas West | 815-C350-6 | 6 Thomas West SCF 815-C350-6 Wetpads, Pads, VLSI 63140073 | ||
22429 | Thomas West | 815-C350-6 | 5 Thomas West SCF 815-C350-6 Wetpads, Pads, VLSI 63140073 | ||
22430 | Thompson | SPB-8 OPN | Thomson SPB-8 OPN Super Ball Bushing Linear Bearing Pillow | ||
22431 | Thomson | 182018 | 140 new Super8 Cartridge ball Bushing Linear Bearings | ||
22432 | Thomson | 34-217747-C065 | Thomson Micro, 34-217747-C065, Servo Actuator | ||
22433 | Thomson | SUPER8-18281A | Thomson SUPER8-18281A Super Cartridge Ball, Bushing Linear Bearing | ||
22434 | Thomson | SUPER8-18281A | THOMSON SUPER8-18281A BEARING | ||
22435 | Thomson | 4 Thomson 3140-01017 Super Pillow Block | |||
22436 | Thomson | A81420SS | Thomson A81420SS Ball Bushing Linear Bearings | ||
22437 | Thomson | OPN81420-SS | 7 Thomson OPN81420-SS Super Ball Bushing Linear Bearing | ||
22438 | Thomson | BK87P1B6 | 2 Thomson BK87P1B6 Super Ball Bushing Linear Bearing Pillow Block, AMAT | ||
22439 | Thomson | 120 Thomson Cartridge Ball Bushing Linear Bearings | |||
22440 | Thomson Industries, Inc. | MS25-150296 | Thomson MS25-150296 MicroStage Actuated Linear Motion System | ||
22441 | Thorlabs | 201/579-7227 | Thorlabs 201/579-7227 Magnetic Clamp Assembly | ||
22442 | Thornton | 200CR | 6220-1 | Thornton 200CR, Conductivity/Resistivity Meter, 6220-1 | |
22443 | Thornton | 200CR | 6220-1 | Thornton 200CR, Conductivity/Resistivity Meter, 6220-1 | |
22444 | Thornton | 251-311 | Thornton 251-311 Smart Sensor, Temperature Sens 251 | ||
22445 | Thornton | Dot Two | AMAT | 0020-22585 | Thornton Dot Two Resitivity Controller, AMAT 0020-22585, Heat Exchanger |
22446 | Thornton | L740-S05 | Thornton L740-S05 Digital Controller | ||
22447 | Thornton | Type 702 | Thornton Type 702 resistivity controller | ||
22448 | Time Electronics | 9811 | Time Electronics 9811 Progammable resistance box 100Rd 1M | ||
22449 | Time Mark | 51X00120-01 | TIME MARK 8 PIN SOCKET DIN RAIL MOUNTABLE 51X00120-01 2904 | ||
22450 | Times Microwave | EZ-600-NMC | 5 NEW Times microwave systems EZ-600-NMC, 3190-355, type N-male plug | ||
22451 | Times Microwave | EZ600-NMC | 5 TIMES EZ-600-NMC RF Connector, Type N Male Plug | ||
22452 | Timken | NTA-613 | 3 Timken NTA-613 boaring needle, thrust | ||
22453 | TLV | CK37 | TLV, CK3T, 25A, Check Valve | ||
22454 | TMC Stacis 2000 | 21-26692-02 | KLA | KLAHRP-340 | TMC Stacis 2000 Active Piezoelectric Vibration Control 21-26692-02 KLA HRP-340 |
22455 | TMK Manufacturing | 918032-001 | TMK Manufacturing 918032-001, WJ, 8″ Nitrogen Shield. | ||
22456 | Todd products | SC24-11C | Todd Products SC24-11C Power Supply, 115/230VAC 6A/3A w/ Paper Work | ||
22457 | Tohan Denshikiki | TD-520 | Tohan Denshikiki TD-520, Phase Driver Board, PCB, PH564-A | ||
22458 | TOK | 15KV-50PF | TOK 15KV-50PF Condenser, Solid, ID | ||
22459 | TOK | 1KWRF | Tok 1KWRF Cable, 1-KW Generator | ||
22460 | TOK | 61987A-Rev-B | TOK 61987A-Rev-B, Robot hand for UTC-100, Robot blade, Arm, End Effector, | ||
22461 | TOK | 6KV-400PF | TOK 6KV-400PF Condenser, Solid, ID | ||
22462 | TOK | 831101020-1 | Tok 831101020-1, PCE-MCV Interlock P.C. Board | ||
22463 | TOK | E06-A | TOK E06-A, Coupler, Auto Tuning. | ||
22464 | TOK | ET30E-2P-20A | TOK ET30E-2P-20A Breaker0V, 20A, 30MA | ||
22465 | TOK | M-4-20048R | TOK M-4-20048R Bellows, For Subtable | ||
22466 | TOK | MP-2-0715Y | 2 Tok MP-2-0715Y Ring, Clamp,, | ||
22467 | Tokyo Electron TEL | FX50K25-B2 | Tokyo Electron TEL FX50K25-B2 Bellows, NW50 | ||
22468 | Tokyo Electron TEL | SB24052 | E17149260 | Tokyo Electron TEL SB24052 Bracket, PCB For (TB12-14.TC12-19.TC12-23) | |
22469 | Tokyo Electron TEL | SS11021 | Tokyo Electron SS11021 Extraction Electrode Support L | ||
22470 | Tokyo Electron TEL | SR00082 | 25 TEL SR00082 Oring, 9.120 (.987 ID .103 C/S) | ||
22471 | Tokyo Electron TEL | SR00448 | 3 TEL SR00448 Oring, Viton, 0.103 x 1.487″, 2-128 | ||
22472 | Tokyo Electron TEL | SO04920 | 2 TEL SO04920 O-ring, #223, Silicone | ||
22473 | Tokyo Electron TEL | SO04920 | 3 TEL SO04920 O-ring, #223, Silicone | ||
22474 | Tokyo Electron TEL | SO14282 | 5 TEL SO14282 Oring (Vyton) Model AS568-045 | ||
22475 | Tokyo Electron TEL | SH03015 | 2 Tokyo Electron TEL SH03015 | ||
22476 | Tokyo Electron TEL | ES027-001710-1 | Tokyo Electron TEL ES027-001710-1 O-Ring, Viton, AS568-474, BLK | ||
22477 | Tokyo Electron TEL | 310-236745-3 | TEL 310-236745-3 Chuck, Spin – B | ||
22478 | Tokyo Electron TEL | SS04190 | TEL SS04190 AP Sensor 2, FY01-023, S6511EA06 | ||
22479 | Tokyo Electron TEL | SP24025 | TEL SP24025 Pulley (B) | ||
22480 | Tokyo Electron TEL | SP07008 | 5 Tokyo Electron TEL SP07008 Plate 17688-01, Gas Distribution, 8″, 200mm | ||
22481 | Tokyo Electron TEL | SP07008 | 2 Tokyo Electron TEL SP07008 Plate 17688-01, Gas Distribution, 8″, 200mm | ||
22482 | Tokyo Electron TEL | SP07008 | 3 Tokyo Electron TEL SP07008 Plate, Gas Distribution, 8″, 200mm | ||
22483 | Tokyo Electron TEL | SP07008 | 6 Tokyo Electron TEL SP07008 Plate 17688-01, Gas Distribution, 8″, 200mm | ||
22484 | Tokyo Electron TEL | SP07008 | 5 Tokyo Electron TEL SP07008 Plate 17688-01, Gas Distribution, 8″, 200mm | ||
22485 | Tokyo Electron TEL | 925957-001 | Tokyo Electron TEL 925957-001 Kit, Replacement, Burst Disc, TF925957-001 | ||
22486 | Tokyo Electron TEL | SP22486 | 2 TEL SP22486 PCB, NTP-NI7H, JNP0389 | ||
22487 | Tokyo Electron, TEL | SP07008 | 17688-01 | 2 TEL SP07008 Plate, 17688-01, Gas Distribution, 8″, 200mm | |
22488 | Tokyo Electron, TEL | SP07008 | 17688-01 | TEL SP07008 Plate 17688-01 Gas Distribution 8″ 200mm AH2-0000E50806-001AA 102044 | |
22489 | Tokyo Electron, TEL | SP07008 | 17688-01 | TEL SP07008 Plate 17688-01 Gas Distribution 8″ 200mm AH2-0000E50806-001AA 102045 | |
22490 | Tokyo IPO | NEC | Tokyo IPO, NEC, A-6 Pipe Tube, 10-80 | ||
22491 | Tokyo Ohka | 3KV-300PF | 2 Tokyo Ohka 3KV-300PF Variable Condenser, Capacitor, 6310, RF Match | ||
22492 | Tokyo Ohka | CAI-1660B-2006 | Tokyo Ohka CAI-1660B-2006 Thermocouple, Sheath | ||
22493 | Tokyo Ohka | ES01-22 | Tokyo Ohka ES01-22 Temp Controller, PCB, A/D Converter, ID | ||
22494 | Tokyo Ohka | M-3-71710 | M-3-71711 | Tokyo Ohka M-3-71710/M-3-71711 Guide Screw w/Nut, Lead Screw, OPM Etcher | |
22495 | Tokyo Ohka | 8911ASNK | Tokyo Ohka 8911ASNK, Heater Table300W | ||
22496 | Tokyo Ohka | 8911ASNK | Tokyo Ohka 8911ASNK, Heater Table300W | ||
22497 | Tokyo Ohka | OAPM-406 | Tokyo Ohka OAPM-406 MCU Etcher Controller Unit, Asher, TCE-3822 | ||
22498 | Tokyo Ohka | E03-A | Tokyo Ohka E03-A Exclusive Tool | ||
22499 | Tolomatic | BCES100SN | 6 Tolomatic 36009204 coupler assy. BCES100SN | ||
22500 | TOLTEC | 1000 | TOLTEC ELECTRONIC SYSTEMS TIMER MODEL 1000 | ||
22501 | TOLTEC | 1201 DR-CU | TolTec 1201 DR-CU Dump Rinser Control Unit | ||
22502 | TOLTEC | 8000.1000.00 | TOLTEC 8000.1000.00 TES 1000 PROCESS TIMER 5 VOLTS | ||
22503 | TOLTEC | 8000.1000.00 | TolTec 8000.1000.00, TES 1000, Motorola, Process Timer | ||
22504 | TOLTEC | 8000.1000.00 | TolTec 8000.1000.00, TES 1000, Motorola, Process Timer | ||
22505 | TOLTEC | TES-1000 | TolTec TES-1000 Process Timer, TS-1000, 5-Volts, 800-1000-00 | ||
22506 | TOLTEC | TES-1000 | TolTec TES-1000 Process Timer, TS-1000, 5-Volts, 8000.1000.00 | ||
22507 | TOLTEC | TES-1000 | TolTec TES-1000 TS-1000, Process Timer, 5-Volts, 8000.1000.00 | ||
22508 | TOLTEC | TES-1000 | TolTec TES-1000 Process Timer, TS-1000, 5-Volts, 8000.1000.00 | ||
22509 | TOLTEC | TES-1000 | TolTec TES-1000 TS-1000, Process Timer, 5-Volts, 8000.1000.00 | ||
22510 | TOLTEC | TS1000 | TolTec TS1000, Time Model, Process Timer, TES1000 | ||
22511 | TOLTEC | TS1000 | TolTec TS1000, Time Model, Process Timer, TES1000 | ||
22512 | TOLTEC | TS1000 | TolTec TS1000, Time Model, Process Timer, TES1000 | ||
22513 | TOLTEC | TS1000 | TolTec TS1000, Time Model, Process Timer, TES1000 | ||
22514 | TOLTEC | TS1000 | TolTec TS1000, Time Model, TES1000 | ||
22515 | Tomey | TL-3000A | Tomey TL-3000A Auto Lensmeter0V,z, 35VA, | ||
22516 | Tool | Pin | 16 Magnetic Tooling Pins, 3/4″x3-1/8″, | ||
22517 | Tool | Pin | Magnetic tooling pin, Fork, | ||
22518 | Tool | PK18665-H01 | PK18662-H01 | PK18665-H01 Insert Runner Upper & PK18662-H01 Insert Cavity Lower 28 PON | |
22519 | tool | Unknown | Microelectronics wire research solder kit, used | ||
22520 | Tools | Unknown | 26 5521A27 Sqr drive socket adapter 1/4 SQ FEMx3/8″ SQ Male 1.0″L STL Chrome Plt | ||
22521 | Topco Scientific | 591-REPAIR-003 | PROMOS | SQ00006R | Topco Scientific, PROMOS, SQ00006R, Quartz Center Ring, 591-REPAIR-003 |
22522 | Topco Scientific | 591-REPAIR-003 | PROMOS | SQ00006R | Topco Scientific, PROMOS, SQ00006R, Quartz Center Ring, 591-REPAIR-003 |
22523 | Topco Scientific | 591-REPAIR-003 | PROMOS | SQ00006R | Topco Scientific, PROMOS, SQ00006R, Quartz Center Ring, 591-REPAIR-003 |
22524 | Topco Scientific | 591-REPAIR-003 | PROMOS | SQ00006R | Topco Scientific, PROMOS, SQ00006R, Quartz Center Ring, 591-REPAIR-003 |
22525 | Topco Scientific | 71805-01 | Topco 71805-01, Quartz Window, AH2-0000E60401-001AA, TEL Tokyo Electron. | ||
22526 | Topward | 3603D | Topward 3603D, DC Power Supply | ||
22527 | Torque Transmission | 70122 | Torque Transmission 70122 Speed Reducer, Motor, SW1-S-B-10:1 | ||
22528 | Torqueleader | Torqueleader MINIMASTER Torque Driver, Torquemasrer | |||
22529 | TOSHIBA | UC3A2L024C6WJ-4 | XL PLUS ONLINE UPS UC3A2L024C6WJ-4, UNINTERRUPTIBLE POWER SUPPLY | ||
22530 | TOSHIBA | VFMB1-4022PL | Toshiba VFMB1-4022PL Transistor Inverter, 2.2kW-4.2kVA-3HP, VF-MB1 | ||
22531 | TOSHIBA | VFS9-2004PM-WN | Toshiba VFS9-2004PM-WN Transistor Inverter, 3PH, 200/230V,z | ||
22532 | Toshiba Industrial Products Manufacturing Corporation | VFS9-2015PM-WN | 969533 | Toshiba VFS9-2015PM-WN Transistor Inverter, 969533 | |
22533 | Toshiba Industrial Products Manufacturing Corporation | VFS9-2015PM-WN | 969533 | Toshiba VFS9-2015PM-WN Transistor Inverter, 969533 | |
22534 | Tosoh | 04-714240 | Tosoh SMD 04-714240 Plate, Aluminum 0.75%, Silicon 0.5%, Copper | ||
22535 | Tosoh | 805-375-SG-H3R-249 | Tosoh 805-375-SG-H3R-249 SC Upper Shield,0002/024631, Endura | ||
22536 | Tosoh SMD, Inc. | 02000-13-107-502 | Tosoh 02000-13-107-502 Al 0.4% Cu Target for S-Gun, 5N/502, Aluminum | ||
22537 | Tosoh SMD, Inc. | 02000-13-107-502 | Tosoh 02000-13-107-502 Al 0.4% Cu Target for S-Gun, 5N/502, Aluminum | ||
22538 | Tosoh SMD, Inc. | 02000-13-107-502 | Tosoh 02000-13-107-502 Al 0.4% Cu Target for S-Gun, 5N/502, Aluminum | ||
22539 | Tosoh SMD, Inc. | 0800E-24-000-370 | Tosoh 0800E-24-000-370, CR, 3N7 | ||
22540 | Tosoh SMD, Inc. | 0238L-13-000-502 | Tosoh 0238L-13-000-502 Al Target For CMII, Aluminum, 5N/502, XL | ||
22541 | Toyu Technica Co., LTD | KDM10-PF | Toyu Technica Co., LTD | 8DG-1800 | Toyu Technica KDM10-PF Gloria Motor + 8DG-1800 Geare Head, 1:1800 Ratio |
22542 | Trak Systems | Model 8390-32M | 48390-1000-130 | Trak Systems Model 8390-32M, 48390-1000-130, Time Code Generator. | |
22543 | Transcend | 507638-0683 | Transcend-0683 2GB DDR2667 | ||
22544 | Transducer technologies | THB-100-Q | Force torque sensors | ||
22545 | TransEra | 900 | TransEra GPIB Model 900 ISA GPIB Card | ||
22546 | Transicoil | 252C-P115 | 0275-700695 | Transicoil 252C-P115 Pressure Transducer, 0-15 PSI, 12-38 VDC, 4-20 mA, 0275-700695 | |
22547 | Trazar | 02-161584-00 | Trazar Corp (SCM) Sealed Tube Assembly 02-161584-00 | ||
22548 | Trazar | AMU10E-2 | Novellus | 27-118072-00 | RF match for novellus, looks very clean or rebuilt |
22549 | Trazar | AMU10H | Trazar AMU10H RF Match, 2922-001, 24 VDC, 5 AMP SLF RS, | ||
22550 | Trazar | AMU10H-1 | Trazar Corporation M/N AMU10H-1, P/N 553-07491 00 | ||
22551 | Trazar | AMU10H-1 | Trazar AMU10H-1 RF Match, 2922-001, 24 VDC, 5 Amp, 553-05430-00, | ||
22552 | Trazar | ECC1-3 62329-001 | TRAZAR Corp. ECC1-3 62329-001 for novellus 19-130310-00 Match network interface | ||
22553 | Trazar | RFDS-1 | 553-07491-00 | Trazar RFDS-1 RF Match, 3884-001, Samsung, 24 VDC, 5 Amp, | |
22554 | Trazar | RFDS-1 | Trazar RFDS-1 RF Match, 3884-001, Samsung, 24 VDC, 5 Amp, 553-07491-00, | ||
22555 | Trazar | RFDS-1 | Trazar RFDS-1 RF Match, 3884-001, Samsung, 24 VAC, 5 Amp, 553-07491-00, | ||
22556 | Trazar | RFDS-1 | Trazar RFDS-1 RF Match, 3884-001, Samsung, 24 VDC, 5 Amp, 553-07491-00, | ||
22557 | Trazar | RFDS-1 | Trazar RFDS-1 RF Match, 3884-001, Samsung, 24 VAC, 5 Amp, 553-07491-00, | ||
22558 | Trazar | RFDS-1 | Trazar RFDS-1 RF Match, 3884-001, Samsung, 24 VDC, 5 Amp, 553-19900-00, | ||
22559 | Trebor | 2472-BB | Trebor 2472-BB DI Heater, 2472 | ||
22560 | TREK | 684-1 | Novellus | R19-137359-00 | TREK 684-1, 6 Phase ESC Power Supply, Novellus R19-137359-00, |
22561 | Trendnet | TU-ET100C | Trendnet TU-ET100C 10/100 Mbps Adapter | ||
22562 | Trenton | 20-5450-09 | 92-005449-XXX | Trenton 20-5450-09 Computer Motherboard, PCB, 92-005449-XXX, TTITXV06.ROM | |
22563 | Trident | KC7-89CLD248 | Trident KC7-89CLD248, VGA, Graphics Card, 1MB RAM, Board, SUPR | ||
22564 | Trident | KC7-89CLD248 | Trident KC7-89CLD248, VGA, Graphics Card, 1MB RAM, Board, SUPR | ||
22565 | TRILLIUM | 865-5995 | TRILLIUM HI-PER 865-5995 5995-2501 033-9024-72 PCB CONTROL T0411731 | ||
22566 | TRILLIUM | PE50-860-5238-05-01 | LAM | 033-9020-84 | TRILLIUM, PE50-860-5238-05-01, LAM, 033-9020-84, PEC50,(MM+/DM/BIMOS) BOARD |
22567 | TRILLIUM | PE50-860-5238-05-01 | PEC5-528-08 | Trillium PE50-860-5238-05-01 PCB, PEC5-528-08, (MM+/DM/BIMOS). | |
22568 | TRILLIUM | PE50-860-5238-05-01 | PEC5-528-08 | Trillium PE50-860-5238-05-01 PCB, PEC5-528-08, (MM+/DM/BIMOS). | |
22569 | TRILLIUM | PE50-860-5238-05-01 | LAM | Trillium PE50-860-5238-05-01 PCB, PEC50,(MM+DM/BIMOS) LAM 033-9020-84 | |
22570 | TRILLIUM | PE50-860-5238-05-01 | LAM | Trillium PE50-860-5238-05-01 PCB, PEC50,(MM+DM/BIMOS) LAM 033-9020-84 | |
22571 | TRILLIUM | PE50-860-5238-05-01 | LAM | Trillium PE50-860-5238-05-01 PCB, PEC50,(MM+DM/BIMOS) LAM 033-9020-84 | |
22572 | TRILLIUM | PE50-860-5238-05-01 | LAM | Trillium PE50-860-5238-05-01 PCB, PEC50,(MM+DM/BIMOS) LAM 033-9020-84 | |
22573 | Tripp Lite | B078-101-USB | 6 Tripp Lite B078-101-USB Netcommander USB Server Interface Unit | ||
22574 | Trompeter | PCB0W30PCB-180 | Trompeter Emerson PCB0W30PCB-180 RF Cable Assembly, | ||
22575 | Trompeter Electronics Inc | TEI14949 80-10 | Trompeter Electronics Inc TEI14949 80-10, Valve, Fitting. | ||
22576 | Tru connector | 80-680-5168 | Tru Connector 80-680-5168, RF Connector, 6660-03, | ||
22577 | Tru connector | FSCM-92180 | 3 Tru FSCM-92180, RF Bulkhead Connector | ||
22578 | Tru connector | FSCMC-92180 | 3 new FSCMC-92180 | ||
22579 | Tru connector | NA | TRU RF Union Fe-Fe Silver Plated 1 inch, | ||
22580 | TRU Connector | Tru-6960-0SNX | 10 New Tru-6960-0SNX, Coax Cable connector for RF Cable ,Tru Connector, | ||
22581 | TRU Connector | TRU-7870-55X | 2 RF Bulkhead Connector TRU-7870-55X, Tru-Connector Corp, | ||
22582 | True Vision | 7-0084-00 | True Vision 07-0084-00 PCB w/ Daughter Board 07-0092400, 07-0052-31 | ||
22583 | TrueVision | 0007-0060-00 | 07-0051-00 | TrueVision 0007-0060-00 PCB w/ 07-0051-00 Daughter Board | |
22584 | TrueVision | 07-0084-00 | 07-0052-31 | TrueVision 07-0084-00 PCB w/ Daughter Board, 07-0092-00, 07-0052-31 | |
22585 | TrueVision | 07-0084-00 | 07-0052-31 | TrueVision 07-0084-00 PCB w/ Daughter Board, 07-0092-00, 07-0052-31 | |
22586 | TrueVision | 07-0084-00 | TrueVision 07-0084-00 PCB w/ Daughter Board, PWB 0007-0084, 09260100 | ||
22587 | Truster | THT4040-D | Truster THT4040-D Rapid Heating & Cooling Test Machine | ||
22588 | Trygon | HR40 | Trygon HR40 DC Power Supply, HR40-3-BS4825 | ||
22589 | Trygon | HR40 | Trygon HR40 DC Power Supply, HR40-3-BS4825 | ||
22590 | Trygon | HR40-3-BS4825 | HR40-3B | Trygon HR40 DC Power Supply, HR40-3-BS4825, HR40-3B | |
22591 | Trygon | HR40-3-BS4825 | Trygon HR40 DC Power Supply, HR40-3-BS4825, HR40-3B | ||
22592 | Tsubakimoto | CN419 | Tsubakimoto CN419 Nylon Chain Coupling, Tsubaki | ||
22593 | Tsuruga | 3311-11 | TEL | CT051-000370-1 | Tsuruga 3311-11 Digital Meter Relay, Thermo Sensor, TEL CT051-000370-1 |
22594 | Turck | RSM RKM 5711-4M | Turck RSM RKM 5711-4M Cordset Cable | ||
22595 | TURCK | U5260-40 | TURCK U5260-40 CONNECTION CABLE, RKC 4,4T-2.2-RSC 4.4T CA, EXT 4 COND | ||
22596 | Turck | VB 1003-BSMK | Turck VB 1003-BSMK 14/ S653/S1055 Multibox Junction Box Connector 10 Port | ||
22597 | Turck | FCI-D10A4P-2ARX-H1160/D205 | Turck FCI-D10A4P-2ARX-H1160/D205 Flow Control Monitor | ||
22598 | Turck | FCI-D10A4P-2ARX-H1160/D205 | 4 Turck FCI-D10A4P-2ARX-H1160/D205 Flow Control Monitor | ||
22599 | Turck | FCI-D15A4P-2ARX-H1160/D209 | 2 Turk FCI-D15A4P-2ARX-H1160/D209 Flow Control Module | ||
22600 | Turck | FTCI-1/2D10A4P-2ARX-H1160/D506 | Turck FTCI-1/2D10A4P-2ARX-H1160/D506 Floc Control Module | ||
22601 | Turck | FCI-D15A4P-2ARX-H1160/D | Turk FCI-D15A4P-2ARX-H1160/D Flow Control Module | ||
22602 | Turck | VBRS 4.4-2PKG 3M-0.3/0.3 | 2 Turck VBRS 4.4-2PKG 3M-0.3/0.3 Cordset Junction Cable | ||
22603 | Turck | VB2-FSM 4.4/2RK 4t-*/* | Turck VB2-FSM 4.4/2RK 4t-*/* Cordset Sensor Splitter | ||
22604 | Turck Elektronik-Feinbau | MS41-42Ex0-R | Turck Elektronik-Feinbau, MS41-42Ex0-R, Multi-Safe Logic Amplifier Relay. | ||
22605 | Turck Elektronik-Feinbau | MS41-42Ex0-R | Turck Elektronik-Feinbau, MS41-42Ex0-R, Multi-Safe Logic Amplifier Relay. | ||
22606 | Turk | RK4.4T-2-RS4.4T | Turck RK4.4T-2-RS4.4T Connector Cable Assy | ||
22607 | Tuthill | P10780 | Fluid pump | ||
22608 | Tuthill | P11033 | Tuthill pump P11033 | ||
22609 | TVL | 22SJ-22221188DDK | TVL 22SJ-22221188DDK Extender Board, | ||
22610 | TVL | V81-300065-1 | TVL V81-300065-1 Relay Board, PCB, V08-600065-1, Matsushita NF2-24V, | ||
22611 | Tyan | S2725 | Corsair | CM72SD1024RLP-2100/S | Tyan S2725 Motherboard, PCB, w/ Corsair CM72SD1024RLP-2100/S RAM, 1GB |
22612 | TYC | SUS-316 | TYC SUS-316 Pressure Gauge | ||
22613 | Tyco Electronics | 03P MRII | 28 Tyco 03P MRII, 3 position locking Plug Housing red, | ||
22614 | Tyco Electronics | 206705-2 | 5 Tyco Electronics amp 206705-2 9 pin RCPT connectivity | ||
22615 | Tyco Electronics | K84 D1-X5 | Tyco Electronics K84 D1-X5, Cable | ||
22616 | Tyco Electronics | 5646954-5 | TE Connectivity 5646954-5 Conn Power PL 4 POS 2mm Solder RA | ||
22617 | Tyco Electronics | 5646954-5 | TE Connectivity 5646954-5 Conn Power PL 4 POS 2mm Solder RA | ||
22618 | Tyco Electronics | 1840392-1 | 1 Lot of 60 Tyco Electronics 1840392-1 TE Connectivity MRJ21 1X1 9G34 | ||
22619 | Tyco Electronics TE | 2110819-1 | TE connectivity I/O Connectors SMT Compact I/O Conn | ||
22620 | Tyco Electronics TE | 2110819-1 | TE connectivity I/O Connectors SMT Compact I/O Conn | ||
22621 | Tyco Electronics TE | 2110819-1 | TE connectivity I/O Connectors SMT Compact I/O Conn | ||
22622 | Tyco Electronics TE | 2110819-1 | TE connectivity I/O Connectors SMT Compact I/O Conn | ||
22623 | Tyco Electronics TE | 2110819-1 | TE connectivity I/O Connectors SMT Compact I/O Conn | ||
22624 | Tyco Electronics TE | 2110819-1 | TE connectivity I/O Connectors SMT Compact I/O Conn 38 | ||
22625 | Tyco Electronics TE | 5120674-2 | TE Connectivity 5120674-2 Conn Advanced TCA PL 60 POS 2mm | ||
22626 | Tyco Electronics TE | 1888653-4 | 1 Lot of 170 TE connectivity1888653-4 Modular/Ethernet Connectors | ||
22627 | Tylan | FC-2901V | Tylan FC-2901V Mass Flow Controller, MFC, C4F8, 200 SCCM, Millipore | ||
22628 | Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, CL2,M | ||
22629 | Tylan | FC-260V | Tylan FC-261V Mass Flow Controller, MFC, N2, 20000 SCCM, Calibrated | ||
22630 | Tylan | FC-2900MEP | Tylan FC-2900MEP Mass Flow Controller, MFC, N2CM | ||
22631 | Tylan Corp. | FC-261V | Tylan FC-261V Mass Flow Controller, MFC, N2, 20 SLPM | ||
22632 | Tylan Corp. | FC-260V | Tylan FC-260V Mass Flow Controller, MFC, CH3NH2CM | ||
22633 | Tylan Corp. | Tylan FC-260V-4S | Tylan FC-260V-4S Mass Flow Controller, MFC, SO2M, Millipore | ||
22634 | Tylan Corporation | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, O2CM | ||
22635 | Tylan General | FC-2900M | Tylan MFC, FC-2900M, CHF3,M | ||
22636 | Tylan General | FC-2900M | Tylan MFC, FC-2900M, NH3, 300 SCCM | ||
22637 | Tylan General | FC-2900M-EP | MFC | Tylan MFC, FC-2900M-EP, O2,M | |
22638 | Tylan General | FC-2900MEP | Tylan MFC, FC-2902MEP, CH2F2,M | ||
22639 | Tylan General | FC-2900MEP-4V | Tylan MFC, FC-2900MEP-4V, CHF3, 200 SCCM | ||
22640 | Tylan General | FC-2900MEP-L | Tylan MFC, FC-2900MEP-L, CHF3CM | ||
22641 | Tylan General | FC-2900MEP5 | Tylan MFC, FC-2950MEP5, N2CM | ||
22642 | Tylan General | FC-2900MEP5-4V | MFC | Tylan MFC, FC-2900MEP5-4V, CL2, 30 SCCM | |
22643 | Tylan General | FM-3900MEP-4V | Tylan MFC, Millipore, FM-3900MEP-4V, N2, 300 SCCM | ||
22644 | Tylan General | 260-HFV | Tylan FC-260 HFV, Mass Flow Controller, MFC, H2M, | ||
22645 | Tylan General | 260KZ | Tylan FC 260KZ, Mass Flow Controller, MFC, HCL, 3 SLPM, | ||
22646 | Tylan General | 2979M | TYLAN 2979M Mass Flow Controller FC-2979MEP5-WM, Gas O2 | ||
22647 | Tylan General | CDLC-31S06 | Tylan CDLD-31S06 Pressure Transducer, Manometerorr | ||
22648 | Tylan General | CMH-01 | Tylan CMH-01 Baratron Manometer, 1 Torr | ||
22649 | Tylan General | CMLB-21M | Tylan General CMLB-21M 100 TORR CAPACITANCE GAUGE | ||
22650 | Tylan General | DFC-2952MEP5-TMC, | Tylan MFC, DFC-2952MEP5-TMC, N2CM | ||
22651 | Tylan General | FC 2900 | Tylan 2900 series MFC, FC 2900, He, 1 SLM, S0002 | ||
22652 | Tylan General | FC-2500 | Tylan MFC, FC-2500, O2, 25 SCCM | ||
22653 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2SCCM | ||
22654 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC90%N2, 5 SLPM | ||
22655 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, NH3, 300 SCCM | ||
22656 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, SIH2CL2, 200 SCCM | ||
22657 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, AR, 1 SLPM | ||
22658 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2,M | ||
22659 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2,M | ||
22660 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2, 6 SLPM | ||
22661 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, O2,CCM | ||
22662 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, 1.8%SIH4/N2, 5 SLPM | ||
22663 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, O2, 5 SLPM | ||
22664 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, CF4, 200 SCCM | ||
22665 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, AIR, 200 SCCM | ||
22666 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, NF3, 1 SLM | ||
22667 | Tylan General | FC-260 | Tylan FM-360 Mass Flow Controller, MFC, AIR, 200 SCCM | ||
22668 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, H2, 200 SCCM | ||
22669 | Tylan General | FC-260 | Tylan FM-260 Mass Flow Controller, MFC, H2, 200 SCCM | ||
22670 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2O, 5 SCCM | ||
22671 | Tylan General | FC-260 | Tylan FC-260 MFC, N2,M, w/ Nupro SS-4BK 1C-9AGAA Valve | ||
22672 | Tylan General | FC-260 | Tylan FC-260 MFC, N2,M, w/ Nupro SS-4BK 1C-9AGAA Valve | ||
22673 | Tylan General | FC-260 | Tylan MFC, FC-260, H2,CM, S506AS011 | ||
22674 | Tylan General | FC-260 | Tylan MFC FC-260, H2 gasM range, SAA102419 | ||
22675 | Tylan General | FC-260 | Tylan MFC, FC-260, 0.8%PH3/He, 2 SLM, S9123106 | ||
22676 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2, 20 SCCM, | ||
22677 | Tylan General | FC-260 | Tylan MFC, FC-260, ASH3, 2 SLPM, SAA604360 | ||
22678 | Tylan General | FC-260 | Tylan MFC, FC-260,H2, 3 SLPM, SAA404344 | ||
22679 | Tylan General | FC-260 | Tylan MFC FC-260, H2 gas, 20 SLPM range, SAA010342 | ||
22680 | Tylan General | FC-260 | Tylan MFC, FC-260, ASH3, 2 SLPM, SAA107712 | ||
22681 | Tylan General | FC-260 | Tylan MFC, FC-260, HCL, 3 SLM, S9074087 | ||
22682 | Tylan General | FC-260 | Tylan MFC, FC-260, NH3, 60 SCCM, S3032023 | ||
22683 | Tylan General | FC-260 | Tylan MFC, FC-260, N2CM, SAA11011701 | ||
22684 | Tylan General | FC-260 | Tylan MFC, FC-260, N2CM, SAA11011701 | ||
22685 | Tylan General | FC-260 | Tylan MFC FC-260, H2, 1SLPM (Calibrated). | ||
22686 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller PH2 1 SLPM MFC | ||
22687 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller H2 1 SLM MFC | ||
22688 | Tylan General | FC-260 | Tylan MFC, FC-260, N2, 200 SCCM, SAA911007 | ||
22689 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, O2, 200 SCCM | ||
22690 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, H2, 1 SLPM | ||
22691 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2, 20 SCCM, | ||
22692 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, NH3, 1 SLPM, | ||
22693 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, NH3, 1 SLPM, | ||
22694 | Tylan General | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, CL2,M | ||
22695 | Tylan General | FC-260 | Tylan MFC, FC-261, N2M, SAC506018, FC-261V | ||
22696 | Tylan General | FC-260 | 4 Tylan MFC, FC-260, FC-261, H2, 20 SLPM | ||
22697 | Tylan General | FC-260 | 2 used Tylan FC-260 MFC, N2 100 SCCM, H2 4 SLPM | ||
22698 | Tylan General | FC-260-2 | Tylan MFC, FC-260-2, 20% HCl/N2,CM | ||
22699 | Tylan General | FC-260F | Tylan MFC, FC-260F, N2M | ||
22700 | Tylan General | FC-260F | Tylan MFC, FC-260F, N2M | ||
22701 | Tylan General | FC-260FV | Tylan FC-260FV, Mass Flow Controller, MFC, N2CM, | ||
22702 | Tylan General | FC-260KZ | Tylan MFC FC-260KZ, BCL3, 200 SCCM (Calibrated). | ||
22703 | Tylan General | FC-260V | Tylan FC-260V Mass Flow Controller, MFC, N2, 300 SCCM | ||
22704 | Tylan General | FC-260V | Tylan FC-260V, MFCCM, AIR | ||
22705 | Tylan General | FC-260V | Tylan FC-260V Mass Flow Controller, MFC, N2, 5 SLPM | ||
22706 | Tylan General | FC-260V-4S | Tylan FC-260V-4S, MFCCM, CH3NH2 | ||
22707 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2M | ||
22708 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 20 SLPM | ||
22709 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 20 SLPM | ||
22710 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 20 SLPM | ||
22711 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2OM | ||
22712 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, AIR, 20 SLPM | ||
22713 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, SF6, 5 SLPM | ||
22714 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2M | ||
22715 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 15 SLPM | ||
22716 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2M-001 | ||
22717 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2M-001 | ||
22718 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2M | ||
22719 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2M | ||
22720 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2OM | ||
22721 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, H2, 20 SLPM | ||
22722 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, H2, 20 SLM | ||
22723 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 20 SLPM | ||
22724 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2, 20 SLPM | ||
22725 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, AIRM | ||
22726 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2M | ||
22727 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2, 20 SLPM | ||
22728 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 20 SLPM | ||
22729 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2M-001 | ||
22730 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 30 SLM | ||
22731 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 20 SLPM | ||
22732 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 15 SLPM | ||
22733 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 15 SLPM | ||
22734 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 15 SLPM | ||
22735 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 15 SLPM | ||
22736 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2, 5 SLPM | ||
22737 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 20 SLPM | ||
22738 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2M | ||
22739 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2M | ||
22740 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, AIR, 20 SLPM | ||
22741 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, H2, 30 SLM | ||
22742 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 15 SLPM | ||
22743 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 15 SLPM | ||
22744 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2M-001 | ||
22745 | Tylan General | FC-261 | Tylan MFC, FC-261,H2/N2, 20/10 SLPM, SAC210083, FC-261 FV | ||
22746 | Tylan General | FC-261 | Tylan MFC FC-261, H2 gasM range, FC-261-F, FC-26FV, SAC308035 | ||
22747 | Tylan General | FC-261 | Tylan MFC FC-261, H2 gas, 20 SLPM range, FC-261-FH S0012166 | ||
22748 | Tylan General | FC-261 | Tylan MFC FC-261, H2 gas, 20 SLPM range, FC-261-V SAC409241 | ||
22749 | Tylan General | FC-261 | Tylan MFC, FC-261, N2M, SAC805009 | ||
22750 | Tylan General | FC-261 | Tylan FC-261V Mass Flow Controller, MFC, N2. 10 SLPM, FC-261 | ||
22751 | Tylan General | FC-261 | Tylan FC-261V Mass Flow Controller, MFC, N2. 10 SLPM, FC-261 | ||
22752 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, FC-261 | ||
22753 | Tylan General | FC-261 | Tylan MFC, FC-261,H2, 30 SLPM, S9010009 | ||
22754 | Tylan General | FC-261 | Tylan MFC FC-261, H2 gas, 20 SLPM range, FC-261-F, SAC204161 | ||
22755 | Tylan General | FC-261 | Tylan FC-261V Mass Flow Controller, MFC, N2. 10 SLPM, FC-261 | ||
22756 | Tylan General | FC-261 | Tylan FC-261V Mass Flow Controller, MFC, N2. 10 SLPM, FC-261 | ||
22757 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 20 SLM, FC-261 | ||
22758 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, 02, 20 SLM, FC-261 | ||
22759 | Tylan General | FC-261 | Tylan FC-261V Mass Flow Controller, MFC, N2. 10 SLPM, FC-261 | ||
22760 | Tylan General | FC-261 | Tylan FC-261FV Mass Flow Controller, MFC, H2/N2 PurgeM, FC-261 | ||
22761 | Tylan General | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2M, FC-261 | ||
22762 | Tylan General | FC-261 | Tylan FC-261 H2/N2 MFC, 20/10 SLM | ||
22763 | Tylan General | FC-261V | Tylan FC-261V Mass Flow Controller, MFC, N2. 10 SLPM, FC-261 | ||
22764 | Tylan General | FC-261V | Tylan FC-261V, N2, 5 SLM, MFC, Mass Flow Controller Calibration. | ||
22765 | Tylan General | FC-261V | Tylan FC-261V Mass Flow Controller, MFC, H2M, FC-261 | ||
22766 | Tylan General | FC-270 | TYLAN MFC FC-270 SIH2CL2CM | ||
22767 | Tylan General | FC-280 AV | Tylan Model FC-280 AV, Mass Flow ControllerCM N2 | ||
22768 | Tylan General | FC-280-S | Tylan FC-280-S Mass Flow Controller, MFC, BCL3CM, | ||
22769 | Tylan General | FC-280-SKZ | Tylan FC-280-SKZ Mass Flow Controller, MFC, CL2CM, | ||
22770 | Tylan General | FC-280AV | Tylan FC-280AV, N2CM, MFC, Mass Flow Controller (Calibrated). | ||
22771 | Tylan General | FC-280AV | Tylan FC-280AV Mass Flow Controller, MFC, N2OM | ||
22772 | Tylan General | FC-280AV | Tylan FC-280AV Mass Flow Controller, MFC, N2, 1 SLPM | ||
22773 | Tylan General | FC-280SAN-P | Tylan FC-280SAN-P Mass Flow Controller, MFC, NH3, 5 SLPM, FC-280 | ||
22774 | Tylan General | FC-2900 | Tylan MFC, FC-2900, CH4M | ||
22775 | Tylan General | FC-2900FC-2900M | Tylan 2900 series MFC, FC-2900V, HeCM, S0008 | ||
22776 | Tylan General | FC-2900FV | Tylan MFC, FC-2900FV, CF4,CM | ||
22777 | Tylan General | FC-2900FV | Tylan FC-2900FV, Ar, 200 SCCM, MFC, Mass Flow Controller (Calibrated). 330150 | ||
22778 | Tylan General | FC-2900FV | Tylan FC-2900FV Mass Flow Controller, MFC, Ar, 200 SCCM | ||
22779 | Tylan General | FC-2900FV | Tylan 2900 series MFC, FC-2900FV, He,CM, S2017 | ||
22780 | Tylan General | FC-2900KZ | Tylan MFC, FC-2900KZ, NF3,CM | ||
22781 | Tylan General | FC-2900M | Tylan 2900 Series MFC, FC-2900M, BCl3, 200 SCCM, S1077 | ||
22782 | Tylan General | FC-2900M | Tylan 2900 series MFC, FC-2900M, O2, 200 SCCM, S8080 | ||
22783 | Tylan General | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900M, 200 SCCM CL2 | ||
22784 | Tylan General | FC-2900M | Tylan 2900 Series, MFC, FC-2900M, 20 SCCM , 70% HE/30% O2 | ||
22785 | Tylan General | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900M, 20 SCCM HE | ||
22786 | Tylan General | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900M, 20 SCCM HE | ||
22787 | Tylan General | FC-2900M | Tylan MFC, FC-2900M, O2, 1 SLPM | ||
22788 | Tylan General | FC-2900M | Tylan MFC, FC-2900M, CF4, 200 SCCM | ||
22789 | Tylan General | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900M, 200 SCCM SIH2CL2 | ||
22790 | Tylan General | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900M, 20 SCCM HE | ||
22791 | Tylan General | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900MCM N2 | ||
22792 | Tylan General | Fc-2900M | Tylan FC-2900M Mass Flow Controller, MFC, CL2,CM | ||
22793 | Tylan General | FC-2900M | TYLAN 2900 series, FC-2900M, He, 20 SCCM | ||
22794 | Tylan General | FC-2900M | Tylan 2900 series MFC, FC-2900M, O2, 20 SCCM, S3069 | ||
22795 | Tylan General | FC-2900M | Tylan MFC 2900 series FC-2900M, N2 Gas, Range 20 SLPM, SMA9111042 | ||
22796 | Tylan General | FC-2900M | Tylan MFC, FC-2900M, NF3,CM | ||
22797 | Tylan General | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900M, 20 SCCM HE | ||
22798 | Tylan General | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900M, 20 SCCM HE | ||
22799 | Tylan General | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900M, 20 SCCM HE | ||
22800 | Tylan General | FC-2900M | Millipore | Tylan 2900 Series, MFC, FC-2900M, 20 SCCM HE, Millipore | |
22801 | Tylan General | FC-2900M-EP | Tylan 2900 series MFC, FC-2900M-EP, N2, 20 SLPM, S2003 | ||
22802 | Tylan General | FC-2900M-EP | Tylan FC-2900M-EP, MFC 2900, HCLCM | ||
22803 | Tylan General | FC-2900M-EP | Tylan FC-2900M-EP Mass Flow Controller, MFC, N2, 20 SLPM | ||
22804 | Tylan General | FC-2900M-EP | MFC | Tylan MFC, FC-2900M-EP, O2,M | |
22805 | Tylan General | FC-2900M-EP | Tylan 2900 Series MFC, FC-2900MEP, HCL,CM, S3164 | ||
22806 | Tylan General | FC-2900M-EP | Tylan 2900 Series MFC, FC-2900M, CL2, 30 SCCM, S2052 | ||
22807 | Tylan General | FC-2900M-EP | Tylan 2900 Series MFC, FC-2900M-EP, Ar, 20 SLPM, S9080 | ||
22808 | Tylan General | FC-2900M-EP | Tylan FC-2900M-EP Mass Flow Controller, MFC, N2, 20 SLPM | ||
22809 | Tylan General | FC-2900M-EP | Tylan FC-2900M-EP Mass Flow Controller, MFC, O2, 20 SLPM | ||
22810 | Tylan General | FC-2900M-EP | Tylan FC-2900M-EP Mass Flow Controller, MFC, N2, 2 SLPM | ||
22811 | Tylan General | FC-2900MEP | Tylan 2900 series MFC, FC-2900MEP, N2,CM, S3158 | ||
22812 | Tylan General | FC-2900MEP | Tylan FC-2900MEP, MFC 2900, N2 2 SLPM | ||
22813 | Tylan General | FC-2900MEP | Tylan FC-2900MEP Mass Flow Controller, MFC, O2, 20 SLPM | ||
22814 | Tylan General | FC-2900MEP | Tylan 2900 Series, Mass Flow Controller, FC-2900MEPM N2 | ||
22815 | Tylan General | FC-2900MEP | Tylan 2900 Series, Mass Flow Controller, FC-2900MEP,M N2 | ||
22816 | Tylan General | FC-2900MEP | Tylan MFC, FC-2900MEP, SIH2CL2CM | ||
22817 | Tylan General | FC-2900MEP | TYLAN FC-2900MEP, MFC 2900, N2CM | ||
22818 | Tylan General | FC-2900MEP | Tylan 2900 Series, Mass Flow Controller, FC-2900MEP, 15 SLPM O2 | ||
22819 | Tylan General | FC-2900MEP | Tylan MFC, FC-2900MEP, SIH2CL2, 200 SCCM | ||
22820 | Tylan General | FC-2900MEP | Tylan MFC, FC-2900MEP, SIH2CL2, 300 SCCM | ||
22821 | Tylan General | FC-2900MEP | Tylan MFC, FC-2902MEP-T, SIF4, 1 SLPM | ||
22822 | Tylan General | FC-2900MEP | Tylan FC-2900MEP Metal Mass Flow Controller, MFC, CL2, 30 SCCM | ||
22823 | Tylan General | FC-2900MEP | Tylan FC-2900MEP Metal Mass Flow Controller, MFC, CL2, 30 SCCM | ||
22824 | Tylan General | FC-2900MEP | Tylan FC-2900MEP 4V Metal Mass Flow Controller, MFC, H2, 20 SLPM, FC2900MEP | ||
22825 | Tylan General | FC-2900MEP | Tylan 2900 Series, Mass Flow Controller, FC-2900MEP, 20 SLPM N2 | ||
22826 | Tylan General | FC-2900MEP | Tylan 2900 Series, Mass Flow Controller, FC-2900MEP,M N2 | ||
22827 | Tylan General | FC-2900MEP-4v | Tylan MFC, MILIPORE, FC-2900MEP-4V, O2, 20 SLPM | ||
22828 | Tylan General | FC-2900MEP-D | Tylan MFC, FC-2900MEP-D, O2CM | ||
22829 | Tylan General | FC-2900MEP-I | Tylan MFC, FC-2902MEP-I, N2OM | ||
22830 | Tylan General | FC-2900MEP5 | Tylan 2900 series MFC, FC-2900MEP5, C2F6, 200 SCCM, S1046 | ||
22831 | Tylan General | FC-2900MEP5 | Tylan MFC, FC-2900MEP5, HBRCM | ||
22832 | Tylan General | FC-2900MEP5 | Tylan 2900 series MFC, FC-2900MEP5, SF6,CM, S2576 | ||
22833 | Tylan General | FC-2900MEP5 | Tylan FC-2900MEP5 Mass Flow Controller, MFC, 02,M, AMAT 0227-06244 | ||
22834 | Tylan General | FC-2900MEP5 | Tylan 2900 series MFC millipore, FC-2900MEP5, Cl2, 200 SCCM, S2587 | ||
22835 | Tylan General | FC-2900MEP5 | Tylan 2900 series MFC S9Z19225, FC-2900MEP5, HBr,CM, S1395 | ||
22836 | Tylan General | FC-2900V | Tylan 2900 series MFC, FC-2901V, C3F8,M, S5034 | ||
22837 | Tylan General | FC-2900V | Tylan 2900 series MFC, FC-2900V, O2,M, S3072 | ||
22838 | Tylan General | FC-2900V | Tylan 2900 series MFC, FC-2900V, CHF3, 200 SCCM, S2029 | ||
22839 | Tylan General | FC-2900V | Tylan 2900 series MFC, FC-2900V, CHF3, 200 SCCM, S4272 | ||
22840 | Tylan General | FC-2900V | Tylan FC-2900V Mass Flow Controller, MFC, O2M, 2900 Series | ||
22841 | Tylan General | FC-2900V | Tylan FC-2900V Mass Flow Controller, MFC, Argon,CM | ||
22842 | Tylan General | FC-2900V | TYLAN MFC 2900 series FC-2900V, SF6, 15 SCCM | ||
22843 | Tylan General | FC-2900V | Tylan MFC, FC-2900V, N2CM | ||
22844 | Tylan General | FC-2900V | Tylan FC-2900V Mass Flow Controller, MFC, AR, 60 SCCM | ||
22845 | Tylan General | FC-2900V | Tylan FC-2900V Mass Flow Controller, MFC, AR, 300 SCCM, | ||
22846 | Tylan General | FC-2901V | Tylan 2900 Series, Mass Flow Controller, FC-2901VCM N2 | ||
22847 | Tylan General | FC-2901V | Tylan 2900 Series, Mass Flow Controller, FC-2901V, 1 SLPM N2 | ||
22848 | Tylan General | FC-2902M | Tylan 2900 Series, Mass Flow Controller, FC-2902M, 200 SCCM N2 | ||
22849 | Tylan General | FC-2902V-T | AMAT | 0226-41607 | Tylan FC-2902V-T MFC, CF4, 1550 SCCM, AMAT 0226-41607 |
22850 | Tylan General | FC-2902V-T | Tylan FC-2902V-T Mass Flow Controller, MFC, CF4, 1550 SCCM | ||
22851 | Tylan General | FC-2910V | Tylan 2900 Series, Mass Flow Controller, FC-2910V, 20 SLPM AR | ||
22852 | Tylan General | FC-2950M | Tylan MFC 2900 series FC-2950M, N2 Gas, Range 20 SLPM, SMC9209001 | ||
22853 | Tylan General | FC-2950M-EP | Tylan FC-2950M-EP Mass Flow Controller, MFC, N2, 2 SLPM | ||
22854 | Tylan General | FC-2950MEP5 | TYLAN FC-2950MEP5, N2 100 SCCM, AMAT 3030-05897 | ||
22855 | Tylan General | FC-2952MEP5-T | Tylan MFC, FC-2952MEP5-T, HE,CM | ||
22856 | Tylan General | FC-2952MEP5-T | TYLAN FC-2952MEP5-T, MFCN2 5 SLPM | ||
22857 | Tylan General | FC-2952MEP5-T | TYLAN 2950 series FC-2952MEP5-T, O2, 600 SCCM | ||
22858 | Tylan General | FC-2952MEP5-T | Tylan 2950 Series, Mass Flow Controller, FC-2952MEP5-T, SLPM N2 | ||
22859 | Tylan General | FC-2952MEP5-T | Millipore | Tylan 2950 Series, Mass Flow Controller, FC-2952MEP5-T, CL2 200 SCCM | |
22860 | Tylan General | FC-2960M | Tylan FC-2960M Mass Flow Controller, MFC, O2, 2 SLPM, 2900 Series | ||
22861 | Tylan General | FC-2960M | Tylan FC-2960M Mass Flow Controller, MFC, O2, 2 SLPM, 2900 Series | ||
22862 | Tylan General | FC-2960M | Tylan FC-2960M Mass Flow Controller, MFC, O2, 15 SLPM, 2900 Series | ||
22863 | Tylan General | FC-2960M | Tylan FC-2960M Mass Flow Controller, MFC, O2, 2 SLPM, 2900 Series | ||
22864 | Tylan General | FC-2960M | Tylan 2900 series MFC Mass Flow Controller, FC-2960M, BCL3, 200 SCCM. | ||
22865 | Tylan General | FC-2960MEP5 | Tylan FC-2960MEP5 Mass Flow Controller, MFC, O2, 15 SLPM, 2900 Series | ||
22866 | Tylan General | FC-2960MEP5 | Millipore | Tylan FC-2960MEP5 Mass Flow Controller, MFC, N2, 2 SLPM, 2900 Series | |
22867 | Tylan General | FC-2960MEP5 | Millipore | Tylan FC-2960MEP5 Mass Flow Controller, MFC, N2, 1 SLPM, 2900 Series | |
22868 | Tylan General | FC-2960MEP5 | Tylan FC-2960MEP5 Mass Flow Controller, MFC, O2, 2 SLPM, 2900 Series | ||
22869 | Tylan General | FC-2960MEP5 | Tylan FC-2960MEP5 Mass Flow Controller, MFC, O2, 2 SLPM, 2900 Series | ||
22870 | Tylan General | FC-2960MEP5 | Millipore | Tylan 2960 Series, MFC, FC-2960MEP5, 5%B2H6 95%N2CM | |
22871 | Tylan General | FC-2960MEP5-261R | Tylan FC-2960MEP5-261R Mass Flow Controller, MFC, O2, 15 SLPM | ||
22872 | Tylan General | FC-2960MEP5-261R | Tylan FC-2960MEP5-261R Mass Flow Controller, MFC, O2, 15 SLPM | ||
22873 | Tylan General | FC-2960MEP5-261R | Tylan FC-2960MEP5-261R Mass Flow Controller, MFC, O2, 15 SLPM | ||
22874 | Tylan General | FC-2960MEP5-261R | Tylan FC-2960MEP5-261R Mass Flow Controller, MFC, O2, 15 SLPM | ||
22875 | Tylan General | FC-2960MEP5-4V | Tylan 2900 Series, Mass Flow Controller, FC-2960MEP5-4V, 2 SLPM N2 | ||
22876 | Tylan General | FC-2979MEP5 | TYLAN FC-2979MEP5, MFC 2900, COCM | ||
22877 | Tylan General | FC-2979MEP5-L | Tylan MFC 2979 series FC-2979MEP5-L, C4F8,M; other | ||
22878 | Tylan General | FC-2979MEP5-T | Tylan MFC, FC-2979MEP-4v, O2, 20 SCCM | ||
22879 | Tylan General | FC-2979MEPS | TYLAN 2900 series FC-2979MEPS, CO,CM | ||
22880 | Tylan General | FC-360 | Tylan MFC, FC-360, AIR,CM | ||
22881 | Tylan General | FC-360 | Tylan MFC, FC-360, AIR, 5 SLPM | ||
22882 | Tylan General | FC-780C-HT | Tylan FC-780C-HT, Mass Flow Controller, MFC, O2, 20 SCCM | ||
22883 | Tylan General | FC-780C-HT | Tylan FC-780C-HT, Mass Flow Controller, MFC, O2, 20 SCCM | ||
22884 | Tylan General | FC-780C-HT | Tylan MFC, FC-780C-HT, UCCM, Argon, S9302 | ||
22885 | Tylan General | FC-780CHT | Tylan FC-780CHT Mass Flow Controller, MFC, N2CM, FC-780C-HT | ||
22886 | Tylan General | FC-780CHT | Tylan UC FC-780CHT Mass Flow Controller, MFC, N2CM, FC-780C-HT | ||
22887 | Tylan General | FC2900M | Tylan FC2900M MFC 1 SLM N2 | ||
22888 | Tylan General | FC2952M | Tylan FC2952M 4V Metal Mass Flow Controller, MFC, CO, 200 SCCM | ||
22889 | Tylan General | FM-2900M-EP | Tylan MFC, FM-2900M-EP, SIH2CL2cm | ||
22890 | Tylan General | FM-2900M-EP | Tylan 2900 series MFC, FM-2900M-EP, N2, 20 SLPM, S1096 | ||
22891 | Tylan General | FM-2900M-EP | Tylan 2900 series MFC, FM-2900MEP, N2, 2 SLPM, S3119 | ||
22892 | Tylan General | FM-2900MEP | 2900 | Tylan FM-2900MEP, MFC 2900, N2 SLPM 2 | |
22893 | Tylan General | FM-2900MEP | Tylan MFC 2900 series FM-2900MEP, N2 Gas, Range 20 SLPM, SMA9303015 | ||
22894 | Tylan General | FM-2900MEP | Tylan MFC 2900 series FM-2900MEP, N2 Gas, Range 20 SLPM, SMA9303013 | ||
22895 | Tylan General | FM-360 | Tylan MFC, FM-360, AIR, 5 SLPM, S9033026 | ||
22896 | Tylan General | FM-360 | Tylan MFC, FM-360, AIR,CM, S9063067 | ||
22897 | Tylan General | FM-360 | Tylan MFC, FM-360, AIRM, S0013070 | ||
22898 | Tylan General | FM-3900-EP | 2900 | Tylan FM-3900-EP, MFC 2900, N2 SLPM 20 | |
22899 | Tylan General | FM-3900M-EP | Tylan 2900 series MFC, FM-3900M-EP, N2,CM, S2004 | ||
22900 | Tylan General | FM-3900M-EP | Tylan 2900 series MFC, FM-3900M-EP, N2,CM, S1055 | ||
22901 | Tylan General | FM-3900M-EP | Tylan MFC 2900 series FM-3900M-EP, N2 Gas, Range 20 SLPM, SMB9205004 | ||
22902 | Tylan General | FM-3900MEP | Tylan FM-3900MEP Mass Flow Controller, MFC, N2,CM | ||
22903 | Tylan General | FM-3900MEP | Tylan MFC 2900 series FM-3900MEP, N2 Gas, Range 20 SLPM, SMB9303012 | ||
22904 | Tylan General | FM-3900MEP | Tylan MFC 2900 series FM-3900MEP, N2 Gas, Range 20 SLPM, SMB9303009 | ||
22905 | Tylan General | FM-3900MEP | Tylan FM-3900MEP Mass Flow Controller, MFC, N2,CM | ||
22906 | Tylan General | FM-3900MEP | Tylan 2900 series MFC, FM-3900MEP, N2, 20 SLPM, S3003 | ||
22907 | Tylan General | FM-3900MEP | Tylan 2900 series MFC, FM-3900MEP, N2,CM, S1001 | ||
22908 | Tylan General | FM-3900MEP | Tylan MFC 2900 series FM-3900MEP, N2 Gas, Range 20 SLPM, SMB9310002 | ||
22909 | Tylan General | FM-3900MEP | Tylan FM-3900MEP Mass Flow Controller, MFC, N2,CM | ||
22910 | Tylan General | FM-3900MEP | Tylan FM-3900MEP Mass Flow Controller, MFC, N2,CM | ||
22911 | Tylan General | FM-3901M | Tylan MFC, FM-3901M, N2, 20 SCCM | ||
22912 | Tylan General | PC-580 | FC-280 | Tylan PC-580 Mass Flow Controller, MFC, HE, 20 SCCM, FC-280 | |
22913 | Tylan General | PC-5900U | Tylan General PC-5900U Mass Flow Controller, MFC, HE,M | ||
22914 | Tylan General | PC-5900U | Tylan MFC, PC-5900U, HE,m | ||
22915 | Tylan General | PC-5900U | Tylan General PC-5900U Mass Flow Controller, MFC, HE,M | ||
22916 | Tylan General | PC-5900UV | LAM | 797-62046-103 | Tylan PC-5900UV MFC, HE,M, 2900 Series, LAM 797-62046-103 |
22917 | Tylan General | PC-5900UV | Tylan MFC, PC-5900UV, HE,m | ||
22918 | Tylan General | PC-5900UV | Tylan PC-5900UV Mass Flow Controller, MFC, HE,M, 2900 Series | ||
22919 | Tylan General | PC-5900UV | LAM | 797-62046-103 | Tylan PC-5900UV MFC, HE,M, 2900 Series, LAM 797-62046-103 |
22920 | Tylan General | RO-20A | Tylan RO-20A Readout Box | ||
22921 | Tylan General | FM-3900M-EP | Tylan MFC, FM-3900M-EP, N2, 20 SLPM | ||
22922 | Tylan General | CDLD-11 | Tylan Milipore Baratron CDLD-11R RANGE | ||
22923 | Tylan General | 853-021635-003-C-3618 | LAM RESEARCH 853-021635-003-C-3618 MANOMETER Tylan general CML series | ||
22924 | Tylan General | 853-031469-001 | LAM RESEARCH 853-031469-001 / Tylan General CMS-11S02 – MC MANOMETER | ||
22925 | Tylan General | AC-2 | Tylan General Adaptorr Model AC-2 vacuum general, Throttle valve controller | ||
22926 | Tylan General | AC-2 | Tylan General AC-2, Adaptor Vacuum Controller | ||
22927 | Tylan General | AC-2 | Tylan General AC-2 AdapTorr Vacuum Controller; | ||
22928 | tylan General | AC213C | Model AC-2 throttle valve controller | ||
22929 | Tylan General | CDHD80 | Tylan general Millipore CDHD80-M11VS06, 0-100 M TORR, 0-10 VDC output. | ||
22930 | Tylan General | CDL-11 | Tylan General CDL-11, Pressure Transducerr | ||
22931 | Tylan General | CDL-11S06 | Tylan General CDL-11S06 Baratron Pressure Controller | ||
22932 | Tylan General | CDL-21S06 | Tylan General CDL-21S06, Pressure Transducerrr | ||
22933 | Tylan General | CDLD-21S06 | Millipore | CDLD2106 | Tylan General CDLD-21S06 Capacitance Diaphragm Gaugerr, CDLD2106, Millipore |
22934 | Tylan General | CDLD-21S06-EMC | Tylan General CDLD-21S06-EMC Capacitance Diaphragm Gaugerr | ||
22935 | Tylan General | CDLD-21S06-EMC | Millipore | Tylan General CDLD-21S06-EMC Capacitance Diaphragm Gaugerr | |
22936 | Tylan General | CM-01-10 | Tylan General CM-01-10 Capacitance Diaphragm Gauge | ||
22937 | Tylan General | CMH-01 | Tylan CMH-01, Baratron, Manometer | ||
22938 | Tylan General | CMH-01 | Tylan General CMH-01, Baratron, Manometer | ||
22939 | Tylan General | CMH-01 | Tylan General CMH-01, Baratron, Manometer | ||
22940 | Tylan General | CMH4-M11S06 | CMH4M1106 | Tylan General CMH4-M11S06 Manometer, CMH4M1106, Baratron | |
22941 | Tylan General | CMH4-M11S06 | Tylan General CMH4-M11S06, Baratron, Manometer | ||
22942 | Tylan General | CMH4-M11S06 | Tylan General CMH4-M11S06, Baratron, Manometer | ||
22943 | Tylan General | CMH4-M11S06 | Tylan General CMH4-M11S06 Baratron, Manometer | ||
22944 | Tylan General | CMH4-M11S06 | Tylan General, CMH4-M11S06, Baratron, Manometer | ||
22945 | Tylan General | CMH4-M11S06 | Tylan General, CMH4-M11S06, Baratron, Manometer | ||
22946 | Tylan General | CMH4-M11S06 | Tylan CMH4-M11S06, Baratron, Manometer | ||
22947 | Tylan General | CMH4-M11S06 | Tylan General CMH4-M11S06, Baratron, Manometer | ||
22948 | Tylan General | CMH4-M11S06 | CMH4M1106 | Mykrolis CMH4-M11S06 Manometer, CMH4M1106, Baratron | |
22949 | Tylan General | CMH4-M11S06 | Tylan General CMH4-M11S06, Baratron, Manometer | ||
22950 | Tylan General | CMH4-M11S06 | Tylan General CMH4-M11S06 Baratron, Manometer | ||
22951 | Tylan General | CMH4-M11S06 | Tylan General CMH4-M11S06 Manometer, Baratron | ||
22952 | Tylan General | CMH4-M11S06 | Tylan General CMH4-M11S06, Baratron, Manometer | ||
22953 | Tylan General | CMH4-M11S06 | Tylan General, CMH4-M11S06, Baratron, Manometer | ||
22954 | Tylan General | CMH4-M11S06 | Tylan General, CMH4-M11S06, Baratron, Manometer | ||
22955 | Tylan General | CMH4-M11S06 | Tylan General, CMH4-M11S06, Baratron, Manometer | ||
22956 | Tylan General | CMH4-M11S06 | Tylan General, CMH4-M11S06, Baratron, Manometer | ||
22957 | Tylan General | CMH4-M11S06 | Tylan General, CMH4-M11S06, Baratron, Manometer | ||
22958 | Tylan General | CMH4-M11S06 | Tylan General, CMH4-M11S06, Baratron, Manometer | ||
22959 | Tylan General | CMH4-M11S06 | Tylan CMH4-M11S06, Baratron, Manometer | ||
22960 | Tylan General | CMH4-M11S06 | Tylan CMH4-M11S06, Baratron, Manometer | ||
22961 | Tylan General | CMH4-M11S06 | Tylan CMH4-M11S06, Baratron, Manometer | ||
22962 | Tylan General | CMH4-M11S06 | Tylan CMH4-M11S06, Baratron, Manometer | ||
22963 | Tylan General | CMH4-M11S06 | Tylan General CMH4-M11S06, Baratron, Manometer | ||
22964 | Tylan General | CMH4-M11S06 | Tylan General CMH4-M11S06, Baratron, CMH4M1106, Manometer | ||
22965 | Tylan General | CMH4M1106 | Tylan CMH4M1106, Baratron, Manometer | ||
22966 | Tylan General | CMLA-11S06 | Tylan General CMLA-11S06 Output: 0-10 VDC, Range: 0-10 Torr | ||
22967 | Tylan General | CMLA-21 | Tylan General CMLA-21 100 TORR CAPACITANCE GAUGE | ||
22968 | Tylan General | CMLA-21 | Tylan General CMLA-21, Pressure Transducerrr | ||
22969 | Tylan General | CMLA-21S06 | Tylan General CMLA-21S06 Presssure Transducer | ||
22970 | Tylan General | CMLB-11S06 | Tylan General CMLB-11S06, Manometer | ||
22971 | Tylan General | CMLB-11S06 | Tylan General CMLB-11S06, Manometer | ||
22972 | Tylan General | CMLB-31S06 | Tylan General CMLB-31S06 Pressure Transducerrr | ||
22973 | Tylan General | CMS-11 | Tylan General CMS-11 Manometer | ||
22974 | Tylan General | FC-260 KZ | AMAT | 0190-00029 | Tylan General FC-260 KZ Mass Flow Controller, MFC, 200 SCCM, BCL3, |
22975 | Tylan General | FC-260 KZ | AMAT | 0190-0029 | Tylan General FC-260 KZ Mass Flow Controller, MFC, 200 SCCM, BCL3, |
22976 | Tylan General | FC-280 | Tylan General FC-280 Mass Flow Controller, MFC, 200 SCCM, N2, | ||
22977 | Tylan General | FC-280 | Tylan General FC-280 Mass Flow Controller, MFC, 2 SLPM, O2, | ||
22978 | Tylan General | FC-280 AV | Tylan General FC-280 AV Mass Flow Controller, MFCCM, BCL3, | ||
22979 | Tylan General | FC-280 S | Tylan General FC-280 S Mass Flow Controller, MFCCM, CL2, | ||
22980 | Tylan General | FC-280 SAV | Tylan General FC-280 SAV Mass Flow Controller, MFC, 200 SCCM, N2, | ||
22981 | Tylan General | FC-280 SV | Tylan General FC-280 SV Mass Flow Controller, MFCCM, CHC13, | ||
22982 | Tylan General | FC-2900M | Tylan FC-2900M Mass Flow Controller, MFC, O2, 1 SLPM, 2900 Series | ||
22983 | Tylan General | FC-2900M | Tylan FC-2900M Mass Flow Controller, N2CM MFC | ||
22984 | Tylan General | FC-2900M | Tylan FC-2900M Mass Flow Controller, MFC, NF3, 1 SLPM, 2900 Series | ||
22985 | Tylan General | FC-2900V | Tylan General FC-2900V Mass Flow Controller, MFC, 5 SLPM, O2, | ||
22986 | Tylan General | FC-2901V | Tylan General FC-2901V Mass Flow Controller, MFC, 300 SCCM, C4F8, | ||
22987 | Tylan General | FC-2979MEP5 | Tylan General FC-2979MEP5 Mass Flow Controller, MFC, SF6, 200 SCCM | ||
22988 | Tylan General | FM-380 AV | Tylan General FM-380 AV Mass Flow Controller, MFCCM, N2, | ||
22989 | Tylan General | FM-380 AV | Tylan General FM-380 AV Mass Flow Controller, MFC, 1 SLPM, N2, | ||
22990 | Tylan General | FM-380 AV | Tylan General FM-380 AV Mass Flow Controller, MFC, 1 SLPM, N2, | ||
22991 | Tylan General | RO-28 | 905910-002 | Tylan General RO-28 Digital Mass Flow Controller, 28RS, 905910-002 | |
22992 | Tylan General | RO-28 | Tylan General RO-28 Digital Mass Flow Controller | ||
22993 | Tylan General | CDLD11S06 | Tylan General CDLD11S06 Pressure Transducer, Manometerr | ||
22994 | Tylan General | CDLD-02 | Tylan General CDLD-02 Pressure Transducer, CDLD02, 0-2 Torr, 0-10 VDC | ||
22995 | Tystar Corp | 109505-001 | Tystar Corp 109505-001 Plug, W/25, STD | ||
22996 | UC components | CPTHDAL6061VNT | 24 Vented Aluminum Cap CPTHDAL6061VNT, CPTHAL6061-1724 Size 9 16-18 | ||
22997 | UCV | EV80-FV-L83-EP | UCV EV80-FV-L83-EP Valve | ||
22998 | UE precision | EA100D-32 | 5 Staset model precision pressure switch Open at, closes at with built in display. | ||
22999 | UE precision | ES3000D-18 | 2 Staset precision pressure switch. Open at 100 PSI, close at 2000 PSI | ||
23000 | UE precision | P36W-132 | pressure switch, activates at 10 PSIG | ||
23001 | UE Precision Sensors | LDP2WC/500P-15 | UE Precision Sensors LDP2WC/500P-15 Low Differential Press Indicating SW | ||
23002 | UEGM | 2766850 | 2766850 TIMER MODULE UEGM OE/AV-24DV/100 PULSE EXPANSION | ||
23003 | Ueshima Brooks | 5877-CO | Ueshima Brooks 5877-CO Mass Flow Controller, MFC, HeM, 5877 | ||
23004 | Ueshima Brooks | 5877-CO | Ueshima Brooks 5877-CO Mass Flow Controller, MFC, HeM, 5877 | ||
23005 | UFT | 46-20 | Ultra Fab Technologies, UFT 46-20 Remote, Touch Panel, U/S | ||
23006 | UFT | 46-3 | Ultra Fab Technologies, UFT-46-3 Remote, Touch Panel, Rinser | ||
23007 | UFT | 46-39 | Ultra Fab Technologies, UFT-46-39 Remote, Touch Panel, Heater | ||
23008 | UFT | 46-4 | Ultra Fab Technologies, UFT 46-4 Remote, Touch Panel, Timer | ||
23009 | UFT | 46-7 | Ultra Fab Technologies, UFT 46-7 Remote, Touch Panel, Drain | ||
23010 | UIS | 350070-07 | 350075-03 | UIS-07 PCB Board, 20250, ISI, FAB-03 | |
23011 | Uknown | Uknown | 4 Holder, Pin, Lift, Ceramic, 233067290 | ||
23012 | Ultatech Stepper | 01-20-1862 | Ultratech Stepper Model 2700, 01-20-01682 | ||
23013 | Ultra T Equipment Company | PSC122M | Ultra T Equipment Company UTE Mask Cleaner, PSC122M Photomask Substrate Cleaner | ||
23014 | Ultrafab | UFT-620 | Ultra Fab Technology UFT-620 Controller, Looks New | ||
23015 | Ultratec | 01-15-04229-02 | Ultratech Stepper 01-15-04229-02, Motor Assy WAS w/ Leadscrew X12, | ||
23016 | Ultratech Stepper | 3-20-2114 | 3-20-2115 | Ultratech Stepper 03-20-02114 Board, Interconn, Transfer Arm, PCB, FAB | |
23017 | Ultratech Stepper | 03-20-2123 | Ultratech Stepper 03-20-02123 Rev. A, BD, INTCON, Robotic, PCB. | ||
23018 | Ultratech Stepper | 01-20-2547 | Ultratech Stepper 01-20-02547, Ellipsoidal Reflector, Stand-Offs. | ||
23019 | Ultratech Stepper | 01-20-2547 | Ultratech Stepper 01-20-02547, Ellipsoidal Reflector, Stand-Offs. | ||
23020 | Ultratech Stepper | 03-15-2702 | Ultratech Stepper 03-15-02702, Board, Transition Step, Motor Cooler, ASH. | ||
23021 | Ultratech Stepper | 03-15-2702 | Ultratech Stepper 03-15-02702, Board, Transition Step, Motor Cooler, ASH. | ||
23022 | Ultratech Stepper | 01-15-04980-B-ATI | 1 new Ultratech stepper 01-15-04980-B-ATI assembly, OAI/AUNIF MNTBLK, | ||
23023 | Ultratech Stepper | 02-20-4358 | 2 New ultratech stepper 02-20-04358 END EFFECTOR PREALIGNER | ||
23024 | Ultratech Stepper | OH-15-02836-02 | 2 new ultratech stepper crossmask 2244 s-150 OH-15-02836-02 | ||
23025 | Ultratech Stepper | 01-15-2903 | Reticle Finger Asy | ||
23026 | Ultratech Stepper | 01-15-4325 | Cable, filter, optic, WAS | ||
23027 | Ultratech Stepper | 03-15-04930-01 | Ultratech Stepper 03-15-04930-01 Rev. D, Gen I/O #2 Drive Breakout, PCB. | ||
23028 | Ultratech Stepper | 03-15-04930-01 | Ultratech Stepper 03-15-04930-01 Rev. D, Gen I/O #2 Drive Breakout, PCB. | ||
23029 | Ultratech Stepper | 03-15-04930-01 | Ultratech Stepper 03-15-04930-01 Rev. D, Gen I/O #2 Drive Breakout, PCB. | ||
23030 | Ultratech Stepper | 03-15-5746 | 3,new EPROM, Centent, CN0170 | ||
23031 | Ultratech Stepper | 03-20-01130-04 | Ultratech Stepper 03-20-01130-04 PCB, Transition, Stage Motor Driver,Y,RT | ||
23032 | Ultratech Stepper | 03-20-1420 | Ultratech Stepper, Assy 03-20-01420, PCB, Air Gauge | ||
23033 | Ultratech Stepper | 03-20-3084 | Lot of 3, IC, Eprom, VX147, ODD | ||
23034 | Ultratech Stepper | 03-20-0954 | Ultratech Stepper 03-20-00954 Rev. B, Stepper Motor MUX PCB. | ||
23035 | Ultratech Stepper | 03-20-1321 | Ultratech Stepper 03-20-01321 PCB, Alignment, Analog | ||
23036 | Ultratech Stepper | 03-20-1705 | Ultratech Stepper 03-20-01705, General I/O Transition Board, PCB. | ||
23037 | Ultratech Stepper | 03-20-1933 | Ultratech Stepper 03-20-01933,Align ASH 5 Axis PCB 03-20-00784 VME Slave. | ||
23038 | Ultratech Stepper | 03-20-1933 | Ultratech Stepper 03-20-01933 PCB, Alignment, ASH, 5 Axis | ||
23039 | Ultratech Stepper | 03-20-1955 | Ultratech Stepper 03-20-01955 Focus A/D 5 Axis PCB | ||
23040 | Ultratech Stepper | 03-20-1955 | Ultratech Stepper 03-20-01955 Focus A/D 5 Axis Board, PCB, 13-20-01956, | ||
23041 | Ultratech Stepper | 03-20-1961 | Ultratech Stepper 03-20-01961, Board, Transition, Focus, ASH, 5 Axis,PCB. | ||
23042 | Ultratech Stepper | 05-15-07112-01 | Cable, X-axis, IDE, 3/6 DOF | ||
23043 | Ultratech Stepper | 05-20-02729-02 | Lot of 2, new, X limit, switch assy | ||
23044 | Ultratech Stepper | 10-15-02030-A | Lot of 6 new brass HYST nut, leadscrew | ||
23045 | Ultratech Stepper | 10-20-3636 | lot of 6,new Vacuum Pad, WTC, Theta Chuck | ||
23046 | Ultratech Stepper | 11-15-2215 | New leadscrew assy, RTICLE, LIB | ||
23047 | Ultratech Stepper | 30-20-02288 | Cassette breakout PCB, looks new | ||
23048 | Ultratech Stepper | SP1982-2642 | Ultratech Stepper SP1982-2642, Ellipsoidal Reflector W/ UTS 10-17-00313. | ||
23049 | Ultratech Stepper | Unknown | 2 new ultratech stepper cross mask assy | ||
23050 | Ultratech Stepper | 03-15-0308 | Ultratech Stepper 03-15-00308,Transition ASH Stepper WAS PCB,13-15-00200. | ||
23051 | Ultratech Stepper | 03-15-0308 | Ultratech Stepper 03-15-00308,Transition ASH Stepper WAS PCB,13-15-00200. | ||
23052 | Ultratech Stepper | 0556-700342 | Ultratech Stepper 0556-700342 Harness Assy, Cable, Connector | ||
23053 | ULVAC | DA-5S | Ulvac, Sinku Kiko, DA-5S Diaphragm Vacuum Pump,z, ID | ||
23054 | Ulvac | GP-1SRY | Ulvac GP-1SRY Torr Gauge, Vacuum, Control Module | ||
23055 | ULVAC | GP-2A | ULVAC GP-2A, Priani Vacuum, Gauge Control | ||
23056 | ULVAC | GP-ISRY | Ulvac GP-ISRY Vacuum Control, Direct Box | ||
23057 | ULVAC | PST-030AU | ULVAC PST-030AU Sputter ION PUMP | ||
23058 | ULVAC | PST-030AU | ULVAC PST-030AU Sputter ION PUMP | ||
23059 | ULVAC | U-1010155 | QUARTZ PEDESTAL ULVAC U-1010155 | ||
23060 | ULVAC | U-1010155 | QUARTZ PEDESTAL ULVAC U-1010155 | ||
23061 | ULVAC | WP-01 | 1000151 | ULVAC Japan WP-011, Sensor, Pirani Head. | |
23062 | ULVAC | WP-01 | ULVAC WP-01, Pirani Gauge Sensor Head, GP-1SRY. | ||
23063 | Ulvac Cryogenics | MBS2 | Ulvac Cryogenics MBS2 Cryo Thermocouple Thermometer, MBS, 20K~280K | ||
23064 | Underwater Kinetics | NA | Underwater Kinetics Lens Assembly, 12.5mm F/1.5 (Prism) | ||
23065 | Unico | 1105-460-005-C-Y-K31-E01-805604 | Unico 1105-460-005-C-Y-K31-E01-805604 AC Drive, 714937 | ||
23066 | Unilator | 16867 | Unilator 16867apacitor 10kV Type 27 | ||
23067 | Unipower | JP2000 | 001-1617-100 | Unipower JP2000 Power Supply, 001-1617-100 | |
23068 | Unit | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, He, 1 SLM | ||
23069 | Unit | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, SiH4,M | ||
23070 | Unit | UFC-1410N | Unit UFC-1410N Mass Flow Controller, MFC, H2, 30 SLM | ||
23071 | Unit | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, A2CM | ||
23072 | Unit | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, SiH2Cl2CM | ||
23073 | Unit Instruments | ufc-1100 | MFC Model UFC-1100 (100 SCCM) Gas 15% PH3/SiH4 | ||
23074 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, AIR, 1.5 SLPM | ||
23075 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, He, 3 SLM | ||
23076 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, He, 20 SCCM | ||
23077 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, CF4,M | ||
23078 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC,/N2,M | ||
23079 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC,/N2,M | ||
23080 | Unit Instruments | UFC-1100A | UNIT UFC-1110A Mass Flow Controller, MFC, O2, 15 SLPM | ||
23081 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, SF6, 200 SCCM | ||
23082 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, He, 3 SLM | ||
23083 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, CHF3, 300 SCCM | ||
23084 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, CHF3, 200 SCCM | ||
23085 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, N2,CM | ||
23086 | Unit Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, N2,CM | ||
23087 | Unit Instruments | UFC-1100A | Unit UFC-1100A Mass Flow Controller MFC, N2, 20 SLM | ||
23088 | Unit Instruments | UFC-1110 | UNIT UFC-1110N Mass Flow Controller, MFC, Ar, 20 SLM | ||
23089 | Unit Instruments | UFC-1110A | UNIT UFC-1110A Mass Flow Controller, MFC, HBrCM | ||
23090 | Unit Instruments | UFC-1110A | UNIT UFC-1110A Mass Flow Controller, MFC, N2, 2 SLM | ||
23091 | Unit Instruments | UFC-1110A | UNIT UFC-1110A Mass Flow Controller, MFC, N2, 20 SLM | ||
23092 | Unit Instruments | UFC-1110A | UNIT UFC-1110A Mass Flow Controller, MFC, N2,CM | ||
23093 | Unit Instruments | UFC-1110A | UNIT UFC-1110A Mass Flow Controller, MFC, N2,CM | ||
23094 | Unit Instruments | UFC-1110N | UNIT UFC-1110N Mass Flow Controller, MFC, H2, 30 SLM | ||
23095 | Unit Instruments | UFC-1400A | UNIT UFC-1400A Mass Flow Controller, MFC, N2,CM | ||
23096 | UNIT Instruments | 1100 | UNIT 1100 Mass Flow Controller, MFC, N2, 2 SLM | ||
23097 | UNIT Instruments | 1100 | Unit MFC, 1100 N2 2 SLPM | ||
23098 | UNIT Instruments | 1660 | UNIT 1660 MFC UFC-1660, N2 gas, 20 SCCM range, SU16-4427 | ||
23099 | UNIT Instruments | 7300 | UNIT 7300 DeviceNet Kinetics MFC, N2 gas, 200CC gas range, SA1152001900 | ||
23100 | UNIT Instruments | 8160 | AMAT | 3030-06445 | AMAT 3030-06445, UNIT 8160, N2CM, MFC 8160 |
23101 | UNIT Instruments | 8165 | UNIT 8160 MFC UFC-8160, N2O gas, 2 SLM Range, S9608079300 | ||
23102 | UNIT Instruments | 8165 | AMAT | 303-03047 | UNIT 8165 Device Net MFC, SiH4 gas,range, AMAT 3030-03047, UFC-8165 |
23103 | UNIT Instruments | 8165 | UNIT 8165, SiH4, 30 sccm, MFC 8165, 3030-12078W | ||
23104 | UNIT Instruments | 8560 | Unit MFC, UNIT 8560, ARCM, MFC 8160 | ||
23105 | UNIT Instruments | 8560 | UNIT 8560, MFC 8560, SIH4 30CC | ||
23106 | UNIT Instruments | 8560 | Unit MFC, UFC-8561, CO,M, MFC 8560 | ||
23107 | UNIT Instruments | 8560 | UNIT Series 8560 MultiFloI MAX/Pa | ||
23108 | UNIT Instruments | 8560 | NEW Unit 8560 MFC MultiFlo, MFloSC11 Gas, 30 SCCM range, S0500, OEM box | ||
23109 | UNIT Instruments | 8564 | Unit MFC, UFC-8564, PH3, 200 SCCM, MFC 8560 | ||
23110 | UNIT Instruments | 233439967 | Source, Strap, Link, Anti, Cathode 233439967 | ||
23111 | UNIT Instruments | 233471447 | Lot of 12 Clamp Assembly Disc 233471447 | ||
23112 | UNIT Instruments | FC-2900V | Tylan MFC, FC-2900, SIH4CM | ||
23113 | UNIT Instruments | FC-2900V | Tylan MFC, FC-2900V, C2F6,CM | ||
23114 | UNIT Instruments | FC-2900V | Tylan MFC, FC-2900V, C2F6, 300 SCCM | ||
23115 | UNIT Instruments | UFC-1020 | Unit MFC, UFC-1020, SiH2CL2, 200 sccm, S296F | ||
23116 | UNIT Instruments | UFC-1100A | Unit MFC, UFC-1100A, N2, 5 SLM, S8833 | ||
23117 | UNIT Instruments | UFC-1661 | Unit 1661 MFC, UFC-1661, N2 gas,M range, S1223 | ||
23118 | UNIT Instruments | UFC-1661 | Unit 1661 MFC, UFC-1661, N2 gas, 200 SCCM range, S1223 | ||
23119 | UNIT Instruments | UFC-3260A | UNIT MFC, UFC-3260A, N2, | ||
23120 | UNIT Instruments | 1100A | UNIT MFC UFC-1100A, O2 RANGE | ||
23121 | UNIT Instruments | 1100A | UNIT MFC UFC-1100A, AR,M RANGE | ||
23122 | UNIT Instruments | 1100A | UNIT MFC UFC-1100A, O2 RANGE | ||
23123 | UNIT Instruments | 1200A | AMAT | 1200-100023 | UNIT UFC-1200A, MFC 1200, 02CM, AMAT 1200-100023 |
23124 | UNIT Instruments | 1210A | UNIT MFC UFC-1210A, CF4M RANGE | ||
23125 | UNIT Instruments | 15-400FG01B | 2 United Instruments 15-400FG01B oil filled 15psi pressure gauge | ||
23126 | UNIT Instruments | 1501A | UNIT MFC UFC-1501A, ARM RANGE | ||
23127 | UNIT Instruments | 8565C | Unit MFC, UFC-8565C, N2, 750 SCCM, MFC 8560, 0190-16329-002, with calib sheet | ||
23128 | UNIT Instruments | 8565C | Unit MFC, mass flow controller, UFC-8565C, SC13, 250 SCCM, 8560, N2 | ||
23129 | UNIT Instruments | 8565C | Unit MFC 8565C, N2 750 SCCM NEW with calibration form | ||
23130 | UNIT Instruments | A0323050300 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, 02,CM | ||
23131 | UNIT Instruments | C-2900MEP | Tylan MFC, FC-2900MEP, SIH4, 300 SCCM | ||
23132 | UNIT Instruments | C-2900MEP | Tylan MFC, FC-2900M, SIH4, 3 SLPM | ||
23133 | UNIT Instruments | DX-5 | Unit Instruments DX-5 Digital Control System, Kinetics | ||
23134 | UNIT Instruments | FC-260 | Unit Instruments FC-260 Mass Flow Controller, MFC, N2, 5 SLPM, 150 PSIG | ||
23135 | UNIT Instruments | M100B01352CR1BV | MKS M100B01352CR1BV Mass Flow Controller, MFC, N2,CM | ||
23136 | UNIT Instruments | M100B01352CR1BV | MKS M100B01352CR1BV Mass Flow Controller, MFC, N2,CM | ||
23137 | UNIT Instruments | M100B01352CR1BV | MKS M100B01352CR1BV Mass Flow Controller, MFC, N2,CM | ||
23138 | UNIT Instruments | UFC – 7000 | UNIT UFC – 7000 industrial MFC, H2, 1.00 SLM, NEW | ||
23139 | UNIT Instruments | UFC-1000 | Unit Instruments UFC-1000 Mass Flow Controller, MFC, He, 200 SCCM, | ||
23140 | UNIT Instruments | UFC-1000 | Unit Instruments UFC-1000 Mass Flow Controller, MFC, N2, 200 SCCM, | ||
23141 | UNIT Instruments | UFC-1000 | Unit Instruments UFC-1000 Mass Flow Controller, MFC, BCL3CM, | ||
23142 | UNIT Instruments | UFC-1000 | Unit Instruments UFC-1000 Mass Flow Controller, MFC, N2, 2 SLM, | ||
23143 | UNIT Instruments | UFC-1000 | Unit Instruments UFC-1000 Mass Flow Controller, MFC, CHF3, 80 SCCM,; we are selling as parts | ||
23144 | UNIT Instruments | UFC-1000 | Unit Instruments UFC-1000 Mass Flow Controller, MFC, N2, 2SLM, | ||
23145 | UNIT Instruments | UFC-1000 | Unit Instruments UFC-1000 Mass Flow Controller, MFC, HECM, | ||
23146 | UNIT Instruments | UFC-1000 | Unit Instruments UFC-1000 Mass Flow Controller, MFC, SIH4, 200 SCCM, | ||
23147 | UNIT Instruments | UFC-1000 | Unit Instruments UFC-1000 Mass Flow Controller, MFC, BCL3CM, | ||
23148 | UNIT Instruments | UFC-1000 | Unit Instruments UFC-1000 Mass Flow Controller, MFC, SF6M, | ||
23149 | UNIT Instruments | UFC-1000 | Unit Instruments UFC-1000 Mass Flow Controller, MFC, N2, 2 SLPM, | ||
23150 | UNIT Instruments | Ufc-100N | UNIT MFC UFC-1100N, H2, 300 SCCM RANGE | ||
23151 | UNIT Instruments | UFC-1020 | Unit Instruments UFC-1020 Mass Flow Controller, MFC, 200 SCCM, SIH4, | ||
23152 | UNIT Instruments | UFC-1020 | Unit Instruments UFC-1020 Mass Flow Controller, MFC, 200 SCCM, HE, | ||
23153 | UNIT Instruments | UFC-1020 | Unit Instruments UFC-1020 Mass Flow Controller, MFC, N2, 200 SSCM | ||
23154 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, N2, 2 SLM | ||
23155 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, N2, 2 SLM | ||
23156 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, N2 | ||
23157 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, 5ZPH3/N2, 300 SCCM | ||
23158 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, 5% PH3/N2, 300 SCCM | ||
23159 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, N2,CM | ||
23160 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, 1100-101489, H2, 2 SLM | ||
23161 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, N2, 5 SLM | ||
23162 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, N2, 5 SLM | ||
23163 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, N221142 | ||
23164 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, SiH2Cl2, 1 SLPM | ||
23165 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, 5% PH3/N2, 600 SCCM | ||
23166 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, N2,CM | ||
23167 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, HCL, 150 SCCM | ||
23168 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, NH3, 5 SLPM | ||
23169 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, Ar, 60 SCCM | ||
23170 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, N2, 2 SLM | ||
23171 | UNIT Instruments | UFC-1100 | UNIT UFC-1100 Mass Flow Controller, MFC, 1100-101800, N2, 5 SLM | ||
23172 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, N2, 1 SLM, | ||
23173 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, BCL3, 15 SCCM, | ||
23174 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, O2, 1 SLPM, | ||
23175 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, N2OM, | ||
23176 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, O2, 5 SLPM, | ||
23177 | UNIT Instruments | UFC-1100 | Unit MFC, UFC-1100, N2, 200 SCCM range, S6573 | ||
23178 | UNIT Instruments | UFC-1100 | UNIT UFC-1100, CF4, 200 sccm, MFC 1100 | ||
23179 | UNIT Instruments | UFC-1100 | UNIT UFC-1100, N2, 200 sccm, MFC 1100, C11-16847 | ||
23180 | UNIT Instruments | UFC-1100 | UNIT MFC UFC-1100, O2 gas range, S9541031100, mass flow controller | ||
23181 | UNIT Instruments | UFC-1100 | UNIT MFC UFC-1100, O2 gas range, 9443065900, mass flow controller | ||
23182 | UNIT Instruments | UFC-1100 | UNIT MFC UFC-1100A, N2, 300 SCCM gas range, C11-67639, mass flow controller | ||
23183 | UNIT Instruments | UFC-1100 | UNIT MFC UFC-1100, He, 3 SLM RANGE, AMAT 3030-01074 | ||
23184 | UNIT Instruments | ufc-1100 | UNIT UFC-1100A, MFC 1100A, 1100A, AR 3 SLPM | ||
23185 | UNIT Instruments | UFC-1100 | 1100 | UNIT UFC-1100A, MFC 1100, O2 SLM 2 | |
23186 | UNIT Instruments | UFC-1100 | 1100 | UNIT UFC-1100, MFC 1100, O2 20 SCCM | |
23187 | UNIT Instruments | ufc-1100 | UNIT UFC-1100, MFC 1100, 1100, AR 2 SLM | ||
23188 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC,3/N2,M, | ||
23189 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100, Mass Flow Controller, MFC, ARCM, | ||
23190 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, CHF3,M, | ||
23191 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100, Mass Flow Controller, MFC, ARCM, | ||
23192 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100, Mass Flow Controller, MFC, ARCM, | ||
23193 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100, Mass Flow Controller, MFC, ARCM, | ||
23194 | UNIT Instruments | UFC-1100 | Unit MFC, UFC-1100, N2, 300 SCCM range, S5600 | ||
23195 | UNIT Instruments | UFC-1100 | UNIT UFC-1100, CL2m, MFC 1100 | ||
23196 | UNIT Instruments | UFC-1100 | UNIT UFC-1100A, N2,m, MFC 1100 | ||
23197 | UNIT Instruments | ufc-1100 | UNIT MFC UFC-1100, H2,CM RANGE | ||
23198 | UNIT Instruments | UFC-1100 | 1100 | UNIT UFC-1100, MFC 1100, 02 1 SLM | |
23199 | UNIT Instruments | UFC-1100 | 1100 | UNIT UFC-1100, MFC 1100, O2 300 SCCM | |
23200 | UNIT Instruments | UFC-1100 | 1100 | UNIT UFC-1100, MFC 1100, CH3F 100 SCCM | |
23201 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, H2, 20 SLM, | ||
23202 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 MFC, Mass Flow Controller, N2, 20 SLPM. | ||
23203 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, 25% PH3/SiH4CM, | ||
23204 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, N2,CM, | ||
23205 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, CHCL3, 20 SCCM, | ||
23206 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC,3/N2, 2 SLPM, | ||
23207 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, BCL3, 25 SCCM, | ||
23208 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, CHCL3, 20 SCCM, | ||
23209 | UNIT Instruments | UFC-1100 | Unit Instruments UFC-1100 Mass Flow Controller, MFC, BCL3, 200 SLPM, | ||
23210 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, N2, 1 SLM | ||
23211 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, SiH2Cl2CM | ||
23212 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, H2M | ||
23213 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, H2 | ||
23214 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, H2M | ||
23215 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, H2M | ||
23216 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, H2M | ||
23217 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, N2CM | ||
23218 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, CHCL3, 20 SCCM, | ||
23219 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, O2, 1 SLPM, | ||
23220 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, BCL3CM, | ||
23221 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, O2CM, | ||
23222 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, NH3, 600 SCCM | ||
23223 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A Mass Flow Controller, MFC, O2 | ||
23224 | UNIT Instruments | UFC-1100A | Unit MFC, UFC-1100A, He, 1.5 SLM range, S7084 | ||
23225 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A MFC, 5SLM N2 | ||
23226 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A, SiH4 1 SLM, MFC 1100, | ||
23227 | UNIT Instruments | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, N2, 300 SCCM, UFC-1100A | ||
23228 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2, 20 SCCM | ||
23229 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2, 20 SCCM | ||
23230 | UNIT Instruments | UFC-1100A | UNIT MFC UFC-1100A, O2 gas range, SC11-104515, mass flow controller | ||
23231 | UNIT Instruments | UFC-1100A | UNIT MFC UFC-1100A, N2, 200 SCCM gas range, 9450007600, mass flow controller | ||
23232 | UNIT Instruments | UFC-1100A | Unit MFC, UFC-1100A, TMPI,CM range | ||
23233 | UNIT Instruments | UFC-1100A | Unit MFC, UFC-1100A, AR, 0-200 SCCM | ||
23234 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A MFC, H2 gas, 20 SLPM range, 0700 | ||
23235 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A MFC, H2 gas, 20 SLPM range, 1825 | ||
23236 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A MFC, H2 gas, 20 SLPM range, 9523 | ||
23237 | UNIT Instruments | UFC-1100A | UNIT MFC UFC-1100A, H2,CM RANGE | ||
23238 | UNIT Instruments | UFC-1100A | 1100 | 1100A | UNIT UFC-1100A, MFC 1100A, 1100, H2 10 SLM |
23239 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-100A MFC, Mass Flow Controller, N2, 5 SLM. | ||
23240 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2,M | ||
23241 | UNIT Instruments | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, O2 | ||
23242 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2CCM | ||
23243 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, BCL3, 15 SCCM, | ||
23244 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, H2, 5 SLPM, | ||
23245 | UNIT Instruments | UFC-1100A | UNIT Instruments Mass Flow Controller UFC-1100A | ||
23246 | UNIT Instruments | UFC-1100A | UNIT MFC, UFC-1100A O2, 4 SLM Range | ||
23247 | UNIT Instruments | UFC-1100A | UNIT MFC UFC-1100A, N2,M gas range, 9548102500, mass flow controller | ||
23248 | UNIT Instruments | UFC-1100A | Unit MFC, UFC-1100, WF6, 1 SLM range, S25TF | ||
23249 | UNIT Instruments | UFC-1100A | Unit MFC, UFC-1100A, B2H6, 2 SLPM range, S1558 | ||
23250 | UNIT Instruments | UFC-1100A | Unit MFC, UFC-1100A, ASH3CM range, S6618 | ||
23251 | UNIT Instruments | ufc-1100a | UNIT UFC-1100A MFC, HE gas, 1.5L range, 30437 | ||
23252 | UNIT Instruments | ufc-1100a | UNIT UFC-1100A MFC, HE gas, 1.5L range, 18776 | ||
23253 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A MFC, H2 gas, 20 SLPM range, 5023 | ||
23254 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A MFC, H2 gas, 20 SLPM range, 8118 | ||
23255 | UNIT Instruments | UFC-1100A | 1100 | UNIT UFC-1100A, MFC 1100, O2 SLM 2 | |
23256 | UNIT Instruments | UFC-1100A | 1100 | 1100A | UNIT UFC-1100A, MFC 1100A, 1100, AMAT 1100-100768, CHF3 100 SCCM |
23257 | UNIT Instruments | UFC-1100A | 1100 | 1100A | UNIT UFC-1100A, MFC 1100A, 1100, H2 10 SLM |
23258 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A MFC Mass Flow Controller, N2M, | ||
23259 | UNIT Instruments | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, SIH4,CM, UFC-1100A | ||
23260 | UNIT Instruments | UFC-1100A | Unit Instruments UPC-1360 Mass Flow Controller, MFC, HeCM,; we are selling as parts | ||
23261 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2, 20 SCCM | ||
23262 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2, 20 SCCM | ||
23263 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, 15%PH3/SIH4CM | ||
23264 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, 15%PH3/SIH4CM | ||
23265 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2,CM | ||
23266 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A, O2m, MFC 1100 | ||
23267 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2, 20000 SCCM, | ||
23268 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, SF6M, | ||
23269 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, O2, 1 SLPM, | ||
23270 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, CHCL3, 20 SCCM, | ||
23271 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2, 20 SLPM, | ||
23272 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, SiH4, 200 SCCM, | ||
23273 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2OM, | ||
23274 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, CHCL3, 20 SCCM, | ||
23275 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1000 Mass Flow Controller, MFC, N2, 1 SLPM, | ||
23276 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, BCL3CM, | ||
23277 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2CM, | ||
23278 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A MFC, 5SLM NH3 | ||
23279 | UNIT Instruments | UFC-1100A | Unit Instruments UFC-1100A Mass Flow Controller, MFC, N2,CM, | ||
23280 | UNIT Instruments | UFC-1100A | UNIT UFC-1100A MFC, 1SLM N2 | ||
23281 | UNIT Instruments | UFC-1100A | UNIT MFC UFC-1100A, N2CM gas range, C11-80437, mass flow controller | ||
23282 | UNIT Instruments | UFC-1101A | Unit Instruments UFC-1101A Mass Flow Controller, MFC, 5 SCCM, SF6, | ||
23283 | UNIT Instruments | UFC-1110A | Unit Instruments UFC-1110A Mass Flow Controller, MFC, 20 SLPM, H2, | ||
23284 | UNIT Instruments | UFC-1110A | Unit UFC-1110A Mass Flow Controller, H2, 20 SLPM | ||
23285 | UNIT Instruments | UFC-1110A | UNIT UFC-1110A Mass Flow Controller, MFC, H2, 20 SLM | ||
23286 | UNIT Instruments | UFC-1160A | Unit Instruments UFC-1160A, Mass Flow Controller, MFC,I, CL2,M | ||
23287 | UNIT Instruments | UFC-1160A | Unit Instruments UFC-1160A Mass Flow Controller, MFC, HBRCM | ||
23288 | UNIT Instruments | UFC-1160A | Unit Instruments UFC-1160A Mass Flow Controller, MFC, N2CM | ||
23289 | UNIT Instruments | UFC-1200 | Unit Instruments UFC-1200 Mass Flow Controller, MFC, CHF3, 25 SCCM, | ||
23290 | UNIT Instruments | UFC-1200 | UNIT MFC UFC-1200A, N2,M gas range, 9511009300, mass flow controller | ||
23291 | UNIT Instruments | UFC-1200 | Unit Instruments UFC-1200 Mass Flow Controller, MFC, N2CM | ||
23292 | UNIT Instruments | UFC-1200 | UNIT MFC, UFC-1200 O2 5 SLM | ||
23293 | UNIT Instruments | UFC-1200 | Unit Instruments UFC-1200 Mass Flow Controller, MFC, CF4CM, | ||
23294 | UNIT Instruments | UFC-1200 | Unit Instruments UFC-1200 Mass Flow Controller, MFC, CF4CM, | ||
23295 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, O2, 200 SCCM, | ||
23296 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, CF4, 80 SCCM, | ||
23297 | UNIT Instruments | UFC-1200A | UNIT UFC-1200A Mass Flow Controller MFC, N2CCM | ||
23298 | UNIT Instruments | UFC-1200A | C11-107850 | UNIT MFC UFC-1200A, 20 SCCM Gas Range, C11-107850 | |
23299 | UNIT Instruments | ufc-1200a | UNIT UFC-1200A MFC, HE gasCCM range, 96249 | ||
23300 | UNIT Instruments | ufc-1200a | UNIT UFC-1200A MFC, HE gas, 1 SLM range, 116353F | ||
23301 | UNIT Instruments | UFC-1200A | UNIT UFC-1200A MFC, H2 gas range, 4960 | ||
23302 | UNIT Instruments | UFC-1200A | 1200 | 1200A | UNIT UFC-1200A, MFC 1200A, 1200, AMAT 1200-101400, CHF3 100 SCCM |
23303 | UNIT Instruments | UFC-1200A | 1200 | 1200A | UNIT UFC-1200A, MFC 1200A, 1200, AMAT 1200-101399, CF4 200 SCCM |
23304 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A MFC, Mass Flow Controller, HCL,M | ||
23305 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, NH3,CM | ||
23306 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, HE,CM | ||
23307 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, CHF3, 200 SCCM | ||
23308 | UNIT Instruments | UFC-1200A | UNIT MFC UFC-1200A, N2, 200 SCCM gas range, 9446030300, mass flow controller | ||
23309 | UNIT Instruments | ufc-1200a | UNIT UFC-1200A MFC, HE gas,CM range, 92012 | ||
23310 | UNIT Instruments | ufc-1200a | UNIT UFC-1200A MFC, HE gasCCM range | ||
23311 | UNIT Instruments | UFC-1200A | 1200 | 1200A | UNIT UFC-1200A, MFC 1200, 1200A,O2 20 SCCM |
23312 | UNIT Instruments | UFC-1200A | 1200 | 1200A | UNIT UFC-1200A, MFC 1200, 1200A, O2 25 SCCM |
23313 | UNIT Instruments | UFC-1200A | 1200 | 1200A | UNIT UFC-1200A, MFC 1200A, 1200, CHF3 100 SCCM |
23314 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A, Mass Flow Controller, MFC, AR, 1 SLM. | ||
23315 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, O2CM | ||
23316 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1260A Mass Flow Controller, MFC, N2, 200 SCCM | ||
23317 | UNIT Instruments | UFC-1200A | Unit UFC-1200A Mass Flow Controller, MFC, NH3, 300 SCCM | ||
23318 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, ARCCM, | ||
23319 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, O2, 200 SCCM, | ||
23320 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A, Mass Flow Controller, MFC, CHF3CM, | ||
23321 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, SF6, 200 SCCM, | ||
23322 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, CHF3, 25 SCCM, | ||
23323 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, CF4, 80 SCCM, | ||
23324 | UNIT Instruments | UFC-1200A | Unit MFC, UFC-1200A, H2,M range, S6037 | ||
23325 | UNIT Instruments | UFC-1200A | Unit MFC, UFC-1200A, H2,m, S7822 | ||
23326 | UNIT Instruments | UFC-1200A | Unit MFC, UFC-1200A, AR, 200 SCCM | ||
23327 | UNIT Instruments | UFC-1200A | UNIT MFC UFC-1200A, H2, 2 SLM RANGE | ||
23328 | UNIT Instruments | UFC-1200A | 1200 | UNIT UFC-1200A, MFC 1200, O2 SLM 2 | |
23329 | UNIT Instruments | UFC-1200A | 1200 | UNIT UFC-1200A, MFC 1200 | |
23330 | UNIT Instruments | UFC-1200A | 1200 | 1200A | UNIT UFC-1200A, MFC 1200, 1200A, O2 20 SCCM |
23331 | UNIT Instruments | UFC-1200A | 1200 | 1200A | UNIT UFC-1200A, MFC 1200, 1200A, O2 100 SCCM |
23332 | UNIT Instruments | ufc-1200a | UNIT UFC-1200A, MFC 1200A, 1200, AR 1.5 SLM, 095200 | ||
23333 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, O2,M | ||
23334 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, C2F6, 200 SCCM, | ||
23335 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, SF6,CM, | ||
23336 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, SF6,CM, | ||
23337 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, N2CM, | ||
23338 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, CCLF3CM, | ||
23339 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, C2F6, 200 SCCM, | ||
23340 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, CF4CM, | ||
23341 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, HBR, 300 SCCM, | ||
23342 | UNIT Instruments | UFC-1200A | Unit Instruments UFC-1200A Mass Flow Controller, MFC, SiH2CL2, 20 SCCM, | ||
23343 | UNIT Instruments | UFC-1260A | Unit Instruments UFC-1260A Mass Flow Controller, MFC, N2CM,; we are selling as parts | ||
23344 | UNIT Instruments | UFC-1260A | Unit Instruments UFC-1260A Mass Flow Controller, MFC, AR, 200 SCCM | ||
23345 | UNIT Instruments | UFC-1260A | Unit Instruments UFC-1260A Mass Flow Controller, MFC, SiH2Cl2CM | ||
23346 | UNIT Instruments | UFC-1268A | Unit MFC, UFC-1268A, N2 gas, 30 SLM range | ||
23347 | UNIT Instruments | UFC-1300 | Unit Instruments UFC-1300 Mass Flow Controller, MFC, HE,M, | ||
23348 | UNIT Instruments | UFC-1300 | Unit Instruments UFC-1300 Mass Flow Controller, MFC, HE,M, | ||
23349 | UNIT Instruments | UFC-1300 | Unit Instruments UFC-1300 Mass Flow Controller, MFC, HE,M, | ||
23350 | UNIT Instruments | ufc-1300 | UNIT UFC-1300, MFC 1300, 1300, AR 1 SLM, 89555 | ||
23351 | UNIT Instruments | ufc-1300 | UNIT UFC-1300, MFC 1300, 1300, AR 3 SLM | ||
23352 | UNIT Instruments | UFC-1400 | Unit Instruments UFC-1400 Mass Flow Controller, MFC, SF6, 15 SCCM, | ||
23353 | UNIT Instruments | UFC-1410N | UNIT UFC-1410N MFC, H2 gas, 30 SLM range, 5200 | ||
23354 | UNIT Instruments | UFC-1410N | 1410N | UNIT UFC-1410N, MFC 1410N, 1410, H2 10 SLM | |
23355 | UNIT Instruments | UFC-1500A | Unit Instruments UFC-1500A Mass Flow Controller, MFC, SF6, 5 SCCM, | ||
23356 | UNIT Instruments | UFC-1500A | Unit Instruments UFC-1500A Mass Flow Controller, MFC, SIH4,CM, | ||
23357 | UNIT Instruments | UFC-1500A | Unit Instruments UFC-1500A Mass Flow Controller, MFC, O2M | ||
23358 | UNIT Instruments | UFC-1500A | Unit Instruments UFC-1500A Mass Flow Controller, MFC, WF6, 30 SCCM | ||
23359 | UNIT Instruments | UFC-1500A | Unit Instruments UFC-1500A Mass Flow Controller, MFC, WF6, 30 SCCM | ||
23360 | UNIT Instruments | UFC-1500A | Unit MFC, UFC-1500A, CF4 200 SCCM | ||
23361 | UNIT Instruments | UFC-1500A | Unit Instruments UFC-1500A Mass Flow Controller, MFC, SIH4,CM, | ||
23362 | UNIT Instruments | UFC-1501 | Unit Instruments UFC-1501 Mass Flow Controller MFC N2 10 SCCM w/ Filter | ||
23363 | UNIT Instruments | UFC-1501 | Unit Instruments UFC-1501 Mass Flow Controller MFC N2 10 SCCM w/ Filter | ||
23364 | UNIT Instruments | UFC-1501A | Unit Instruments UFC-1501A Mass Flow Controller, MFC, SF6, 5 SCCM, | ||
23365 | UNIT Instruments | UFC-1510A | Unit Instruments UFC-1510A Mass Flow Controller, MFC, N2, 20L | ||
23366 | UNIT Instruments | UFC-1560 | UNIT MFC UFC-1560A, N2CM gas range, JU58-0161, mass flow controller | ||
23367 | UNIT Instruments | UFC-1560A | UNIT UFC-1560A, HF,cm, MFC 1560 | ||
23368 | UNIT Instruments | UFC-1560A | UNIT UFC-1560A, HF,m, MFC 1560 | ||
23369 | UNIT Instruments | UFC-1560A | UNIT UFC-1560A, HF,cm, MFC 1560 | ||
23370 | UNIT Instruments | UFC-1560A | UNIT MFC UFC-1560A, N2CM gas range, U15-0838, mass flow controller | ||
23371 | UNIT Instruments | UFC-1660 | AMAT | UFC-1660 | AMAT 3030-01877, MFC UFC-1660, 300 SCCM, N2, 1/4 VCR, MTL, NC, HOV, |
23372 | UNIT Instruments | UFC-1660 | AMAT | 3030-02845 | Unit UFC-1660 Mass Flow Controller, MFC, NF3CM, 3030-02845 |
23373 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC,M, N2, | ||
23374 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, 20 SCCM, CHCL3, | ||
23375 | UNIT Instruments | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, NF3CM, 1660-104967 | ||
23376 | UNIT Instruments | UFC-1660 | 1660-100248 | UNIT UFC-1660 Mass Flow Controller, MFC, HBrCM | |
23377 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660, 30L AR | ||
23378 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660, AR 200 SCCM | ||
23379 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660, H2 20 SLM | ||
23380 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660, 810-04934R, H2 15 SLM | ||
23381 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660CM / Ar | ||
23382 | UNIT Instruments | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, WF6,M | ||
23383 | UNIT Instruments | UFC-1660 | 1660-100248 | UNIT UFC-1660 Mass Flow Controller, MFC, (CH3O)3B,M, 1660-100248 | |
23384 | UNIT Instruments | UFC-1660 | Unit 1660, Mass Flow Controller, UFC-1660, SIH2CL2CM | ||
23385 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660, HE/3 SLM | ||
23386 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660, 1.5L / NF3 | ||
23387 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660,M / AR | ||
23388 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660, 5cc / N2 | ||
23389 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660,1660-10041, 3.5 SLM / HE | ||
23390 | UNIT Instruments | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, Micron 810-10475R, N2, 20 SLM | ||
23391 | UNIT Instruments | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, C12,M, 1660-104499 | ||
23392 | UNIT Instruments | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, SiH4, 200 SCCM | ||
23393 | UNIT Instruments | UFC-1660 | Unit 1660, Mass Flow Controller, UFC-1660, NF3/500 SCCM | ||
23394 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660, 3 SLM / O2 | ||
23395 | UNIT Instruments | UFC-1660 | Unit, Mass Flow Controller, UFC-1660, 200 SCCM / N2 | ||
23396 | UNIT Instruments | UFC-1660 | Unit Model 1660, Mass Flow Controller, UFC-1660, 200 cc / Ar | ||
23397 | UNIT Instruments | UFC-1660 | NEW Unit UFC-1660, HBrCM, CALIBRATION SHEET | ||
23398 | UNIT Instruments | UFC-1660 | Unit 1660 MFC, UFC-1660 O2M | ||
23399 | UNIT Instruments | UFC-1660 | UNIT 1660 MFC UFC-1660 Ar gas, 200 SCCM range, S6500 | ||
23400 | UNIT Instruments | UFC-1660 | Unit MFC, UFC-1660, CF4 gasCM gas range, S80000 | ||
23401 | UNIT Instruments | UFC-1660 | UNIT MFC UFC-1660, HCl gasCM range, S962602 | ||
23402 | UNIT Instruments | UFC-1660 | UNIT UFC-1660, MFC 1660, CH4,M | ||
23403 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 MFC, Mass Flow Controller, HE, 200 SCCM, 1660 | ||
23404 | UNIT Instruments | UFC-1660 | UNIT UFC-1660 Mass Flow Controller, MFC, C2f6, 1 SLM, 1660 | ||
23405 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, N2,M | ||
23406 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, N2, 20 SCCM | ||
23407 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, BCL3,M | ||
23408 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, C12, 200 SCCM | ||
23409 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, O2, 3000 SCCM | ||
23410 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, N2CM | ||
23411 | UNIT Instruments | UFC-1660 | UNIT 1660 MFC UFC-1660, HBr gas, 300 SCCM gas, S97500019000 | ||
23412 | UNIT Instruments | UFC-1660 | UNIT UFC-1660 Mass Flow Controller, MFC, N2, 1 SLM, 1660 | ||
23413 | UNIT Instruments | UFC-1660 | UNIT UFC-1660 Mass Flow Controller MFC SF6CM | ||
23414 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, CF4CM | ||
23415 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, He, 200 SCCM | ||
23416 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, C12,M | ||
23417 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, C2HF5,M | ||
23418 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, C12,M | ||
23419 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, BF3, 200 5CC | ||
23420 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, BCl3, 20 SCCM | ||
23421 | UNIT Instruments | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, He, 3.5 SLM | ||
23422 | UNIT Instruments | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, N2, 300 SCCM, Micron | ||
23423 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660, MFC, O2CM, AMAT 3030-01950 | ||
23424 | UNIT Instruments | UFC-1660 | Micron | 810-1047SR | Unit Instruments UFC-1660 Mass Flow Controller, MFC, N2 |
23425 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660, Mass Flow Controller, MFC, 70% He/O2, 20 SCCM | ||
23426 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660, Mass Flow Controller, MFC, CL2, 200 SCCM, | ||
23427 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660, Mass Flow Controller, MFC, CHCL3,M, | ||
23428 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660, Mass Flow Controller, MFC, O2 200 SCCM, | ||
23429 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, 1660, ArCM | ||
23430 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660, Mass Flow Controller, MFC, C12CM | ||
23431 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller MFC, 70% O2/30% He 20 SCCM | ||
23432 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660, Mass Flow Controller, MFC, O2M | ||
23433 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660, Mass Flow Controller, MFC, N2, 300 SCCM | ||
23434 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, CL2,M | ||
23435 | UNIT Instruments | UFC-1660 | UNIT 1660 UFC-1660 He 10 SLPM with calabration sheet | ||
23436 | UNIT Instruments | UFC-1660 | Unit MFC, UFC-1660, He, 200 SCCM, sealed bag. | ||
23437 | UNIT Instruments | UFC-1660 | Unit MFC UFC-1660, CHF3CM (Calibrated). | ||
23438 | UNIT Instruments | UFC-1660 | UNIT UFC-1660 Mass Flow Controller, MFC, O2, 3 SLM | ||
23439 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, AR, 200 SCCM | ||
23440 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, N2CM | ||
23441 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, CF4CM | ||
23442 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, AR, 200 SCCM | ||
23443 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, CH2F2,M | ||
23444 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 MFC, (CH30)3B,M, TMB Vapor, 1660-105415 | ||
23445 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, N2, 300 SCCM | ||
23446 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, BCl3, 20 SCCM | ||
23447 | UNIT Instruments | UFC-1660 | Unit Instruments UFC-1660 Mass Flow Controller, MFC, ARM | ||
23448 | UNIT Instruments | UFC-1660A | Unit Model 1660, Mass Flow Controller, UFC-1660A, 20 SLM/O2 | ||
23449 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, N2, 30L, MF1oSC18, 9CRN0087 | ||
23450 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, N2421859 | ||
23451 | UNIT Instruments | UFC-1661 | 1661-101290 | Unit UFC-1661 Mass Flow Controller, MFC, N2M, 1661-101290 | |
23452 | UNIT Instruments | UFC-1661 | 1661-101640 | Unit UFC-1661 Mass Flow Controller, MFC, N2M, 1661-101640 | |
23453 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, N2, 15L, 9CRN0004 | ||
23454 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, N2 0080140604 | ||
23455 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, H2, A6083076700 | ||
23456 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, H2, 30 SLM, A6013121900 | ||
23457 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, N20080140604 | ||
23458 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, H2CRN0117 | ||
23459 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, N2O, 20L | ||
23460 | UNIT Instruments | UFC-1661 | 1661-100464 | Unit UFC-1661 Mass Flow Controller, MFC, N2, 30 SLM, 1661-100464 | |
23461 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, N2M | ||
23462 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, N2 0080140604 | ||
23463 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, N20080140604 | ||
23464 | UNIT Instruments | UFC-1661 | UNIT UFC-1661 Mass Flow Controller, MFC, N2M | ||
23465 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, N20080140604 | ||
23466 | UNIT Instruments | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, N20080140604 | ||
23467 | UNIT Instruments | UFC-1661 | Unit Model 1661, Mass Flow Controller, UFC-1661, 2L MF1oSC15 | ||
23468 | UNIT Instruments | UFC-1661 | Unit 1661 MFC, UFC-1661, BCl3 gas, 200 SCCM range, S7600 | ||
23469 | UNIT Instruments | UFC-1661 | Novellus | 22-144882-00 | UNIT UFC-1661, NH3 10 SLM, MFC 1661, Novellus 22-144882-00 |
23470 | UNIT Instruments | UFC-1661 | 1661 | UNIT UFC-1661, MFC 1661, SCCM N2 | |
23471 | UNIT Instruments | UFC-1661 | Unit UFC-1661, N2, 750 SCCM, MFC, Mass Flow Controller (Calibrated). | ||
23472 | UNIT Instruments | UFC-1661 | Unit Instruments UFC-1661 Mass Flow Controller, MFC, Cl2, 200cc | ||
23473 | UNIT Instruments | UFC-1661 | NEW UNIT 1661 MFC UFC-1661, He gas gas range, 1661E, NOV 22-190309-00 | ||
23474 | UNIT Instruments | UFC-1663 | Unit Model 1663, MFC, UFC-1663, AMAT 3030-09092 PH3 | ||
23475 | UNIT Instruments | UFC-1665 | Unit Model 1665, Mass Flow Controller, UFC-1665, 1665-100133, NO/10 SLM | ||
23476 | UNIT Instruments | UFC-1665 | Unit Model 1665, MFC, UFC-1665, 1665-100137, 20 SLM/HE | ||
23477 | UNIT Instruments | UFC-1665 | Unit Model 1665, Mass Flow Controller, UFC-1665, N20/20 SLM | ||
23478 | UNIT Instruments | UFC-1665 | Unit Model 1665, Mass Flow Controller, UFC-1665, H2/10 SLM | ||
23479 | UNIT Instruments | UFC-166MFC | Micron | Micron 81-UN138R | Unit Instruments UFC-1660 MFC, CHF3,M, Micron 81-UN138R |
23480 | UNIT Instruments | UFC-180HS | 180HS | UNIT UFC-180HS, MFC 180HS, CF4 1L | |
23481 | UNIT Instruments | UFC-2000 | Unit UFC-2000 Mass Flow Controller, MFC, N2, 20 SLM | ||
23482 | UNIT Instruments | UFC-2020 | Unit Instruments UFC-2020 Mass Flow Controller, MFC, 20 SLM, N2, | ||
23483 | UNIT Instruments | UFC-2020 | Unit UFC-2020, H2, 2 SLM, MFC, Mass Flow Controller Calibrated. | ||
23484 | UNIT Instruments | UFC-2020 | Unit Instruments UFC-2020 Mass Flow Controller, MFC, 20 SLM, N2, | ||
23485 | UNIT Instruments | UFC-2020A | Unit UFC-2020A Mass Flow Controller, MFC, 2020-100008, O2, 20 SLM | ||
23486 | UNIT Instruments | UFC-2020A | Unit UFC-2020A Mass Flow Controller, MFC, H2, 30 SLM | ||
23487 | UNIT Instruments | UFC-2020a | UNIT UFC-2020A MFC, H2 gas, 30 SLM range, 9470 | ||
23488 | UNIT Instruments | UFC-2020A | 2020 | 2020A | UNIT UFC-2020A, MFC 2020, AMAT 2020-100093, H2 30 SLM |
23489 | UNIT Instruments | UFC-2020A | AMAT | 2020-100083 | UNIT UFC-2020A, MFC 2020, H2 SLM 30 |
23490 | UNIT Instruments | UFC-2020A | 2020 | 2020A | UNIT UFC-2020A, MFC 2020, H2 30 SLM |
23491 | UNIT Instruments | UFC-2550 | UNIT UFC-2550 MFC, N2 gas, 1 SLM range | ||
23492 | UNIT Instruments | UFC-3020A | 3020 | 3020A | UNIT UFC-3020A, MFC 2020, NH3 |
23493 | UNIT Instruments | UFC-3165 | AMAT | 3030-03330 | Unit 3000 Series, Mass Flow Controller, UFC-3165, 3030-03330 N2 |
23494 | UNIT Instruments | UFC-3165 | AMAT | 0190-08914 | Unit Instruments UFC-3165 MFC Mass Flow Controller, N20190-08914 |
23495 | UNIT Instruments | UFC-3165 | AMAT | 3030-03330 | Unit Instruments UFC-3165 MFC Mass Flow Controller, N23030-03330 |
23496 | UNIT Instruments | UFC-7000 | UNIT 7000 MFC UFC-7000, H2 Gas, 1 SLM range, S9928002402 | ||
23497 | UNIT Instruments | UFC-8100 | Unit Instruments UFC-8100 Mass Flow Controller, MFC, Cl2, 25 SCCM; other | ||
23498 | UNIT Instruments | ufc-8100 | UNIT 8100 MFC C2F5 Gas, 200CC gas range, SA0402065800 | ||
23499 | UNIT Instruments | UFC-8101 | Unit UFC-8101 Mass Flow Controller, 2%O2/Ar, 10L | ||
23500 | UNIT Instruments | UFC-8101 | Unit Instruments, UFC-8101 Mass Flow Controller, MFC, O2,M, 8101 | ||
23501 | UNIT Instruments | UFC-8101 | Unit Instruments, UFC-8101 Mass Flow Controller, MFC, O2,M, 8101 | ||
23502 | UNIT Instruments | UFC-8101 | Unit UFC-8101 Mass Flow Controller, Ar, 10L | ||
23503 | UNIT Instruments | UFC-8160 | UNIT UFC-8160 Mass Flow Controller, MFC, C2F6, 2 SLM | ||
23504 | UNIT Instruments | UFC-8160 | Unit MFC, UFC-8160 HBrCM | ||
23505 | UNIT Instruments | UFC-8160 | Unit MFC, UFC-8160, PH3 Gas,CM Range, S31000 | ||
23506 | UNIT Instruments | UFC-8160 | UNIT 8160 MFC UFC-8160, N2 gas, 1 SLM gas range, S98020038800 | ||
23507 | UNIT Instruments | UFC-8160 | UNIT MFC UFC-8160, HBr gas,CM gas range, S9538049400 | ||
23508 | UNIT Instruments | UFC-8160 | UNIT 8160 Device Net MFC, BCL3 gascm range, UFC-8160, 7200 | ||
23509 | UNIT Instruments | UFC-8160 | MFC | UNIT UFC-8160, MFC 8160, Cl2 200 SCCM | |
23510 | UNIT Instruments | UFC-8160 | UNIT 8160 Device Net MFC, BCl3 gas, 200cc range, UFC-8160, 1031 | ||
23511 | UNIT Instruments | UFC-8160 | UNIT 8160 Device Net MFC, N2 gas, 200cc range, UFC-8160, 9700 | ||
23512 | UNIT Instruments | UFC-8160 | Unit Instruments UFC-8160 Mass Flow Controller, MFC, SiH4, 300 SCCM | ||
23513 | UNIT Instruments | UFC-8160 | Unit Instruments UFC-8160 Mass Flow Controller, MFC, PH3,CM | ||
23514 | UNIT Instruments | UFC-8160 | Unit Instruments UFC-8160, MFC, NF3,CM, AMAT 3030-02606 | ||
23515 | UNIT Instruments | UFC-8160 | Unit MFC UFC-8160 NF3 gas,CM range, S9444042200 | ||
23516 | UNIT Instruments | UFC-8160 | UNIT 8160 Device Net MFC, CL2 gasrange, UFC-8160, 6200 | ||
23517 | UNIT Instruments | UFC-8160 | UNIT UFC-8160 Mass Flow Controller, MFC, Cl2,CM | ||
23518 | UNIT Instruments | UFC-8161 | Unit UFC-8161 Mass Flow Controller, MFC, N2, 7.5SLM, AMAT 8161-100241 | ||
23519 | UNIT Instruments | UFC-8161 | Unit UFC-8161 Mass Flow Controller, MFC, O2,M, AMAT 3030-00176 | ||
23520 | UNIT Instruments | UFC-8161 | Unit UFC-8161 Mass Flow Controller, MFC, N2, 20 SCCM, AMAT 3030-00176 | ||
23521 | UNIT Instruments | UFC-8161 | Unit Instruments UFC-8161 Mass Flow Controller, MFC, CHF3, 200 SCCM | ||
23522 | UNIT Instruments | UFC-8161 | Unit Instruments UFC-8161 Mass Flow Controller, MFC, CF4, 200 SCCM | ||
23523 | UNIT Instruments | UFC-8161 | Unit Instruments UFC-8161 Mass Flow Controller, MFC, SF6, 60 SCCM | ||
23524 | UNIT Instruments | UFC-8165 | AMAT | 3030-12949 | Unit UFC-8165 Mass Flow Controller, AMAT 3030-12949, Ar, 3L |
23525 | UNIT Instruments | UFC-8165 | AMAT | 3030-14769 | Unit UFC-8165 Mass Flow Controller, AMAT 3030-14769, H2, 8L |
23526 | UNIT Instruments | UFC-8165 | AMAT | 3030-12865 | Unit UFC-8165 Mass Flow Controller, AMAT 3030-12865, SiH4, 200cc |
23527 | UNIT Instruments | UFC-8165 | AMAT | 3030-12870 | Unit UFC-8165 Mass Flow Controller, AMAT 3030-12870, Ar21849 |
23528 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net UFC-8165 MFC, SiF4 gas, 300CC range, AMAT 3030-11007 | ||
23529 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net UFC-8165 MFC, SiH4 gasrange, AMAT 3030-09425 | ||
23530 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net UFC-8165 MFC, NH3 gasrange, AMAT 0190-08927 | ||
23531 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net MFC, SiH4 gas, 5CC range, AMAT 3030-02946, UFC-8165 | ||
23532 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net MFC, AR gas, 1 SLM range, UFC-8165 | ||
23533 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net MFC, AR gas,ange, UFC-8162, AMAT 3030-09426 | ||
23534 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net UFC-8165 MFC, Ar gas, 6L range, AMAT 3030-00056, we are selling as parts | ||
23535 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net UFC-8165 MFC, O2 gasrange, AMAT 3030-01158 | ||
23536 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net UFC-8165 MFC, SiH4 gas, 200CC range, AMAT 3030-02947 | ||
23537 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net MFC, PH3 gas, 30CC range, AMAT 3030-09509, UFC-8165 | ||
23538 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net MFC, NH3 gasrange, UFC-8165, AMAT 0190-08927 | ||
23539 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net UFC-8165 MFC, NF3 gas, 3L Range, AMAT 3030-09422; we are selling as parts | ||
23540 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net UFC-8165 MFC, NF3 gas, 3L range, AMAT 3030-12076, we are selling as parts | ||
23541 | UNIT Instruments | UFC-8165 | UNIT MFC UFC-8165as N2, NEW in box | ||
23542 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net UFC-8165 MFC, N2 gas, 20L Range, AMAT 3030-00057; we are selling as parts | ||
23543 | UNIT Instruments | UFC-8165 | UNIT 8165 Device Net UFC-8165 MFC, SiH4 gasRange, AMAT 3030-12078; we are selling as parts | ||
23544 | UNIT Instruments | UFC-8169 | Unit Instruments UFC-8169 MFC, Mass Flow Controller, CF4,, 8160. | ||
23545 | UNIT Instruments | UFC-8561 | UNIT 8561 MFC UFC-8561, MFLoSC11, 30 SCCM gas range, C8561AF-SC11030C | ||
23546 | UNIT Instruments | UFC-8561 | Unit Instruments, UFC-8561, Mass Flow Controller, MFC, HCICCM | ||
23547 | UNIT Instruments | UFC-8561C | Unit Instruments UFC-8561C Mass Flow Controller, MFC, HCI,M, 8560 | ||
23548 | UNIT Instruments | UFC-8561C | Unit Instruments UFC-8561C, MFC, H2CM, 8560, AMAT 3030-12135 | ||
23549 | UNIT Instruments | UFC-8561C | Unit Instruments UFC-8561C, MFC, H2, 300 SCCM, 8560, AMAT 3030-12306 | ||
23550 | UNIT Instruments | UFC-8561C | Unit Instruments UFC-8561C, MFC, H2, 300 SCCM, 8560, AMAT 3030-12306 | ||
23551 | UNIT Instruments | UFC-8561C | Unit Instruments UFC-8561C, MFC, H2CM, 8560, AMAT 3030-12135 | ||
23552 | UNIT Instruments | UFC-8565 | Unit UFC-8565 Mass Flow Controller MFC, NH3, 2L, AMAT 3030-11373 | ||
23553 | UNIT Instruments | UFC-8565 | Unit UFC-8565 Mass Flow Controller MFC, He, 12L | ||
23554 | UNIT Instruments | UFC-8565 | AMAT | 3030-11781 | Unit UFC-8565 Mass Flow Controller MFC, C2H4, 5L, AMAT 3030-11781 |
23555 | UNIT Instruments | UFC-8565 | Unit UFC-8565 Mass Flow Controller MFC, O2, 2L, AMAT 0190-15310 | ||
23556 | UNIT Instruments | UFC-8565 | 8565 | UNIT UFC-8565, MFC 8565, H2 2L, AMAT 3030-11625 | |
23557 | UNIT Instruments | UFC-8565 | Unit UFC-8565 Mass Flow Controller MFC, O2, 3L, AMAT 3030-11618 | ||
23558 | UNIT Instruments | UFC-8565 | Unit UFC-8565 Mass Flow Controller MFC, (CH3)3SiH, 2.3L, AMAT 3030-12069 | ||
23559 | UNIT Instruments | UFC-8565 | Unit UFC-8565 Mass Flow Controller MFC, CO2, 5L, AMAT 3030-11782 | ||
23560 | UNIT Instruments | UFC-8565 | Unit UFC-8565 Mass Flow Controller MFC, He, 5L, AMAT 3030-11783 | ||
23561 | UNIT Instruments | UFM-1100 | UNIT UFM-1100 Mass Flow Controller, MFC, O2,CM | ||
23562 | UNIT Instruments | UFM-1100 | UNIT UFM-1100 Mass Flow Controller, MFC, He, 2 SLM | ||
23563 | UNIT Instruments | UFM-1100 | UNIT UFC-1500A Mass Flow Controller, MFC, He, 5 SLM | ||
23564 | UNIT Instruments | UFM-1100 | UNIT UFM-1110A Mass Flow Controller, MFC, N2, 20 SLM | ||
23565 | UNIT Instruments | UFM-1100 | UNIT UFM-1110A Mass Flow Controller, MFC, He, 5 SLM | ||
23566 | UNIT Instruments | UFM-1100 | Unit Instruments UFM-1100 Mass Flow Controller, MFC, HE, 6 SLPM | ||
23567 | UNIT Instruments | UFM-1100 | UNIT UFM-1100 Mass Flow Controller, MFC, He, 3 SLM | ||
23568 | UNIT Instruments | UFM-1100A | UNIT UFM-1100A, He, 3 SLM, MFC 1100 | ||
23569 | UNIT Instruments | UFM-1160 | Unit Instruments UFC-1160 Mass Flow Controller, MFC, SF6CM | ||
23570 | UNIT Instruments | UFM-1360 | UNIT UFM-1360 Mass Flow Controller, MFC, He, 20 SCCM | ||
23571 | UNIT Instruments | UFM-1360 | UNIT UFM-1360 Mass Flow Controller, MFC, He, 20 SCCM | ||
23572 | UNIT Instruments | UFM-1360 | UNIT UFM-1360 Mass Flow Controller, MFC, He, 20 SCCM | ||
23573 | UNIT Instruments | UFM-1500 | Unit Instruments UFM-1500 Mass Flow Controller, MFC, BCL3, HeCM | ||
23574 | UNIT Instruments | UFM-9150 | Unit Instruments UFM-9150 Mass Flow Controller, MFC, He, 3 SLM | ||
23575 | UNIT Instruments | UFM-9150 | UNIT UFM-9150 MFC, HE gas, 6 L range | ||
23576 | UNIT Instruments | UFM-9150 | Unit UFM-9150 Mass Flow Controller, MFC, He, 6 SLM | ||
23577 | UNIT Instruments | ufm-9150 | UNIT UFM-9150 MFC, HE gas, 6 SLM range | ||
23578 | UNIT Instruments | ufm-9150 | UNIT UFM-9150 MFC, HE gas, 6 SLM range, 9773, broken cover | ||
23579 | UNIT Instruments | UFM-9660 | Unit Instruments UFM-9660, MFC, He, 6L, AMAT 3030-09099, 9000 Series | ||
23580 | UNIT Instruments | UFM-9660 | Unit Instruments UFM-9660, MFC, He, 6L, AMAT 3030-09099, 9000 Series | ||
23581 | UNIT Instruments | UFM-9660 | AMAT | 3030-09009 | Unit Instruments UFM-9660, MFC, He, 6L, AMAT 3030-09099 |
23582 | UNIT Instruments | Unit 180H | Unit 180HS MFC CF4 1 SLM with calibration sheet | ||
23583 | UNIT Instruments | UPC-1300 | UNIT MFC UPC-1300, H2, 5 SLM RANGE | ||
23584 | UNIT Instruments | UPC-1360 | UNIT UPC-1360 Mass Flow Controller, MFC, He, 20 SCCM | ||
23585 | UNIT Instruments | URS-100 | Unit Instruments URS-100 Mass Flow Controller and readout | ||
23586 | UNIT Instruments | URS-100 | Unit Instruments URS-100 Mass Flow Controller and readout | ||
23587 | UNIT Instruments | URS-100 | Unit Instruments URS-100 Mass Flow Controller and readout | ||
23588 | UNIT Instruments | URS-100 | Unit Instruments URS-100 Mass Flow Controller and readout | ||
23589 | UNIT Instruments | URS-100 | Unit Instruments URS-100 Mass Flow Controller and readout | ||
23590 | UNIT Instruments | URS-100 | Unit Instruments URS-100 Mass Flow Controller and readout | ||
23591 | UNIT Instruments | URS-100 | Unit Instruments URS-100 Mass Flow Controller and readout | ||
23592 | UNIT Instruments | URS-100 | Unit Instruments URS-100 Mass Flow Controller and readout | ||
23593 | UNIT Instruments | URS-100-5 | Unit Instruments URS-100-5, Flow Controller. | ||
23594 | UNIT Instruments | URS-100-WE | Unit Instruments URS-100-WE MFC, Controller, 117VAC,z, w/Key. | ||
23595 | UNIT Instruments | URS-20 | Unit Instruments URS-20 Single Channel MFC Controller | ||
23596 | UNIT Instruments | URS-20P | URS-20 | Unit Instruments URS-20P Single Channel MFC Controller, URS-20 | |
23597 | UNIT Instruments | URS-20P | URS-20 | Unit Instruments URS-20P Single Channel MFC Controller, URS-20 | |
23598 | UNIT Instruments | URS-40 | Unit Instruments URS-40, Flow Controller, 120 AC,Hz. | ||
23599 | UNIT Instruments | URS-40 | Unit Instruments URS-40 Dual Channel MFC Controller | ||
23600 | Unit Instruments | URS-40 | Unit Instruments URS-40 Dual Channel MFC Controller, RS1197 | ||
23601 | UNIT Instruments | UTS-1660 | Unit UTS-1660, N2, 1 SCCM, MFC, Mass Flow Controller (Calibrated). | ||
23602 | UNIT Instruments | UTS-2020 | Unit UTS-2020 Mass Flow Controller, MFC, N2, 20L | ||
23603 | UNIT Instruments | Unit Instruments UPC-1100A Mass Flow Controller, MFC, C2H2M,; we are selling as parts | |||
23604 | Unit Instruments, Inc. | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, NH3, 300 SCCM | ||
23605 | Unit Instruments, Inc. | UFC-1160A | Unit UFC-1160A Mass Flow Controller, MFC, CF4CM, Calibrated | ||
23606 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, AR,M, Calibrated | ||
23607 | Unit Instruments, Inc. | UFC-1200A | Unit UFC-1200A Mass Flow Controller, MFC, O2, 20000 SCCM, Calibrated | ||
23608 | Unit Instruments, Inc. | 8100 | Unit Model 8100 Mass Flow Controller, MFC, O2,M | ||
23609 | Unit Instruments, Inc. | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, SiH4, 300 SCCM | ||
23610 | Unit Instruments, Inc. | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, SiH4, 300 SCCM | ||
23611 | Unit Instruments, Inc. | UFC-1660 | Unit UFC-1660 Mass Flow Controller, MFC, N2, 1 SLM | ||
23612 | Unit Instruments, Inc. | UFC-1160 | Unit UFC-1160 Mass Flow Controller, MFC, N2O, 1 SLM | ||
23613 | Unit Instruments, Inc. | UFC-1160 | Unit UFC-1160 Mass Flow Controller, MFC, N2O, 1 SLM | ||
23614 | Unit Instruments, Inc. | UPC-1360 | Unit UPC-1360 Mass Flow Controller, MFC, He, 20 SCCM | ||
23615 | Unit Instruments, Inc. | UPC-1360 | Unit UPC-1360 Mass Flow Controller, MFC, He, 20 SCCM | ||
23616 | Unit Instruments, Inc. | UPC-1360 | Unit UPC-1360 Mass Flow Controller, MFC, He, 20 SCCM | ||
23617 | Unit Instruments, Inc. | UPC-1360 | Unit UPC-1360 Mass Flow Controller, MFC, He, 20 SCCM | ||
23618 | Unit Instruments, Inc. | UFC-1560A | Unit UFC-1560A Mass Flow Controller, MFC, N2,CM | ||
23619 | Unit Instruments, Inc. | UFC-1400 | Unit UFC-1400 Mass Flow Controller, MFC, N2, 5 SLM | ||
23620 | Unit Instruments, Inc. | UFC-2020A | Unit UFC-2020A Mass Flow Controller, MFC, AR, 20L | ||
23621 | Unit Instruments, Inc. | UFC-2020A | Unit UFC-2020A Mass Flow Controller, MFC, AR, 30 SLM | ||
23622 | Unit Instruments, Inc. | UFC-2020 | Unit UFC-2020 Mass Flow Controller, MFC, O2,SCCM | ||
23623 | Unit Instruments, Inc. | UFC-2020A | Unit UFC-2020A Mass Flow Controller, MFC, H2, 30 SLM | ||
23624 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, N2 | ||
23625 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, N2 | ||
23626 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, N2, 1 SLPM | ||
23627 | Unit Instruments, Inc. | UFC-1200A | Unit UFC-1200A Mass Flow Controller, MFC, AR | ||
23628 | Unit Instruments, Inc. | UFC-1200A | Unit UFC-1200A Mass Flow Controller, MFC, N2, 2 SLM | ||
23629 | Unit Instruments, Inc. | UFC-1100 | Unit UFC-1100 Mass Flow Controller, MFC, AR | ||
23630 | Unit Instruments, Inc. | UFC-1100 | Unit UFC-1100 Mass Flow Controller, MFC, N2, 20 SLPM | ||
23631 | Unit Instruments, Inc. | UFC-1260A | Unit UFC-1260A Mass Flow Controller, MFC, N2, 300 SCCM | ||
23632 | Unit Instruments, Inc. | UFC-1260A | Unit UFC-1260A Mass Flow Controller, MFC, N2,CM | ||
23633 | Unit Instruments, Inc. | UFC-1661 | Unit UFC-1661 Mass Flow Controller, MFC, MFloSC12, 90CC | ||
23634 | Unit Instruments, Inc. | UFC-2020A | Unit UFC-2020A Mass Flow Controller, MFC, AR, 20 SLM | ||
23635 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, N2, 5 SLPM | ||
23636 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, Tylan, MFC, NH3, 5 SLM | ||
23637 | Unit Instruments, Inc. | UFC-1100 | Unit UFC-1100 Mass Flow Controller, MFC, SiH2Cl2CM | ||
23638 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC,/N2,M | ||
23639 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller MFC, 20%PH3/SIH4, 200 SCCM | ||
23640 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, CF4, 20 SCCM | ||
23641 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, PH3, 20 SCCM | ||
23642 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, PH3,M | ||
23643 | Unit Instruments, Inc. | UFC-1100A | PALL | GLFPF3000MFC9 | Unit UFC-1100A MFC, SF6, 15 SCCM, w/ PALL GLFPF3000MFC9 Filter |
23644 | Unit Instruments, Inc. | UFC-1110A | Unit UFC-1100A Mass Flow Controller, MFC, N2, 20 SLM | ||
23645 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, N2, 5 SCCM | ||
23646 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, TMPM | ||
23647 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, H2 | ||
23648 | Unit Instruments, Inc. | UFC-1100A | 1100-101075 | Unit UFC-1100A Mass Flow Controller, MFC, N2, 1 SLM | |
23649 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, N2, 2 SLM | ||
23650 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, N2, 5 SLM | ||
23651 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, N2, 5 SLM | ||
23652 | Unit Instruments, Inc. | UFC-1100A | Unit UFC-1100A Mass Flow Controller, MFC, N2M | ||
23653 | Unit Instruments, Inc. | UFC-1100 | Unit UFC-1100 Mass Flow Controller, MFC, N2 | ||
23654 | United Detector Technology | Model 61 | United Detector Technology Model 61 Optometer, Fiber Optic Power Meter | ||
23655 | Universal End Point | eP-8000 | Universal End Point, eP-8000 Interface | ||
23656 | Universal Flow monitors | WVS2GM-2-A1ND-PM-1D | UNIVERSAL FLOW MONITORS WVS2GM-2-A1ND-PM-1D, LOTS OF 2 | ||
23657 | Universal Plastics | UP033-2500-DLD | Universal Plastics UP033-2500-DLD Chiller, 01581, | ||
23658 | Unknown | 577328 | 577328 relay board | ||
23659 | unknown | 70906001 | PCB board 70906001 wire wrapped board with adapter | ||
23660 | unknown | 100993726 | NEW KFuum Bellow 100993726, Assy, Hose, NW40, 20 inch MEDWALL, HP | ||
23661 | Unknown | 233278031 | Filter, Oxy/Mix 233278031 | ||
23662 | Unknown | 64C2015 | PWB 64C2015 REV A ASSY 06C2016 08066-2-B 552 E100370 | ||
23663 | Unknown | 64C2018 | PWB 64C2018 REV A ASSY 06C2019 08344-7-C 24 E100370 | ||
23664 | Unknown | 0J8461 | DP/N 0J8461 DVI to VGA Adapter | ||
23665 | Unknown | 24 9601168 | 24 9601168 Rev. D | ||
23666 | Unknown | 3064653-1B | Cable Union 3064653-1B | ||
23667 | Unknown | 512Mb | 13 Assorted 512 MB memory | ||
23668 | Unknown | 7CAB9-1515-7200 | 7CAB9-1515-7200 Cable Assembly HD15, Male-Male, 8′ | ||
23669 | Unknown | C3095 | Adaptor Flange with KF25 and ASA 5 inch to ASA 6.5 inch, C3095 | ||
23670 | Unknown | DN 100-130 | Vacuum Tube DN 100-130 | ||
23671 | Unknown | ELH002 | ELH002 Electrode Plate, ID | ||
23672 | unknown | FH-150-18-2NW | NEW KFuum Bellow FH-150-18-2NW, Hose, Flex NW 1.5″, 2-15688702-370 | ||
23673 | Unknown | HA121 | HA121 Phototransistor Optical Interrupter Switch, With Connectors | ||
23674 | unknown | HK120 | 10 HK120 Bearing, Wafer Clamp, H/C | ||
23675 | Unknown | I2c232 | Flash I2C232 Embedded Micro Software | ||
23676 | Unknown | PPMT015 | Polypropylene Water manifold assy with PPMT015, and-G2 1/4″ flange | ||
23677 | unknown | Unknown | Catch Cup for wet Etch, 3 piece | ||
23678 | unknown | Unknown | Solvent distribution tank PVDF material | ||
23679 | Unknown | Unknown | 25 Tooling Pin, Magnetic, | ||
23680 | Unknown | Unknown | Rinse station with 2 wafer slots and 2 rinse positions on each surface | ||
23681 | Unknown | Unknown | AC Replacement, AC Plug/Computer Power Receptacle | ||
23682 | Unknown | Unknown | PCB Board | ||
23683 | Unknown | Unknown | Aluminum Vacuum Chamber labeled Thermal Test system 18″x18″x6″ | ||
23684 | Unknown | VF-155-10-SF | VF-155-10-SF 10 inch bellow KF-40, 1.5inch X .006 inch X 10 inch QF40’s | ||
23685 | Unknown | Keyed AC Switch Box | |||
23686 | Unknown | KF25, 1/2 Oring Pop Off Fitting for Cryo Pump | |||
23687 | Unknown | KF25 Weldment | |||
23688 | Unknown | 6″ Black Process Cassette, Height 7″ | |||
23689 | Unknown | 6″ Process Cassette, Height 5.5″ | |||
23690 | unknown | Rack Assembly, with Double Ended Drawer Assembly | |||
23691 | Unocal Poco | AMM003-S55PBZZZ | Unocal 76 W95100320 Carbon, A/M (1) AMM003-S55PBZZZ | ||
23692 | Unocal Poco | AMM07531 | 4 Unocal Poco AMM07531 Slit, Revolving Current Medium | ||
23693 | Unocol Poco | AMH004 | Unocal Poco AMH004 Liner, H/C Analyzer #4, | ||
23694 | Unocol Poco | AMM004 | 5 Unocal Poco AMM004 Carbon 2, Graphite, S55BPZZZ, ID | ||
23695 | US Digital | E4P-300-250-D-D-D-B | M004004 | US Digital Optical Kit Encoder, E4P-300-250-D-D-D-B, M004004 | |
23696 | US Digital | E4P-300-250-D-D-D-B | M004004 | US Digital Optical Kit Encoder, E4P-300-250-D-D-D-B, M004004 | |
23697 | US Digital | US DIGITAL E4P-300-197-D-D-D-B464-02, 28PA10K385S, 9701-4048-02 | |||
23698 | US Digital Motor | 212-2575-001 | US Digital Motor 212-2575-001 Rev. A W101 | ||
23699 | US ELECTRICAL MOTORS | 6596-A | U.S. ELECTRICAL MOTORS, 6596-A INVERTER DUTY MOTOR | ||
23700 | US Filter | FCDI010S1 | 10 new filter cartridges, Sealed | ||
23701 | US Filter | T93011147016 | US Filter T93011147016, Filter Cartridge, PFA, 0.1 Micron, Filterite. | ||
23702 | US Filter | 3910-112022 | T910567-000 | 3910-112022, US filter T910567-000, air filter separator canister | |
23703 | US Filter | VFSH020-04M3S | lot of 12, US Filter VFSH020-04M3S Filter cartridge | ||
23704 | US Filters | Unknown | Filter, US Filter USF Cold filters | ||
23705 | US Robotics | 266 | US Robotics 0266, Sportster PCB | ||
23706 | US Robotics | 000840-06 | US Robotics 000840-06 33.6 ISA Internal Data/Fax Modem | ||
23707 | US Robotics | 005687-53 | US Robotics 005687-53 ISA Internal Modem Card | ||
23708 | USB | Unknown | USB CD Drive with power supply | ||
23709 | USHIO | 1001820 | Ushio America 1001820, Lamp Module, BulbClear Lamp, 120V. | ||
23710 | USHIO | 120V-500W | 2 Ushio Type 120V-500W EHD Halogen Lamp, Persys EX3256 | ||
23711 | USHIO | 20V150W | Ushino DDL 20V150W, Halogen Projector Lamp, 20V, 150W. | ||
23712 | USHIO | 20V150W | Ushio DDL 20V150W, Halogen Projector Lamp 20V, 150W. | ||
23713 | USHIO | 20V150W | Ushio DDL 20V150W, Halogen Projector Lamp 20V, 150W. | ||
23714 | USHIO | 6900-243201 | USHIO 6900-243201 Halogen Projector lamp | ||
23715 | USHIO | 810-00440 | Micron | 81-06239 | 7 Ushio 120V-500W EVRSCREW Halogen Lamp, Micron 81-06239 |
23716 | USHIO | JCV120V-1200WC | Ushio JCV120V-1200WC Halogen Lamp | ||
23717 | USHIO | QIH240-1600/S | Ushio QIH240-1600/S, Quartz, Halogen, Infrared, Lamp | ||
23718 | USHIO | Type 120V-500W | Ushio Type 120V-500W EHD Halogen Lamp | ||
23719 | USHIO | USH-1000FC | BH3-3178-000 | Ushio USH-1000FC, BH3-3178-000, High Pressure Mercury Lamp | |
23720 | Ushio | USH-1002FN | Ushio USH-1002FN Super High Pressure Mercury Lamp | ||
23721 | USHIO | USH-1002FN | Ushio USH-1002FN Super High Pressure Mercury Lamp, | ||
23722 | USHIO | Ushio 120V-600W DYS Halogen Lamp | |||
23723 | USHIO | Ushio 240V-1000W FEP Halogen Lamp | |||
23724 | Ushio | Gasonics | 73325-01 | Ushio 120V-1000w Halogen Lamp, Gasonics 73325-01 | |
23725 | USTC | 103910 | USTC THERMAL TOOL CHILLER USTX 103910 CHILLER, MC150E1FB1/2P2 | ||
23726 | USTC | 103910 | USTC THERMAL TOOL CHILLER USTX 103910 CHILLER, MC150E1FB1/2P2, S20087R0211 | ||
23727 | USTC | 10134UH | 2 USTC Water Chiler Hose w/ Temperature Control Plate for Chip Qualify 10134UH, | ||
23728 | USTC | 205000LC | S086 | USTC 205000LC Chiller, S0862 | |
23729 | USTC | 205000LC | 205000LC-060 | USTC 205000LC Chiller with hoses, 20A @ 208-230VAC, 205000LC-060 | |
23730 | USTC | 2402-5FWC2A2D | USTC 2402-5FWC2A2D Thermal Control System | ||
23731 | USTC | 2412-5FWC1A2D | USTC 2412-5FWC1A2D Thermal Control System | ||
23732 | USTC | RPC140W-SPU | USTC RPC140W-SPU 205000LC Chiller, Heat Exchanger | ||
23733 | USTC | USTC-1000LACS | USTC USTC-1000LACS Thermal Tool Cooling System w/ 199043FBA-051 | ||
23734 | USTC | 103320 | USTC 103310 Chiller, S1052 | ||
23735 | USTC | 103320 | USTC 103320 Chiller, S123, USTC-103320b-123B | ||
23736 | USTC | 103710 | USTC Model 103710 Chiller, S19956 | ||
23737 | USTC | USTC-103320b-126 | USTC 103320 Chiller, USTC-103320b-126 | ||
23738 | USTC | USTC-205000LC | USTC 205000LC Chiller, S0942 | ||
23739 | USTC | USTC-205000LC | USTC Chiller, USTC-205000LC Chiller, USTC-20500LC-081 and hoses seen | ||
23740 | USTC | USTC-205000LC | USTC-20500LC-073 | USTC Chiller, USTC-205000LC Chiller, USTC-20500LC-073 and hoses seen | |
23741 | USTC | USTC-205000LC | USTC-205000LC-052 | USTC USTC-205000LC Chiller, USTC-205000LC-052 | |
23742 | USTC | USTC-205000LC | USTC USTC-205000LC Chiller, USTC-20500LC-0792 | ||
23743 | USTC | USTC-5000PC | USTC USTC-5000PC Thermo Tool, PreChiller System, Chiller | ||
23744 | USTC | USTC-5000PC | USTC-5000PC-032 | USTC Chiller, USTC-5000PC, USTC-5000PC-032 | |
23745 | USTC | USTC-5000PC | USTC Chiller, USTC-5000PC Chiller | ||
23746 | UTEK | UT-211 | UTEK UT-211 RS-232 Serial Interface Photoelectric Optic Isolator | ||
23747 | Vacuum | AD2067A1 | 4 ALL AD2067A1 Rev.A, Clamp Rings, 3 1/8″ OD, 1.75″ ID | ||
23748 | Vacuum | 50 | KFuum Tube, Foreline | ||
23749 | Vacuum | 838686 | 40051680 | 083868680, KF40 Pressure Valve | |
23750 | Vacuum | 10670800 | 6″ Vacuum Chuck, Flat00 | ||
23751 | vacuum | 233067803 | Kit, IL/NIT/BWCVD, Throttle, Valve 233067803 | ||
23752 | Vacuum | 06-26752 | Gate Valve, KF-40, 06-26752 | ||
23753 | Vacuum | 11682-00 | 11682-00 Isolation Valve, Bellows, Pneumatic | ||
23754 | Vacuum | 20176-00 | 20176-00 Isolation Valve, Bellows, Pneumatic | ||
23755 | Vacuum | 40082-01 | 40082-01 Chamber lid with Omega OS137-3-V2 infrared temp sensor on kf50 flange | ||
23756 | Vacuum | 40358-01 | Aluminum Vacuum Chamber01 18″x18″x6 with Mott Diffuser | ||
23757 | Vacuum | 506227-00 | 4 copper standoffs, vented,-00 | ||
23758 | Vacuum | 507689-00 | 9 insulators vented for vacuum system-00 price for all 9 uits | ||
23759 | Vacuum | 722002 K150-X | KF40 FLANGE, VACCUM PIPE, FORELINE 722002, K150-X | ||
23760 | Vacuum | 80*60STMV | BELLOWS CYLINDER 80*60STMV lot’s of 2 | ||
23761 | Vacuum | chamber | Vacuum chamber with 2 spare clamp rings, aluminum | ||
23762 | Vacuum | chamber | 3 Aluminum Vacuum Chambers, 18″x18″x6″ with various ports and flanges | ||
23763 | Vacuum | chamber | Aluminum Vacuum chamber 17″x16″x4″ Various ports 2 gas feed ports with Mott tube | ||
23764 | Vacuum | conflat | Bellows Assembly, 2.5″ Flanges, 4.75″ Long | ||
23765 | Vacuum | DN 130 | Vacuum Adapter Flange DN 130 | ||
23766 | Vacuum | KF 40 | KF40 Foreline With Bellows | ||
23767 | Vacuum | KF-16 | KF16 90 Degree Aluminum Vacuum Foreline Elbow | ||
23768 | Vacuum | KF-25 | Foreline Tee, KF25, Cross | ||
23769 | Vacuum | KF-40 | KF40 Vacuum Bellows | ||
23770 | Vacuum | KF-40 | Vacuum foreline KF40 flange, 5 inch, KF-40 | ||
23771 | Vacuum | KF-40 | KF40 Assy Vacuum Bellows | ||
23772 | Vacuum | KF-40 | KF40 Heavy Assy Vacuum Bellows | ||
23773 | Vacuum | KF-40 | Lot of 2 KF40 Vacuum Bellows | ||
23774 | Vacuum | KF-50 | KF-50 90 Degree Elbow SST | ||
23775 | Vacuum | KF-50 | KF50 Bellows Vacuum Line | ||
23776 | Vacuum | KF-50 | 2 Vacuum Pipe, Line, KF50, NW50, 12 inch length, Foreline | ||
23777 | Vacuum | KF16 | 5 Flange with KF16 connection and ISO bellow flange | ||
23778 | Vacuum | KF16 | 3 Flange with KF16 connection and ISO bellow flange | ||
23779 | Vacuum | KF40 | Lot of 4 Misc, KF40 Clamps | ||
23780 | Vacuum | KF40 | Lot of 5 misc. KFmps | ||
23781 | Vacuum | KF40 | KF40 Vacuum Bellow NW-40 | ||
23782 | Vacuum | KF40 | 3 KF40 Vacuum Bellow NW-40 | ||
23783 | Vacuum | KF40 | NEW KF40 Vacuum Bellow NW-40h | ||
23784 | Vacuum | KF40 | 4 NEW KF40 Vacuum Bellow NW-40h QF40 | ||
23785 | Vacuum | KF40 | KF” long extension tube with 1/4 in VCR, Stainless KF40 | ||
23786 | Vacuum | KF40 | KFlong extension tube , Stainless KF40 | ||
23787 | Vacuum | KF40 | KF40 Offset Foreline With Bellows | ||
23788 | Vacuum | KF40 | 3 NEW KF40 Vacuum Bellow NW-40h QF40 | ||
23789 | Vacuum | KF40 | NEW KF40 Vacuum Bellow 08.2-6559, assy, hose, THN, NW40, 12 inch L | ||
23790 | Vacuum | KF40 | KF40 Vacuum Bellow NW-40, IM12234 | ||
23791 | Vacuum | M2193 | 19 M2193, Seal, Ring, O-ring, 1.5 inch. | ||
23792 | Vacuum | M7587 | WW40 | (Set of 17) M7587, Vacuum Pump Flow line flange, WW40, Seal, Ring | |
23793 | Vacuum | NRY12J526 | Vacuum Flange with KF16 connection,ISO bellow flange for vacuum pump NRY12J526 | ||
23794 | Vacuum | NW50 | New Vacuum Fitting Reducing Tee NW50, SST, lot’s 3 | ||
23795 | Vacuum | P528003 | Vacuum Flange with KF16 connection and ISO bellow flange for vacuum pump P528003 | ||
23796 | Vacuum | P539331 | Vacuum Flange with KF16 connection and ISO bellow flange for vacuum pump P539331 | ||
23797 | Vacuum | Unknown | Water cooled feed through with insulated connector and metal seal | ||
23798 | Vacuum | Unknown | vacuum chamber Flange, Cryo Turbo, Gate valve interface | ||
23799 | Vacuum | Unknown | Used ISO 80 to KF50 Bellowh | ||
23800 | Vacuum | CP-40, KF40, Clamp | |||
23801 | Vacuum | KF40 Bellows, Vacuum Bellows | |||
23802 | Vacuum | KFual vacuum isolation valve | |||
23803 | Vacuum | Flange | HTO Flange Inlet | ||
23804 | Vacuum | NRY0WY521 | NRY0WY521 3-Way Vacuum Flange Connection | ||
23805 | Vacuum Comp | AMP-D-0645-139 | 3 AMP-D-0645-139 Vacuum chamber rings with radiation o’rings, anodized aluminum | ||
23806 | Vacuum Flange | 215-12194-00 | Exhaust Vacuum Flange 215-12194-00, REV B, TEI 27-06 | ||
23807 | Vacuum General | 80-2 | Vacuum General 80-2 Throttle Valve Controller | ||
23808 | Vacuum General | 80-6B | MKS 250B throttle valve controller with vacuum general 80-6B pressure display S1 | ||
23809 | Vacuum General | CMLA-21S06 | Vacuum General CMLA-21S06 100 Torr Capacitance Diaphragm Gauge | ||
23810 | Vacuum General | CMLB-21S06 | Vacuum General CMLB-21S06 100 Torr Pressure Transducer | ||
23811 | Vacuum General | CMLA-21S06 | Vacuum General Pressure transducer CMLA-21S06RR | ||
23812 | Vacuum General | CMT-01S02 | Vacuum General CMT-01S02 Pressure Transducer, parts only | ||
23813 | Vacuum General | UC2-11 | Vacuum General UC2-11 Precision, MFC, O2CM, Ultra Flo | ||
23814 | Vacuum General | UC2-11 | Vacuum General UC2-11 Precision, MFC, O2CM, Ultra Flo | ||
23815 | Vacuum General | UC2-11 | Vacuum General UC2-11 Precision Mass Flow Controller, MFC, 02M | ||
23816 | Vacuum General | UC2-11 | Vacuum General UC2-11 Precision Mass Flow Controller, MFC, 02M | ||
23817 | Vacuum General | UC2-31501 | Vacuum General UC2-31501 Precision, MFC, NZ, 1 SLM, Ultra Flo | ||
23818 | Vacuum General | UV2-11 | Vacuum General UV2-11 Precision, MFC, N2M, Ultra Flo | ||
23819 | Vacuum General | MDV-100B | Superior Electric | M061-FD-301 | Vacuum General MDV-100B Throttle Valve, Superior Electric M061-FD-301, |
23820 | Vacuum Instrument Corp. | SC6 | Veeco | Vacuum Instrument SC6 Mass Spectrometer Standard Leak Detector, Veeco | |
23821 | Vacuum Sensor | 40051680 | Vaccuum sensor80, 0811582, 25 mbar, kf flanges | ||
23822 | Vacuum TEE | ASA | Vacuum TEE with 3 12 inch ASA Flanges | ||
23823 | VAI | SMA-EX1 | Vai SMA-EX1 Vacuum Control Center, Turns on | ||
23824 | Vaisala | DPT146 | Vaisala DPT146 Dewpoint And Pressure Transmitter, B1DCD120A0X | ||
23825 | Valcor Scientific | SV11C56HC4-5 | Valcor Scientific SV11C56HC4-5 Solenoid Valve | ||
23826 | Valex | E31X1-1/2X3/4X104 | Valex E31X1-1/2X3/4X104 Reducer HP BW, | ||
23827 | Valex | E7RX1/2X1/4X401 | Valex E7RX1/2X1/4X401, TEE HP BW, E7R-.5X.25-PXD | ||
23828 | Val-Tech MFG. | 953844 | Novellus | Val-Tech 953844 MHTA Lockout Device, Novellus IPEC Speedfam | |
23829 | Valve | FUBF-91-3.2 | VALVE FUBF-91-3.2 BELLOWS He 5 3.2 | ||
23830 | Varian | 1520075 | Varian 1520075 Capacitor | ||
23831 | Varian | 1907110 | VARIAN WAVEFORM GEN III ASSY 01907110 BOARD | ||
23832 | Varian | 2235016 | 4 new VARIAN 2235016 valve solenoid valve | ||
23833 | Varian | 2235019 | Varian VALVE solenoid 2235019 Humphrey X85 | ||
23834 | Varian | 2235025 | varian 2235025 solenoid valve 52 VALVE 52N8DGB | ||
23835 | Varian | 2235025 | VARIAN 2235025 valve solenoid 52 vavle 52N8D6B | ||
23836 | Varian | 2520020 | 2 new varian 2520020 boot neoprene | ||
23837 | Varian | 2541547 | 2 new varian 2541547 O-ring spec | ||
23838 | Varian | 2560019 | varian 2560019 Manual gas isolation valve | ||
23839 | Varian | 2560142 | Varian 2560142 Valve, | ||
23840 | Varian | 2595022 | 2 new varian RT angle drive 2595022 | ||
23841 | Varian | 2595160 | varian 2595160 gauge, 0-15, PSL, 2″ display, 1/8″ port | ||
23842 | Varian | 2700039 | varian filter INLINR 60 MIC 2700039 Nupro gas filter | ||
23843 | Varian | 2700103 | 2 Varian 2700103 Filter, VCR-Inline | ||
23844 | Varian | 2750004 | Varian 2750004, Filter, Powerline, 3PH, SAE Y60B, EMI. | ||
23845 | Varian | 2750004 | Varian 2750004, Filter, Powerline, 3PH, SAE Y60B, EMI. | ||
23846 | Varian | 2750004 | Varian 2750004, Filter, Powerline, 3PH, SAE Y60B, EMI. | ||
23847 | Varian | 2850098 | Varian 2850098 Seal, Center Assembly, NW250, Ring, IC-250-AV, Q3627-312 | ||
23848 | Varian | 2850098 | Varian 2850098, Ring, Assembly, Control, AL. | ||
23849 | Varian | 3136342 | 2 new varian 3136342 IC RETRIGGERABLE | ||
23850 | Varian | 3811001 | Varian 03811001 PC Assy P.S Scan Monitor ECI-C-6093023, ID | ||
23851 | Varian | 3929001 | varian dial 03929001 | ||
23852 | Varian | 4144700 | PSI module, single, Looks very clean, maybe new or rebuilt | ||
23853 | Varian | 4185001 | 3.26E+11 | VARIAN 04185001, TC CONTROL PCB ASSY113054, 12004184, 12004185 | |
23854 | Varian | 4185001 | Varian 04185001, Assembly TC Control, PCB, Acopian D15-03 Power Module | ||
23855 | Varian | 4185001 | Varian 04185001 Assembly TC Control PCB014058, Mach 12004184 | ||
23856 | Varian | 4298001 | Varian 04298001 , Feed Thru and Lens Assembly | ||
23857 | Varian | 4298001 | Varian 04298001 , Feed Thru and Lens Assembly | ||
23858 | Varian | 4500099 | Cox Engineering | 35074 | Varian9 Relay, Thermal Load, PCB, Cox Engineering |
23859 | Varian | 4500113 | Varian3 Control Relay | ||
23860 | Varian | 4500114 | Telemecanique | CA2-EN131F | Varian4 Relay 3N01-Inc Cont, Telemecanique CA2-EN131F |
23861 | Varian | 4500133 | 3 Varian3, Thermal Overload Relay, 3PH, Square D, Case 9065. | ||
23862 | Varian | 4752005 | New Varian P/N 4752005 | ||
23863 | Varian | 4758021 | Varian 4758021 Motorized Potentiometer, DualTI, MC5179B-2K/2K | ||
23864 | Varian | 4830038 | 2 new varian 4830038 bridge rectifiers | ||
23865 | Varian | 5620005 | Varian 5620005, XFMR,0V, IN, 24V. | ||
23866 | Varian | 5620005 | Varian 5620005, XFMR,0V, IN, 24V. | ||
23867 | Varian | 5622030 | Varian 5622030 Transformer, Signal Transformer 24-0.5, B9221 | ||
23868 | Varian | 5720001 | 2 Varian 05720001 Retaier Seal | ||
23869 | Varian | 6060025 | Varian 6060025 Cord, Fan | ||
23870 | Varian | 6100363 | Varian 0006100363 D.C. Cable for TEMP/HUMI | ||
23871 | Varian | 6887001 | Varian 06887001 Assembly Motor Drive Control | ||
23872 | Varian | 7136001 | 20 new varian 07136001 filament signet 37-7136 | ||
23873 | Varian | 7140001 | varian support plate 07140001 | ||
23874 | Varian | 7266001 | Varian 07266001, Water Feed Thru | ||
23875 | Varian | 7268001 | 2 new varian 07268001 rod connector | ||
23876 | Varian | 7475001 | Varian 07485001 Gear Carriage Worm | ||
23877 | Varian | 7476001 | 2 new varian 07476001 roller ball screw | ||
23878 | Varian | 7485001 | 2 new varian gear carriage worm 07485001 | ||
23879 | Varian | 7514001 | 3 new varian 07514001 platen gear mod | ||
23880 | Varian | 7519001 | 2 new varian 07519001 light rod | ||
23881 | Varian | 7562001 | 2 new varian 07562001 insulating spacer | ||
23882 | Varian | 7742001 | Varian 07742001 PCB, Power Fail Assy, D12007742, ID | ||
23883 | Varian | 7742001 | Varian 07742001 PCB, Power Fail Assy, D12007742, ID | ||
23884 | Varian | 7742001 | Varian 07742001 PCB, Power Fail Assy, D12007742, ID | ||
23885 | Varian | 7742001 | Varian 07742001 PCB, Power Fail Assy, D12007742, ID | ||
23886 | Varian | 7882001 | Varian 07882001 Tube Manifold | ||
23887 | Varian | 7895001 | 6 new varian 07895001 shunt bars, 14 degree field | ||
23888 | Varian | 7898001 | varian 07898001 pump resistor assy | ||
23889 | Varian | 8180001 | Varian 08180001 feed thru, gear hub Phenol | ||
23890 | Varian | 8285001 | Varian 08285001 PCB Assy Arc Preamp, D12008285, Mach D12008573, | ||
23891 | Varian | 9619001 | Varian shield short 09619001 | ||
23892 | Varian | 17037063 | 4 new shield endplate botE17037063 | ||
23893 | Varian | 47090830 | Varian 0470908301 Fixture, Lift, Metal Bar Components | ||
23894 | Varian | 47184701 | VARIAN 047184701 SHIELD LOWER POPPET HV VL | ||
23895 | Varian | 68356001 | Varian 0068356001 Bellows AssyP, | ||
23896 | Varian | 68432000 | Varian 0068432000, Shield. | ||
23897 | Varian | 68612400 | Varian 0068612400 Ion Gauge Tube, 075, L.L., Novellus 00-686124-00 | ||
23898 | Varian | 70278001 | Varian 70278001, Moisture Detector | ||
23899 | Varian | 70575001 | 3 new varian 70575001 housing vaporizer | ||
23900 | Varian | 70854001 | End station vacuum controller | ||
23901 | Varian | 70906001 | Wayflow logic PCB Assy | ||
23902 | Varian | 71020001 | varian 71020001 wafer slide plate as | ||
23903 | Varian | 101649001 | Varian 101649001 Aperture, Source Exit, Panel-1 | ||
23904 | Varian | 101649001 | 101649-1, 527424 | Varian 101649001-1 Rev.4, 527424, Aperture, Source Exit, Panel. | |
23905 | Varian | 102596100 | Varian 102596100 Rev.4, Regen Logic PCB. | ||
23906 | Varian | 102698001 | Varian 102698001 Rev.6, Plate, Actuator. | ||
23907 | Varian | 102698001 | Varian 102698001 Rev.6, Plate, Actuator. | ||
23908 | Varian | 102934001 | 102934001-5 | Varian 102934001 Aperture, 2nd, Graphite001-5 | |
23909 | Varian | 102934001 | 102934001-5 | Varian 102934001 Aperture, 2nd, Graphite001-5 | |
23910 | Varian | 102934001 | 102934001-5 | 4 Varian 102934001 Aperture, 2nd, Graphite001-5 | |
23911 | Varian | 102934001 | 102934001-5 | Varian 102934001 Aperture, 2nd, Graphite001-5 | |
23912 | Varian | 104057001 | Varian 104057001, Pick Mold Assembly. | ||
23913 | Varian | 104057001 | Varian 104057001, Pick Mold Assembly. | ||
23914 | Varian | 106233001 | Motor Technology | 121A326 | Varian 106233001, Motor, Assembly, Source Drive Motor Technology 121A326. |
23915 | Varian | 107739001 | PCB Machining | D107739001 | Varian 107739001 PCB Assy, Scanner Drive Amp, PCB Machining D107739001, |
23916 | Varian | 107739001 | PCB Machining | D107739001 | Varian 107739001 PCB Assy, Scanner Drive Amp, PCB Machining D107739001, |
23917 | Varian | 108094002 | Varian 108094002 Opto Isolator assy. | ||
23918 | Varian | 108103001 | 1.73731E+11 | Varian 108103001 Rev.A, 173731023005, PCB Dual Opt Sense Cassette. | |
23919 | Varian | 108728001 | Varian 108728001 Fiber Optic Rec. Assembly, | ||
23920 | Varian | 108967001 | Varian 108967001 PCB, Assy, H/VPWR Sup, ID | ||
23921 | Varian | 109132001 | Varian 109132001, Linear Scale Mod, PCB Assy, ID | ||
23922 | Varian | 111003001 | Varian 111003001 Rev.4, Insert Assembly, Beamgate. | ||
23923 | Varian | 111003001 | 111003-1 | Varian 111003001 Insert Assembly, Beamgate, 111003-1, | |
23924 | Varian | 111141001 | 688594, 950575 | Varian 111141001, 688594, 950575, Bias, 1st Aperture. | |
23925 | Varian | 111164002 | Lot of 3, couplings with flange | ||
23926 | Varian | 111537001 | Varian 111537001 Graphite Ground Electrode | ||
23927 | Varian | 111537001 | Varian 111537001 Graphite Ground Electrode | ||
23928 | Varian | 111537001 | Varian 111537001, Graphite Ground, Electrode | ||
23929 | Varian | 111539001 | Varian 111539001, Plate MTG Support, Panel. | ||
23930 | Varian | 112603001 | Varian 112603001, Brazement Setup Cup. | ||
23931 | Varian | 112603001 | Varian 112603001, Brazement Setup Cup. | ||
23932 | Varian | 112603001 | Varian 112603001, Brazement Setup Cup. | ||
23933 | Varian | 112603001 | Varian 112603001, Brazement Setup Cup. | ||
23934 | Varian | 112603001 | Varian 112603001, Brazement Setup Cup. | ||
23935 | Varian | 112606001 | Varian 112606001 Collimator, Laser DIO Revision 6 | ||
23936 | Varian | 112711001 | Varian 112711001 Shield Aperture | ||
23937 | Varian | 112722001 | VARIAN 112722001 CARRUAGE WAFER LIFT | ||
23938 | Varian | 113135001 | Varian 113135001 Sensor Assembly, Reflect | ||
23939 | Varian | 113522001 | Varian 113522001 Shorting Bar Assembly, EN | ||
23940 | Varian | 113837001 | Varian 113837001 Plate, Insulator, ARC Chamber, | ||
23941 | Varian | 113940001 | Varian 113940001 Arc Chamber Base Plate, | ||
23942 | Varian | 113940001 | Varian 113940001, 1902, Arc Cham Base Plate. | ||
23943 | Varian | 113940001 | Varian 113940001 Arc Chamber Base Plate | ||
23944 | Varian | 113945001 | Varian 113945001, Plate Chamber Front Arc, V2. | ||
23945 | Varian | 230000201 | Varian 0230000201, Electro Magnetic Break. | ||
23946 | Varian | 240053531 | VARIAN 0240053531 REGULATOR ID | ||
23947 | Varian | 240053531 | SMC | IR202 | Varian 0240053531, SMC Precision Regulator, IR202, Valve. |
23948 | Varian | 240065161 | Varian 0240065161 Filterron, 915-9.52-10 | ||
23949 | Varian | 310002711 | Fuji Electric | Varian 0310002711 Breaker EG32A/20-30MA-TL, Fuji Electric, | |
23950 | Varian | 310002711 | Varian 0310002711, Breaker, EG32A/20-30MA-TL, Fuji Electric. | ||
23951 | Varian | 313020000 | Ebara | 0313-02005 | Varian 0313020000, REPL Adsorber Assembly, Ebara 0313-02005. |
23952 | Varian | 372002871 | Varian 0372002871 Relay for EMO | ||
23953 | Varian | 400001861 | VARIAN 0400001861 ORIENTAL MOTOR VEXTA MOTOR STEPPING UPH566-A-A19 | ||
23954 | Varian | 470575801 | Varian 0470575801 Rod, Heater, Center | ||
23955 | Varian | 470677001 | Novellus | 04-706770-01 | Varian 0470677001 Cable Assembly, RS232, 6FT, Novellus 04-706770-01, |
23956 | Varian | 471017604 | VARIAN 0471017604 TIMING BELT402776 | ||
23957 | Varian | 471663004 | Varian 0471663004 Shield, Dep Q SRC | ||
23958 | Varian | 471663004 | Varian 0471663004 Shield, Dep Q SRC | ||
23959 | Varian | 471663004 | Varian 0471663004 Shield, Dep Q SRC | ||
23960 | Varian | 471854701 | Varian 0471854701 Shield, Lower HV, Poped VL | ||
23961 | Varian | 471880301 | Novellus | 04-718803-01 | Varian 0471880301 Plate 6″ Ceramic, Novellus 04-718803-01 Mounting, Ring |
23962 | Varian | 471880301 | Novellus | 04-718803-01 | Varian 0471880301 Plate 6″ Ceramic, Novellus 04-718803-01 Mounting, Ring |
23963 | Varian | 471882301 | Varian 0471882301 Clamp Ring, 6″ Wing FL | ||
23964 | Varian | 471884902 | VARIAN 0471884902 P3C, M2I, CLAMP, SPRING RELEASE | ||
23965 | Varian | 471884902 | VARIAN 0471884902 P3C, M2I, CLAMP, SPRING RELEASE | ||
23966 | Varian | 471949904 | Varian 0471949904 Cable, 25 Foot Network | ||
23967 | Varian | 472158701 | VARIAN 0472158701 NOVELLUS 6″ CLAMP AY 93C | ||
23968 | Varian | 1162201412 | Varian 1162201412 Screw, Set Cup, PT S/S, | ||
23969 | Varian | 1181000031 | Pyrogenic | DC411A | Varian 1181000031 PCB DC411A, Pyrogenic Controller Board |
23970 | Varian | 2083970000 | Varian 2083970000 Fan, Sprite SU2A5, 115V,Hz | ||
23971 | Varian | 2799967100 | Varian 2799967100 Air Cylinder, | ||
23972 | Varian | 2820006100 | 4 Varian 2820006100 Clamp, Speed | ||
23973 | Varian | 3800000602 | Varian 3800000602 Power Supply, PCB, 380-000060-2, ID | ||
23974 | Varian | 6260006700 | SN74LS38N | Varian 6260006700, 8420, SN74LS38N, IC LSTTL QUAD NAND. | |
23975 | Varian | 7292033800 | Variance 7292033800 Hamlin, HE721R0510, Relay, Reed, Dil, SPCO, 5PCO, 5VDC | ||
23976 | Varian | 8102200975 | Varian 8102200975 Rev.*, TEL, Chamber Bottom Ring. | ||
23977 | Varian | 8102201132 | Varian 8102201132 Exhaust Plate, | ||
23978 | Varian | 8102203471 | VARIAN 8102203471 AIR LOCK COVER | ||
23979 | Varian | 8104207921 | Varian 8104207921 Shaft Seal Holder, | ||
23980 | Varian | 8104217781 | Varian 8104217781 Exhaust Filter | ||
23981 | Varian | 8104218031 | Varian 8104218031 Collar | ||
23982 | Varian | 8104224451 | 2 Varian 8104224451, Window. | ||
23983 | Varian | 8104224451 | Varian 8104224451, Window. | ||
23984 | Varian | 8800200991 | Varian 8800200991 Pressure Switch CE10-223, | ||
23985 | Varian | 8800201001 | Varian 8800201001 Pressure Switch, | ||
23986 | Varian | 8800201691 | Fujikin | Type NC | Varian 8800201691 Cylinder Valve, Fujikin Type NC Valve, ID |
23987 | Varian | 8800201841 | Varian 8800201841 Pressure Switch | ||
23988 | Varian | 81125966001 | Varian 81125966001 Baffle, 200 Liter, Ebra | ||
23989 | Varian | F4681002 | 2 new AMAT 3700-02433 ORING, O-RING compound V0747 | ||
23990 | Varian | TV70D | Varian Turbo TV70D, 9699361K, with controller SQ158, used | ||
23991 | Varian | X06888001 | Varian X06888001 Assembly Motor Drive Control, 5 RPM | ||
23992 | Varian | 000PQF2781 | VARIAN 000PQF2781 POWER DIST (MAIN) PANEL 4 OUTLET DISTRIBUTION | ||
23993 | Varian | 000PQF2781 | VARIAN 000PQF2781 POWER DIST (MAIN) PANEL 4 OUTLET DISTRIBUTION | ||
23994 | Varian | 000PQF2782 | Varian 000PQF2782 Power Distribution (Sub), Panel, Relay, 2 Outlet | ||
23995 | Varian | 01907110-00D | Varian 01907110-00D, Wafeform Gen III, SCH 01907113 D, PCB. | ||
23996 | Varian | 01907110-00D | Varian 01907110-00D, Wafeform Gen III, SCH 01907113 D, PCB | ||
23997 | Varian | 01907110-00D | Varian 01907110-00D, Wafeform Gen III, SCH 01907113 D, PCB. | ||
23998 | Varian | 023-1009251 | 4 Varian 023-1009251 Timing Belt, B10CS3MY60 | ||
23999 | Varian | 037737001 Rev.G | Varian 07737001 Rev.G, T.C. Amplifier Board, PCB | ||
24000 | Varian | 04-708192-03 | VARIAN 04-708192-03 NOVELLUS SHIELD HORIZONTAL PASS THROUGH | ||
24001 | Varian | 04-709953-08 | 2 Varian Systems 04-709953-08 Rev.AP, Distribution, Panel, Plate. | ||
24002 | Varian | 04-719311-03 | VARIAN assy 04-719311-03 Process Heater Interlock | ||
24003 | Varian | 04-719317-04 | VARIAN assy 04-719317-04 RF Interface Controller | ||
24004 | Varian | 04073-1 | Accel | MDL-2/10 | Varian 04073-1, Accel/Decel MDL-2/10, Power Supply. |
24005 | Varian | 4719002 | Scanner pre amp PCB D12004719-3 | ||
24006 | Varian | 4764001 | 10 varian 04764001 plate resistor | ||
24007 | Varian | 0564-K2500-303 | Varian 0564-K2500-303 Ionization Gauge | ||
24008 | Varian | 0571-K2471-307 | Varian 0571-K2471-307, Ion Gauge Tube Vacuum Filament. | ||
24009 | Varian | 7092001 | 3 new AMAT varian 07092001 flange source mount | ||
24010 | Varian | 7092001 | Source mounting flange | ||
24011 | Varian | 07139-001 | Fitting A-07139001, 07139-001 | ||
24012 | Varian | 7563001 | Varian 07563001 Rotary drive Shaft | ||
24013 | Varian | 7630001 | PCB Assy, 07630-001 | ||
24014 | Varian | 07646-001 | Varian 07646-001 Wayflow interconnect PCB board, no components, 07646001 | ||
24015 | Varian | 7737001 | 2 Varian 07737001 assy. TC amplifier PCB | ||
24016 | Varian | 07974-001 | 2 new varian 07974-001 bumper wafer guide | ||
24017 | Varian | 08166-001 | Gating control PCB Assy, Looks new, may have bent pins or components due to storage /handling | ||
24018 | Varian | 08170-001 | 16 bit, optic isolator PCB, looks new | ||
24019 | Varian | 0822 7001 | Meter, filament amps, Compton Modutec | ||
24020 | Varian | 08290-001 | Filament Preamp PCB Assy, 08290-001 | ||
24021 | Varian | 08485-001 | varian filament feed rod 08485-001 | ||
24022 | Varian | 10-46100-01 | Lucas Ledex | 9245 H-15064-029 | 2 Varian 10-46100-01, Lucas Ledex 9245 H-15064-029, Drop Rod Assembly. |
24023 | Varian | 11271100-1 | Varian 11271100-1 Rev.A, K31-H01, 527424, Shield, Aperture. | ||
24024 | Varian | 112711001-1 | Varian 112711001-1 Rev.A, Shield Aperture 527424. | ||
24025 | Varian | 11A0291 | Varian 11A0291 Assembly Feed Thru Block | ||
24026 | Varian | 233-3055-07 | API-437 bracket 233-3055-07, cleaned | ||
24027 | Varian | 2700055L | Varian 2700055L EMI Filter, Powerline, SAE Y30B 3 Phase 30A, 250/440 VAC | ||
24028 | Varian | 300HT | Varian Turbo-V 300HT Macro Torr, TV Pump 9699037S008. | ||
24029 | Varian | 322 4050 | Varian Ion pump Control module50 | ||
24030 | Varian | 347AJ 3136347 | varian IC retriggerabl347AJ 3136347 | ||
24031 | Varian | 36-848436-903 | Varian 36-848436-903, Pulse Motor Driver, E P.C Board. | ||
24032 | Varian | 381-639472-2 | Varian 381-639472-2 PCB, TVME 68 KSV BRDON BRD, | ||
24033 | Varian | 3SN0102100 | Varian 3SN0102100 Spring Balancer, ID | ||
24034 | Varian | 42781-00 | 3 new retaining cup00 | ||
24035 | Varian | 42781-00 | Varian00, Predestal, Retaining Cup. | ||
24036 | Varian | 5TW0073605 | 3 Varian 5TW0073605 Plug, 1/8, SUS, | ||
24037 | Varian | 683630-02 | Varian 683630-02 RF Match, 683631-02, | ||
24038 | Varian | 698633-01 | Varian Source Control Assembly, R-F Source, 698633-01 | ||
24039 | Varian | 70301-007776 | VARIAN007776 PCB VERTICAL Y SCAN GENERATOR ASSY D-F4685002 | ||
24040 | Varian | 810-320687-1 | Varian 810-320687-1, Top Cover, TEL. | ||
24041 | Varian | 810-320687-1 | Varian 810-320687-1, Top Cover, TEL. | ||
24042 | Varian | 929.6003S00 | Varian Multi-Vac Controller 929.6003S00 110 Volt, 12A,Hz | ||
24043 | Varian | 953-5014 | Varian 953-5014 Copper Gasket, 2″, Seal, CF Conflat Flange, | ||
24044 | Varian | 953-5017 | Varian 953-5017, 6 3/4″ Copper Sealing Ring for Conflat | ||
24045 | Varian | 969-9306 | NEW Varian 969-9306, E37000103, Screem, Inlet | ||
24046 | Varian | 9699361K | Varian 9699361K Turbo Pump w/ SQ158 Contoller | ||
24047 | Varian | 9699546S002 | Varian 9699546S002 Turbo-V 700 ICE Turbo Vacuum Pump Controller | ||
24048 | Varian | 971-0028 | Varian 971-0028 UHV-24/UHV-24p Ionization Gauge, Q1103-301 | ||
24049 | Varian | 990-DCLD | Varian 990-DCLD leak detector Nuvac MRP-201 ELC pump | ||
24050 | Varian | 995-22000 | 2 Varian 995-22000 Ceramic Ring, VAR995-22000 | ||
24051 | Varian | B674639D | Varian B674639D Isolated Control Interface PCB, FAB D674640D | ||
24052 | Varian | B684037-00C | Varian B684037-00C Argon Pressure Control Board, PCB, B684037 | ||
24053 | Varian | B686082 | Varian B686082 3KW Control PCB, FAB D686083, Split/Tran DST-5-24 | ||
24054 | Varian | BB81-057660 | VARIAN TFS, BB81-057660, PWA, SYSTEM MONITOR, BB10-257660, BB93-157660 | ||
24055 | Varian | BB81-057660 | VARIAN TFS, BB81-057660, PWA, SYSTEM MONITOR, BB10-257660, BB93-157660 | ||
24056 | Varian | BB81-057660 | VARIAN TFS, BB81-057660, PWA, SYSTEM MONITOR, BB10-257660, BB93-157660 | ||
24057 | Varian | BB81-057660 | VARIAN TFS, BB81-057660, PWA, SYSTEM MONITOR, BB10-257660, BB93-157660 | ||
24058 | Varian | BB81-057660 | VARIAN TFS, BB81-057660, PWA, SYSTEM MONITOR, BB10-257660, BB93-157660 | ||
24059 | Varian | BB81-057660 | VARIAN TFS, BB81-057660, PWA, SYSTEM MONITOR, BB10-257660, BB93-157660 | ||
24060 | Varian | BB95456279 | Varian BB95456279, Bearing | ||
24061 | Varian | C-F2518001 | Varian IIS C-F2518001 Thermocouple Gauge Tube/Vacuum | ||
24062 | Varian | D-101885001 | Varian D-101885001, PCB Assembly, Source RDAC Interconnect, D-101886001. | ||
24063 | Varian | D-12004145 | Varian D-12004145 Precision Deadband AMP Assembly | ||
24064 | Varian | D-F5428001 | Varian D-F5428001 Digital Main Control Board, PCB | ||
24065 | Varian | D-F5428001 | Varian D-F5428001 Digital Main Control Board, PCB, ID | ||
24066 | Varian | D-H0117001 | VARIAN ASSEMBLY D-H0117001, MACH D-H0118001, PARALLEL I/O & A/D INTERFACE | ||
24067 | Varian | D-H0535003 | Varian D-H0535003 PCB Assy, Target Select, PCB Mach D-H0536003, | ||
24068 | Varian | D08214-1 | Varian D08214-1 Source Magnet Gauge | ||
24069 | Varian | D108481001 | Acopian D15-15A, Miniature AC to DC Power Supply, Varian D108481001 PCB. | ||
24070 | Varian | D12008285 | Varian D12008285 Arc Preamp Assy, PCB Board, | ||
24071 | Varian | D12008285 | Arc Preamp Assy, D12008285, PCB Assy replacement for Assy C-E15001460 | ||
24072 | Varian | E11021661 | Varian E11021661, Hose, Metal, 20″ LG, SS Hose, ME, NW25, KF25, Bellow. | ||
24073 | Varian | E11045400 | Varian E11045400 Rev.1, Bracket Assembly ADJ. | ||
24074 | Varian | E11058939 | Varian E11058939 Purge Kit, Balzer. | ||
24075 | Varian | E12003670 | Varian E12003670 Gear | ||
24076 | Varian | E15000100 | Varian E15000100 Electrode Manipulator Assy, PCB, ID | ||
24077 | Varian | E15000150 | Varian E15000150 Multi Proteus Signal Conditioner Assy, Sensor, PCB, | ||
24078 | Varian | E15000150 | Varian E15000150 Multi Proteus Signal Conditioner Assy, Sensor, PCB | ||
24079 | Varian | E15000370 | ASM | 14000370 | Varian E15000370, ASM 14000370 Rev.A1B, Board w/ Cable, PCB. |
24080 | Varian | E16005920 | Varian E16005920 Cable Assembly, Scangen Kit | ||
24081 | Varian | E160311760 | Varian E160311760 Harness, EXHT, Leak, Interconnect, | ||
24082 | Varian | E17014700 | Varian E17014700 Source-Gas FeedThru | ||
24083 | Varian | E17014860 | Varian E17014860 Source-Water Manifold, ID | ||
24084 | Varian | E17014860 | Varian E17014860 Source-Water Manifold, ID | ||
24085 | Varian | E17014860 | Varian E17014860 Source-Water Manifold, ID | ||
24086 | Varian | E17026130 | Varian E17026130 Rev.1, Assorted R.H. Bottle Tubing. | ||
24087 | Varian | E17026160 | Varian E17026160, L.H. Bottle Tube GB. | ||
24088 | Varian | E17026160 | Varian E17026160, L.H. Bottle Tube GB. | ||
24089 | Varian | E17026553 | Varian E17026553 Rev.A, Electrode Ground, Plate. | ||
24090 | Varian | E17026680 | Varian E17026680, Graph Scan Ground Plate for Varian E220/E500 Ion Imp. | ||
24091 | Varian | E17028530 | Varian E17028530 Multi-Grapht Liner | ||
24092 | Varian | E17033300-3 | 332857 | 2 Varian E17033300-3, Plate SPT Aperture, Panel. | |
24093 | Varian | E17033700 | Varian E17082250-1 RF Latch Rack, | ||
24094 | Varian | E17036990 | Varian E17036990 Tungsten Fillament, Bernas E220/500 C.M. Inc E-17036990 | ||
24095 | Varian | E17043060 | 4 new E17043060 spool lip seal wafer lift | ||
24096 | Varian | E17048720 | Varian E17048720 Feedthru Assembly | ||
24097 | Varian | E17048800 | Varian E17048800 Spacer Filament Clamps | ||
24098 | Varian | E17066291 | Varian E17066291, Plate, End Plate, Arc Chamber Blanket. | ||
24099 | Varian | E17066300 | 10 Varian E17066300, Shield, Top, End plate | ||
24100 | Varian | E17069340 | Varian E17069340 Filament, Bernas, Viision | ||
24101 | Varian | E17069340 | Varian E17069340 Filament, Bernas, VIISION | ||
24102 | Varian | E17082250-1 | Varian E17082250-1 Bell Jar Shim, | ||
24103 | Varian | E17092030 | Varian E17092030, Insulator, Suppression Magnet. | ||
24104 | Varian | E17092030 | Varian E17092030, Insulator, Suppression Magnet. | ||
24105 | Varian | E17093140 | VARIAN E17093140 PLATE, 2ND, RECT ELEC. (SN S524084I) | ||
24106 | Varian | E17132320 | Varian E17132320 Repeller Nut | ||
24107 | Varian | E17168280 | Varian E17168280 Nut Insulator, Outer Phase | ||
24108 | Varian | E18000306 | Varian E18000306, Roplat Clutch Tool | ||
24109 | Varian | E19000690 | TSF 010, PM Z01 017 | Varian E19000690, Balzers Vent Valve, TSF 010, PM Z01 017, 110V, 60Hz. | |
24110 | Varian | E42000091 | 14 Varian E42000091 Ball Bearing | ||
24111 | Varian | E42000091 | 13 Varian E42000091 Ball Bearing | ||
24112 | Varian | E4200091 | 2 Varian E42000091 Barden SR6 Ball Bearing | ||
24113 | Varian | F08235001 | 3 new AMAT varian F08235001 mounting cup | ||
24114 | Varian | F183001 | 6 varian F183001 graphite aperture plate | ||
24115 | Varian | F2189001 | Varian F2189001 Wire Harness Assembly Gas Box, | ||
24116 | Varian | F2687001 | varian F2687001 plate mounting cooled | ||
24117 | Varian | F2696001 | varian F2696001 plate front aperture | ||
24118 | Varian | F2907001 | 2 new F2907001 PCB assy. data logger pre scale, ECI-C-6 94V1 | ||
24119 | Varian | F4578001 | 2 varian F4578001 faraday bias | ||
24120 | Varian | F4681002 | Varian F4681002 Horizontal X Scan Generator, PCB, D-IT0700002 w/ E15001560 Board | ||
24121 | Varian | H0700002 | Varian H0700002 Horizontal X Scan Generator PCB UL94V-0 89-46, DH0700002, | ||
24122 | Varian | F4685001 | Beam Scan PCB | ||
24123 | Varian | F4980001 | 2 F4980001 reflectors or shields | ||
24124 | Varian | F5052001 | TC gauge controller | ||
24125 | Varian | F5183001 | Fujitsu | 568-114271 | Varian F5183001 Aperture, Horizontal Entrance, ID |
24126 | Varian | F5185001 | F5185001 shield horizontal aperture | ||
24127 | Varian | F5205001 | Varian F5205001 Feed Thru Wire; other | ||
24128 | Varian | G15-00022 | G14-00022 | Varian G15-00022 TFS Miscellaneous Interface PCB, G14-00022 | |
24129 | Varian | H0117001 | VARIAN H0117001 ASSY PARALLEL PCB MACH D-H0118001 | ||
24130 | Varian | H0117001 | Varian H0117001 ASSY PARALLEL PCB MACH D-H0118001 | ||
24131 | Varian | H0668001 | Flood Gun Controller PCB | ||
24132 | Varian | H1648001 | Varian H1648001 Rev.A, 527424, A Plate, Aperture. | ||
24133 | Varian | H1777-001 | Scanner Preamp PCB assy | ||
24134 | Varian | H1843006 | 2 Varian H1843006, Clamp Ring, 150mm | ||
24135 | Varian | H4580001 | Varian H4580001 Rev.D, PCB, Replay Driver. | ||
24136 | Varian | HC-00X-00090 | HC-00X-00090 Sensor, Optical Switch | ||
24137 | Varian | K2466302 | Varian K2466302, Vacuum, Ion Gauge, TYPE 563. | ||
24138 | Varian | K2466302 | Varian K2466302, Vacuum, Ion Gauge, TYPE 563. | ||
24139 | Varian | K2466302 | Varian K2466302, Vacuum, Ion Gauge, TYPE 563. | ||
24140 | Varian | L5991-306 | Varian, NW-25 Vacuum Isolation Valve, L5991-306 | ||
24141 | Varian | L6280301 | Varian L6280301 Right Angle Bellows NW-16-H/O, KF16 | ||
24142 | Varian | L6281-333 | Varian L6281-333 Pneumatic Vacuum Angle Valve, NW-40-A/O | ||
24143 | Varian | L6281-703 | Varian L6281-703 Pneumatic Vacuum Right Angle Valve, NW-40-A/O, RS1179 | ||
24144 | Varian | L6281-703 | Varian L6281-703 Pneumatic Vacuum Right Angle Valve, NW-40-A/O, RS1180 | ||
24145 | Varian | L6281332 | Varian L6281332, Isolation Valve, KF25 Shut Off Vacuum Valve, | ||
24146 | Varian | L6281333 | Varian L6281333 Pneumatic Vacuum Angle Valve, NW-40-A/O | ||
24147 | Varian | L6281703 | Varian L6281703 Pneumatic Vacuum Right Angle Valve, NW40 A/O, RS1178 | ||
24148 | Varian | L6591307 | Varian Vacuum products L6591307 | ||
24149 | Varian | L6591307 | Varian vacuum products L6591307 | ||
24150 | Varian | L9090301 | Varian L9090301 Vacuum Gauge, | ||
24151 | Varian | L9180-302 | Varian L9180-302 Manual Isolation Valve, NW-25-H/o | ||
24152 | Varian | L9180-302 | Varian, Manual Isolation Valve, L9180-302 | ||
24153 | Varian | L9281-301 NW-16-A/O | 2 Varian L9281-301 NW-16-A/O, KF16 valve | ||
24154 | Varian | M103019171 | Varian M103019171 Electrode Plate | ||
24155 | Varian | PCB-000-A-EXT | PWF4D30-IS4-09, PWF4D50N-IS4-09 | Varian PCB-000-A-EXT, PWF4D30-IS4-09, PWF4D50N-IS4-09, Board Extender. | |
24156 | Varian | PPM 992622-00D | Varian PPM 992622-00D, 87-195861-A, PCB DM992622-00 | ||
24157 | Varian | PPM 992622-00D | Varian PPM 992622-00D, 87-195861-00, PCB DM992622-00 | ||
24158 | Varian | PPM 992622-00D | Varian PPM 992622-00D, 87-195861-A, PCB DM992622-00 | ||
24159 | Varian | PPM 992622-00D | Varian PPM 992622-00D, 87-195861-A, PCB DM992622-00 | ||
24160 | Varian | PPM 992622-00D | Varian PPM 992622-00D, 87-195861 A, PCB DM992622-00 | ||
24161 | Varian | PPM 992622-00D | Varian PPM 992622-00D, 87-195861 A, PCB DM992622-00 | ||
24162 | Varian | PPM 992622-00D | Varian PPM 992622-00D, 87-195861-A, PCB DM992622-00 | ||
24163 | Varian | PPM 992622-00E | Varian PPM 992622-00E, 87-195861-00, PCB DM992622-00 | ||
24164 | Varian | PPM 99262200 | Varian PPM 99262200, 87-19586100, PCB DM992622-00 | ||
24165 | Varian | Turbo-V 1000 ICE | Varian Turbo-V 1000 ICE Turbo Pump Controller | ||
24166 | Varian | WST-AD-680 | Varian WST-AD-680 U-Wave Guide | ||
24167 | Varian | VACUUM ISOLATION ANGLE VALVE PNEUMATIC KF25 | |||
24168 | Varian | VARIAN L6382-703 NW-40 PNEUMATIC VALVE, VACUUM ISOLATION ANGLE | |||
24169 | Varian | D-101933001 | VARIAN IIS D-101933001 SOURCE INTF INTCON PCB ASSY A022010 PCB MACHINING | ||
24170 | Varian | D-12004145 | VARIAN D-12004145 PRECISION DEADBAND AMP ASSY D-12004145 A9291 | ||
24171 | Varian | V10-318145-1 | Varian V10-318145-1 Screw, SU ELV, Lead, | ||
24172 | Varian | E17085430 | Parker Veriflo | 928MA0PSTS1.75 | Varian E17085430 CGA, DISS, 642, BF3/SI, Gas Manifold, Valve |
24173 | Varian | BB95056514 | Varian BB95056514 O-Ring, Viton | ||
24174 | Varian | E17066301 | Varian E17066301 Aperature | ||
24175 | Varian | E42000082 | Varian E42000082 Bearing, RNA2202.2RS Trac | ||
24176 | Varian | E17092030 | Varian E17092030, Insulator, Suppression Magnet | ||
24177 | Varian | 9297015 | Varian EX9297015 Dual Ion Pump Controller, 200-240VAC, 600VA,z | ||
24178 | Varian | 9699554S004 | Varian 9699554S004 Turbo-V 1000 HT C.U Controller | ||
24179 | Varian | 9290023 | Varian 9290023 Sublimation Controller, 220V,z, 50VA | ||
24180 | Varian | 9699554 | Varian 9699554Turbo-V 1000 HT C.U Controller | ||
24181 | Varian | 9290191 | Varian 9290191 MiniVac Ion Pump | ||
24182 | Varian | 9290191 | Varian EX9290191 MiniVac Ion Pump | ||
24183 | Varian | 9290191 | Varian 9290191 MiniVac Ion Pump, Upper, 120V,z, 50VA | ||
24184 | Varian | EX9290191 | Varian EX9290191 MiniVac Ion Pump, Lower, 120V,z, 50VA | ||
24185 | Varian | 2740996800 | Varian 2740996800 O-ring, 2-253, Viton | ||
24186 | Varian | 2740996800 | Varian 2740996800 O-ring, 2-253, Viton | ||
24187 | Varian | F5192001 | Varian F5192001 Liner, Aperture Plate | ||
24188 | Varian | F5185001 | Varian F5185001 Shield Horizontal Aperture | ||
24189 | Varian | F5185001 | 2 Varian F5185001 Shield Horizontal Aperture | ||
24190 | Varian | F4681002 | Varian F4681002 Horizontal Scan BCB, PCB | ||
24191 | Varian | F5733001 | Varian F5733001 Shield Graphite | ||
24192 | Varian | H7269001 | Varian H7269001 Aperture Quad Lens Entra | ||
24193 | Varian | E17131210 | 4 Varian E17131210 Source Filament Insulator | ||
24194 | Varian | H7269001 | 3 Varian H7269001 Aperture Quad Lens Entra | ||
24195 | Varian | F5351001 | Varian F5351001 Assy, Feed Thru, Bushing, Feedthrough | ||
24196 | Varian | 08809001 | Varian 08809001 Water Manifold, BG52B | ||
24197 | Varian | 2555164 | Varian 2555164 Spring, COMP, SS, 3.50 Free | ||
24198 | Varian | H0535002 | Varian H0535002 PCB Assy, TGT SEL, ION | ||
24199 | Varian | E11091160 | Varian E11091160 Clamp Assy, Disc | ||
24200 | Varian | E11001490 | Varian E11001490 Multi-roller Assy | ||
24201 | Varian | E77000001 | 1 Lot of 25 Varian E77000001 Cable | ||
24202 | Varian | 4009 | 030-010036 | 20 Varianonnector, 030-010036 | |
24203 | Varian | E11048540 | Varian E11048540 Kit, Clamp Replacement | ||
24204 | Varian | E60000034 | Varian E60000034 Tool, Prog Dip Shunt | ||
24205 | Varian | 2553133 | Varian 2553133 Spacer, SS, 5/16X.37 | ||
24206 | Varian | 8282001 | Varian 08282001 Slit Aperture | ||
24207 | Varian | E17037065 | Varian E17037065 Shield, End Plate | ||
24208 | Varian | 08809001 | Varian 08809001 Water Manifold, BG52B | ||
24209 | Varian | 04299001 | Varian 04299001 Feed Thru Assy, Feedthrough | ||
24210 | Varian | H7269001 | Varian H7269001 Aperture Quad Lens Entra | ||
24211 | Varian | H7269001 | 40301-051692 | Varian H7269001 Aperture Quad Lens Entra051692 | |
24212 | Varian | NA | Varian Front Aperture Plate | ||
24213 | Varian | 5720001 | 2 Varian 05720001 Retaier Seal | ||
24214 | Varian | 8282001 | Varian 08282001 Aperature Slit | ||
24215 | Varian | 112410001 | 2 Varian 0112410001 Feed Thru, Fil, Cooled | ||
24216 | Varian | E12002170 | Varian E12002170 Scanact Pulley Driven | ||
24217 | Varian | 2547141 | Varian 2547141 Fitting, BL, 1/4″ T | ||
24218 | Varian | 67274400 | Varian 0067274400 Shim, Air Cylinder | ||
24219 | Varian | E17037065 | Varian E17037065 Shield, End Plate | ||
24220 | Varian | 8104214381 | Varian 8104214381 Collar (A) | ||
24221 | Varian | 5720001 | 4 Varian 05720001 Retainer Seal | ||
24222 | Varian | 430000411 | Varian 0430000411 Tape Heater-100V, 160W, 4M | ||
24223 | Varian | E17121520 | 2 Varian E17121520 Plug, Gas, Graphite | ||
24224 | Varian | E17037000 | Varian E17037000 Screw | ||
24225 | Varian | E17121520 | Varian E17121520 Plug, Gas, Graphite | ||
24226 | Varian | E37006162 | Varian E37006162 Filter Cartridge Replacement, Demister, TEL SC30006 | ||
24227 | Varian | 4850008 | Varian 4850008 Transistor | ||
24228 | Varian | 40301-48483 | F2696001 | Varian48483 Plate Front Aperture, F2696001 | |
24229 | Varian | F5403001 | F5403-1 | 2 Varian F5403001 Aperature, F5403-1 | |
24230 | Varian | 8486001 | 4 Varian 08486001 Compression Collar046766 | ||
24231 | Varian | E17021640 | 10 Varian E17021640 Head-Seal Backup Rin | ||
24232 | Varian | BB9505614 | Varian BB95056514 O-Ring, Viton | ||
24233 | Varian | 666256 | Varian 666256 RF Matching Controller | ||
24234 | Varian | 666256 | Varian 666256 RF Matching Controller | ||
24235 | Varian | 66256 | Varian 66256 RF Matching Controller | ||
24236 | Varian | 880 R.S. L6222-302 W/TC | Varian 880 R.S. L6222-302 W/TC Vacuum Ionization Gauge, Type 880 R.S. | ||
24237 | Varian | 880-312 | Varian 880-312 Type 880 Vacuum Ionization Gauge15VAC,z, 200 Watts | ||
24238 | Varian | 880-312 | Varian 880-312 Type 880 Vacuum Ionization Gauge15VAC,z, 200 Watts | ||
24239 | Varian | 880-312 | Varian 880-312 Type 880 Vacuum Ionization Gauge15VAC,z, 200 Watts | ||
24240 | Varian | 68498400 | Varian 0068498400 Vacuum System Control | ||
24241 | Varian | 67078800 | Varian 0067078800 Vacuum System Control | ||
24242 | Varian | 680791 | Varian 680791 Deposition Source Control, Schem 680792 | ||
24243 | Varian | 680791 | Varian 680791 Deposition Source Control, Schem, 680791, 680792 | ||
24244 | Varian | 68498400 | Varian 0068498400 Vacuum System Control | ||
24245 | Varian | 68498400 | Varian 0068498400 Vacuum System Control | ||
24246 | Varian | 04-715634-01 | VPW2871B2 | Varian 04-715634-01 Sputtering Power Supply Kilowatt Meter, VPW2871B2 | |
24247 | Varian | 04-715634-01 | VPW2871B2 | Varian 04-715634-01 Sputtering Power Supply Kilowatt Meter, VPW2871B2 | |
24248 | Varian | 04-715634-01 | VPW2871B2 | Varian 04-715634-01 Sputtering Power Supply Kilowatt Meter, VPW2871B2 | |
24249 | Varian | 845 | Varian 845 Vacuum Ionization Gauge | ||
24250 | Varian | 677719 | Fluke | 8840A | Varian 677719 Wide Range Thin Film Monitor w/ Fluke 8840A Multimeter |
24251 | Varian | C663527 | D663526 | Varian C663527 A300 Output PCB, FAB D663526 | |
24252 | Varian | C663527 | D663526 | Varian C663527 A300 Output PCB, FAB D663526 | |
24253 | Varian | C663527 | D663526 | Varian C663527 A300 Output PCB, FAB D663526 | |
24254 | Varian | C663527 | D663526 | Varian C663527 A300 Output PCB, FAB D663526 | |
24255 | Varian | C663527 | D663526 | Varian C663527 A300 Output PCB, FAB D663526 | |
24256 | Varian | C663527 | D663526 | Varian C663527 A300 Output PCB, FAB D663526 | |
24257 | Varian | C663358 | D663357 | Varian C663358 A100 Central Processor Board, PCB, FAB D663357 | |
24258 | Varian | C663358 | D663357 | Varian C663358 A100 Central Processor Board, PCB, FAB D663357 | |
24259 | Varian | C663358 | D663357 | Varian C663358 A100 Central Processor Board, PCB, FAB D663357 | |
24260 | Varian | C663358 | D663357 | Varian C663358 A100 Central Processor Board, PCB, FAB D663357 | |
24261 | Varian | C663529 | Varian C663529 A200 Input Board, PCB, FAB D663528 | ||
24262 | Varian | C663529 | Varian C663529 A200 Input Board, PCB, FAB D663528 | ||
24263 | Varian | C663535 | D663534 | Varian C663535 A400 Rest Control, PCB, FAB D663534 | |
24264 | Varian | C663535 | D663534 | Varian C663535 A400 Rest Control, PCB, FAB D663534 | |
24265 | Varian | C663535 | D663534 | Varian C663535 A400 Rest Control, PCB, FAB D663534 | |
24266 | Varian | 969-9511 | Varian 969-9511 Type V80 Turbo Pump Controller | ||
24267 | VAT | 84430-R1/0020 | Novellus | 60-024117-00 | VAT 84430-R1/0020 Actuator Kit 46, Novellus 60-024117-00, A-244370 |
24268 | VAT | 204915 | VAT 204915 Slit Valve Plate, Looks new, | ||
24269 | VAT | 209809 | VAT 209809 Mark II ZA Slit Valve Backplate,036, | ||
24270 | VAT | 213416 | VAT 213416, Bellows Feed through Compl. | ||
24271 | VAT | 213416 | VAT 213416, Bellows Feed through Compl. | ||
24272 | VAT | 213416 | VAT 213416, Bellows Feed through Compl. | ||
24273 | VAT | 01032-UE24-0004 | O1032-UE44-ADP1/009 | 2 VAT, mini gate valves, 01032-UE44-ADP1/009 | |
24274 | VAT | 02112-BA44-0001/0102 | VAT 02112-BA44-0001/0102 RECTANGULAR GATE VALVE MONOVAT CLASSIC | ||
24275 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24276 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24277 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24278 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24279 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24280 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24281 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24282 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24283 | VAT | 14036-PE24-0004 | 14036-PE24-0004 | VAT, Gate Valve 14036-PE24-0004, ISO 63 Flanges, Looks New | |
24284 | VAT | 01032-UE11-0001/4 | Leybold Inficon | TSTB100 | RGA Control Unit, Head Assembly, Vat Isolation Valve w Transpector Power Supply |
24285 | VAT | 02009-BE24-0001 | Novellus | RG19-00046-00 | Rectangular gate Valve, PM, ISO, F02-60492 |
24286 | VAT | 02009-BE24-001 | F02-60492/0023 | VAT 02009-BE24-0001 Rectangular Gate Valve, PM, ISO, F02-60492/0023 | |
24287 | VAT | 02009-BE24-001 | 19-00046-00 | VAT 02009-BE24-0001 Rectangular Gate Valve, PM, ISO, F02-60492/0023, 19-00046-00 | |
24288 | VAT | 0200X-AE24 | Rectangle gate valve in sealed bag 0200x-AE24-1014/0028 | ||
24289 | VAT | 02012-BA24-0001 | VAT 02012-BA24-0001 Rectangular Gate Valve, Pneumatic Actuator, F02-113265/3 | ||
24290 | VAT | 02012-BA24-0001/0022 | VAT 02012-BA24-0001/0022 Slit Valve, Rectangular Gate Valve A-242406, RS1125 | ||
24291 | VAT | 02012-BA24-0001/0047 | VAT 02012-BA24-0001/0047 Rectangular Gate Valve, A-248030 | ||
24292 | VAT | 02012-BA24-0001/0049 | 205415/0019 | VAT 02012-BA24-0001/0049 Slit Valve, Rectangular Gate Valve A-248030, RS1126 | |
24293 | VAT | 02012-BA24-0001/0050 | VAT 02012-BA24-0001/0050 Rectangular Gate Valve, Pneumatic Actuator, A-248030 | ||
24294 | VAT | 02012-BA24-0001/0051 | VAT 02012-BA24-0001/0051 Rectangular Gate Valve, Pneumatic Actuator, A-248030 | ||
24295 | VAT | 02012-BA24-ACA1/0128 | VAT 02012-BA24-ACA1/0128 Slit Valve, Rectangular Gate Valve A-327994, RS1127 | ||
24296 | VAT | 02012-BA44-A/A1/2 | VAT 02012-BA44-A/A1/2 Rectangular Gate Valve Pneumatic Actuator A-204846 | ||
24297 | VAT | 02112-AA24-AQX1/0051 | VAT 02112-AA24-AQX1/0051 Slit Valve, Rectangular Gate Valve A-310958, RS1128 | ||
24298 | VAT | 02112-AA24-AQX1/0110 | Lot of 2 VAT 02112-AA24-AQX1/0110, 02012-BA24-ACA1/001A used Actuators | ||
24299 | VAT | 02112-AA24-AVU1/0137 | VAT 02112-AA24-AVU1/0137 Rectangular Gate Valve | ||
24300 | VAT | 02112-AA24-AVU1/0273 | NEW VALVE GATE RECTANGULAR | ||
24301 | VAT | 02112-BA24-0001/0010 | 205415/0020 | VAT 02112-BA24-0001/0010 Slit Valve, Rectangular Gate Valve A-275454, RS1124 | |
24302 | VAT | 02112-BA24-APN1/0293 | A-439194 | VAT 02112-BA24-APN1/0293 Rectangular Gate Valve, Pneumatic Actuator, A-439194 | |
24303 | VAT | 02112-BA24-AQZ1/0193 | VAT 02112-BA24-AQZ1/0193 Gate Valve, A-436650 | ||
24304 | VAT | 02112-BA24-AQZ1/0211 | VAT 02112-BA24-AQZ1/0211 Gate Valve, A-436650 | ||
24305 | VAT | 02112-BA44-0001/0119 | VAT 02112-BA44-0001/0119 Rectangular Gate Valve, Pneumatic Actuator, A-895722 | ||
24306 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24307 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24308 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24309 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24310 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24311 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24312 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24313 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24314 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24315 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24316 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24317 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24318 | VAT | 0212-AA24-AV01 | VAT 0212-AA24-AV01, Rectangular Gate Valve, Pneumatic Actuator, MONOVAT 021 | ||
24319 | VAT | 02309-MA44-1003 | NEW VAT 02309-MA44-1003 Rectangular Monovat insert with Pneumatic actuator | ||
24320 | VAT | 0530X-CA24-ABM3/0002 | AMAT | VAT 0530X-CA24-ABM3/0002 Slit Valve, Gate, Rectangular Insert L-VAT, AMAT | |
24321 | VAT | 07512-UA24-0001/0221 | VAT 07512-UA24-0001/0221 Slit Valve, Gate Valve A-323737, RS1123 | ||
24322 | VAT | 07512-UA24-0002/2499 | VAT 07512-UA24-0002/2499 Slit Valve, Rectangular Gate Valve A-833274, RS1129 | ||
24323 | VAT | 07514-UA24-0002 | A-487083 | 205860A | VAT 07514-UA24-0002, Transfer Door, Vacuum Gate Valve, HV Gate, 0445, A-487083 |
24324 | VAT | 10416-5 | VAT Gate Valve 10416-5 | ||
24325 | VAT | 10836-PE44-0005 | VAT 10836-PE44-0005 Throttle Valve11/163 Gate Valve, | ||
24326 | VAT | 12124-KA44-0001 | new VAT 12124-KA44-0001 Valve assembly | ||
24327 | VAT | 12144-PA24-AGT1/0879 | VAT 12144-PA24-AGT1/0879, Gate valve, aluminum, w 3.5″ extension flange with KF50 side port | ||
24328 | VAT | 12144-YA24-AJP1/0007, A-621893 | AMAT | 3870-04510 | Gate Valve insert DN 160 |
24329 | VAT | 12146-PA24-AOI2/0471 | VAT 12146-PA24-AOI2/0471 Vacuum Gate Valve, Shaft Feedthrough | ||
24330 | VAT | 12148-PA24-AIG1/0055 | VAT 12148-PA24-AIG1/0055 Pneumatic Gate Valve, Vacuum | ||
24331 | VAT | 12148-PA24-AIG1/0188 | VAT 12148-PA24-AIG1/0188 Pneumatic Gate Valve, Vacuum | ||
24332 | VAT | 12148-PA24-AKW1/0008 | VAT 12148-PA24-AKW1/0008 Pneumatic Gate Valve, Vacuum, RS1177 | ||
24333 | VAT | 12148-PA24-AXT1/0001 | VAT 12148-PA24-AXT1/0001 Pneumatic Gate Valve, Vacuum | ||
24334 | VAT | 12150-PA24-AXT1/0001 | VAT 12150-PA24-AXT1/0001 Pneumatic Gate Valve, Vacuum | ||
24335 | VAT | 14038-PE24-AIU1 | VAT 14038-PE24-AIU1/0012 HV Gate valve, 14038-PE24-AIU1 | ||
24336 | VAT | 14038-PE24-AIU1/0006 | VAT 2 inch gate valve with 2 iso connectors 14038-PE24-AIU1/0006 A-375238 | ||
24337 | VAT | 14040-PE24-ABJ2/0147 | A-753658 | VAT 14040-PE24-ABJ2/0147, A-753658, Housing, No insert | |
24338 | VAT | 17240-PE44-1010 | VAT 17240-PE44-1010 Vacuum Valve, SC7129 | ||
24339 | VAT | 20040-CE14-AAC1/0001 | NEW VAT 20040-CE14-AAC1/0001 Vatterfly | ||
24340 | VAT | 20040-UE24-ABD2 | Novellus | 60-135013-00 | VALVE,VAT,6″CF,EXT BODY,5/16-2 |
24341 | VAT | 20340-PA24-ADK1/0005 | VAT 20340-PA24-ADK1/0005 Vatterfly Throttle valve w Nor-Cal Products 02-292851-00 isolation valve and 2 extensions | ||
24342 | VAT | 24324-KA41 | VAT 24324-KA41 Vacuum Angle Valve w/ Lucifer 488980 Colenoid Valve | ||
24343 | VAT | 24332-KA41-1002/0777 | VAT, KF40 Isolation Valve 24332-KA41-1002/0777 | ||
24344 | VAT | 24424-KA24-BCZ1/0073 | VAT 24424-KA24-BCZ1/0073 vacuum Angel valve | ||
24345 | VAT | 24424-KA24-BCZ1/0391 | VAT 24424-KA24-BCZ1/0391 A-603532 PNEUMATIC ANGLE VALVE KF16 NOVELLUS | ||
24346 | VAT | 24424-KA24-BCZ1/1581 | VAT 24424-KA24-BCZ1/1581 A-603532 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
24347 | VAT | 24424-KA24-BCZ1/1582 | VAT 24424-KA24-BCZ1/1582 A-603532 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
24348 | VAT | 24424-KA24-BCZ1/1590 | VAT 24424-KA24-BCZ1/1590 A-603532 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
24349 | VAT | 24424-KA24-BCZ1/1591 | VAT 24424-KA24-BCZ1/1591 A-603532 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
24350 | VAT | 24424-KA24-BCZ1/1594 | VAT 24424-KA24-BCZ1/1594 A-603532 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
24351 | VAT | 24424-KA24-BCZ1/1595 | VAT 24424-KA24-BCZ1/1595 A-603532 VACUUM ISOLATION VALVE RIGHT ANGLE | ||
24352 | VAT | 24424-KA24-BCZ1/1897 | VAT 24424-KA24-BCZ1/1897, Right Angle KF16 vacuum isolation valve | ||
24353 | VAT | 24428-KA11-0001/0038 | VAT 24428-KA11-0001/0038 Vacuum Angle Valve, Inline, PN81766, 895722/7 | ||
24354 | VAT | 26336-QA11-AGU1/0016 | Vat Isolation Valve, DN 100, 90 Degree Angle Inova PVD, 26336-QA11-AGU1/0016 | ||
24355 | VAT | 26428-KA11-0001/5008 | VAT 26428-KA11-0001/5008 HV Vacuum Angle Valve, 961614/2 | ||
24356 | VAT | 26428-KA11-0001/5014 | VAT 26428-KA11-0001/5014 HV Vacuum Angle Valve, 961614/2 | ||
24357 | VAT | 26428-KA11-0001/5331 | VAT 26428-KA11-0001/5331 HV Vacuum Angle Valve, RS1214 | ||
24358 | VAT | 322143/001 | Novellus | 60-348904-00 | Actuator Kit, Monovat valve, series 021 |
24359 | VAT | 62028-KE18-AAI3/0130 | VAT 62028-KE18-AAI3/0130 valve with conflat and KF 25 flanges for Novellus | ||
24360 | VAT | 65040-PA52-ACB2 | VAT 65040-PA52-ACB2 Throttle Gate Valve, Isolation, Pendulum, | ||
24361 | VAT | 65040-PA52-BHK1/0093 | Novellus | 63-287207-00 | VAT 65040-PA52-BHK1/0093 Throttle Gate Valve, Novellus 63-287207-00 |
24362 | VAT | 65048-PH52-CMB1 | LAM | 796-901160-210 | VAT 65048-PH52-CMB1 Pendulum Valve Assy, LAM 796-901160-210 |
24363 | VAT | 65640-PA52-AFI | VAT 65640-PA52-AFI Throttle Gate Valve, Isolation, Pendulum, | ||
24364 | VAT | 772218549220170815/ | VAT Insert, Gate Valve, Slit Valve, 772218549220170815 | ||
24365 | VAT | 78175 -R1 | novellus | 63-254381-00 | Kit, Gate, with Viton Seal |
24366 | VAT | 82216-01 | VAT 82216-01 Base, P-5000 | ||
24367 | VAT | 93753-R1 | VAT 93753-R1 PM-4 Adaptive Pressure Controller,641PM-94AG-AAV1/0001 | ||
24368 | VAT | CHCT-00052 | VAT Cover CHCT-00052 Gate Valve | ||
24369 | VAT | DN25 – 50 | VAT Series 29, DN 25 -D. 1″-2″) Angle & Inline Valve with soft-pump funct | ||
24370 | VAT | F-40860-05 | VAT F-40860-05 Gate Valve, Ultra Low Vacuum | ||
24371 | VAT | KF 40 | Lot of 2 VAT KFht angle VACUUM ISOLATION VALVE, | ||
24372 | VAT | PM-4 | VAT PM-4 Adaptive Pressure Controller FABR 641PM-94NM-1001/001 | ||
24373 | VAT | PM-4 | VAT PM-4 Adaptive Pressure controller FABR 641PM-94NM-1001/005 | ||
24374 | VAT | PM-4 | 641PM-26PM-0002/273 | VAT PM-4 Adaptive Pressure Controller, 641PM-26PM-0002/273 | |
24375 | VAT | PM-4 | VAT PM-4 Adaptive Pressure Controller, 796-092705-005, | ||
24376 | VAT | PM-5 | LAM | 796-093088-004 | VAT PM-5 Adaptive Pressure Controller, LAM 796-093088-004 |
24377 | VAT | PM-5 | LAM | 795-093088-004 | VAT PM-5 Adaptive Pressure Controller, LAM 796-093088-004 |
24378 | VAT | PM-5 | 64PM.3C.20 | 641PM-16PL-1003/004 | VAT Adaptive Pressure Controller, 641PM-16PL-1003/004, Eprom 64PM.3C.20 |
24379 | VAT | PM-5 | LAM | 796-093088-004 | VAT PM-5 Adaptive Pressure Controller, LAM 796-093088-004 |
24380 | VAT | PM-5 | LAM | 796-093088-004 | VAT PM-5 Adaptive Pressure Controller, LAM 796-093088-04 |
24381 | VAT | PM-5 | LAM | 685-061526-1 | VAT PM-5 Adaptive Pressure Controller, 641PM-16PL-002/081 |
24382 | VAT | PM-5 | LAM | 796-093088-001 | VAT PM-5 Adaptive Pressure Controller, LAM 796-093088-001 |
24383 | VAT | PM-5 | VAT PM-5 Adaptive Pressure Controller 641PM-16PL-002/801, 64PM.3C.00 LAM | ||
24384 | VAT | PM-5 | Lam Research | 796-093088-004 | VAT PM-5, Lam Research 796-093088-004, Controller, Adapt Pressure VLV, |
24385 | VAT | PM-5 | LAM | 796-093088-004 | VAC PM-5 Adaptive Pressure Controller, LAM 796-093088-004, |
24386 | VAT | PM-5 | LAM | 796-093088-004 | Adaptive pressure controller PM-5, 641PM-36PM-1011/327, 64PM.3C.18 |
24387 | VAT | PM-5 | LAM | 796-093088-04 | VAT PM-5 Adaptive Pressure Controller, LAM 796-093088-04 |
24388 | VAT | PM-5 | LAM | 796-093088-004 | VAT PM-5 Adaptive Pressure Controller, LAM 796-093088-004 |
24389 | VAT | PM-6 | 810-49867 | pressure controller with VAT 93728-R1 | |
24390 | VAT | VAT 650VM-64GT-ABV1 | VM-6 Valve position controller controller | ||
24391 | VAT | VAT RECTANGULAR GATE VALVE MONOVAT SERIES 3 | |||
24392 | VAT | Novellus | R60-154308-00 | VALVE,VAT,W/CHEMRAZ GATE,50X33 | |
24393 | VAT | VAT RECTANGULAR GATE VALVE MONOVAT SERIES 2 | |||
24394 | VAT | Insert plates for pendulum valves 216490549229451203 | |||
24395 | VAT | 95244-PAAY-AGP1 | VAT | 495425/0006 | VAT 95244-PAAY-AGP1 Gate Valve Assy, 495425/0006, Novellus |
24396 | VAT | 9750X-UE24-ACW1/002 | Novellus | VAT 9750X-UE24-ACW1/002 Valve Assy, Vacuum, Novellus | |
24397 | VAT | 9750X-UE24-ACW1/002 | Novellus | VAT 9750X-UE24-ACW1/002 Valve Assy, Vacuum, Novellus | |
24398 | VAT | 9750X-UE24-ACW1/002 | Novellus | VAT 9750X-UE24-ACW1/002 Valve Assy, Vacuum, Novellus | |
24399 | VAT | 9750X-UE24-ACW1/002 | Novellus | VAT 9750X-UE24-ACW1/002 Valve Assy, Vacuum, Novellus | |
24400 | VAT | 61234-KAGY-AFL2/008 | VAT 61234-KAGY-AFL2/008 Throttle Valve, Novellus | ||
24401 | VAT | 9400X-XA11-ACU1/0154 | Novellus | 02-312537-00 | VAT 9400X-XA11-ACU1/0154 Assy, Chamber, Robot, Vacuum, Novellus 02-312537-00 |
24402 | VAT | 0220X-BA24-CJJ1/0001 | VAT 0220X-BA24-CJJ1/0001 Pneumatic Slit Valve, A-1298851 | ||
24403 | VAT | 0220X-BA24-CJJ1/0001 | VAT 0220X-BA24-CJJ1/0001 Pneumatic Slit Valve, A-1298851 | ||
24404 | VAT | 0220X-BA24-CIN1/0001 | VAT 0220X-BA24-CIN1/0001 Pneumatic Slit Valve, A-1303907 | ||
24405 | VAT | 0220X-BA24-CIN1/0008 | VAT 0220X-BA24-CIN1/0008 Pneumatic Slit Valve, A-1461868 | ||
24406 | VAT | NA | VAT High Vacuum Gate Valve, Isolation, Flange, Vacuum Gauge Sensor Head | ||
24407 | VAT | 81767-R1 | 4 VAT 81767-R1 Seal Kit, Vacuum, Oring, N-500-327, N-7100-037 | ||
24408 | VBS | VBS Automatic Dewar Filling Station ADF 10.3, LN2, w/ Chart – MVE | |||
24409 | VCR | 4JR-9.52RE-RG-Ni-O | 18 VCR 1/2 Inch Gasket with Retainer 4JR-9.52RE-RG-Ni-O | ||
24410 | VCV | D10337 | VCV D10337, Shut Off Valve, Isolation Valve, Manifold. ,,,,,,,. | ||
24411 | Veeco | 0340-655-01 | Veeco 0340-655-01, Auto neutralization controller | ||
24412 | Veeco | DEKTAK SXM | Veeco DEKTAK SXM Atomic Force Microscope, VENT RAK VD6200-99-1637 | ||
24413 | Veeco | DV-1M | Veeco DV-1M Vacuum Gauge Tube | ||
24414 | Veeco | EN 61010-1 | Veeco Optical Profiler – Bruker Contour GT-K, EN 61010-1 | ||
24415 | Veeco | Veeco KF40 Vacuum Foreline Elbow | |||
24416 | VEM | 22S0010 | VEM 22S0010 Ti Target, Titanium, MRC, CPA, 4.75″ x 14.875″ x 0.375″ | ||
24417 | VERIFLO | 42600719 | VERIFLO19 944AOPLPNCSFSFF DIAPHRAGM VALVE 125PSI ID | ||
24418 | VERIFLO | 42600719 | VERIFLO19 944AOPLPNCSFSFF DIAPHRAGM VALVE 125PSI ID | ||
24419 | Veriflo | 43200627 | EXD250V1B2 | Veriflo 43200627 Sensym EXACT Pressure Transducer, EXD250V1B2 | |
24420 | VERIFLO | 45400036 | VERIFLO36 IR40022PFSMMPMEL5438 PRESSURE REGULATOR VALVESI | ||
24421 | VERIFLO | 45400036 | VERIFLO36 IR40022PFSMMPMEL5438 PRESSURE REGULATOR VALVESI | ||
24422 | VERIFLO | 45400036 | VERIFLO PRESSURE REGULATOR VALVESI | ||
24423 | VERIFLO | 45400668 | Parker | 172890 | Parker Veriflo SQMICROHF602PFSFF Valve, Regulator68, 172890, |
24424 | VERIFLO | 45600572 | Veriflo72 Valve | ||
24425 | VERIFLO | 45700041 | 45700048 | 2 Veriflo4148, 316L, High Purity Valve Diaphragm, Spring | |
24426 | VERIFLO | 45700153 | Veriflo53 High Purity Diaphragm Valve, 316L | ||
24427 | VERIFLO | 45800345 | Veriflo45 Isolation Valve, 945A0PLPNCHF111VESP | ||
24428 | VERIFLO | 45800345 | Veriflo45 Isolation Valve, 945A0PLPNCHF111VESP | ||
24429 | VERIFLO | 923060358 | C060823013725 | Veriflo 0923060358, C060823013725, Manual Bellows, Gas, LOTO, Valve. | |
24430 | VERIFLO | 945A0PLPNCFSFTS5160 | Veriflo 945A0PLPNCFSFTS5160, Diaphragm Valve. | ||
24431 | VERIFLO | 945Y3NCNCFSFFF5010 | Veriflo 945Y3NCNCFSFFF5010 3-Way Gas Vave, | ||
24432 | VERIFLO | 955A0PLPNCSFSFM | AMAT | 3870-00864 | 4 AMAT 3870-00864, Veriflo 955A0PLPNCSFSFM48 pneumatic valve, VCR fitting |
24433 | VERIFLO | 955A0PLPNCSFSFM | AMAT | 3870-00864 | 5 AMAT 3870-00864, Veriflo 955A0PLPNCSFSFM48 pneumatic valve, VCR fitting |
24434 | VERIFLO | 955A0PLPNCSFSFM | AMAT | 3870-00864 | 3 AMAT 3870-00864, Veriflo 955A0PLPNCSFSFM48 pneumatic valve, VCR fitting |
24435 | VERIFLO | 955A0PLPNCSVCRFF2.78EVHC | Veriflo 955A0PLPNCSVCRFF2.78EVHC Valve w/ Mount, Novellus 17-026431-00, | ||
24436 | VERIFLO | 955MSFSFFLK | Veriflo Valve 955MSFSFFLK | ||
24437 | VERIFLO | 959100W2PFSMM | PARKER, VERIFLO 959100W2PFSMM PRESSURE REGULATOR, 43700614 | ||
24438 | VERIFLO | ATR-01785 | Veriflo ATR-01785 316L 125 PSI MAX Valve | ||
24439 | VERIFLO | DV25S50 | Veriflo DV25S50 Stainless 316 High Pres Gelman Filter Control Valve | ||
24440 | VERIFLO | DV26 S4 VCRF VCRM | Veriflo DV26 S4 VCRF VCRM, Valve. | ||
24441 | VERIFLO | F11SVP | Veriflo F11SVP Pressure Valve | ||
24442 | VERIFLO | HFR902W-3P-FS8-FIF-V-4354 | Veriflo HFR902W-3P-FS8-FIF-V-4354 Pressure Regulation Valve | ||
24443 | VERIFLO | HFR902W3PXFS8MMFV | Veriflo HFR902W3PXFS8MMFV Pressure Regulator, InletI, | ||
24444 | VERIFLO | IR401S-4P8-V1-4-MK | Veriflo IR401S-4P8-V1-4-MK Pressure Regulator Valve w/Gauge, | ||
24445 | VERIFLO | SMSQMICRO3010 | Veriflo SMSQMICRO3010 Regulator Valve | ||
24446 | VERIFLO | SP654712ASPB | Veriflo SP654712ASPB Regulator Valve 0242-38957 | ||
24447 | VERIFLO | Veriflo 3-Way Diaphragm Valve | |||
24448 | Verify | E698DB | Verigy E698DB Plate, Block, Frame; | ||
24449 | Veris | Z102198-0F | z500223-00 | 9070T100D1 | MGE UPS Systems, Front Panel, Veris Z102198-0F, z500223-00, 9070T100D1 |
24450 | Verity | SD1024D-7 | Verity | 1005361SF | Verity SD1024D-7 Spectrometer w/ Verity FL2002 Flashlamp, SpeedFam IPEC |
24451 | Verity | SD2048DL | AMAT | 0190-25450 | Verity SD2048DL Spectrometer8, AMAT 0190-25450, 24v, 2A |
24452 | Verity | VM3100 | Verity VM3100 Optical Emission End Point CPU, Novellus, 2-00127-001 PCB | ||
24453 | Verity Instruments, Inc. | SD2048PL | Verity SD2048PL Spectrometer3 | ||
24454 | Vero | 116-046781J | Vero 116-046781J Power SupplyDC, 17A, Trivolt Gk120, | ||
24455 | Vero bivolt | 116-010022A | VERO 116-010022A POWER SUPPLY MODULE, BIVOLT PK60 A | ||
24456 | Vero bivolt | 116-010022A | VERO 116-010022A POWER SUPPLY MODULE, MONOVOLT PK60 A | ||
24457 | Vero monovolt | 116-010065J | VERO 116-010065J POWER SUPPLY MODULE, MONOVOLT PK60, PK60-III CE | ||
24458 | Vero monovolt | 116-010065J | VERO 116-010065J POWER SUPPLY MODULE, MONOVOLT PK60, PK60-III CE | ||
24459 | Vero monovolt | 116-010216E | VERO 116-010216E POWER SUPPLY MODULE, MONOVOLT PK30, 115/230 VAC | ||
24460 | Versa | PF-1586 | Versa PF-1586, Valve Assy NC PVDF/PVDF. | ||
24461 | Versa | PF-1586 | Versa PF-1586, Valve Assy NC PVDF/PVDF. | ||
24462 | Versa | VSW-8606-WS-89 | Versa VSW-8606-WS-89, Valve, Selector, 3 Way Air Operated Water Valve | ||
24463 | VersaLogic | VL-7806 | VersaLogic VL-7806 PCB, VL-7806A, VL-7806C, ID | ||
24464 | Versatest | V1000 | BRD-V1190 | Versatest Inc. V1000 Formatter/PE Board, BRD-V1190 Rev. 1. | |
24465 | Versatest | V1000 | BRD-V1190 | Versatest Inc. V1000 Formatter/PE Board, BRD-V1190 Rev. 2. | |
24466 | versatest | V1000 | Formatter/ PE board V1190, 9100300XVER, looks new | ||
24467 | Versatest | V1000 | BRD-V1190 | Versatest Inc. V1000 Formatter/PE Board, BRD-V1190 Rev. 3. | |
24468 | Versatest | V1000 | BRD-V1190 | Versatest Inc. V1000 Formatter/PE Board, BRD-V1190 Rev. 3. | |
24469 | Versatest | V1000 | BRD-V1190 | Versatest Inc. V1000 Formatter/PE Board, BRD-V1190 Rev. 3. | |
24470 | Verteq | 1054629-1 | VERTEQ 1054629-1 THERMOCOUPLE HEATER PROBE | ||
24471 | Verteq | 1069973-3 | Verteq 1069973-3, E1062548-3 Rev.L, Panel. | ||
24472 | Verteq | 1069973-3 | Verteq 1069973-3, E1062548-3 Rev.L, Panel. | ||
24473 | Verteq | 108300-1 58204 | Verteq 108300-1 58204, CE MEG Power Filter | ||
24474 | Verteq | S600-C1-E2 | VERTEQ S600-C1-E2 AMPLIFIER, MEG, SUNBURST 120 VAC | ||
24475 | Verteq | S600-C1-E2 | VERTEQ S600-C1-E2 AMPLIFIER, MEG, SUNBURST 120 VAC | ||
24476 | Verteq | ST600-C2-MC4 | Verteq ST600-C2-MC4 Amplifier, RF, Megasonic, AE 3156023-000D | ||
24477 | Verteq | ST600-C2-MC4 | Verteq ST600-C2-MC4 Amplifier, RF, Megasonic, AE 3156023-000D | ||
24478 | Verteq | 1600-55M | 1071649.1R | Verteq 1600-55M, 1600 Old Style Controller for SRD9.1R | |
24479 | Verteq | 1600-55M | 1071649.1R | Verteq 1600-55M, 1600 Old Style Controller for SRD9.1R | |
24480 | Verteq | 1600-55M | 1071649.1R | Verteq 1600-55M, 1600 Old Style Controller for SRD9.1R | |
24481 | Verteq | 1600-55M | 1066564.18rK | Verteq 1600-55M, 1600 Old Style Controller for SRD4.18rK | |
24482 | Vickers | 3.5/0.06 | Vickers Instrumnts DD4336 3.5/0.06 microscope objective lens DD 4336 | ||
24483 | VICOR | VI-261-CW | VICOR VI-261-CW DC to DC Converter and Switching Regulator Module | ||
24484 | Vicor | VI-26F-CU | Vicor VI-26F-CU DC Converter Module | ||
24485 | Vicor | VI-26F-CU | Vicor VI-26F-CU DC Converter Module | ||
24486 | Victor | SR 250D | Matheson | LMT4374B | Victor SR 250D Regulator Assy w/ Gauges, 3000 PSIG |
24487 | Video Seven | 650-0266-04 | Video Seven 650-0266-04, 600-0095, PCB | ||
24488 | VIE | DAQ-R16I160-L3 | VIE DAQ-R16I160-L3 PCB | ||
24489 | Vision Biosystems | S12-0288-110-A | Vision Biosystems S12-0288-110-A Blood/Sensor Valve Assembly | ||
24490 | ViTEC | 59PR73-181 | 1 Lot of 250 ViTEC 59PR73-181 | ||
24491 | VITEC | 59PR73-181 | VITEC 59PR73-181 COMPONENT SMD HIGH FREQUENCY POWER INDUCTOR | ||
24492 | VITEC | 59PR73-181 | VITEC 59PR73-181 COMPONENT SMD HIGH FREQUENCY POWER INDUCTOR | ||
24493 | VITEC | 59PR73-181 | VITEC 59PR73-181 COMPONENT SMD HIGH FREQUENCY POWER INDUCTOR | ||
24494 | VLSI | 75-C1098 | Millipore | C6BM7119 | VLSI 75-C1098, Gas Filter, 1/4 Inch, VCO, Millipore Wafer Inline C6BM7119 |
24495 | VLSI | 66-0009 | Fusion Systems Corporation | 045061 | VLSI 66-0009 Photo Resistor Assy, Fusion Systems 045061, C5-605-3 |
24496 | VMB | VMB Cabinet Gas Valve Manifold Box, 22×22.5×46.5″, Swagelok, Parker, SMC | |||
24497 | VMB | VMB Cabinet Gas Valve Manifold Box, 22×22.5×46.5″, Swagelok, Parker, SMC | |||
24498 | VMB | VMB Cabinet Gas Valve Manifold Box, 22×22.5×46.5″, Swagelok, Parker, SMC | |||
24499 | VMB | VMB Cabinet Gas Valve Manifold Box, 22×22.5×46.5″, Swagelok, Parker, SMC | |||
24500 | VME | 33021-653 51 | Scroff 33021-653 51 PCB Extended Card, VME | ||
24501 | VO PAKPLUS | AICP-IOPAKPLIS | VO PAKPLUS AICP-IOPAKPLIS, LR42272, E101239, Industrial I/O. | ||
24502 | Volgen | ESK50U-1515W | Novellus | 27-10053-00 | Volgen ESK50V-1515W Power Supply, 15v0/60HZ Novellus 27-10053-00 |
24503 | Volgen | ESK50U-1515W | Novellus | 27-10053-00 | Volgen ESK50U-1515W Power Supply Dual 15V outputt, 27-10053-00 |
24504 | Volgen | ESK50U-1515W | Volgen ESK50U-1515W Power Supply0/60H2, Novellus, 27-10053-00 | ||
24505 | Volgen | ESK50U-1515W | Novellus | 27-10053-00 | |
24506 | VOLPI | 26890 | VOLPI INCIDENT GOOSENECK FIBER OPTICS LIGHT GUIDE 26890 | ||
24507 | Voltech | PM100 | Voltech PM100 Single Phase Power Analyzer | ||
24508 | Vulcan | 26T-5BRX | 2 Vulcan 26T-5BRX Heat Exchanger, Chiller, 1200W, 230V, AMAT, | ||
24509 | Waco | SDS-515 | Waco SDS-515, Giken 5, Phase Stepper Driver, | ||
24510 | Wafer | Cassette | 6″ Process Cassette, Height 4.5″ | ||
24511 | WAGO | 282-128/281-413 | WAGO 282-128/281-413 FUSED TERMINAL BLOCKS, LOT OF 6 | ||
24512 | WAGO | 787-800 | WAGO 787-8005-018 SWITCH MODE POWER SUPPLY 24VDC 1.0AMP ID | ||
24513 | WAGO | 787-800 | WAGO 787-800, SWITCH MODE POWER SUPPLY8-018 ID | ||
24514 | WAGO | 787-805 | WAGO 787-805 Power Supply 24V 1A5-018 | ||
24515 | WAGO | 787-805 | 477482498 | Wago 787-805, 477482498, Power Supply, 120VAC, 60Hz, 24VDC, 1.0Amp. | |
24516 | WAGO | 788-312 | 12 WAGO 788-312 Relays, DC 24V, AC 250V/2x8A | ||
24517 | Wah Lee Industrial Corp. | 881-QZ-002 | Applied Materials AMAT | 0200-10176 | Wah Lee 881-QZ-002 Shadow Ring, Quartz, 200mm Etch Chamber, MXP+, AMAT |
24518 | Wahl | 9004597 | Wahl 9004597 Temperature Recorder | ||
24519 | WAHL | 9004598 | WAHL INSTRUMENTS, 9004598 TEMPERATURE RECORDER, 21613712 | ||
24520 | Warner Electric | M06.3-LE-507E | Warner Electric M063-LE-507E Slo-Sync Stepping Motor 2.9A, 3.36VDC. | ||
24521 | Warner Electric | M063-LE-507E | Warner Electric M063-LE-507E Slo-Sync Stepping Motor 2.9A, 3.36VDC. | ||
24522 | Warwick | MC-DG910C-P-V-4C | Warwick MC-DG910C-P-V-4C Mass Flow Controller MFC H2 100 SCCM, MC-DG910C, RS1172 | ||
24523 | Warwick | MC-DG910C-P-V-4C | Warwick MC-DG910C-P-V-4C Mass Flow Controller MFC H2 100 SCCM, MC-DG910C, RS1173 | ||
24524 | Warwick | MC-AN610C-P-V4V | Warwick MC-AN610C-P-V4V Mass Flow Controller MFC ArCM, MC-AN610C | ||
24525 | Warwick | MC-DG910C-P-V-4C | Warwick MC-DG910C-P-V-4C Mass Flow Controller MFC Ar 100 SCCM, MC-DG910C | ||
24526 | Wasco | 0226-10943 | Wasco 0226-10943 Vacuum Pressure Switch 30″ HG PSIG 1A 115 VAC 700 TORR | ||
24527 | Wasco | SV120-31W2A-X/9773 | Wasco SV120-31W2A-X/9773 Vacuum Switch, 115 VAC, 1A | ||
24528 | Wasco | SV120-31W2B-X/1565 | Wasco SV120-31W2B-X/1565 Vacuum SwitchRR | ||
24529 | Wasco | SV120-51L3B-X/2068 | Novellus | 34-309953-06 | Wasco SV120-51L3B-X/2068 Vacuum Switch, 15 Torr, Novellus 34-309953-06 |
24530 | Wasco | SV120-51L3B-X/2068 | Novellus | 34-309953-06 | Wasco SV120-51L3B-X/2068 Vacuum Switch, 15 Torr, Novellus 34-309953-06 |
24531 | Wasco | SV120-51L3B-X/2068 | Novellus | 34-309953-06 | Wasco SV120-51L3B-X/2068 Vacuum Switch, 15 Torr, Novellus 34-309953-06 |
24532 | Wasco | SV128-31W2B-X/1727 | Wasco SV128-31W2B-X/1727 Vacuum Switch, 20 TORR, 115 VAC | ||
24533 | Wasco | SV129-31W2A-X/6686 | LAM | 853-17480-002 | Wasco SV129-31W2A-X/6686, Assy Vacuum Switchr, LAM 853-17480-002 |
24534 | Wasco | SV129-31W2A-X/6686 | Wasco SV129-31W2A-X/6686, Switch, 75 Totr | ||
24535 | Wasco | V110-31W3/4135 | Wasco V110-31W3/4135 Pressure Switch, 00-683430-01, ID | ||
24536 | Wasco | Wasco 48317182-00 Pressure Switch | |||
24537 | Wasco | SV120-51L3B-X/2486 | Novellus | 34-309953-07 | Wasco SV120-51L3B-X/2486 Vacuum Switch, Novellus 34-309953-07 |
24538 | Wasco | SV120-51L3B-X/2486 | Novellus | 34-309953-07 | Wasco SV120-51L3B-X/2486 Vacuum Switch, Novellus 34-309953-07 |
24539 | Wasco | SV129-51L3B-X/2494 | Novellus | 34-309953-08 | Wasco SV129-51L3B-X/24946 Vacuum Switch, Novellus 34-309953-08 |
24540 | Wasco | V250V-21W2B-X/78951 | Wasco V250V-21W2B-X/78951 Vacuum Switch, 3000 PSIG, 1A, 115 VAC | ||
24541 | WASP | 6000 | Wasp Bar Code Quick Scan 6000, used | ||
24542 | Wastech | OL100VCP C.5 | Wastech Duplex Vertical Pump Lift Station Control Panel Pacer Pumps OL100VC C.5 | ||
24543 | Wastech | OL100VCP C.5 | Wastech Duplex Vertical Pump Lift Station Control Panel Pacer Pumps OL100VCP C.5 | ||
24544 | Watanabe | R-E0251 | Watanabe R-E0251, Max AC250V(0.5A), AC125V(1A),Vacuum Pump Gauge Box. | ||
24545 | Watlow | 146 | 6 Watlow 146 Temp controls W/ IDEC micro Smart, circuit breaker, DIN Rail | ||
24546 | Watlow | 0604-02 | Lot of 4 New Watlow heater element 240v 150W Firerod 0604-02 J4a122-9651 | ||
24547 | Watlow | 0632-134 | Lot of 12 New Watlow heater element 240v 150W Firerod 0632-134 J4a122-9651 | ||
24548 | Watlow | 140A-2601-6000 | 140-1811 | 02-210-0-1181 | Watlow 140A-2601-6000, Limit Control PCB, 140-1811, 02-210-0-1181 |
24549 | Watlow | 6-39-73-38 | Watlow 6-39-73-38, 3 Phase, 240V, Heater Element | ||
24550 | Watlow | 997D-11CC-JURG | Watlow 997D-11CC-JURG Dual Channel Digital Temperature Controller Display, 997 | ||
24551 | Watlow | 997D-11CC-JURG | Watlow 997D-11CC-JURG Dual Channel Digital Temperature Controller Display,398239 | ||
24552 | Watlow | 997D-11CC-JURG | Watlow 997D-11CC-JURG Dual Channel Digital Temperature Controller Display,398238 | ||
24553 | Watlow | 997D-1_CC-JURG | Watlow 997D-1_CC-JURG Dual Channel Digital Temperature Controller Display,398240 | ||
24554 | Watlow | AF1031402 | Harvested Spare Assy, Springloaded, TC Watlow AF1031402 | ||
24555 | Watlow | BHC715C3S | Watlow BHC715C3S Heater Element, Heat Exchanger, 240V, 6000W | ||
24556 | Watlow | BHC715C3S | Watlow BHC715C3S Heater Element, Heat Exchanger, 240V, 6000W | ||
24557 | Watlow | D8 | Watlow D8 Temperature Controller, DeviceNet, D880-0000-0000 | ||
24558 | Watlow | DB20-24CO-S000 | Watlow DIN-a-Mite Solid State Power Control DB20-24C0-S000 | ||
24559 | Watlow | DC11-27P5-000 | 6 Watlow 75A solid state power controls, looks new | ||
24560 | Watlow | DC11-27P5-0000 | 2 Watlow 75A solid state power controls, looks new | ||
24561 | Watlow | DC1L-5020-V300 | Watlow DIN-a-mite Solid state power control DC1L-5020-V300 | ||
24562 | Watlow | DC30-24C0-0000 | Solid state power control. Looks unused | ||
24563 | Watlow | Din-A-Mite | 2 Watlow Din-A-Mite Power Switching Device | ||
24564 | Watlow | ES2951 | Novellus | 34-261375-00 | Watlow ES2951 Gas Line Heater Jacket, Novellus 34-261375-00 |
24565 | Watlow | PUD1-A101-0829 | Micro DIN temperature controller, looks unused | ||
24566 | Watlow | RB-0006 | AMAT | 1400-01286 | Watlow RB-0006 Sensor Raw, TFE, RTD, AMAT 1400-01286, |
24567 | Watlow | RB-2000744 | 19194-121 | 4098 | Watlow RB-2000744, Thermocouple Probe, 19194-121G088617 |
24568 | Watlow | SD6C-HCJA-AAAF | 2 WATLOW SD TEMPERATURE CONTROLLERS SD6C-HCJA-AAAF | ||
24569 | Watlow | Syst-5071-0000 | Winona | Watlow Winona Syst-5071-0000 Temperature Monitor | |
24570 | Watlow | Syst-5071-0000 | Winona | Watlow Winona Syst-5071-0000 Temperature Monitor | |
24571 | Watlow | syst-5164-0000 | WATLOW WINONA SYST-5164-0000, 000206 | ||
24572 | Watlow | TLM-8 | ANAFAZE K-type TC controlled temperature controller | ||
24573 | Watlow | YSW-200-9806 | Heater blanket, Heater #2 Jacket, tunnel | ||
24574 | Watlow | YSW-200-9808 | Heater blanket, with TC, Heater #3 Jacket, tunnel | ||
24575 | Watlow | RMC1U1U1U1UAAAA | Watlow RMC1U1U1U1UAAAA EZ-ZONE RM Control Module, RS1271 | ||
24576 | Watlow | RMC1U1U1U1UAAAA | Watlow RMC1U1U1U1UAAAA RM Control Module, 20.4-30V AC/DC,z, 7 Watts Max | ||
24577 | Watlow | 146D-2J1C-3100 | Watlow 146D-2J1C-3100 Temperature Controller | ||
24578 | Watlow Winona | Syst-5070-0000 | Watlow Winona, Syst-5070-0000, Temperature Controller | ||
24579 | Watlow Winona | SYST-5070-0000 | Watlow Winona SYST-5070-0000 Temperature Controller | ||
24580 | Watson Marlow | 323DU | 314X | Watson MarlowPeristaltic Pump w/ Two 314X Pumpheads DriveM, RS1140 | |
24581 | Watts | 9252 | Watts Regulator 9252 | ||
24582 | Watts | B35-02AHC | 2 Watts Fluidair B35-02AHC Pneumatic Filter Regulator | ||
24583 | Watts | ECKF601K | Watts ECKF601K Elem .01 Mic Air FL Kit, | ||
24584 | Watts | HA-640 | 2 Watts HA-640 Nylon Splicer 1 1/2″ X 1 1/2″ | ||
24585 | Watts Fluidair | B35-02AHC | SMC | Watts Fluidair B35-02AHC Pneumatic Filter Regulator w/ SMC Gauge | |
24586 | Watts Fluidaire | ECKF601K | SP1994-1184 | Watts Fluidair ECKF601K Element, Air Filter, 0.01 Micron, SP1994-1184 | |
24587 | Wave biotech | CO2MIX20 | WAVE BIOTECH BIOREACTOR CO2MIX20, 115/230 VAC | ||
24588 | WAVE RESEARCH | AS2000FX | NEW WAVE RESEARCH AS2000FX SYSTEM CONTROLLER BACKPLANE 10-3531RC PCB ACCUSCRIBE | ||
24589 | Wavetek | 110S44 | Wavetek 110S44 Function Generator, Model 110 | ||
24590 | Wavetek | 110S44 | Wavetek 110S44 Function Generator, Model 110 | ||
24591 | Wavetek | 801 | Wavetek 801 Pulse Generator, | ||
24592 | Wavetek | 801 | Wavetek 801 Pulse Generator, | ||
24593 | Wavetek | 802 | Wavetek 802 Pulse Generator, | ||
24594 | Wavetek | 178 | Wavetek 178 Programmable Waveform Synthesizer, | ||
24595 | Wedgewood Technology | Model 660 | Wedgewood Technology Model 660 UV Analyzer | ||
24596 | Weigh-tronix | WI-125 | Weigh-tronix WI-125, Class III/IIIL Scaleload, w/ Interface 1210AF-1K | ||
24597 | Weksler | L8T2S | Weksler Instruments Type L8T2S, Temperature and Humidity Recorder, Chart. | ||
24598 | Welch Allyn | FX-100 | Welch Allyn FX-100sigmoidoscope with case | ||
24599 | Wellex | 0603N100J500NT | Wellex 0603N100J500NT, Ceramic Chip Capacitor, | ||
24600 | Wentworth | 2803 | Wentworth Laboratory 2803 Wafer Prober, 280X | ||
24601 | Wentworth | 0-043-0001 | Wentworth Lab 0-043-0001 Prober, Lamp, 8″ Wafer Chuck Temptronic TP03000A-2300-1* | ||
24602 | Wesels / Wolever | 389-1010-00 | Wessels/Wolever 389-1010-00 DMA-W Controller PCB, 671-4031-02-671-4032 | ||
24603 | Weslan Systems | GM2T-3271352M | Hepa Filter 53″x13″x4.5″ | ||
24604 | West Bond | 5400-15A-26C-28A-34A-42C-49A-51-52-58 | West Bond 5400-15A-26C-28A-34A-42C-49A-51-52-58 Ultrasonic Wedge Bonder | ||
24605 | West Bond | 7200A | 1200D | West Bond 7200A Manual Epoxy Die Bonder w/ 1200D Temperature Controller | |
24606 | Westinghouse | MCP03150R | Westinghouse MCP03150R Motor Circuit Protector | ||
24607 | Westinghouse | GWF3060 | Circuit Breaker 3 Pole 60 Amps | ||
24608 | Westlake technology | MCT120 | Mechanical Cartridge Tester 120 Controller | ||
24609 | Westward | NA | Westward 3 Ton Farm/RV Service Jack, Lift Range: 5-1/4″ to” | ||
24610 | Westward | NA | Westward 3 Ton Farm/RV Service Jack, Lift Range: 5-1/4″ to” | ||
24611 | Wet Bench | Wet Bench Storage Area | |||
24612 | Whirlpool | W10300490 | Whirlpool W10300490 Vesta Handle, Black | ||
24613 | Whirlpool Corp. | W10310922 | Whirlpool Corp. W10310922 TRIM-VENT | ||
24614 | White Knight | AP0000941A | White Knight AP0000941A AP100 Ultra High Purity Chemical Pump | ||
24615 | White-Rodgers Division | S84Z-90 | White-Rodgers Division S84Z-90, Transformer, 120V, 208V, 240V, 60Hz Pri | ||
24616 | Whitey | SS-43VCO4/A | Whitey SS-43VCO4-A Ball Valve 1/4In 2 Wat Angle 2500PS | ||
24617 | Whitey | SS-44S6 | Whitey SS-44S6 Ball Valve | ||
24618 | Whitey | SS-44S6 | Whitey SS-44S6, Ball Valve | ||
24619 | Whitey | SS-45X58 | Whitey SS-45X58 gas line, shutoff, isolation valve, | ||
24620 | Whitey | SS-43XVCR4 | Whitey SS-43XVCR4 3-Way Ball Valve | ||
24621 | Whitey | SS-43XVCR4 | Whitey SS-43XVCR4 3-Way Ball Valve | ||
24622 | Whitey | SS-3NTRF4 | Whitey SS-3NTRF4 Valve, Isolation | ||
24623 | Whitman | P117G-25H-K12L | New Whitman P117G-25H-K12L, Pressure Switches, 70 PSI decreasing | ||
24624 | Whitman | P117G-25H-K12L | Whitman P117G-25H-K12L, Pressure Switch, 70 PSIG DECR. | ||
24625 | Whitman Controls | P119V-3N-K52L | Whitman Controls P119V0-3N-K52L Switch | ||
24626 | Wikai | 4277477 | Wikai7 Lower Mount Pressure Gauge, Type 230.25, 2″ | ||
24627 | WIKAI | 232.35.063 | WIKAI 232.35.063 PRESSURE GAUGE W/ ALARM CONTACTS 821.12 30 W/50VA 316SS | ||
24628 | Wilden | P100/KKPPP/TNU/TF/KTV/0502 | Wilden P100/KKPPP/TNU/TF/KTV/0502 Pump, RS1280 | ||
24629 | WILKERSON | P16-02-01Q B 04 | WILKERSON P16-02-01Q B 04 filter regulator | ||
24630 | Wilkerson | R28-04-F000 | 3 Wilkerson R28-04-F000 Pneumatic Air Regulator, 1/2″ | ||
24631 | Wilkerson | R28-04-F000 | Wilkerson R28-04-F000 Pneumatic Air Regulator w/ Gauge, 1/2″ | ||
24632 | Wilkerson | R28-06-F000 | 3 Wilkerson R28-06-F000 Pneumatic Air Regulator w/ Gauge, 3/4″ | ||
24633 | Wilkerson | R28-06-F000 | 4 Wilkerson R28-06-F000 Pneumatic Air Regulator w/ Gauge, 3/4″ | ||
24634 | Wilkerson | P16-02-01QA G02 | Wilkerson P16-02-01QA G02 Pressure Regulator, Max Inlet 300 PSIG, 21 Bar | ||
24635 | Win Systems | 400-0299-000 | Win Systems99-000 C-Flash 2 PCB99-000G ADPCFLASH2-44-1912B | ||
24636 | Win Systems | 400-0299-000 | Win Systems99-000 C-Flash 2 PCB99-000G ADPCFLASH2-44-1912B | ||
24637 | Win Systems | 400-0299-000 | Win Systems99-000 C-Flash 2 PCB99-000G ADPCFLASH2-44-1912B | ||
24638 | Win Systems | 400-0299-000 | Win Systems99-000 C-Flash 2 PCB99-000G ADPCFLASH2-44-1912B | ||
24639 | Win Systems | 400-0299-000 | Win Systems99-000 C-Flash 2 PCB99-000G ADP-CFLASH2-44-0 | ||
24640 | WinSystems | 400-0007-000 | WinSystems07-000, Memory Card STD Bus, PCB, LPM-UMC2, 0C Diff. | ||
24641 | WinSystems | 400-0299-000 | Win Systems99-000 C-Flash 2 PCB99-000G ADPCFLASH2-44-1912B | ||
24642 | WinSystems | 400-0299-000 | Win Systems99-000 C-Flash 2 PCB99-000G ADPCFLASH2-44-1912B | ||
24643 | WinSystems | 400-0299-000 | Win Systems99-000 C-Flash 2 PCB99-000G ADPCFLASH2-44-1912B | ||
24644 | WinSystems | PCM-UI048A | WinSystems PCM-UI048A, FAB55-00B | ||
24645 | WinSystems | PCM-UIO48A | 400-0184-000 | WinSystems PCM-UIO48A PC/104 Digital IO PCB84-000 | |
24646 | WinSystems | PCM-UIO48 | WinSystems | PCM-COM4A | WinSystems PCM-UIO48 Digital IO PCB w/ PCM-COM4A Daughter Board |
24647 | WinSystems Inc. | EBC-C3 | WinSystems | 400-0312-000J | WinSystems EBC-C312-000J PCB w/ DIMM168-G-133-64M RAM |
24648 | WinSystems Inc. | EBC-C3 | WinSystems | 400-0312-000J | WinSystems EBC-C312-000J PCB w/ DIMM168-G-133-64M RAM |
24649 | WinSystems Inc. | EBC-C3 | WinSystems | PCM-COM4A | WinSystems EBC-C312-000J PCB w/ PCM-COM4A Daughter Board |
24650 | WinSystems Inc. | EBC-C3 | WinSystems | 400-0312-000J | WinSystems EBC-C312-000J PCB |
24651 | WinSystems Inc. | PCM-UIO48 | WinSystems | PCM-COM4A | WinSystems PCM-UIO48 Digital IO PCB w/ PCM-COM4A Daughter Board |
24652 | WinSystems Inc. | PCM-COM4A | WinSystems | 400-0237-000B | WinSystems PCM-COM4A PCB37-000B |
24653 | WinSystems Inc. | PCM-COM4A | WinSystems | 400-0237-000B | WinSystems PCM-COM4A PCB37-000B |
24654 | WinSystems Inc. | 400-0299-000G | WinSystems99-000G C-Flash 2 PCB99-000, ADP-CFLASH2-44-0 | ||
24655 | WJ Watkins Johnson Aviza | 961033 | WJ 961033 Rev. A Gasket Acess, Left | ||
24656 | WJ Watkins Johnson Aviza | 083231-000 | Watson Johnson 1127, 083231-000, Power Meter-Horiz 0-5 VDC Scale-Panel | ||
24657 | WJ Watkins Johnson Aviza | 085831-000 | WJ 085831-000 Circuit Breaker, 3 Pole, 70 Amp, 600V, ID | ||
24658 | WJ Watkins Johnson Aviza | 087230-000 | WJ Watkins Johnson 087230-000 Aviza Check Valve VCR Connection, | ||
24659 | WJ Watkins Johnson Aviza | 088258-000 | WJ pressure switch, 250psi, increasing | ||
24660 | WJ Watkins Johnson Aviza | 113292-02 | Aviza SPTS 113292-02 Plate, cooling, shutter, 15.15 dia, 300mm | ||
24661 | WJ Watkins Johnson Aviza | 258805-001 | Controller, Aux CS, PCB assy | ||
24662 | WJ Watkins Johnson Aviza | 604387-03 | AVIZA 604387-03 Heated manifold TEA SAT | ||
24663 | WJ Watkins Johnson Aviza | 7376-001 | WJ wafer loader return shuttle, WJ999 or WJ1000, not sure if complete | ||
24664 | WJ Watkins Johnson Aviza | 859-5257-002 | New Main System control panel, in sealed bag. Laser gauge, laser viewing, exposure lamp | ||
24665 | WJ Watkins Johnson Aviza | 901531-001 | TC interface PCB, Look new | ||
24666 | WJ Watkins Johnson Aviza | 906182-001 | Watkins Johnson, WJ, 906182-001, Heated, Jacket Air Valve. | ||
24667 | WJ Watkins Johnson Aviza | 906182-001 | Watkins Johnson, WJ, 906182-001 Heated Jacket Air Valve, | ||
24668 | WJ Watkins Johnson Aviza | 906182-001 | Watkins Johnson, WJ, 906182-001 Heated Jacket Air Valve, | ||
24669 | WJ Watkins Johnson Aviza | 906182-001 | Watkins Johnson, WJ, 906182-001 Heated Jacket Air Valve, | ||
24670 | WJ Watkins Johnson Aviza | 906182-001 | Watkins Johnson, WJ, 906182-001 Heated Jacket Air Valve, | ||
24671 | WJ Watkins Johnson Aviza | 906182-001 | Watkins Johnson, WJ, 906182-001 Heated Jacket Air Valve, | ||
24672 | WJ Watkins Johnson Aviza | 906182-001 | Watkins Johnson, WJ, 906182-001 Heated Jacket Air Valve, | ||
24673 | WJ Watkins Johnson Aviza | 906182-001 | Watkins Johnson, WJ, 906182-001 Heated Jacket Air Valve, | ||
24674 | WJ Watkins Johnson Aviza | 906182-001 | Watkins Johnson, WJ, 906182-001 Heated Jacket Air Valve, | ||
24675 | WJ Watkins Johnson Aviza | 906464-001 | Watkins Johnson WJ 906464-001 Muffle Etch, Convey Belt | ||
24676 | WJ Watkins Johnson Aviza | 907294-001 | NEC WJ 66, Aviza, 907294-001 Insulated, Heater, Gas, Mini | ||
24677 | WJ Watkins Johnson Aviza | 909192-001 | Watkins-Johnson 909192-001 Harness, Sensor, Shutter, Return, ID | ||
24678 | WJ Watkins Johnson Aviza | 965158-000 | Aviza 965158-000 CARD,INPUT,TEMPERATURE CONTROLLER | ||
24679 | WJ Watkins Johnson Aviza | 975783-001 | Switching panel PCB. Looks new in sealed bag. Surplus inventoryfrom major semiconductor facility. | ||
24680 | WJ Watkins Johnson Aviza | 976259-001 | Watkins-Johnson 976259-001 Rev.A, Status Alarm Panel. | ||
24681 | WJ Watkins Johnson Aviza | 976259-001 | Watkins-Johnson 976259-001 Rev.A, Status Alarm Panel. | ||
24682 | WJ Watkins Johnson Aviza | 976259-001 | Watkins-Johnson 976259-001 Rev.A, Status Alarm Panel. | ||
24683 | WJ Watkins Johnson Aviza | 976259-001 | Watkins-Johnson 976259-001 Rev.A, Status Alarm Panel. | ||
24684 | WJ Watkins Johnson Aviza | 99-9813 | WJ 99-9813 Elbow, Injector Vent Exhaust Port Line, | ||
24685 | WJ Watkins Johnson Aviza | WJ0057 | Watkin Johnson 088558-000, 0057, Aviza, Airpax Circuit Breaker 25A | ||
24686 | WJ Watkins Johnson Aviza | WJ1000 | Aviza Technology Orifice, Throttle Valve; WJ 999 or WJ1000 CVD TO Exhaust contl | ||
24687 | XCYBEX | 7310-0817-01-A | XCYBEX 7310-0817-01-A, PC-COMPANION PLUS | ||
24688 | Xcybex | EXV-0 | Xcybex EXV-0 Extender | ||
24689 | Xentek | XE180-40-1365 | 800-660-04 | Xentek XE180-40-1365 Power Supply, 800-660-04 | |
24690 | Xentek | XE180-15-1364 | 800-842-04 | Xentek XE180-15-1364 Power Supply, 800-660-04 | |
24691 | Xentek | XE180-15-1364 | 800-842-04 | Xentek XE180-15-1364 Power Supply, 800-660-04 | |
24692 | Xentek | XE180-15-1364 | 800-842-04 | Xentek XE180-15-1364 Power Supply, 800-660-04 | |
24693 | XFR | 2247225-002 | Electroglas HorizonXFR Arm Subsystem 247225-002 SBC 2B 94V-O 97-10 | ||
24694 | XILINIX | 1014 | XINIX 1014 Endpoint Controller, Powers up | ||
24695 | XILINIX | XC7354 | Lot of 18, Xilinx XC7354 Complex Erasable Programmable Logic Device, 54 Cell | ||
24696 | XILINIX | XC7354 | 1 lot of 7 Xilinx XC7354 Complex Erasable Programmable Logic Device, 54 Cell | ||
24697 | Xilinx | DLC9 | Xilinx DLC9 Platform Cable USB, 0431316, 2 mm Connector Signals | ||
24698 | XP Power | 80310044 | HUL300-14 | XP Power HUL300-14, 080310044, Power Supply, PCB. | |
24699 | XP Power | AHM150PS24 | XP Power AHM150PS24, AC/DC Power Adapter For VAT73, | ||
24700 | XP Power | DNR480 | DNR480PS24-ID | XP DNR480, DNR480PS24-ID Industrial Control Equipment, Power Supply | |
24701 | Yageo | RC05J155 | Lot of 6500 Yageo 1.5 Mohm Chip resistor RC05J155 | ||
24702 | Yakasawa | SGMCS-35E3B-YA11 | Yakasawa SGMCS-35E3B-YA11 AC Servo Motor Head, Sycamore X0673 | ||
24703 | Yakasawa Electric | SGM-02A314B | Yakasawa Electric SGM-02A314B Motor AC. Servo, | ||
24704 | Yakasawa Electric | SGM-02A5FJ12 | Yakasawa Electric SGM-02A5FJ12 Motor AC. Servo, | ||
24705 | YAMABISHI | YAPC-100-3.5 | YAMABISHI ELECTRONIC POWER CONTROLLER YAPC-100-3.5 | ||
24706 | Yamaha Motor | KG7-M441H-0 | KG7-8A700-01 | Yamaha Motor KG7-M441H-0 Vision Board Assembly, PCB, KG7-8A700-01 | |
24707 | Yamamoto Electric Works | MS61L | Yamamoto Electric Works MS61L, Manostar Pressure Switch, MS-61L, | ||
24708 | Yamatake-Honeywell | FL2-4A6H-L5 | Yamatake-Honeywell FL2-4A6H-L5 Proximity Sensor, Micro Switch | ||
24709 | Yamatake-Honeywell | FL2-4A6H-L2 | Yamatake-Honeywell FL2-4A6H-L2 Proximity Sensor, Micro Switch | ||
24710 | Yasakawa | CACR-SR03AB2ER | Yaskawa CACR-SR03AB2ER Servopack Servo Drive | ||
24711 | Yaskawa | CIMR-AU5A0009FAA | Yaskawa CIMR-AU5A0009FAA, VFD, Frequency Drive, Digital Operator. | ||
24712 | Yaskawa | CIMR-F7U27P5 | Yaskawa CIMR-F7U27P5 Varsispeed F7 AC Drive | ||
24713 | Yaskawa | CIMR-VU4A0005FAA | Yaskawa CIMR-VU4A0005FAA AC Drive | ||
24714 | Yaskawa | CIMR-VU4A0009FAA | Yaskawa CIMR-VU4A0009FAA AC Drive | ||
24715 | Yaskawa | CIMR-VU4A0009FAA | Yaskawa CIMR-VU4A0009FAA AC Drive | ||
24716 | Yaskawa | CIMR-VU4A0018FAA | Yaskawa CIMR-VU4A0018FAA AC Drive | ||
24717 | Yaskawa | CIMR-VUBA0012FAA | Yaskawa CIMR-VUBA0012FAA AC Drive | ||
24718 | Yaskawa | D-70136-16 | Yaskawa D-70136-16 PCBA Board, JAMMC-SRC01, RPC398-031-2-16, 9T4901-1-1-9 | ||
24719 | Yaskawa | JAMSC-B1066 | Yaskawa Memocon-SC Type JAMSC-B1066 24V DC | ||
24720 | Yaskawa | JAMSC-B1067B | YASKAWA MEMOCON-SC SERVO CONTROLLER JAMSC-B1067B USPP B1067B 24V DC | ||
24721 | Yaskawa | JAMSC-B1067B | YASKAWA MEMOCON-SC SERVO CONTROLLER JAMSC-B1067B USPP B1067B 24V DC | ||
24722 | Yaskawa | JAMSC-B1067B | YASKAWA MEMOCON-SC SERVO CONTROLLER JAMSC-B1067B USPP B1067B 24V DC | ||
24723 | Yaskawa | SDGA-01AS | Yaskawa SDGA-01AS SERVO AMPLIFIER, 1 Phase 2.5 AMPS,50/60 Hz 200-230 V | ||
24724 | Yaskawa | SGDA-01AS | AMAT | 0870-01010 | Yaskawa SGDA-01AS, Servopack Servo Drive, Amplifier, AMAT 0870-01010. |
24725 | Yaskawa | SGDA-01AS | AMAT | 0870-01010 | Yaskawa SGDA-01AS, Servopack Servo Drive, Amplifier, AMAT 0870-01010. |
24726 | Yaskawa | SGDA-01AS | AMAT | 0870-01010 | Yaskawa SGDA-01AS, Servopack Servo Drive, Amplifier, AMAT 0870-01010. |
24727 | Yaskawa | SGDA-01AS | AMAT | 0870-01010 | Yaskawa SGDA-01AS, Servopack Servo Drive, Amplifier, AMAT 0870-01010. |
24728 | Yaskawa | SGDA-01AS | AMAT | 0870-01010 | Yaskawa SGDA-01AS, Servopack Servo Drive, Amplifier, AMAT 0870-01010. |
24729 | Yaskawa | SGDA-01AS | AMAT | 0870-01010 | Yaskawa SGDA-01AS, Servopack Servo Drive, Amplifier, AMAT 0870-01010. |
24730 | Yaskawa | SGDA-08AS | AMAT | 0870-01074 | Yaskawa SGDA-08AS Servopack Driver, Servomotor, SGDA, 200V, 0870-01074 |
24731 | Yaskawa | SGDA-08AS | AMAT | 0870-01074 | Yaskawa SGDA-08AS Servopack Driver, Servomotor, SGDA, 200V, 0870-01074 |
24732 | Yaskawa | SGDA-08AS | AMAT | 0870-01074 | Yaskawa SGDA-08AS Servopack Driver, Servomotor, SGDA, 200V, 0870-01074 |
24733 | Yaskawa | SGDA-08AS | AMAT | 0870-01074 | Yaskawa SGDA-08AS Servopack Driver, Servomotor, SGDA, 200V, 0870-01074 |
24734 | Yaskawa | SGMP-01L314M | Yaskawa SGMP-01L314M AC Servomotor, Mounting Bracket, | ||
24735 | Yaskawa | T03L-QU11 | Minertia Motor | Yaskawa Electric Minertia Motor T03L-QU11 Servo Motor B87779-01 225767-9 | |
24736 | Yaskawa | UGRMEM-02SSA42 | UTOPI-050SC1SB | Yaskawa UGRMEM-02SSA42 Servo Motor, UTOPI-050SC1SB, | |
24737 | Yaskawa | UTOPI-100SE | R02SA20E | Yaskawa Electric Corp. UTOPI-100SE, R02SA20E, Minertia Stepper Motor | |
24738 | Yaskawa | CIMR-F7U22P2 | Yaskawa CIMR-F7U22P2 Varispeed F7 Variable Frequency Drive | ||
24739 | Yaskawa | CACR-PR03KA3BU | Yaskawa CACR-PR03KA3BU Servopack | ||
24740 | Yaskawa | LASC-100W8 | Nikon | 4S014-104 | Yaskawa LASC-100W8 PCB Assy, Nikon 4S014-104 AC, CERV, B12, LASC-100 SUB |
24741 | Yaskawa | SGDS-04A72AY539 | Yaskawa SGDS-04A72AY539 Servopack Servo Controller | ||
24742 | Yaskawa | SGDV-5R5A21A | Yaskawa SGDV-5R5A21A Servopack Servo Driver | ||
24743 | Yaskawa Electric | SGDS-50A72A | Yaskawa SGDS-50A72A Servopacl Servo Driver, 200V | ||
24744 | Yaskawa Electric Corporation | UGRMEM-04MSAD2 | 135164 | Yaskawa UGRMEM-04MSAD2 RM Series Minertia Motor Servo 135164 A-Y001-D218, | |
24745 | YES | RCM3 | YES-RCM3, Yield Engineering System | ||
24746 | Yield Engineering Systems | YES-5 | Yield Engineering Systems YES-5 Vacuum Oven | ||
24747 | Yihua | YH-305D | Yihua YH-305D DC Power Supply, 110V/AC, 6A | ||
24748 | Yokogawa | 700988 | Yokogawa 700988z Passive Oscilloscope Probe | ||
24749 | Yokogawa Compumotor | SD1004B64 | Yokogawa Compumotor SD1004B64 Dynaserv Servo Drive, Parker | ||
24750 | Yuhas | 131293500 | YUHAS 131293500 Bracket | ||
24751 | Zebra | 2348 | Zebra 2348 Plus Thermal Label Printer, FDX LP238 PLUS, 120617-001 | ||
24752 | Zebra | 24M | 24M00-0001-0000 | Zebra 24M, 24M00-0001-0000, Thermal Label Printer. | |
24753 | Zebra corp | Z4000 | Barcode Printer with configuration01-0000 | ||
24754 | Zebra Technologoes | 105S | Zebra Technologies 105S Thermal Monochrome Label Printer, Z105-211-0000 | ||
24755 | Zebra Technologoes | 105S | Zebra Technologies 105S Thermal Monochrome Label Printer, Z105-211-0000 | ||
24756 | Zeiss SMS | 1967-445 | Zeiss SMS 1967-445 Objective Cable, 000000-1967-445, 18.5m | ||
24757 | Zendex | 1569-03 | 72 channel digital I/O board surplus inventory | ||
24758 | Zheng | ZGB37RG31i | 151028A6207 | 2 Zheng ZGB37RG31i Gear Box Motor, DC, 24V, 150 RPM, ZYTD520 | |
24759 | ZipLink Automation | ZL-RTB-DB09 | ZipLink ZL-RTB-DB09 Remote Termination Block, DB, 9 Pin, RS1268 | ||
24760 | Ziptec | 0176-670-00 | 0175-603-00 | Zip TEC Driver BD , DPG I/0 BD | |
24761 | Z-World Davis | SBC270A2 | Z-World Davis SBC270A2 Circuit Board, PCB | ||
24762 | Zygo | 240-00178-AA | Zygo 240-00178-AA 100x/0.95 Microscope Objective Lens | ||
24763 | Zygo | 240-00178-AB | Zygo 240-00178-AB80 Microscope Objective Lens | ||
24764 | Zygo | 260-00034-01 | 2 NEW Zygo technical instrument 260-00034-01 PCB board Schematic 660-00034-01 | ||
24765 | Zygo | 260-00094-01 | Zygo Servo Amplifier card assy 260-00094-01 | ||
24766 | Zygo | 260-00094-01 | Zygo Servo Amplifier card 260-00094-01 ASSY | ||
24767 | Zygo | 260-00094-01 | Zygo Servo Amplifier card 260-00094-01, REV X4 ASSY | ||
24768 | Zygo | 260-00102-01 | Zygo 260-00102-01 Assy PCB Board | ||
24769 | Zygo | 260-00106-01 | Zygo 260-00106-01, PCB for Optical Head, 270-00047-00, PWB, 360-00106-01. | ||
24770 | Zygo | 260-00106-01 | Zygo 260-00106-01 Rev.X3, PCB, PWB, 360-00106-01. | ||
24771 | Zygo | 260-00106-01 | Zygo 260-00106-01 Rev.X3, PCB, PWB, 360-00106-01. | ||
24772 | Zygo | 260-00106-01 | 270-00047-00 | Zygo 260-00106-01, 270-00047-00, PWB, PCB for Optical Head, Assembly. | |
24773 | Zygo | 291-00184-01 | ZYGO PIEZO INTERFACE MODULE 291-00184-01, 39402 | ||
24774 | Zygo | 291-00184-01 | ZYGO PIEZO INTERFACE MODULE 291-00184-01, 39400 | ||
24775 | Zygo | 291-00184-01 | ZYGO PIEZO INTERFACE MODULE 291-00184-01, 39401 | ||
24776 | Zygo | 291-00184-01 | ZYGO PIEZO INTERFACE MODULE 291-00184-01 | ||
24777 | Zygo | 291-00187-01 | Zygo Servo Amplifier card 291-00187-01, 260-00094-01 ASSY | ||
24778 | Zygo | 291-00187-01 | Zygo Servo Amplifier card 291-00187-01, 260-00094-01 ASSY | ||
24779 | Zygo | 291-00187-01 | Zygo KM5450 Servo Amplifier card 291-00187-01, assy 260-00094-01 | ||
24780 | Zygo | 401-01589-01-B | 50090 | Zygo Manual Mirror Adjustment Assembly589-01-B, 50090 | |
24781 | Zygo | 401-01816-01-A | 401-01816-01-A, Objective Filter Assy, Light Source627-AA, Zygo. | ||
24782 | Zygo | KMS450i | ZYGO KMS450i tower controller 291-00033-AD, parts tool, missing hard drive | ||
24783 | Zygo | KMS450i | ZYGO KMS450i inspection system, parts tool, with controller 060-00010-01 #1118 | ||
24784 | Zygo | KMS450i | ZYGO KMS450i inspection system, looks complete, with controller 060-00010-01 – | ||
24785 | Zygo | MOR-27397-001 | Standard Lens for Zygo MOR-27397-001; | ||
24786 | Zygo | Nikon | 111651 | CFWN | Zygo Trinocular Head, Nikon 111651, CFWN 10x/20 |
24787 | ZYMARK | EIA 112200 | ZYMARK MODULE EIA 112200 | ||
24788 | 113884 | 113884 EZ-DTC ASSY VALVE 131408A | |||
24789 | 210186 | Cable Assy 210186 | |||
24790 | 211252730 | 211252730 Cable Harness, 4999 | |||
24791 | 005661-00 | Harness Assy, 005661-00 | |||
24792 | 017-0710-01 | 017-0710-01 Cable Assy | |||
24793 | 017-0710-01 | 017-0710-01 Cable Assy | |||
24794 | 0270-00013-00 | Clamp, NW25, Quick w/ Ratchet, 0270-00013-00 | |||
24795 | 0570085-00 | Cable Assy, 0570085-00, IAS PRI-IAS/TDI/EFS, EXH SW | |||
24796 | 06-26308 | Gate Valve, KF-40, 06-26308 | |||
24797 | 1000-0229-01 | Alignment Tool, 150mm, 6″229-01 | |||
24798 | 11682-00 | 11682-00 Isolation Valve, Bellows, Pneumatic | |||
24799 | 201-008 | 201-008 Bearing, 3/8ID x 7/8OD, FLG DBL SH | |||
24800 | 33322-001 | 33322-02 | CARBOGRAF-400 Pyrolytic Grphite Susceptor 33322-00102, 019830-25 | ||
24801 | 33322-001 | 33322-02 | Pyrolytic Grphite Susceptor 33322-00-102 | ||
24802 | 78-121462A17 | 4 RF Feed Thru 78-121462A17 | |||
24803 | 828-69-600 | Target Base, One piece, MU, 828-69-600 | |||
24804 | 832-2687A | 3 832-2687A ALUMINIUM ELECTRODE A FOR PY150 | |||
24805 | 8H60F | 8H60F Gear Head | |||
24806 | 93-1211-01 | Harness Assy, Turbo Pump, 93-1211-01 | |||
24807 | 93-1214-001 | Harness Assy, Turbo Pump, 93-1214-001 | |||
24808 | 93-1315-01 | Harness Assy, Turbo Pump, 93-1315-01 | |||
24809 | AB-0198S | Keyboard, Video, CPU Switch Box, AB-0198S | |||
24810 | BD-0024286 | 2 DAE BD-0024286 SiC/SiC SUS316 | |||
24811 | GAFS-812 | 3 GAFS-812, Connfemale 1/2 x 3/4, | |||
24812 | IGP-04-000-1 | IGP-04-000-1 Gauge Protector, UV1-10 bar, Fluoroware | |||
24813 | LPAV100-QF-E-AS | LPAV100-QF-E-AS Pneumatic Angle Valve, RS1174 | |||
24814 | M+P8MT MP30 | SLIDING BLOCK UNIT M+P8MT MP30 | |||
24815 | M1350-6801V | 1 MByte EProm UV Erasable M1350-6801V, B.01.07 | |||
24816 | MB-304 | MB-304 Reducer Fitting, SP114, 1 1/4 x 3/4 | |||
24817 | MD-9190862 | MD-9190862 Cable Assy | |||
24818 | MS-0040-A | I19-12 | MS-0040-A Cylinder, Rod, I19-12 | ||
24819 | PASS78F90A | PASS78F90A, CEP022-YAR0390, Slide Ring | |||
24820 | PGSB-M12AS | 13 PGSB-M12AS Teflon, Glove Valve, 12mm OD | |||
24821 | RG-59A/U | Coax Cable 7ft RG-59A/U with BNC 195LP Silver Plated Connectors | |||
24822 | SM955LPN0S11 | 54012513 | SM955LPN0S11 Pneumatic Valve, 54012513 | ||
24823 | SM955LPN0S11 | 54012513 | SM955LPN0S11 Pneumatic Valve, 54012513 | ||
24824 | SM955LPN0S11 | 54012513 | SM955LPN0S11 Pneumatic Valve, 54012513 | ||
24825 | SM955LPN0S11 | 54012513 | SM955LPN0S11 Pneumatic Valve, 54012513 | ||
24826 | SM955LPN0S11 | 54012513 | SM955LPN0S11 Pneumatic Valve, 54012513 | ||
24827 | SOM-A-22-006-0 | Laser Multiplexer Assy, SOM-A-22-006-0 | |||
24828 | VZB-20 | VZB-20, JP2: 1-2 Special Mainboard, Winbond W27C257-10, SIS 6326AGP, PCB | |||
24829 | SK-3621 Isolation Valve | ||||
24830 | Wafer Holder Tray, MRC, PVD, CPA | ||||
24831 | 4 Timing Belt, 233-3927-08, 0710-701245, E5CX | ||||
24832 | Lot of 2 Mask Holder, Alignment, Lithography | ||||
24833 | Guide, Upper Unloader, 200mm | ||||
24834 | RF Connector | ||||
24835 | PVD Mask, Liner | ||||
24836 | NUPRO | N15978 | revised description NUPRO N15978 6LV-DABW4-P-GR SHUT OFF VALVE ON/OFF T 914114-001-1 PANEL | ||
24837 | Catch Cup for Wet Etch | ||||
24838 | Catch Cup for Wet Etch | ||||
24839 | GSI LUMONICS 65501747 C 20084 F34131180R.0121 ID | ||||
24840 | TEFLON WET PUMP WITH TWO TEFLON COMPRESSION FITTING | ||||
24841 | 3 LIGHT BULB, LIGHT, LAMP 120VVRSCREW | ||||
24842 | Locking Tee Handles, Chrome CH751 | ||||
24843 | Heater Chuck, Prober, 149612, HGKIJ6, 0411, HGKIJ7 | ||||
24844 | Entegris 1032-002 Flaretek Sealed Tube Fitting | ||||
24845 | Skinner M60002 Pressure Valve, 24VDC | ||||
24846 | Mounting Plate | ||||
24847 | Intel Pentium III2/100/2.0V S1 Processor (Phillipines) | ||||
24848 | 10 Spears 1/2″ PVC Connector | ||||
24849 | Cable Assy, Filter BD to Supp Grid | ||||
24850 | Impedence Analyzer | ||||
24851 | Lot of 10 9-Pin D Type Male Connectors | ||||
24852 | Lot of 5 9-Pin D Type Connector Gender Changer | ||||
24853 | Relay Spot Z784-ND, 24VDC Power, LY SER | ||||
24854 | You May Ask Yourself by Dalton Conley | ||||
24855 | Lot of 6 Pulleys, Drive Belts 1/4″ Shaft | ||||
24856 | 3A-15-081 Oring, Conveyor, ENT, SND, 0/2 | ||||
24857 | Two (2) 233-5108-68 O-ring, G210, Viton | ||||
24858 | Electroglas HorizonSystem I/O ASSY 247225 XFR AARM Subsystem | ||||
24859 | Electroglas HorizonSystem I/O ASSY 247219 Dev-558 Pre-align Subsystem | ||||
24860 | ADA-8 REV B TOP ASSY DCP881 512K 4P W/O PT ML 16 REV-B1 ID | ||||
24861 | TRILLIUM FM02 865-5683-00 033-9020-19 CB 14 E TM02 (MM+/DM) ID | ||||
24862 | MDIF-01A PCB Board | ||||
24863 | DNS SEAD-104, PCB, Analog, Controller, Bada AP-506A | ||||
24864 | AC Power Supply 0-15 VOLTS | ||||
24865 | Tro RF Adapter | ||||
24866 | Semiconductor Assembly, Vacuum, Flange, Chamber | ||||
24867 | 2 Bellows Clamp Assy w/ ISO63 to KF40 Reducer | ||||
24868 | ISO to KF-40 Elbow, Reducer Vacuum, Vacuum Flange, Vacuum Foreline | ||||
24869 | ISO to KF-40 Elbow & KF16 Vacuum Port, Elbow, Reducer Flange, Foreline | ||||
24870 | Electroglas Horizon Pneumatic Module | ||||
24871 | Chemical Cabinet Drum Storage Unit, with Exhaust | ||||
24872 | Blank Off Pumping Flange Bellows, Cover, Pumping Port, Gate Valve, Turbo | ||||
24873 | Blank Off Pumping Flange Cover Pumping Port, Gate Valve, Turbo, High VAC | ||||
24874 | Blank Off Pumping Flange Cover Pumping Port, Gate Valve, Turbo, High VAC | ||||
24875 | Blank Off Pumping Flange Cover Pumping Port, Gate Valve, Turbo, High VAC | ||||
24876 | Blank Off Pumping Flange Cover Pumping Port, Gate Valve, Turbo, High VAC | ||||
24877 | 2003556 | AT-377200 | 3 Arm, 2003556, BI-Optics AT-377200 | ||
24878 | 71-360201-A | 71-360301-A | PCB, A32406-BPL-22,D12000DE3F, 71-360201-A, 71-360301-A | ||
24879 | 71-360200-B | 71-360300-A | PCB, A32406-BPL-21,E000068EFF, 71-360200-B, 71-360300-A, LF1A | ||
24880 | 60600440-001 | 71-360300-A | PCB,D12000C0BF, 60600440-001, 60600440-001, 71-360300-A, 76AWRC | ||
24881 | 20″ Vacuum Foreline, KF40, Flange, Valve | ||||
24882 | 155567-001F | Ceramic, Furnace Tube, High Temperature, Insulator, Shield, 155567-001F | |||
24883 | KF40 Vacuum Elbow Flange, Fitting | ||||
24884 | 10 Ball Bearings, Stainless | ||||
24885 | 902518-001 | 902518-001 Ribbon Cable, OC DIFF | |||
24886 | 2nd level Interconnect Backplane Board, PCB, PBA G15585-401, 34S6CPB0000 | ||||
24887 | KF40 Vacuum Tee Flange, Fitting | ||||
24888 | O-Ring, Viton, -280 V75 | ||||
24889 | 00-683647-01 | 00-683647-01 AR Interlock Assembly | |||
24890 | Copper Flange Fitting to VCR 1/4 Fitting | ||||
24891 | 911104-S4 | 911104-S4 Rotary Joint, Flange | |||
24892 | Valve Assy, Tescom Pressure Regulator, Ham-Let Shut-Off V, PALL Filter | ||||
24893 | N3700122 | 10 N3700122 Stainless Bearing #698 | |||
24894 | 2 Cover, Plate | ||||
24895 | Bellows Shaft | ||||
24896 | IEEE-488 | Type IEEE-488 GPIB Interface Cable, Male/Female | |||
24897 | Ultrex Membralox Filter, 3000 PSI | ||||
24898 | End Effector for Robot Arm | ||||
24899 | 0270-0008 | 0270-0008 Alignment-JIG5mm | |||
24900 | LU2017-001 | LU2017-001 Ceramic Plate |
SS6305-0-7-1-1