Main Maker

Semiconductor equipment parts

Category:

Description

Semiconductor equipment parts. Valid Time: Subject to prior sale without notice. These items are only for end user.

1 CMP CMP-RIMEN EAC300bi-hv EBARA OBEV502
2 CMP CMP-RIMEN EAC300bi-hv EBARA OBEV501
3 CVD APF(550℃)_Scalpel ProducerXP/APF(ESC) AMAT CPRCE01
4 CVD APF(550℃)_Scalpel ProducerXP/APF(ESC) AMAT CPRCE02
5 CVD APF(650℃)_Scalpel ProducerXP/APF(ESC) AMAT CPRCP15
6 CVD APF(650℃)_SR ProducerXP/APF AMAT CPRCP23
7 CVD APF(650℃)_UX ProducerXP/APF(UX) AMAT CPRC501
8 CVD APF(650℃)_UX ProducerXP/APF(UX) AMAT CPRC502
9 CVD DARC ProducerGT/DARC AMAT CPRRE01
10 CVD D-TEOS(Dragon) DragonHP/TEOS ASM CDGTE08
11 CVD D-TEOS(Dragon_Cu) Dragon-HP(Cu) ASM CDGTE09
12 CVD D-TEOS(Dragon_Cu) Dragon-HP(Cu) ASM CDGTE13
13 CVD D-TEOS(Dragon) DragonHP/TEOS ASM CDGTE12
14 CVD NSG ProducerSE/NSG AMAT CPRDE05
15 CVD NSG ProducerSE/NSG AMAT CPRD501
16 CVD NSG ProducerSE/NSG AMAT CPRD505
17 CVD NSG ProducerSE/NSG AMAT CPRD507
18 CVD ONON Producer-Apache AMAT CPRBJ01
19 CVD ONON Producer-Apache AMAT CPRBJ02
20 CVD ONON Producer-Apache AMAT CPRBJ03
21 CVD ONON Producer-Apache AMAT CPRBJ04
22 CVD Silica CertasLEAGA/H2O TEL CCEHP04
23 CVD TV-SiN_Vector VectorExpress/SiN Lam CVCSJ02
24 CVD TV-SiN_Vector VectorExpress/SiN Lam CVCSE03
25 DIFF Gx/Gate Formula_2L1B TEL DFMX511
26 DIFF Pad/Gate Formula_2L1B TEL DFMX502
27 DIFF Gx/Gate Formula_2L1B TEL DFMA502
28 DIFF RTP(AL) Vantage_AP AMAT DRTPE20
29 DIFF RTP(AL) Vantage_AP_OLD AMAT DRTP503
30 DIFF RTP(Gate) Helios_3P Canon-MJ DRTH502
31 DIFF RTP(Gate) Vantage_AP AMAT DRTP520
32 DIFF RTP(Gate) Helios_3P Canon-MJ DRTH501
33 DIFF RTP(Gate) Vantage_AP AMAT DRTL501
34 DIFF RTP(ISSG) Vantage_LP AMAT DISG511
35 DIFF RTP(ISSG) Vantage_LP AMAT DISG510
36 DIFF RTP(ISSG) Vantage_LP AMAT DISG509
37 DIFF RTP(Gate) Vantage_LP AMAT DISG506
38 DIFF RTP(Post) Helios_3P_Quick Sort Canon-MJ DRTHP07
39 DIFF RTP(Post) Vantage_AP AMAT DRTP507
40 DRY Al/RIE(Opus) Opus AMAT ROPUB01
41 DRY Al/RIE(Opus) Opus AMAT ROPUB02
42 DRY Al/RIE(Opus) Opus AMAT ROPUB03
43 DRY DRM(ZL) Telius/DRM TEL RT5D512
44 DRY DRM3(L-power) Telius/DRM3 TEL RT5D502
45 DRY Exelan(ES/Al_M0) e5-Exelan/ES Lam REEFB02
46 DRY Exelan(ES/Nor) V2-Exelan/45ES Lam REXFE35
47 DRY Exelan(ES/Nor) V2-Exelan/45ES Lam REXFE36
48 DRY Exelan(ES/Nor) V2-Exelan/45ES Lam REXFE39
49 DRY Poly/RIE(Cent/Nor) Centura/Minos AMAT RCENB04
50 DRY Poly/RIE(Cent/Nor) Centura/Minos AMAT RCENB03
51 DRY Poly/RIE(Cent/Nor) Centura/Minos AMAT RCENE07
52 DRY Poly/RIE(Cent/W_BiCS) Centris/MESA2 AMAT RAGT501
53 DRY Poly/RIE(Cent/W_BiCS) Centris/MESA2 AMAT RAGT502
54 DRY Poly/RIE(Cent/Nor) Centris/Minos AMAT RAGTG17
55 DRY Poly/RIE(Cent/W_FG) Centris/Minos AMAT RAGTG18
56 DRY Poly/RIE(Cent/W_GC) Centura/MESA2_4ch AMAT RCENB08
57 DRY Poly/RIE(Cent/W_M1_BiCS) Centris/MESA2 AMAT RAGTJ36
58 DRY Poly/RIE(CX/Nor) V2-Kiyo/CX_HVBP Lam RVSP521
59 DRY Poly/RIE(CX/Nor) V2-Kiyo/CX_HVBP Lam RVSP516
60 DRY Poly/RIE(EX/Nor) e5-Kiyo/EX Lam RVEP502
61 DRY Poly/RIE(EX/Ti) e5-Kiyo/EX Lam RVEP504
62 DRY Poly/RIE(EX/Ti) e5-Kiyo/EX Lam RVEP503
63 DRY Poly/RIE(EX/Nor) e5-Kiyo/EX Lam RVEP203
64 DRY Poly/RIE(EX/Nor) e5-Kiyo/EX Lam RVEPE11
65 DRY Poly/RIE(EX/Nor) e5-Kiyo/EX Lam RVEPE13
66 DRY Poly/RIE(EX/Nor) e5-Kiyo/EX Lam RVEPE07
67 DRY Poly/RIE(EX/Nor) e5-Kiyo/EX Lam RVEPB07
68 DRY Poly/RIE(EX/Nor) e5-Kiyo/EX Lam RVEPB14
69 DRY Poly/RIE(EX/Nor) e5-Kiyo/EX Lam RVEPB05
70 DRY Poly/RIE(FX/BiCS) e6-Kiyo/FX Lam RV6PR05
71 DRY Poly/RIE(FX/BiCS) e6-Kiyo/FX Lam RV6PR04
72 DRY Poly/RIE(FX/BiCS) e6-Kiyo/FX Lam RV6PG08
73 DRY Poly/RIE(Kiyo45/Q) V2-Kiyo/45_Q Lam RVSP501
74 DRY Poly/RIE(Kiyo45/Q) V2-Kiyo/45_Q Lam RVSP515
75 DRY Poly/RIE(Kiyo45/Q) V2-Kiyo/45_Q Lam RVSP507
76 DRY Poly/RIE(Kiyo45/Q) V2-Kiyo/45_Q Lam RVSP529
77 DRY Poly/RIE(Kiyo45/Q) V2-Kiyo/45_Q Lam RVSP519
78 DRY Poly/RIE(Kiyo45/Q) V2-Kiyo/45_Q Lam RVSP520
79 DRY Poly/RIE(Kiyo45/Q) V2-Kiyo/45_Q Lam RVSPE04
80 DRY Poly/RIE(Kiyo45/Q) V2-Kiyo/45_Q Lam RVSP205
81 DRY Poly/RIE(Kiyo45/Q) V2-Kiyo/45_Q Lam RVSPE03
82 DRY Poly/RIE(Kiyo45/Q) V2-Kiyo/45_Q Lam RVSPE02
83 DRY Poly/RIE(NV/FG) Tactras/NV TEL RTT4503
84 DRY Poly/RIE(NV/FG) Tactras/NV TEL RTT4E03
85 DRY Poly/RIE(NV) Tactras/NV TEL RTT4J03
86 DRY Poly/RIE(NV) Tactras/NV TEL RTT4G04
87 DRY Poly/RIE(NV) Tactras/NV TEL RTT4J05
88 DRY Vesta(ST13M/Al) Telius/ST13M TEL RT5V503
89 DRY Vesta(ST13M/Al) Telius/ST13M TEL RT5V509
90 DRY Vesta(ST13M/Nor_EI) Telius/ST13M TEL RT5V504
91 DRY Vesta(ST13M/Nor_MX) Telius/ST13M TEL RT5V505
92 DRY Vesta(ST13M/Nor_MX) Telius/ST13M TEL RT5V506
93 DRY Vesta(ST13M/Nor_MX) Telius/ST13M TEL RT5V510
94 DRY Vesta(ST13M/Nor_MX) Telius/ST13M TEL RT5V511
95 DRY Vesta(ST3M/Al_CM) Tactras/ST3M TEL RTT5B02
96 DRY Vesta(ST3M/Co_CM) Tactras/ST3M TEL RTT5220
97 IMPLA Batch Hihg Current I/I LEX3-M SMIT ILEXA10
98 IMPLA Medium Current I/I Exceed3000AH SMIT IEXC214
99 Litho ArF(Dry) S308F Nikon PARF202-S
100 Litho ArF(Dry) S308F Nikon PARF205-S
101 Litho ArF(Dry) S308F Nikon PARFB03-S
102 Litho ArF(Immersion) XT-1700Fi ASML PAFI210-S
103 Litho ArF(Dry)  C/T Lithius TEL PARF202-C
104 Litho ArF(Dry)  C/T Lithius TEL PARF205-C
105 Litho ArF(Dry)  C/T Lithius TEL PARFB03-C
106 Litho ArF(Immersion) XT-1700Fi ASML PAFI202-S
107 Litho ArF(Dry)  C/T Lithius TEL PAFI202-C
108 Litho ArF(Immersion) XT-1700Fi ASML PAFI203-S
109 Litho ArF(Dry)  C/T Lithius TEL PAFI203-C
110 Litho ArF(Immersion)  C/T Lithius TEL PAFI210-C
111 Litho KrF_DUV ES5a Canon PKRFB01-S
112 Litho KrF_DUV ES5a Canon PKRF221-S
113 Litho KrF_DUV ES5a Canon PKRF222-S
114 Litho KrF_DUV S207D Nikon PKRF209-S
115 Litho KrF_DUV  C/T Lithius TEL PKRF209-C
116 Litho KrF_DUV  C/T Lithius TEL PKRF221-C
117 Litho KrF_DUV  C/T Lithius TEL PKRF222-C
118 Litho KrF_DUV  C/T RF3 SSS PKRFB01-C
119 Litho MUV(I LineS/R) FPA5510iZ Canon PMSRB12-S
120 Litho MUV(I LineS/R) FPA5510iZ Canon PMSRB06-S
121 Litho MUV(I LineS/R) FPA5510iZ Canon PMSRB05-S
122 Litho MUV(I LineS/R) FPA5510iZ Canon PMSRB04-S
123 Litho MUV(I LineS/R) FPA5510iZ Canon PMSRB03-S
124 Litho MUV(I LineS/R) FPA5510iZ Canon PMSRB02-S
125 Litho MUV(I LineS/R) FPA5510iZ Canon PMSRB23-S
126 Litho MUV(I LineS/R) FPA5510iZ Canon PMSRB24-S
127 LithoQC OLAlighment Inspection Archer-100 KLA-T QOLAB12
128 LithoQC OLAlighment Inspection Archer-100 KLA-T QOLAB32
129 LithoQC OLAlighment Inspection Archer-100 KLA-T QOLAB35
130 LithoQC OLAlighment Inspection Archer-100 KLA-T QOLAB09
131 LithoQC OLAlighment Inspection Archer-100 KLA-T QOLAB26
132 LithoQC OLAlighment Inspection Archer-100 KLA-T QOLAB31
133 LithoQC OLAlighment Inspection Archer-300 KLA-T QOLAB36
134 LithoQC OLAlighment Inspection Archer-300 KLA-T QOLAB47
135 Litho PI_S/R PI-S/R_iZa Canon PSPI503-S
136 Litho PI_S/R PI-S/R_iZa Canon PSPI201-S
137 Litho PI_S/R  C/T ACT12 TEL PSPI503-C
138 Litho PI_S/R  C/T ACT12 TEL PSPI201-C
139 Litho SMAP Coater SMAP Coater_ACT-12 TEL PCOT214-C
140 Litho SMAP Coater SMAP Coater_ACT-12 TEL PCOT213-C
141 Litho SMAP Coater SMAP Coater_ACT-12 TEL PCOT212-C
142 Litho  CDRX MURATA PRTC203
143 LP BEOL_aSi Formula_2L1B TEL LFASE01
144 LP B-Poly Indy-Plus_5L2B TEL LBBPE51
145 LP B-Poly Indy-Plus_5L2B TEL LBBPE52
146 LP DCS-SiN(Formula) Formula_2L1B TEL LFHS506
147 LP DCS-SiN(Formula) Formula_2L1B TEL LFDS520
148 LP DCS-SiN(Formula) Formula_2L1B TEL LFDS504
149 LP DCS-SiN(Formula) Formula_2L1B TEL LFDS503
150 LP DCS-SiN(Formula) Formula_2L1B TEL LFDS502
151 LP DCS-SiN(Formula) Formula_2L1B TEL LFDS501
152 LP DCS-SiN(Formula) Formula_2L1B TEL LFDS518
153 LP DCS-SiN(Formula) Formula_2L1B TEL LFDS517
154 LP DCS-SiN(Formula) Formula_2L1B TEL LFHSE28
155 LP DCS-SiN(Formula) Formula_2L1B TEL LFHSE27
156 LP DCS-SiN(Formula) Formula_2L1B TEL LFHSE26
157 LP DCS-SiN(Formula) Formula_2L1B TEL LFHSE25
158 LP DCS-SiN(INDY-Adv) AA300_6L2B KE LWDSW01
159 LP DCS-SiN(INDY-Adv) Indy-PE_6L2B TEL LEDSW01
160 LP Epi Centura_2P_4ch AMAT LCEPP13
161 LP LP-TEOS(INDY_ALPHA) α303i_4L1B TEL LATE517
162 LP LP-TEOS(INDY_ALPHA) α303i_4L1B TEL LATE513
163 LP LP-TiN_QU Quixace-Ultimate_5L2B KE LQTNP05
164 LP ONO Quixace_3L1B KE LQON518
165 LP Th-ALD-SiN Indy-Advance_4L2B TEL LDTS505
166 LP ULT-SiO Indy-Irad_4L2B TEL LIUS504
167 METAL Co-Ti/TiN Entron_EX_Co ULVAC MENT518
168 METAL Cu Layer Maker SABRE Lam MSAB502
169 METAL CVD_Ti/TiN(LT) Trias-CVD-TiCl4 TEL MTRI523
170 METAL CVD_Ti/TiN(LT) Trias-CVD-TiCl4 TEL MTRI522
171 METAL CVD_Ti/TiN(LT) Trias-CVD-TiCl4 TEL MTRI525
172 METAL CVD_Ti/TiN(LT) Trias-CVD-TiCl4 TEL MTRI526
173 METAL CVD_Ti/TiN(LT) Trias-CVD-TiCl4 TEL MTRI527
174 METAL CVD_Ti/TiN(LT) Trias-CVD-TiCl4_500Cln TEL MTRI524
175 METAL Liner_BM Entron-EX(SIS-TiN) ULVAC MTRNE07
176 METAL Liner_BM Entron-EX(SIS-TiN) ULVAC MTRNE04
177 QC CD-SEM CG4100 Hitachi-HT QCG4B22
178 QC CD-SEM CG4100 Hitachi-HT QCG4B25
179 QC CD-SEM CG4100 Hitachi-HT QCG4G03
180 QC CD-SEM S-9380 Hitachi-HT QS80105
181 QC CD-SEM S-9380 Hitachi-HT QS80104
182 QC CD-SEM Verity2+ AMAT QVRY114
183 QC CD-SEM Verity2+ AMAT QVRYB07
184 QC CD-SEM Verity4i+ AMAT QVRYB23
185 QC Defect Review SEM SEMVisionG4 AMAT QSG4101
186 QC Defect Review SEM SEMVisionG4 AMAT QSG4A01
187 QC Inspection System(AMI) AMI3000 Nikon QAMC104
188 QC Inspection System(AMI) AMI3000 Nikon QAMC102
189 QC Inspection System(AMI) AMI3000 Nikon QAMC101
190 QC Particle SFS-SP1-DLS KLA-T QSP1101
191 WET Cu Surface Cleaning & Cleaning System(BEOL) FC3100(4 Station)_Cu1 & FC3100(4 Station)_BEOL3 SSS WFCKB05
192 WET Cu Surface Cleaning & Cleaning System(BEOL) FC3100(4 Station)_Cu1_GrB & FC3100(4 Station)_BEOL3 SSS WFCKP03
193 WET Cu Surface Cleaning FC3100(4 Station)_GrA SSS WFCKB02
194 WET Cu Surface Cleaning & Cleaning System(BEOL) FC3100(4 Station)_Cu1_GrB & FC3100(4 Station)_BEOL3 SSS WFCKJ01
195 WET H3PO4 FC3100(2 Station)_BiCS-AA_1 & FC3100(2 Station)_BiCS-M1_1 SSS WFCPB04
196 WET H3PO4 FC3100(2 Station)_BiCS-AA_2 SSS WFCP202
197 WET H3PO4 FC3100(2 Station)_BiCS-AA_1 & FC3100(2 Station)_BiCS-M1_1 SSS WFCPB01
198 WET HF-Vapor SU3000(2ch) SSS WSUV503
199 WET HF-Vapor SU3000(2ch)(IPA) SSS WSUV522
200 WET HF-Vapor SU3000(2ch) SSS WSUV507
201 WET SH FC3100(CTC3+DRY-B) SSS WFCHB18
202 WET Wafer Back Scrubber SC300i(4ch) Shibaura WSCA201
203 WET Wafer Back Scrubber SC300i(4ch) Shibaura WSCBE01
204 WET Cleaning System FC3100(4 Station) SSS WFCJB01
205 WET Cleaning System FC3100(4 Station) SSS WFCJE06
206 WET Cleaning System FC3100(4 Station) SSS WFCJE03
207 WET Cleaning System FC3100(4 Station) SSS WFCJG08
208 WET Cleaning System FC3100(4 Station) SSS WFCJE01
209 WET Cleaning System FC3100(4 Station) SSS WFCJB06
210 WET Cleaning System FC3100(4 Station) SSS WFCJG06
211 WET Cleaning System(BEOL) FC3100(HiLPD)(6 Station) SSS WFCKW02
212 WET Cleaning System(BEOL) FC3100(4 Station) SSS WFCJE08
213 WET Cleaning System(BEOL) FC3100(4 Station) SSS WFCKW01
214 WET Wafer Front Scrubber NS300+(4/8ch)(Spray) TEL WNSBE02
215 WET Wafer Front Scrubber NS300+(8ch)(Spray)(Brush_BEOL) TEL WNSB503
216 WET Wafer Front Scrubber NS300+(8ch)(Spray) TEL WNSBE03
217 WET Wafer Front Scrubber SS3100(4ch)(Brush_BEOL) SSS WSSBE01
218 WET Wafer Front Scrubber SS3100(8ch)(Spray)(Brush_FEOL) SSS WSSB502
219 WET Wafer Front Scrubber SS3100(8ch)(Spray)(Brush_FEOL) SSS WSSB501
220 WET Wafer Front Scrubber SS3100(8ch)(Spray)(Brush_FEOL) SSS WSSBJ01
221 WET Single WaferNF(63U) SC300GX_TDN(12ch)_O3 Shibaura WSCRJ01
222 WET Single WaferNF(63U) SC300i(4ch) Shibaura WSCF507
223 WET Single WaferNF(63U) SC300i(4ch) Shibaura WSCF503
224 Sorter Wafer Sorter 2Port レシーフ TSIS252
225 Sorter Wafer Sorter 2Port レシーフ TSIS254
226 Sorter Wafer Sorter 2Port レシーフ TSIS501
227 Sorter Wafer Sorter 2Port レシーフ TSIS503
228 Sorter Wafer Sorter 2Port Brooks TSPR225
229 Sorter Wafer Sorter 2Port Brooks TSPR227
230 Sorter Wafer Sorter 2Port Brooks TSPR277
231 Sorter Wafer Sorter 2Port Brooks TSPR506
232 Sorter Wafer Sorter 2Port Brooks TSPR516
233 Sorter Wafer Sorter 2Port Brooks TSPR566
234 Sorter Wafer Sorter 2Port YASUKAWA TSTP558
235 Sorter Wafer Sorter 2Port YASUKAWA TSTP266
236 Sorter Wafer Sorter 2Port YASUKAWA TSTP224
237 Sorter Wafer Sorter 2Port YASUKAWA TSTP229
238 Sorter Wafer Sorter 2Port YASUKAWA TSTP259
239 Sorter Wafer Sorter 2Port YASUKAWA TSTP204
240 Sorter Wafer Sorter 2Port YASUKAWA TSTP209
241 Sorter Wafer Sorter 2Port YASUKAWA TSTP101
242 Sorter Wafer Sorter 2Port YASUKAWA TSTP102
243 Sorter Wafer Sorter 2Port YASUKAWA TSYWB02
244 Sorter Wafer Sorter 2Port YASUKAWA TSYEB22
245 Sorter Wafer Sorter 2Port YASUKAWA TSYCB04
246 Sorter Wafer Sorter 2Port YASUKAWA TSYWB09
247 Sorter Wafer Sorter 2Port YASUKAWA TSYCB03
248 Sorter Wafer Sorter 2Port YASUKAWA TSYCB18
249 Sorter Wafer Sorter 2Port YASUKAWA TSYEB14
250 Sorter Wafer Sorter 2Port YASUKAWA TSYEB06
251 Sorter Wafer Sorter 4Port レシーフ TSPP401
252 Sorter Wafer Sorter 4Port レシーフ TSTP103
253 Sorter Wafer Sorter 4Port レシーフ TSPP501
254 Sorter Wafer Sorter 4Port Brooks TSTP105
255 Sorter Wafer Sorter 4Port Brooks TSPR202
256 Sorter Wafer Sorter 4Port Brooks TSTP107
257 Sorter Wafer Sorter 4Port Brooks TSTP153
258 Sorter Wafer Sorter 4Port Brooks TSPR502
259 Sorter Wafer Sorter 4Port Brooks TSPR503

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5816-2024-3

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers