Main Maker

Semiconductor equipment parts

Description

List of semiconductor equipment we have for sale. They are from different owners from all over the world. They are subject to priorsale without notice. They are only for end users. Pls contact us if you are interested in any of them. Appreciate your time.

5001 Genie GS 1930 Scissorlift
5002 Genie S 65 Lift
5003 Genie Z 20 / 8 N Boom Lift
5004 Genie Corp Genie 600
5005 Genlab OV 150 SFD Lab Oven
5006 Genmark Robot
5007 Genmark Robots
5008 Genmark GB 3 Robot, Elevator, Controller
5009 Genrad 1658 RLC Databridge
5010 Genrad 2287 In Circuit Tester
5011 Genrad Board Tester
5012 Geo Knight Heated Press
5013 Gerber DCS 1506 Drag Knife Cutter
5014 Gerber SY 251 Spreaders
5015 Gerber Crescent 30 Laser Photo Plotter
5016 Gerhardt Soxtherm 2000 Rapid Extraction System
5017 Gerry GP PD 520 KT
5018 GFI Innovations MxM 1 Ink Mixer
5019 GFI Innovations MX Vortex Liquid Mixer
5020 GFI Innovations MX RIOi Liquid Mixer
5021 GFI Innovations MxM 1 Mixer
5022 Giacomet Giomax Boiler
5023 Giant GD 3612 Dryer
5024 Giant Force Instrument Enterprise GTST-050-40-AW
5025 Giant Fu GSST 108 Salt Spray Box Test Equipment
5026 Gidding & Lewis PC 50 Horizontal Boring Mill
5027 Giddings & Lewis MMC Ethernet Module
5028 Gigamat Fine-Polish
5029 Gigatronix 1026 Signal Generator
5030 Gilbreath Verical Baler
5031 Gilbreth 7102 TC Heat Tunnel
5032 Giles Scientific Colony Electronic Zone Counter
5033 Gilson Lab GC Equipment
5034 Gilson Fraction Collector
5035 Gilson Fraction Collector
5036 Gilson SS 15 Sieve Shaker
5037 GIMAC 12 / 24 6 M Controller and Extruder
5038 Girondin Sauer WP 311 L Compressor
5039 GJC Instrument 2000 Digital Flow Meter
5040 GLA Wafer Transfer System
5041 Glassman PS / LH 003 R 1.7171 Power Supply
5042 Glassman HV Amp Unit
5043 Glassman Series FX High Voltage Power Supply Unit
5044 Glatt Z 504600 Sieving Line
5045 Glatt Vibratory Sifter
5046 Glatt Mini Vibratory Sieve
5047 Glatt Drum Tipper for Sieving Line
5048 Glatt Vacuum Lifter
5049 Glatt Drum Adaptor
5050 Glatt Sack Chute for Sieving and Butterfly Valve
5051 Glatt Drum Ramp for Drum Adaptor
5052 Glatt GSW 290 Conical Sieve
5053 Glatt GSW 290 Conical Sieve
5054 Gleason 24 A Straight Bevel Coniflex Generator / Finisher
5055 Gleason 641 Hypoid Generator, Bevel Gear Cutting Machine
5056 Gleason 116 CNC Bevel Gear Cutting Machine
5057 Gleason 641 Hypoid Generator
5058 Glegg RO System
5059 Glen Dimplex Chiller
5060 Glen Technology 1000 P Plasma Cleaning System
5061 Glenbrook RTX 113 X-ray Machine
5062 Glenbrook RTX 13 X-Ray
5063 GlenBrook Technologies X-ray Inspection System
5064 Glenbrook Technologies RTX 113 Microscope
5065 Glenbrook Technologies RTX 113
5066 Global Finishing Mechanical Bond Oven
5067 Global Industrial Mezzanine Gate
5068 Global Specialties 1504 Isolated Variable Ac Line Supply
5069 Global Specialties 1510 Variable Ac Source
5070 Global Specialties 6000 Frequency Counter
5071 Global Specialties 4001 Plus Generator
5072 Glsun 1310/1470-1610 Desktop Stabilized Light Source
5073 Glsun 1270-1410 Desktop Stabilized Light Source
5074 Glsun 1450-1610 Desktop Stabilized Light Source
5075 Glsun 1450-1610 Desktop Stabilized Light Source
5076 Glsun 1270-1430 Desktop Stabilized Light Source
5077 Glsun 1270-1430 Desktop Stabilized Light Source
5078 Glsun 1450-1610 Desktop Stabilized Light Source
5079 Glsun LST-13/15 (DFB) Desktop Stabilized Light Source
5080 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5081 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5082 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5083 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5084 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5085 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5086 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5087 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5088 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5089 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5090 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5091 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5092 Glsun 1 * 8 Optical Power Meter Automatic Test Equipment
5093 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5094 Glsun SUN-ORL-3 Desk Type Optical Power Meter
5095 Glsun SUN-ORL-3 Desk Type Optical Power Meter
5096 Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
5097 Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
5098 Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
5099 Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
5100 Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
5101 Glsun OPM-3 C Handheld Optical Power Meter
5102 Glsun OPM-3 C Handheld Optical Power Meter
5103 Glsun OPM-3 C Handheld Optical Power Meter
5104 Glsun OPM-3 C Handheld Optical Power Meter
5105 Glsun OPM-3 C Handheld Optical Power Meter
5106 Glsun OPM-3 C Handheld Optical Power Meter
5107 Glsun OPM-3 C Handheld Optical Power Meter
5108 Glsun OPM-3 C Handheld Optical Power Meter
5109 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
5110 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
5111 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
5112 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
5113 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
5114 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
5115 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
5116 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
5117 Glsun Test Computer
5118 Glsun Test Computer
5119 Glsun Test Computer
5120 Glsun Test Computer
5121 Glsun Test Computer
5122 Glsun Test Computer
5123 Glsun Test Computer
5124 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5125 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5126 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5127 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5128 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5129 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5130 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5131 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5132 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5133 Glsun SUN-OPM-3 C Handheld Optical Power Meter
5134 Glsun 5 * 80 Road Rack Mounted Splitter (Black)
5135 Glsun 1 * 2 Handheld Optical Switch
5136 Glsun 1 * 2 Handheld Optical Switch
5137 Glsun 1 * 2 Handheld Optical Switch
5138 Glsun 1 * 2 Handheld Optical Switch
5139 Glsun 1 * 3 Handheld Optical Switch
5140 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
5141 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
5142 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
5143 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
5144 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
5145 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
5146 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
5147 Glsun RP-150 W Heat Tray
5148 Glsun RP-150 W Heat Tray
5149 Glsun RP-150 W Heat Tray
5150 Glsun RP-150 W Heat Tray
5151 Glsun RP-150 W Heat Tray
5152 Glsun RP-150 W Heat Tray
5153 Glsun RP-150 W Heat Tray
5154 Glsun RP-150 W Heat Tray
5155 Gluco PM-18
5156 GLW FC 200 / 250
5157 GMC HS-0808 M Heavy Duty Hydraulic Shear
5158 GMM GM 620 Substrate Interlayer Hot Press Machine
5159 GMN Flat Grinder
5160 GMN MPS 2 R 300 DS Wafer Grinder
5161 GMP Filtration Skid
5162 GMP Tech 1520 Deep Freezer
5163 GMP Tech 28 Cooling Incubator
5164 Gnubi EPX 100 Clock Source Module
5165 Goal Searchers Copper Filtering Machine
5166 Goatech GT 7303 A Plug Roller Experiment Machine
5167 Gold Tool DPS 150 A DC Power Supply
5168 Gold Tool SPS 250 A Switching Power Supply
5169 Goldman Perimeter
5170 Gom ATOS III 3D Scanner
5171 Gonio CCDI Imaging System
5172 Good Will Instruments Gfc-8131 H Intelligent Counter
5173 Good Will Instruments Gpm-8212 AC Power Meter
5174 Good Young Ultrasonic Clean Machine
5175 Goodman GSX 130363 AA 3-Ton AC
5176 Gorbel Bridge Crane
5177 Gottscho Go-Jet Basic Ink Jet System
5178 Goulds SP 035 MC Pump
5179 GP Group GRE 5 K Exposure Machine
5180 GP Group GPEC 5 K Exposure Machine
5181 GP Group GPE 7 KB Exposure Machine
5182 GP Group GPE 7 K Exposure Machine
5183 GP Group GPE 5 K Exposure Machine
5184 GPD 856 VS Peelback Force Tester
5185 GPD MiniMax Dispensing Machine
5186 GPD Peel Force Tester
5187 GPD 22200025 Solder Paste Machine
5188 GPD 22200015 Solder Paste Machine
5189 GPD 22200015 Solder Paste Machine
5190 GPD 22200018 UV Dispensing Machine
5191 GPM ILM 290 Laser Marking
5192 GPM SE 24 Laser Marker
5193 GPM Echo 500 Molding
5194 Graco Monark Pressure Tan Aid Brush
5195 Graco PR 70 Meter Mix Dispense System for Silicon Matl
5196 Graco ProMix Easy Paint System
5197 Graco Adhesive Invection system
5198 Graco One Epoxy Dispencing Pump
5199 Gramme Digital Shipper Weighing Balance
5200 Granite Microsystems IAC C 800 A Power Supply Unit
5201 Granville Phillips 270 Gauge Controller
5202 Granville Phillips 270 Gauge Controller
5203 Granville Phillips 307 High Vacuum Controller
5204 Graphene Square CVD System
5205 Grass 7 P 122 C Low Level DC Amplifier
5206 Gray Horizontal Boring Mill
5207 Graziano Tortona Sag 12
5208 Greenerd 3 1/2 Arbor Press
5209 Greenerd H 56 D Arbor Press
5210 Greenlee 1818 R Benders
5211 GreenLee Conduit Bender
5212 Grenzebach Offline Particle Inspection System
5213 Grenzebach Custom Flat Glass Conveyor
5214 Gretagmacbeth The Judge II Lighting Booth
5215 Grieve HD-243624-HT-ATM Box Furnace
5216 Grieve Ovens
5217 Grieve NB 350
5218 Grieve Boat Dryer
5219 Grieve HA-700 Oven
5220 Grieve NB 550 Oven
5221 Grieve XTR 1000 Industrial Oven
5222 Grieve NBS 400 Bench Oven
5223 Grieve HT 17 Oven
5224 Grieve High Temp Pilot Oven
5225 Grieve 1250 Oven
5226 Grieve 1250 Heat Treat Furnace
5227 Grieve Hendry SA 350-E
5228 Grieve Hendry MT 550 Industrial Oven
5229 Grob 4 V 60 Band Saw
5230 Groninger Filing & Sealing M/C
5231 Group Up GFR 1 After Cooler Machine
5232 Group Up GEM 5 KC Exposure Machine
5233 Grove SM 2232 E Scissor Lift
5234 Grovers OCB 5000 L Blender
5235 Gruenberg KT 45 C 204 Walk In Oven
5236 Gruenberg 235 H 5.06 Oven
5237 Grundfos CRN 64-2 Industrial Pump
5238 Grundfos CR 8 Water Pumps
5239 Grundfos CR 3-19 A-FGJ-A-E-HQQE Pump
5240 Grundfos Pump
5241 Grundfos MTH 2 60/3 A W A AUUV Coolant Pump
5242 Grundfos MTR 10-22/22 B-W-A-HQQE Pump
5243 GS Tempress
5244 GS Tempress Omega Junior System Doping Furnace
5245 GS Ultratech UltraStep 1000 Stepper
5246 GSI M 430
5247 GSI UltraDep 2000 PECVD
5248 GSI UltraDep 1 PECVD
5249 GSI Lumonics WH 4100
5250 GSI Lumonics W 678 Laser Trimmer
5251 GSI Lumonics JK 703 H Laser Welder
5252 GSI Lumonics Lightwriter XL
5253 GSM Feeders
5254 GSM Genesis GX 11
5255 GSM / Genesis GC 60
5256 GT GTS 305261 Ingot Furnace
5257 GT G 6 Ingot Furnace
5258 GT G 5 Ingot Furnace
5259 GT GTS 305261 Ingot Furnace
5260 GT Solar DSS 450 HP Ingot Casting Furnace
5261 GTA
5262 GTA Mixing Machine
5263 GTAT Toss Lathe
5264 GTI MiniMatcher MM 2 e Light Booth
5265 GTO EVO 2 C Pad Printer
5266 GTS DG 150 WW Wafer Washer
5267 GTS Hydro Pneumatic Press
5268 GTX Marketing Wet Bench
5269 Guan Kui SZ 6000 P Testing Machine
5270 Guan Kui Sky Wafer Test System
5271 Guan Kui SZ 6200 R Testing Machine
5272 Guan Kui SZ 6210 P Testing Machine
5273 Guan Kui TVR 6000 DC + Reverse Surge Test Machine
5274 Guan Quan UA 1200 E Air Blower
5275 Guanghua Technology 8315-SH Pick & Place Machine
5276 Guangzhou RTS 2 A 4 Point Probe
5277 Guangzhou SXJ II 4 Point Probe
5278 Guifil PE 15-35 38.5 Ton Hyd Brake
5279 Guifil PE 6-16 Press Brake
5280 Guilin XS 5750 B Milling Machine
5281 GUK Cartonac 91 Leaflet Folding Machine
5282 GVB SN 14 Proxy Test
5283 GW PEL 300 Programmable Electronic Load
5284 GW Instek GDM 8145 Digital Multimeters
5285 GW Instek SPS 606 Power Generator
5286 GWC Instruments Synchronous Sampling Demodulator
5287 Gwinstek GDM 8246
5288 Gwinstek GDM 8261 A Multimeter Measurement
5289 Gwinstek GDS 1022 Storage Oscilloscope
5290 H & H Resistance Welder
5291 Haake RS 1 Rheometer
5292 Haas VF-0
5293 Haas VF 3 Vertical CNC
5294 Haas VF-2 CNC Vertical Mill
5295 Haas VF 1
5296 Haas ST 20
5297 Haas VF 0
5298 Haas Mini Lathe CNC
5299 Haas VF-3 CNC Mill
5300 Haas HL-4
5301 Haas Minimill CNC
5302 Haas VF-2 CNC
5303 Haas TL 15 CNC
5304 Haas HL 4 CNC Lathe
5305 Haas TM 2 Mill
5306 Haas VF 2 CNC
5307 Haas VF 3 CNC
5308 Haas VF 3 CNC
5309 Haas EC 400 1 DEG Horizontal Mill
5310 Haas Super Mini Mill 2 CNC
5311 Haas VF 3
5312 Haas VF 0
5313 Haas VF 3
5314 Haas VF 4
5315 Haas VF 4
5316 Hackett Auto Coin Press
5317 Haco Atlantic HDS Power Squaring Shear
5318 Haefely Oil Transformer
5319 Hai Bin Technology Wdmfr Semi Automatic Alignment System
5320 Hai Bin Technology Wdmfr Semi Automatic Alignment System
5321 Hai Bin Technology Wdmfr Semi Automatic Alignment System
5322 Hai Bin Technology Wdmfr Semi Automatic Alignment System
5323 Hai Bin Technology Wdmfr Semi Automatic Alignment System
5324 Hai Bin Technology Wdmfr Semi Automatic Alignment System
5325 Haier Mini Refrigerator
5326 Haitian HTF 800 W 2 AIM Molding Machine
5327 Haitian HTF 800 W 2 AIM Molding Machine
5328 Haitian HTF 530 W 2 AIM Molding Machine
5329 Hakko FX-888 D Soldering Station
5330 Hakko 493 Fume Extractor
5331 Hakko 808 Desoldering Kit
5332 Hakko 927 Iron
5333 Hakko 472 B Rework Airon
5334 Hakko 850 B Hot Air Station
5335 Hakko FG 100 Tip Thermometer
5336 Hakko FG 100 Soldering Iron Tester
5337 Hakko FR 801 Hot Air Station
5338 Hakko FX 301 B Digital Solder Pot
5339 Hakko 153 Machine Material Preparation
5340 Hakko 191 Tip Thermometer
5341 Hakko 937 Soldering Iron
5342 Hakko Kit
5343 Hakko FR 803 Hot Air Station
5344 Hakko Soldering Iron Kit
5345 Hakko Soldering Irons
5346 Hakko 936 Soldering Iron Kit
5347 Hakko 936 Soldering Iron Kit
5348 Hakko Soldering Iron Kit
5349 Hakko FA 430
5350 Hakko Equipment Lot
5351 Hakko 936 Soldering Iron
5352 Hakko Corp 493-10 ESD Safe Smoke Absorber
5353 Hako 470 B Desoldering Tool
5354 Hako 493-10 Solder Fume Filter
5355 Hakuto Mach 760 UP Automatic Cut Sheet Laminator
5356 Hally Instruments Water Bath
5357 Halm IUCT 1800 Solar Light Simulator
5358 Hamamatsu IC Backside Polishing System
5359 Hamamatsu PHEMOS-200 Emission Microscope
5360 Hamilton SafeAire Large Fume Hood
5361 Hamilton SafeAire Small Fume Hood
5362 Hamilton Safeaire Fume Hood
5363 Hamilton Safeaire Fume Hood
5364 Hamilton Dual CNT liquid dispenser
5365 Hanbell PS 902 A Vacuum Pump
5366 Handworker HWA 510 1 Piston
5367 Hang 150 07 Binders Crimping Machine
5368 Hang Zhou Ming Zhe MZM 3040 Magnetizer
5369 Hang Zhou Ming Zhe MZLS 40 F Chiller
5370 Hang Zhou Ming Zhe D 55 H 100 Magnetizing Coil
5371 Hangzhou Sanhai Electronic High Temperature Reverse Bias (HTRB) System
5372 Hangzhou Sanhai Electronic SPFP T High Temperature Reverse Bias (HTRB) System
5373 Hangzhou Sanhai Electronic SPTB GF Oplife System
5374 Hangzhou Sanhai Electronic SPTB G Oplife System
5375 Hangzhou West Lake Z 516 Bench Drill
5376 Hangzhou West Lake SWJ 12 Tapping Machine
5377 Hankison International DH-115
5378 Hanmi 3500 D Singulator
5379 Hanmi 3800 LD Sawing & Placement
5380 Hanmi 3000 D Singulation Saw & Placement
5381 Hanmi 3000 D Singulation Saw & Placement
5382 Hanmi 3800 LD Sawing and Placement Singulation Tool
5383 Hanmi 2512 Wafer to Tray Sorting System
5384 Hanmi VP 3000 L Tapeless Saw
5385 Hanna Instruments HI 903 Titration System
5386 Hannan Industries Vacuum Forming Machine
5387 Han’s DR 50 S Laser
5388 Han’s DR 50 S Laser
5389 Hans Laser YAG 50 Laser Marker
5390 Han’s Laser Science & Technology 20 W Fiber Laser Marker
5391 Hanseo Cure Oven
5392 Hanseo HS 2954 Oven
5393 Hansvedt Plunge EDM
5394 Hanxuan ADS-3-AS FS 8032 Diamaflow
5395 Hanyue HAD 15 NF Air Compressor
5396 Hao Mian Technology Automated Optical Inspection (AOI)
+ Taping System (SECS / GEM)
5397 Hao Mian Technology Automated Optical Inspection (AOI)
+ Taping System (SECS / GEM)
5398 Hao Mian Technology Automated Optical Inspection (AOI)
+ Taping System (SECS / GEM)
5399 Hao Mian Technology Auto AOI System Machine
5400 Hao Mian Technology HVS-3000 B Automatic Visual Inspection Machine
5401 Hapco X 11
5402 Hardinge HP 5, DSM 59 Lathe
5403 Hardinge DV-59 Manual Lathe
5404 Hardinge HLV-H Lathe
5405 Hardinge Lathe
5406 Hardinge Manual Lathe
5407 Hardinge Mini Lathe
5408 Hardinge / Elgin Polisher
5409 Harig 612 CNC
5410 Harig 612 CNC
5411 Harig 618 Ballway
5412 Haring 618 Auto Step Grinder
5413 Haring 618
5414 Harmotec WPO-67-B Wafer Packing
5415 Harrier Gold Wire Bonder
5416 Harris SLT 21 V-85 DBA-80 Freezer
5417 Harris Tuvey (HT) 9464 Isolation Voltage Tester
5418 Harrison M 400 Lathe
5419 Harrison 6204 B DC Power Supply
5420 Harrison 6521 A DC Power Supply
5421 Harrison 390 Lathe
5422 Harrison Laboratories 865 B Power Supply
5423 Harro Hofliger Wallet Equipment
5424 Harrop Kiln
5425 Harrop Production Equipment
5426 Harsiddh HAVC 100 Bottle Cleaning MAchine
5427 Harting 09890400000 Semi-Automatic CPM Crimping Machine
5428 Harvard 55-0715 Large Animal Ventilator
5429 Haskel AG 75 Booster Pump
5430 Haskel AG 75 Booster Pump
5431 Haskel 32762 Booster Pump
5432 Haskins R 175 Chiller
5433 Haskris WW 1 Fluid to Fluid Heat Exchanger
5434 Haskris R 75 Heat Exchanger Recirculating Chiller Bath
5435 Haskris CWS 3 Water Backup System
5436 Haskris Air Cooled Water Chiller
5437 Haskris R 300 Chiller
5438 Haskris R 100 Chiller
5439 Hass VF 6 Mill
5440 Hassel
5441 Hastest HOT-900 YUCF Temp Oven
5442 Hastest HOT-252 XTCD-HTS Constant Temperature Chamber
5443 Hastest HPCT (r 10)-252 QTUT-PC Programmable Temperature Chamber
5444 Hatsuta Cabinex-Wt Fire Suppression System
5445 Hauman Vision System HVS 1000 Automatic Optical Inspection Machine
5446 Haver & Boecker Haver EML 200 Digital Plus T Test Sieve Shaker
5447 HCT 400 SD Wire Saws
5448 HCT 300 E 12 Slurry Wire Saw
5449 HCT Shaping Systems Squarer KV 02
5450 HCT Shaping Systems 500 SD B/5 Wire Saw
5451 HCT Shaping Systems 500 SD B/5 Wire Saw
5452 HD Semitech Hydraulic GW & ST Lead Conditioning System
5453 HD Semitech NVIS 2500 Vision Inspection Measuring System
5454 HD Semitech SUAT 001 Full Auto DTFS System
5455 HDI Surface Scanner
5456 Headway LS 22 Large Substrate Spinner
5457 Headway Low Temperature Oven for DF
5458 Headway Research Spin Coater Controller
5459 Heateflex Heated Tank
5460 Heateflex Aquarius GDI 9140 2 V P 646 Ultra-Pure DI Water Heater
5461 Hebei Hao Technology Laminator
5462 Hebei Yi Heng Technology YIHENG TDCZ-Y-4 Laminating Machine
5463 Hebei Yi Heng Technology TDCZ-Z-4 Laminating Machine
5464 Hebei Yiheng Science & Technology TDCZ-Y 4 Laminating
5465 Hebei Yiheng Science & Technology TDCZ-Z 4 Laminating
5466 Heck VA 400 Bevel Mill
5467 Heckert Vertical Mill
5468 Heckert Vertical Mill
5469 Hegla LG 29 L 248 DLF Side Grap
5470 Heidelberg DWL-66
5471 Heidelberg DWL 66 Mask Writer
5472 Heidelberg DWL 66 FS Direct Writing Lithography System
5473 Heidelberg DWL 66 Lithography System
5474 Heidelberg Windmill Feeder
5475 Heidenhahn Stage
5476 Heidolph Rotary Evaporator
5477 Heidolph LABO ROTA 20 S Rotary Evaporator
5478 Heidolph MR Hei Tec Magnetic Stirrer with Heating
5479 Heim 3 OBI Press
5480 Heim S 250 Press
5481 Heina Tumble Tester II
5482 Heina Tumbler Test
5483 Heise Digital Pressure Gage
5484 Hekenhai Positive Pressure Vertical Floor Console
5485 Hekenhai Positive Pressure Vertical Floor Console
5486 Heldolph Recirculating Chiller
5487 Heldolph
5488 Heller MC 16 Horizontal Machining Center
5489 Heller 1500
5490 Heller 1809 EXL Reflow Oven
5491 Heller 1912-EXL Reflow Oven
5492 Heller 1912-EXL Reflow Oven
5493 Heller 1900 EXL Reflow Oven
5494 Heller 1800 EXL Reflow Oven
5495 Heller 1900 EXL Oven
5496 Heller Snap Cure Baking Oven
5497 Heller Hooke Cure Oven
5498 Heller Kelvin Cure Oven
5499 Heller Baking Oven
5500 Heller 1809 EXL Reflow Oven
5501 Heller 1809 EXL Reflow Oven
5502 Heller 1800 EXL Reflow Oven
5503 Heller 1912 EXL Reflow Oven
5504 Heller 1800 W Reflow Oven
5505 Heller 1810 EXL Reflow Oven
5506 Heller 1912 EXL Reflow Oven
5507 Heller 1912 EXL Reflow Oven
5508 Heller 1500 SX Reflow Oven
5509 Heller 1800 Reflow Oven
5510 Heller 1800 EXL Reflow Oven
5511 Heller 1707 MK 5 Reflow Oven
5512 Heller 1809 MK III Reflow Oven
5513 Heller 1809 EXL Reflow Oven
5514 Heller 1809 EXL Reflow
5515 Heller 1809 EXL Reflow Oven
5516 Heller 1500 SM Oven
5517 Heller / Zevatech 1700 SX
5518 Hengli Eletek RSK 2506 Oven
5519 Hennecke
5520 Hennecke He WI 03 Wafer Tester Sorter
5521 Henschel KM 2200 Cool Mixer
5522 Hepa Mini Environment
5523 Heracell 150 Incubator
5524 Heraeus Megafuge 1.0
5525 Heraeus TU 60 / 60 Air Circulation Oven
5526 Heraeus T 5402 E Baking Oven
5527 Heraeus Xenotest
5528 Heraeus DK 45 Vacuum Pump
5529 Heraeus DK-90 Vacuum Booster
5530 Heraeus UT 6060
5531 Heraeus T 6030
5532 Heraeus Z 3067 Conveyorized UV Processor
5533 Heraeus TU 60/60 Air Circulation Oven
5534 Heraeus VT 6025 Vacuum Oven
5535 Heraeus / Votsch VM 04/100 Climatic Chamber
5536 Heraeus Instruments VT 6060 P Vacutherm
5537 Herbert Arnold 72 / 856 Chamfer Grinder
5538 Herbert Arnold 72 / 860 Surface Grinder
5539 Hermes Microvision (HMI) eScan 320 E-Beam Defect Inspection and Review System
5540 Hermle Z-360 Centrifuge
5541 Hermle Labnet Z 206 A
5542 Hermle UWF 1202 H
5543 Hermle Labnet Z 206 A
5544 Hesse & Knipps (H&K) Bondjet 815
5545 Hesse & Knipps (H&K) Bondjet BJ 855 Handler
5546 Hesse & Knipps (H&K) Bondjet 931
5547 Hetanke A 2 ED 1-2 WS Deduster for Compression 3412
5548 Hetran-B Roll Straightener
5549 Hetran-B Bar Turner
5550 Hettich Rotina 420
5551 Hettich Rotina Centrifuge
5552 Hewlett Packard 4275 A Multi Frequency Inductance, Capacitance, Resistance (LCR) Meter
5553 Hewlett Packard 4261 A LCR Meter
5554 Hewlett Packard 4195 A Network Analyzer
5555 Hewlett Packard E 3630 A DC Power Supply
5556 Hewlett Packard Spare Parts
5557 Hewlett Packard Lab GC Equipment
5558 Hewlett Packard Q 6687 A Printer
5559 Hewlett Packard (HP) / Agilent 6890 N Gas Chromatograph (GC)
5560 Hewlett Packard (HP) / Agilent 6890 Gas Chromatograph (GC)
5561 Hewlett Packard (HP) / Agilent 5890 Gas Chromatograph
5562 Hewlett Packard (HP) / Agilent 6890 Gas Chromatograph
5563 Hewlett Packard (HP) / Agilent 6890 / 5973 Gas Chromatography-Mass Spectrometer (GC-MS)
5564 Hewlett Packard (HP) / Agilent 6850 Gas Chromatograph
5565 Hewlett Packard (HP) / Agilent 1050 High-Performance Liquid Chromatography (HPLC) Quatropump
5566 Hewlett Packard (HP) / Agilent 5890 Series II GC-MS Chromatograph
5567 Hewlett Packard (HP) / Agilent 5890 Series II Gas Chromatograph
5568 Hewlett Packard (HP) / Agilent 3245 A Universal Source
5569 Hewlett Packard (HP) / Agilent 6632 A DC Power Supply
5570 Hewlett Packard (HP) / Agilent 6634 A DC Power Supply
5571 Hewlett Packard (HP) / Agilent 34420 A Nano Volt / Micro Ohm Meter
5572 Hewlett Packard (HP) / Agilent 54100 A Digital Scope
5573 Hewlett Packard (HP) / Agilent 1260 Infinity
5574 Hewlett Packard (HP) / Agilent 5110 ICP-OES
5575 Hewlett Packard (HP) / Agilent 1050 Liquid Chromatograph
5576 Hewlett Packard (HP) / Agilent 1200 Series High-Performance Liquid Chromatography (HPLC)
5577 Hewlett Packard (HP) / Agilent 1200 Series High-Performance Liquid Chromatography (HPLC)
5578 Hewlett Packard (HP) / Agilent 1200 Series High-Performance Liquid Chromatography (HPLC)
5579 Hexagon Metrology 443 Dual Z Optiv Performance Measuring Machine
5580 Hey No. 3 End Face and Center Machine
5581 Heylignestaedt 405 Eb / 3000 Lathe
5582 HFA Packaging Conveyor
5583 Hi Tech Engineering Pressure Vessel
5584 HIAC Liquid Particle
5585 Hibex Singapore Double Multicut Former
5586 Hiden RGA
5587 Hidromode Hydraulic Press
5588 Highbridge Power Supply
5589 Highmax SHM-200 Wafer Mount System
5590 Highmax SHM 300 Wafer Saw
5591 Hilge Hygiana-Bloc 1/3 Water Pump
5592 HIMAX Worldwide UV 200 UV Decure
5593 Hinds Instruments PEM-90 Photoelastic Modulator
5594 Hino Wet Vacuum Trunk
5595 Hioki 3532-50 LCR Tester
5596 Hioki IM 3570 Impedance Analyzer
5597 Hioki L 2000 Probe
5598 Hioki RM 3545 Resistance Meter
5599 Hioki 3174 Insulation Tester
5600 Hioki SM 8215 Megohm Meter
5601 Hios F 9000 Electric Screw Driver
5602 Hios VZ 1820 Electric Screw Driver
5603 Hios VZ 1820 PS Electric Screw Driver
5604 Hios VZ 3012 Electric Screw Driver
5605 Hios VZ 3012 PS Electric Screw Driver
5606 Hipotronics HD 100 Series Tester
5607 Hipotronics HD 125 AUTO Hipot Meter
5608 Hipotronics T 8100-50-3 HV DC Power Supply
5609 Hipotronics HM 3 A Megohmmeter
5610 Hirata KWS 450 318 A VL Wafer Sorter
5611 Hirata KWS 450 418 A VL Wafer Sorter
5612 Hirayama VS-300-2 Electric Vibration Tester
5613 Hirayama PC 304 R III PCT
5614 Hirayama PC 305 S 3 V Autoclave
5615 Hirayama PC 3058111 Steam Pressure Environmental Testing Unit
5616 Hirayama Hastest PC-422 R 8 Chamber
5617 Hirosu NMP Bench
5618 Hirosu Metal Etch Bench
5619 Hirosu Metal Etch Bench
5620 Hirosu Polish Bench
5621 Hirosu Tube Clean Bench
5622 Hirosu BOE Bench
5623 Hirox KH 7700 Digital Microscope
5624 Hirox KH 7700 Digital Microscope
5625 Hisomet II DH 2 Measuring Microscope
5626 Hitachi S-4500 Scanning Electron Microscope (SEM)
5627 Hitachi
5628 Hitachi CM 700 X
5629 Hitachi S 530 Scanning Electron Microscope (SEM)
5630 Hitachi HL 700 D E-Beam Lithography System
5631 Hitachi M 712 XT Etcher
5632 Hitachi BIST – BT 1064 Sort Tester
5633 Hitachi AS 5000 Microscope
5634 Hitachi Microanalysis System Microanalysis System
5635 Hitachi S-7800 CD SEM (Scanning Electron Microscope)
5636 Hitachi Z-5700 Spectrometer
5637 Hitachi CM-700 H Die Attach System
5638 Hitachi EPL-8541 HK 8 R Color Copier
5639 Hitachi MI-SCOPE Scanning Acoustictomograph
5640 Hitachi V 523 50 MHZ Oscilloscope
5641 Hitachi VP-1500 Monochrome Copier
5642 Hitachi S-2700 Scanning Electron Microscope (SEM)
5643 Hitachi S 4500 Scanning Electron Microscope (SEM)
5644 Hitachi L-7000 High-Performance Liquid Chromatography (HPLC)
5645 Hitachi HF 2000 Transmission Electron Microscopy (TEM)
5646 Hitachi V 22 Plus
5647 Hitachi Hi Screw 37
5648 Hitachi SD 520 A 3 AG Dispenser
5649 Hitachi SD 520 A 3 Seal Dispenser
5650 Hitachi FR 520 Seal Precure
5651 Hitachi LF 520 8 TR Dispenser
5652 Hitachi WA 200 Atomic Force Microscope (AFM)
5653 Hitachi ND-5 L 210 E Drill
5654 Hitachi M 4510 XT Asher / Etch System
5655 Hitachi CG 6450 T CD-SEM (Critical Dimension – Scanning Electron Microscopy)
5656 Hitachi LS 9245 Unpatterned Wafer Particle Detection System
5657 Hitachi S-5200 SEM
5658 Hitachi H-8100 TEM
5659 Hitachi W 5200
5660 Hitachi S 3200 N Scanning Electron Microscope (SEM)
5661 Hitachi S-4700 Scanning Electron Microscope (SEM)
5662 Hitachi 6200 H Scanning Electron Microscope (SEM)
5663 Hitachi S 570 Scanning Electron Microscope (SEM)
5664 Hitachi S 6100 Scanning Electron Microscope (SEM)
5665 Hitachi S 6600 Scanning Electron Microscope (SEM)
5666 Hitachi S 7280 H Scanning Electron Microscope (SEM)
5667 Hitachi V-252 Oscilloscope
5668 Hitachi V-252 Oscilloscope
5669 Hitachi SU 6600 Scanning Electron Microscope (SEM)
5670 Hitachi J 300 Industrial Mixer
5671 Hitachi V-1050 Oscilloscope
5672 Hitachi S-4700-II Scanning Electron Microscope (SEM)
5673 Hitachi Laser Drilling, CO2 Machine
5674 Hitachi V 1050 F Oscilloscope
5675 Hitachi Mark 10-ND-SP 210 E
5676 Hitachi S 4800
5677 Hitachi S 4700 II
5678 Hitachi 7500 TEM
5679 Hitachi M 1-120 Scanning Acoustic Tomagraph
5680 Hitachi GHL B 306 Grinding Machine
5681 Hitachi PX D 440 U Jet Printer
5682 Hitachi S 4200 Scanning Electron Microscope (SEM)
5683 Hitachi CG 9360
5684 Hitachi SPC 100 B+H Plasma Cleaner
5685 Hitachi S 7800 H Critical Dimension Scanning Electron Microscope (CD SEM)
5686 Hitachi S 4700 SEM
5687 Hitachi S 4500 Scanning Electron Microscope (SEM)
5688 Hitachi S 7800 H CD SEM
5689 Hitachi J 300 Industrial Mixer
5690 Hitachi HD 2000 STEM
5691 Hitachi S 3400 E SEM, VP-SEM
5692 Hitachi S 4500 Scanning Electron Microscope (SEM)
5693 Hitachi S 8840 CD SEM
5694 Hitachi S 4500 Scanning Electron Microscope (SEM)
5695 Hitachi Kokusai Electric DD 1810 N DLS Vertical Diffusion Furnace
5696 Hitachi Kokusai Electric DD 1810 N DLS Vertical Diffusion Furnace
5697 Hitachi Kokusai Electric DD 1810 N DLS Vertical Low Pressure Chemical Vapor Deposition (CVD) Furnace
5698 Hitzinger Kinetic Energy Module
5699 HIX ECII 2409 HIX Conveyorized Oven
5700 HIX EC II 2409 Conveyorized Oven
5701 Hobart P-660 Mixer
5702 Hobersal MOD 12 PR 300 SCH Furnace
5703 Hoch Temeratur Technik WTE 07 3 54 1 Flat Roller Heating machine
5704 Hoch Temeratur Technik CPS 08 5 48 1 Engraved Roller Heating
5705 Hockmeyer Mixer
5706 Hoeing Jobin Yvon XploRA Raman Microscope
5707 Hoenle Bluepoint 4 UVC UV Machine for O3A
5708 Hoenle Uvacube
5709 Hoffman A 242408 LP Enclosed Control Panel
5710 Hoffman HD 11.1 Balancer
5711 Hofler XGen 4 Connector Pinning
5712 Hofler D 5 Bending Device
5713 Hofler Xenon D 5 Trafo Pinning
5714 Hollmuller HSTP 2 0 55/50 Etcher
5715 Hollmuller 3018 Etcher
5716 Holmes 10 XL Rifler
5717 Hologenix MX 203-8-49 b Wafer Measurement
5718 Holometrix TCHM-LT Thermal Conductivity Instrument
5719 Holon EBLITHO-2000 Electric Stamper (Lithography Tool)
5720 Holon Busch EBLITHO-2000 Electric Stamper
5721 Hommelweke Hommel T 20 Surface Measurement Tester
5722 Honewell DR 4200 Chart Recorder
5723 Honewell DR 4300 Chart Recorder
5724 Honeywell Paper Shredder
5725 Honeywell Oven
5726 Honeywell 2000 Portable Eye Wash
5727 Honeywell Analytics E 400 GOLD Emcore Reactor
5728 Hong Hua RJS-1000 Foil Winding Machine
5729 Hong Hua RJ-600 Foil Winding Machine
5730 Hong Jin ABSI 600 P Ball Scraper
5731 Hong Jin Technology HT 1022 Auto Taping Handler
5732 Hong Jin Technology HVT-305 BF 2 Tester
5733 Hong Jin Technology HVT-305 BF 2 Manual Mechanism Modification
5734 Hong Jin Technology HVT-305 CTU Manual Mechanism Modification Tester
5735 Hong Jin Technology HT-3019 Auto Film Per-Bend
5736 Hong Jin Technology F 300 Manual Mechanism Modification
5737 Hong Jin Technology C 300 Manual Mechanism Modification
5738 Hong Jin Technology HT-1980 Cap Attach Handler
5739 Hong Jin Technology HT-1991 A Automatic Visual Inspection Machine
5740 Hong Jin Technology HVT-305 BF 4 Dispensing Curing System Testing Machine
5741 Hong Kong Kuttler Chemcut 30 Auto Loader
5742 Hong Teng Technology TM-3100 Machine Implant
5743 Hong Teng Technology TM-3100 Automatic Plant Machine
5744 Hong Teng Technology BT-1000 HTA Boat to Tray Switch
5745 Hong Teng Technology HTA BT-1000 Transpose Machine
5746 Hong Teng Technology TM-3200 Automatic Plant Machine
5747 Honghan Technology Semi-Automatic Placement Machine
5748 Honghe Electric BOE Acid Bench
5749 Hongkong Kuttler Chemcut 30 Auto Unlaoder
5750 Hongrigang NS 2000 Chrimping Machine
5751 Honkey SVG 86 Brush Washing Machine
5752 Hontech 3302 A microSD Handler
5753 Hontech 3302 SD Handler
5754 HORA OLT Operating Life Test System
5755 Horiba VIA 510
5756 Horiba CLA 510
5757 Horiba FIA 510
5758 Horiba MPA 510
5759 Horiba ES C 510 Sample Conditioner
5760 Horiba NEMA Cabinet Enclosure
5761 Horiba XGT-700 V X-Ray Analytical Microscope (microXRF)
5762 Horiba PZ 2000 Ellipsometer
5763 Horizon DHR 3655 D-10 Power Supply
5764 Horkos HFN R 40 H 16 Computer Numerical Control (CNC) machine
5765 Hosokawa 15 MDC 43 Mixer
5766 Hosokawa Mikro ACM Pulverizer
5767 Hosokawa Mikro Pulverizer Hammer Mill
5768 Hosokawa Mikro-Pulverizer Mikro-Pulverizer for Tegratol API Miling
5769 Hosokawa K 200 / 100 Compaction
5770 Hosokawa MEL 300 Mobile Lifting Device
5771 Hosokawa Micron API Mixer
5772 Hot Chamber Die Cast
5773 Hotpack 273800 Vacuum Oven
5774 Hotpack 273800 Vacuum Oven
5775 HotPack 213023
5776 HotPak Oven
5777 Hotsy 942 N Pressure Washer
5778 Hover 4 B Automatic Muller
5779 Hover Davis Blue Feeders
5780 Hover Davis SMT Feeders
5781 Hover Davis Feeder
5782 Hover-Davis Feeder
5783 Hover-Davis Feeder
5784 Hover-Davis Feeder
5785 Hover-Davis Feeder
5786 HP P 1102 LaserJet Printer
5787 HP 4550 Color LaserJet Printer
5788 HP 4200 / 4300 Series Printer
5789 HP KB 0316
5790 HP DesignJet T 610 Printer
5791 HP P 3015 DN Printer
5792 HP Proliant DL 320 UI Computer for Endeavor Cluster Tool
5793 HP / Agilent Design Jet 800
5794 HP / Agilent 8589 E RF Spectrum Analyzer
5795 HP / Agilent 4062
5796 HP / Agilent 8558 B Spectrum Analyzer
5797 HP / Agilent E 4432 B Signal Generator
5798 HP / Agilent 2000 Tester
5799 HP / Agilent V 3300 Versa Tester for FZTAT (Memory portion)
5800 HP / Agilent 6653 A Power Supply
5801 HP / Agilent 8753 C Vertical Network Analyzer (VNA)
5802 HP / Agilent 85046 A
5803 HP / Agilent 8595 E Spectrum Analyzer
5804 HP / Agilent 8082 A Pulse Generator
5805 HP / Agilent 4072 B Advanced Parametric Tester
5806 HP / Agilent 85046 A S Parameter Test Set
5807 HP / Agilent Plus Lab GC Equipment
5808 HP / Agilent E 5810 A LAN / GPIB Gateway
5809 HP / Agilent 85046 A S-Parameter Test Set
5810 HP / Agilent 8131 A Pulse Generator
5811 HP / Agilent 3585 A Spectrum Analyzer
5812 HP / Agilent 3325 B Synthesizer
5813 HP / Agilent 8443 A Tracking Generator
5814 HP / Agilent 8714 B Network Analyzer
5815 HP / Agilent 8007 B Pulse Generator
5816 HP / Agilent 8015 A Pulse Generator
5817 HP / Agilent 8970 B Noise Figure Meter
5818 HP / Agilent 8566 B Analyzer Display
5819 HP / Agilent 8481 B Power Sensor
5820 HP / Agilent 30 Db 30Db Pad
5821 HP / Agilent 8753 B Network Analyzer
5822 HP / Agilent 85025 B Detector
5823 HP / Agilent E 4432 A RF Signal Generator
5824 HP / Agilent 6633 A Power Supply
5825 HP / Agilent 4500 Inductively Coupled Plasma Mass Spectrometers (ICP-MS) system
5826 HP / Agilent 70952 B + 70004 A Optical Spectrum Analyzer
5827 HP / Agilent AG 4083 Parametric Tester
5828 HP / Agilent Visualize B 180 L
5829 HP / Agilent HP 4145 B Analyzer
5830 HP / Agilent Jet Fusion 3D Printer
5831 HP / Agilent / Keysight 3070 Series 3 Tester
5832 HP / Agilent / Verigy 93000 Boards
5833 HP / Agilent / Verigy 83000
5834 HP / Agilent / Verigy 83000 Tester
5835 HP / Agilent / Verigy 83000
5836 HP / Agilent / Verigy 83000 F 330 t Tester
5837 HP / Agilent / Verigy 93000
5838 HP / Agilent / Verigy 93000 Card
5839 HP / Agilent / Verigy 93000
5840 HP / Agilent / Verigy 93000
5841 HP / Agilent / Verigy 81000 BA Fiber Adaptor
5842 HP / Agilent / Verigy 81000 KA Fiber Adaptor
5843 HP / Agilent / Verigy 93000 PS 400 Tester
5844 HP / Agilent / Verigy 93000 Tester
5845 HP / Agilent / Verigy 83000 Tester
5846 HP / Agilent / Verigy 93000 SOC Series Tester
5847 HP / Agilent / Verigy 93000 SOC Series C 200 e Tester
5848 HP / Agilent / Verigy 93000 SOC Series C 200 e Tester
5849 HP / Agilent / Verigy 93000 SOC Series C 400 e Tester
5850 HP Designjet 3D Printer
5851 HP Designjet T 1120 PS Printer Plotter
5852 HSEB Axiospect 300 Stereo Microscope
5853 H-Square QBL 150 Flat and Notch Aligner
5854 H-Square Cassette Automated Inspection
5855 HST Reverse Current Temp Tester
5856 HTA OS 7700
5857 HTM Reetz GmbH LORA 1700-50-1300-1 Sintering Furnace
5858 HTM Reetz GmbH LOSIC 1600-80-600-3 Horizontal Tube Furnace
5859 Htschudin HTG 300 Rounder
5860 Huasheng Tianlong 95 Crystal Furnace
5861 Huber Rota Cool Chiller
5862 Huber UC 040 Tw-H
5863 Hubers Voltage Injection
5864 Huffman HS 155 R CNC Grinding tool
5865 Huffman Surface Grinder
5866 Hughes / Palomar 2470-V Wirebonder
5867 Hughes / Palomar 2470-V
5868 Hughes / Palomar 2470-III
5869 Hughes / Palomar 6500 Precision Assembly Die Bonder
5870 Hughes / Palomar HRW 250 AC-DC Power Supply
5871 Hughes / Palomar 6500 Die Bonder
5872 Hughes / Palomar 8000 i Wire Bonder
5873 Hugle UPC-12100 Cleaner System
5874 Human RH HPC-4000 Particle Inspection System
5875 Hummer VI Sputtering System
5876 Hunkeler UW 4 Unwinder
5877 Hunkeler UWM 7082 Unwinder
5878 Hunkeler UW 4 7119 Unwinder
5879 Hunkeler UWM Unwinder
5880 Hunkeler UWM 70 7082 Unwinder
5881 Hunkeler UW 4-150 m-R-VB 7110 Unwinder
5882 Hunkeler UW 4 7119 Unwinder
5883 Hunkeler UW 4 7119 Unwinder
5884 Hunkeler UW 4 Unwinder
5885 Hunkeler UW 4-150 m-R-VB 7119 Unwinder
5886 Hunkeler FS 4 7127 Unwinder
5887 Hunkeler 180 Degree Duplex Turn Station
5888 Hunkeler Paper Rollwagon
5889 Hunkeler WT 4 7118 Cross Turning Unit Inline
5890 Hunkeler TU-A 7170
5891 Hunkeler VU 7170
5892 Hunter WT 200 Heavy Duty Tire Alignment
5893 Hunterlab Color Matching Spectrophotometer
5894 Hurco HB 65-1.5 Bar Feeder
5895 Hurco TMM 10 i CNC Turning Center
5896 Hurco TM 10 CNC Turning Center
5897 Huskey GHM 105890 Cement Mixer
5898 Huskey GHM 105890 Cement Mixer
5899 Husky HyPet 120 Injection Molding Machine
5900 Husky HyPet 90 Injection Molding Machine
5901 Husky GL 300 Injection Molding Machine
5902 Husky GL 300 Injection Molding Machine
5903 Husky C 602 H
5904 Huvitiz HM-TV 0 Microscope
5905 Hwacheon Hi ECO 21 HS CNC Lathe
5906 Hwacheon Hi ECO 10 CNC Lathe
5907 HWUV HWUV-01 Uv Light Curing Chamber
5908 Hybco Grinder
5909 Hybond UDB 140 A Die Bonder
5910 Hybond UDB 140 A Die Bonder Parts Tool
5911 HYDAC Lubrication Unit for ISO VG 32
5912 Hyd-Mech S 20 A Horizontal Bandsaw
5913 Hydro Test 500-020
5914 Hydro Test 500-LP-18-P
5915 Hylas HT 5000 Laser Marker
5916 Hyndai HDA 03 HC 1 Chiller
5917 Hyndai HD 02 A Chiller
5918 Hyndai HD 01 A
5919 Hyndai HDA 05
5920 Hyndai 07.5 HC Chiller
5921 Hypersonic Chipheraser 1630 EPROM Eraser
5922 Hypertherm MRC Plasma Robot
5923 Hypertherm Powermax 65 Handheld Plasma Cutter
5924 Hypervision PTF 1 Portable Microscope
5925 Hypervision PTF 1 Portable Microscope
5926 Hyrel 3D Hydra 3D Printer
5927 Hyster N 30 ZDR-14.5 Fork Lift
5928 Hyster Forklift
5929 Hyster Forklift
5930 Hyster H 50 Forklift
5931 Hyster T 100 Tow Motor
5932 Hytrol Belt Driven Conveyor
5933 Hytrol Gravity Conveyor
5934 Hytrol Gravity Conveyor Sections
5935 Hytrol Gravity Conveyor Supports
5936 Hytrol Belt Driven Conveyor
5937 Hytrol 391968 Conveyor
5938 Hyvision DC + Blemish Manual Tester
5939 Hyvision HSMT + DCR Manual Tester
5940 Hyvision HVT-305 CTU Tester
5941 Hyvision HVT-305 BF 2 Tester
5942 Hyvision HVT-305 BF 2 Dispensing / Curing System Testing Machine
5943 Hyvision BHS-100 M Rewinding Machine
5944 Hyvision HVT-305 CTU Color Measurement Tester
5945 Hyvision 900 DC-V 1 Optical Inspection and Electrical Test Machine
5946 Hyvision 900 D-V 2 Electrical Testing Machine
5947 Hyvision F 300 Tester
5948 Hyvision F 300 / HVT-305 BF 4 Dispensing / Curing System Testing Machine
5949 Hyvision C 300 Measuring Instrument
5950 Hyvision C 300 / HVT-305 CTU Color Measuring Testing Machine
5951 Hyvision H 100-V 2 Auto Load / Unload Handler
5952 Hyvision 900 DC-V 1 Optical Inspection and Electrical Test Machine
5953 Hyvision C 301 Automatic Optical Inspection Tester
5954 Hyvision T 801 for FT / OQA Automatic Optical Inspection Handler
5955 Hyvision C 301 Automatic Optical Inspection
5956 Hyvision T 800 Electrical and Noise Tester
5957 Hyvision BF Conversion Kit
5958 Hyvision CT 1 Conversion Kit
5959 Hyvision CTQ Conversion Kit
5960 Hyvision Riemann AA Machine Measurement Tools & Jigs
5961 Hyvision Model Conversion Kits
5962 Hyvision C 300 Tester
5963 Hyvision HVT-305 CTU
5964 Hyvision HVT-305 BF 4 Handler
5965 Hyvision T 802 Automatic Optical Inspection Handler
5966 Hyvision H 100-V 2 Automatic Optical Inspection Handler
5967 Hyvision T 800 VT to NH Feed Stands, Automatic Seat Carrier, Suction Head
5968 IAC Industries Blue Workbench
5969 IAI Corporation IX-NNN 6020
5970 IAS Hepafilter Booth
5971 IBM P III Deskto CPUS
5972 IBM 4100 HD 3 Printing System
5973 IBM IP 4100 HD 4 Printing System
5974 IBM IP 4100 HD 3 Printing System
5975 IBM IP 4100 HD 3 Printing System
5976 ICD IRDI 9060 V 19 DI Water Heater
5977 Ice Tech Manual Dry Ice Blaster
5978 Icon i 6 Electro Folm Stencil
5979 Icon i 8 Printing Platform Base Machine
5980 Icon CI 8250 Lead Inspection Scanner
5981 Icon I 8 Printer
5982 ICOS 30 MW Automated Optical Inspection (AOI) system
5983 ICOS 30 MW Automated Optical Inspection (AOI) system
5984 ICOS CI 8250 1
5985 ICOS CI 8250 Vision System
5986 ICP PAC 1700 / ACE 832 A Open Short Tester
5987 IDC PGV RSA Person Guided Vehicle
5988 IDE AWP 200 Automated Wafer Packer / Unpacker System
5989 Ideal Aerosmith 2102 C-18-SR 48 Two Axis Position and Rate Table System
5990 Ideal Aerosmith
5991 Ideallab Mechanical Press Machine
5992 Idealmold Press Manual System
5993 Idec RY 4 S U 26612 Relays
5994 Idec RY 4 S U 36633 Relays
5995 Idec SY 4 S 05 Relay Bases
5996 Idex / Pulsafeeder Hose Pump
5997 Idex / Pulsafeeder Metering Pump
5998 IDI Cybor Chemical Dispense Controller
5999 IDI (Image Diagnostics Inc) 100 E Radiolucent Pain Treatment Table
6000 IDT Resistance Measurement System
6001 IEMCA VIP 70 s CNC Bar Loader / Feeder
6002 Iemme Italia 760 T Reflow Oven
6003 Iemme Italia Plus 12 Reflow Oven
6004 Iemme Italia IrCon 16 Nitrogen Reflow Oven
6005 IFR 2975 Communications Test Set
6006 IFR 1200 Super S Communications Monitor
6007 IFR Systems / Marconi 2042 Low Noise Signal Generator
6008 ILJIN Hi-Tech Loader
6009 Illitron 3712 A-3 C Automatic Tooth Spacing Comparator
6010 Illumina VC 101 1000 BeadXpress Reader System
6011 Illumina HiSeq X Genetic Sequencer
6012 Illumina HiSeq 2500
6013 Illumina HiSeq 2500 Genetic Sequencer
6014 ILX FPM 8210 Optical Power Meter
6015 ILX Lightwave FPM 8210 H Fiber Optic Power Meter
6016 ILX MPS 8033 Laser Source
6017 ILX Lightwave LDT 5412 Temperature Controller
6018 ILX Lightwave LDX 3525 Precision Current Source
6019 ILX Lightwave FPM 8200 Fiber Optic Power Meters
6020 ILX Lightwave LDC 7324 B Laser Diode Controller
6021 IMA C 90 A 91 Blister Packaging Line
6022 Imada DPS 110 R Digital Force Gauge
6023 Imeca Mini Boss 325 CNC
6024 Impac K-Sien Thermal Oven
6025 Impac TH 508 Thermohygrometer
6026 IMS XTS 60 E / 256 Tester
6027 IMS XTS 60 E / 256 Tester
6028 IMS / Credence ATS-2 Blazer Tester
6029 Ind Tech Carton Collator
6030 Induction Atmospheres Furnace
6031 Industra Dermo Sonic
6032 Industrial CNC 4 x 4 Pro Series CNC Router Machine
6033 Industrial Devices Electric Cylinder Model
6034 Industries ESD Safe Lab Bench
6035 Inel CPS 120 X-ray Detector
6036 Inertec Cube 640 Selective Soldering System
6037 Inficon XTC Deposition Controller
6038 Inficon Quadrex 100, Model 017-010-G 1 Control For Residual Gas Analyzer
6039 Inficon XTM/2 Deposition Monitor
6040 Inficon Electornic Cabinet
6041 Inficon CDG 025 D Vacuum Gage
6042 Inficon QX 2000 Computer
6043 Infineon Reel to Tape Test Inliner
6044 Infocus SP 4805 Screenplay DLP Projector, Screen
6045 Infrared Heating Technologies ITIOF 3 Z-144 KVA-NPP-01 Oxidation Furnace
6046 Ingersol Rand 234015 Air Compressor
6047 Ingersol Rand Premair CCD 07 SBP 010 G Cylinder
6048 Ingersol Rand 2475 Compressor
6049 Ingersoll Rand 2545 E 10-VP Compressor
6050 Ingersoll Rand Compressor
6051 Ingersoll Rand IRN 50 H-OF Compressor
6052 Ingersoll Rand HL 2001 HE 0 AA Dryer
6053 Ingersoll Rand UP 6-7.5 T AS-125 W/D Compressor
6054 Ingersoll Rand 2475 Industrial Air Compressors
6055 Ingersoll Rand SSR-EP 100 Air Compressor
6056 Ingersoll Rand CH 5 21 M 1 Air Compressor
6057 Ingersoll Rand 25 HP Air Compressor
6058 Ingersoll Rand Centac Air Compressor
6059 Ingersoll Rand TZE 500 Compressed Air Dryer
6060 Ingersoll Rand Sierra-H 100 A Compressed Air Dryer
6061 Ingersoll Rand SSR-HP 75 Air Cooled Air Compressor
6062 Ingersoll Rand IRN 50 H OF
6063 Ingersoll Rand 3000 E 30 Compressor
6064 Ingersoll Rand 30 TE 25 Compressor
6065 Ingersoll Rand Air Compressor
6066 Ingersoll Rand VH Vertical NC Mill
6067 Ingersoll Rand SSR EP 30 SE Compressor
6068 Ingersoll Rand SSR EP 15 Compressor
6069 Ingersoll Rand Intellisys Air Compressor
6070 Ingersoll Rand DXR 140
6071 Ingersoll-Rand 30 T Air Compressor
6072 Init I 60 X 2
6073 Init I 60 X 2
6074 Init I 60 X 2
6075 InkCups ICN 2500 4 Pad Printer
6076 Inkcups Now M 15 FP Screen Printer
6077 Inline SMT Conveyor
6078 Innolas ILS 700 P
6079 InnoLas ILS TT Laser Doping Machine
6080 Innolas OLSTT CP Ablation Laser
6081 Innolas ILSTT DS Selective Emitter Laser
6082 Innolas Systems ILS TT Double Scan
6083 Innovatis Cedex Pre-Culture
6084 Innovative Systems Engineering ISE PVD 3000 Sputtering System
6085 INO Hydraulic Press
6086 Insidix Topography and Deformation Measurement (TDM)
6087 Inspex TPC 8520 D0 Monitoring
6088 Inspex TPC 8520 Wafer Inspection
6089 Inspex TPC 8520 / 9000 Wafer Inspection
6090 Inspex TPC 8520 Wafer Inspection System
6091 Inspex TPC 9000 Wafer Inspection System
6092 Inspex TPC 8520 Wafer Surface Defect Inspection Tool
6093 Inspex TPC 8520 / 9000 Wafer Inspection System
6094 Instant Bioscan RMS-ON 90
6095 Instek GFG-8255 A Function Generator
6096 Instek GPM 8212 AC Power Meter
6097 Instron 5542 A Tensile Tester
6098 Instron 1115 Tensile Testing Machine
6099 Instron A-1740-2015
6100 Instron A-1740-2022
6101 Instron SB 2 HXTS 33
6102 Instron A-1740-1025
6103 Instron Tensile Machine
6104 Instron 3345 Tensile Compression Tester
6105 Instron 4505 Tensile Compression Tester
6106 Instron Tester
6107 Instron A 440 Hydraulic Power Pack
6108 Instron 1331 Tensile Tester
6109 Instron 58 R 1127 Tensile / Compression Tester
6110 Instron 3365 Tensile Tester
6111 Instron Hot / Cold Chamber
6112 Instron 4467 Tensile Tester
6113 Instron
6114 Intech Cat Eyes Press
6115 Intech Automation Systems (IAS) Cantilever
6116 Intego Orion GTS 1101 Infrared Measurement Tool
6117 Integrated Air System Laminar Hood
6118 Integri-Test 90 Micro Prober
6119 Intelbras
6120 Intelitek Light CNC Router
6121 Intelitek MX Benchman Mill
6122 Intelitek MX Benchman Mill
6123 Intelix Balun Digi-VGASD-R VGA, Audio, & RS232/IR over Twisted Pair Receiver
6124 Intelume SCM-A 320 Laser Cutting
6125 Intercon PDIP Lasermark Integration
6126 Intercon MA 4700 Inline IMS System
6127 Interconnect 322 Conveyer
6128 Interconnect Conveyer 322 Process Control
6129 Interface Associate Inc MBS-140 AF Marker Band
6130 Interface Associates MBS 200 Swaging Machine
6131 Intergen Chiller for Laserscribe
6132 Intermec 3400 e Label Printer
6133 Intermec Tethered Scanner and Stand
6134 Intermec 1551 Scanner
6135 International 560 B Loader
6136 International Equipment Company IEC-CTD Cryostat
6137 International Equipment Company (IEC) Model K Centrifuge
6138 International Microsystems M 6310 USB Duplicator
6139 Interpro System 6500 Auto Test System
6140 Interpro System DLP 50-60-1000 A Load Electronic
6141 Interpro System Elgar 1731 SX AC Power Source
6142 Interpro System Elgar 1751 SX AC Power Source
6143 Interpro System Auto Test System
6144 Interpro System Load Card
6145 Interpro System Self Test Card
6146 Interpro System DBM Card
6147 Interpro System Auto Test System
6148 Interpro System Card Imput
6149 Interpro System Counter Timer Card
6150 Interpro System CTR/TMR Card
6151 Interpro System DC Power Supply
6152 Interpro System DC Source
6153 Interpro System Digital I/O Card
6154 Interpro System Driver Card
6155 Interpro System DRV Card
6156 Interpro System DVM Card
6157 Interpro System High Frequency Scanner Card
6158 Interpro System High Volt Load Card
6159 Interpro System Over Relay Card
6160 Interpro System Power Relay Card
6161 Interpro System PSV
6162 Interpro System Pulse Slew Card
6163 Interpro System Ripple Noise Card
6164 Interpro System Scanner
6165 Interpro System Short Circuit Module
6166 Interpro System Tran 100 Card
6167 Intertest 1014-CBL Bubble Leak Detector
6168 InterTest 1014 CBC Bubble Detector
6169 Intertest 1014 CBL
6170 InterTest Gross 1014-CII / S 6 Leak Bomb Test System
6171 Intevac Matrix S 4 C Physical Vapor Deposition (PVD)
6172 Intevac Implanter
6173 Intivac Coater
6174 Intralux VOLPI 5000-1
6175 Intravis Sample-PreWatcher
6176 Intrinsyc APQ 8074 Snapdragon Development Kit
6177 Intrinsyc APQ 8060A Snapdragon Development Kit
6178 Intrinsyc APQ 8094 Snapdragon Development Kit
6179 Invensys PowerWare 9315-80 Single Module UPS
6180 Invensys Eurotherm 3504
6181 Inzpect 4004 PCB Loader / Unloader System
6182 Inzpect ITWT 6020 Post Tape Inspection Machine
6183 Ion Exchange pH Meter
6184 Ion Exchange Purified Water System
6185 Ion Exchange Electropolish Pipeline Purified Water System
6186 Ion Science G 3 Gas Check
6187 Ion Systems 775 Electrostatic Field Meter
6188 Ion Tech GFC 1000
6189 Ion Tech RF 2001
6190 Ion Tech RF 2051
6191 Ion Tech RF 2070
6192 IonSense DART-100 Source
6193 IOU Technology BM 2264 G Laser Back Printer
6194 IPEC 472 Polisher
6195 IPEC
6196 IPG EAD 500 C Erbium Fiber Amplifier
6197 IPG Photonics PYL 2 1380 R Raman Fiber Laser
6198 IPG Photonics Laser
6199 IPI ESC 2100 H
6200 IPQ TYL 1455 Fiber Laser
6201 IPR M 2 i Manesty Tablet Press Machine
6202 IPR Systems Power Run-In 6 JX
6203 IPS Developer
6204 IPS Frame Dryer
6205 IPS Resist Stripper
6206 IPS Nano ALD 3000 Low Pressure Chem Vapor Deposition
6207 IPSCOT Two Part Dispencer
6208 IPTE MGU Manual Gate Unit
6209 IPTE MLL 1 Single Magazine Line Loader
6210 IPTE MLU-I Single Magazine Line Unloader
6211 IPTE TRV Automatic Traversing Unit
6212 i-Pulse M 2 Pick and Place
6213 i-Pulse M 2 Pick and Place
6214 IRE POLUS Laser Driver
6215 Ironton 46461 Chop Saw
6216 Irvine Optical Ultrastation 3 Inspection Station
6217 Irvine Optical Ultrastation 3 B Inspection Station
6218 Irvine Optical Ultrastation 150 Inspection Station
6219 IS LPI Developer
6220 IS Dev Master MK 2 Solder Mask Developer
6221 IS Conveyorized Oxide Line
6222 IS View XP 60 BD 109 Microscope
6223 ISBM SB 3-250-50
6224 ISBM AOKI 500 LL 75
6225 ISBM AOKI 250 LL 75
6226 ISBM AOKI 11 – SB 3-250-LL-50
6227 ISBM SB 3 100 LL 20 S
6228 ISBM SB 3-250 LL 50
6229 Isel Automation Heavy Duty Linear Slides
6230 Iskra Pro Labeling Turntable for XG4
6231 Ismeca TMBU-CA Taping Machine
6232 Ismeca TMBU-CA Tapping Machine
6233 Ismeca G 316 Mark / Scan / Pack Machine
6234 Ismeca M 132 Test Handler
6235 Ismeca NT 116 Test Handler
6236 Ismeca M 232 Test Handler
6237 Ismeca NX 16 Handler
6238 Ismeca NX 16 Handler
6239 Ismeca MP 200 Tape and Reel
6240 Ismeca TMBZ Tape and Reel
6241 Ismeca MP 200 Tape and Reel
6242 Ismeca NX 16
6243 Ismeca NX 16
6244 Ismeca NT 216
6245 ISOF Adaptor Flanges
6246 ISO-Tech IPS-2010 Programmable Power Supply
6247 Iso-Tech IDM 91 E Digital Multimeter
6248 Istack i Stack Die and Epoxy Bonder
6249 Isusa HB 1000 Scale
6250 Isuzu ESF 216 S Oven
6251 ITEC Holand Parset 197
6252 ITHAC 2002 Hydrogen Determinator
6253 Ithaco Dynatrac Lock In Amplifier
6254 ITO OHASHI CMS-1200 Loader / Unloader
6255 ITS
6256 IVI Box Coater
6257 Iwaki Pump
6258 Iwaki
6259 Iwaki Glass ASP 13 Aspirator
6260 Iwasaki 0366 01 Rubbing Machine
6261 IWK CPR Cartoner
6262 Ixia FlexAP 104016 SQ Traffic Generator Line Card
6263 J B Sawant Vacuum Receiver
6264 J Engelsmann AG Vibration Filter for Polishing Emulsion
6265 J&L Metrology Classic 14 T Optical Comparator
6266 J&L Metrology PC-14 A Optical Comparator
6267 J&R Gen 6 Automation Chemical Vapor Deposition (CVD)
6268 J&R 50 MW Automation Load Wafer Transfer system
6269 J&R 50 WM Automation Load Wafer Transfer system
6270 J&R 50 WM Wafer Transfer system
6271 J.A. King CLK 07 Clicker Press Pneumatic
6272 J.P. Machine Tools High Speed Volumetric Powder Filling Machine
6273 J.Sandt ST.138-44308
6274 JA Woollam M-44 NIR ES 130 Ellipsometer
6275 JA Woollam WVASE 32 Ellipsometer
6276 JA Woollam HS 190 Monochromator
6277 JA Woollam M 2000
6278 JA Woollam MTH 33 Ellipsometer
6279 Jackson Automation Implant Exhaust Box
6280 Jackson Automation Ion Implant Parts Clean Bench
6281 Jadason Laser Drill
6282 Jandel Four Point Probe
6283 Janome JR-V2303 3 Axis Robot
6284 Janome JR-V2304 N 4 Axis Robot
6285 Janome CAST CR 3 / C Router
6286 Janus Liquid Handler
6287 Japan UB 630 T Die Casting Machine
6288 Japan LSI (JLSI) IC Tray Handler
6289 Japan LSI (JLSI) IPS-8655 IC Tray Handler
6290 Jasco FP 2020 Plus Intelligent Fluorescence Detector
6291 Jasco 7850 Spectrophotometer
6292 Jasco V 670 Spectrophotometer
6293 Jazz 300 M Tape Dispencing Machine
6294 JDS FITEL D 1800 Fiber Optical Switch
6295 JDS FITEL TB 9 Optical Bandpass Filter
6296 JDS Uniphase SWS 15104 C-Band 4-State Polarization Controller
6297 JDS Uniphase SWS 15101 Tunable Laser Source
6298 JDS Uniphase SWS 15102 C-Band Source Optics Module
6299 JDS Uniphase SWS 16102 L-Band Source Optics Module
6300 JDS Uniphase SWS 16101 L Band Tunable Laser Source
6301 JDS Uniphase PA 9 Optical Attenuator
6302 JDSU M 125 N 1319 200 Laser Module
6303 JDSU M 125 6 OPN PS Power Supply
6304 JDSU 21067769-002 HS Modulator
6305 JDSU 21044598-100 HS Modulator
6306 JDSU 21044598 HS Modulator
6307 JDSU 10022054 HS Modulator
6308 JDSU MAPF+ 1 GGP 01 FP MAP Tunable Filter
6309 JDSU MAP+ 2 M 00 Multiple Application Platform
6310 JEC P 3502 ES Bi Debug Station
6311 Jelight 144 AX
6312 Jeng Yueh Enterprise M-36 Lapping Tool
6313 Jeng Yueh Enterprise M 42 P 4 X Mirror Grinding Machine
6314 Jenoptik Votan Solas Glass Solar System
6315 Jensen Batch Cure Oven
6316 Jeol 7505
6317 Jeol JSM-6600 Scanning Microscope
6318 Jeol Scanning Electron Microscope (SEM)
6319 Jeol JEM-2500 SE Microscope
6320 Jeol JEM-2500 SE Microscope
6321 Jeol JWS 7555 S Microscope
6322 Jeol JWS-7515 Scanning Electron Microscope (SEM)
6323 Jeol SM-Z0 4004 T Cross Section Polisher
6324 Jeol JBX-5500 FS E-beam Direct Write Lithography System
6325 Jeol JSM 6330 F Field Emission Scanning Electron Microscope (FE-SEM)
6326 Jeol 2010 F Transmission Electron Microscope (TEM)
6327 Jeol JSM-5610 Scanning Electron Microscope (SEM)
6328 Jeol JXA-8900 R Microprobe
6329 Jeol JNM-ECP 300 Super Conducting NMR
6330 Jeol JSM 7401 F SEM
6331 Jeol JSM 6300 Scanning Microscope
6332 Jeol JSM 6600 F Scanning Microscope
6333 Jeol JSM-5800 Scanning Electron Microscope (SEM)
6334 Jeol Datum JSM-7401 F SEM
6335 Jeol JEM-2010 TEM
6336 JEOL JXA 8900 Microprobe
6337 Jeol JEM 2100 TEM
6338 Jeol JSM 5800 SEM with EDS
6339 Jeol JMS 700 T Mass Spectrometer
6340 Jeol 6334 F Field Emission Scanning Electron Microscope
6341 Jeol JSM 6301 F SEM
6342 Jeol JSM 5900 LV Scanning Electron Microscope (SEM)
6343 Jeol JSM 5610 LV Scanning Electron Microscope (SEM)
6344 Jeol JSX 3400 RII Element Analyzer Rohs
6345 Jeol 4500 Loading arm / rod
6346 Jeol JSM 840 A Scanning Electron Microscope (SEM)
6347 Jeol 100 SX TEM
6348 Jeol 6480 LV JSM SEM
6349 Jeol JEM 1400 Plus Transmission Electron Microscope (TEM)
6350 Jeol 2010 F Field Emission Electron Microscope
6351 Jeol 640 CD SEM
6352 Jeol ISI 1008 Scanning Electron Microscope (SEM)
6353 Jeol JWS J 555 S Defect Review
6354 Jeol JEM 2100 A Electron microscope TEM
6355 Jeol 2010
6356 Jeol 5600 SEM
6357 Jeol 6400 Scanning Electron Microscope (SEM)
6358 Jeol 2100 Probe-Corrected Analytical Electron Microscope
6359 Jervis Webb Conveyor System
6360 Jesse T 65 Tube Bender
6361 JET 300 NT ICT Test Equipment
6362 JET JWBS 20 Q Vertical Bandsaw Machine
6363 Jet GH-1440 ZX Lathe
6364 Jet Fork Lift
6365 Jet GH 1440 ZX Lathe
6366 Jet J-8201 K Band Saw
6367 Jet J-820305 Band Saw
6368 Jet J-8203 K Band Saw
6369 Jet 14 M Drill Press
6370 Jet JTM-1254 VS Vertical Milling Machine
6371 Jet 1860 3 PGH Lathe
6372 Jet Vertical Milling Machine
6373 Jet Drill Press
6374 Jet VBS 1220 M Vertical Bandsaw
6375 Jet Rite Direct Precision Imaging machine
6376 Jettech B 957 M B Electrolytic Water Jet Deflashing System
6377 JFP PP 5 Microtechnic Die Attach
6378 JFW Industries 50 DR 061 Attenuator
6379 JH Technologies 20500 / 26 Fiber Optic Illuminator
6380 Jiabo TB 100 Tube Filler
6381 Jiahui SHM 150 / SHM 200 Manual Wafer Placement Machine
6382 Jiang Su Vigor 6000
6383 Jiangsu Keyland Laser Technology GSC-20 F Laser Scribing Machine
6384 Jiangsu Yixing Equipment YX 2670 C Insulation Voltage Tester
6385 Jiarong FP 200 Spin Dryer
6386 Jilong Geelong KL-300 T Fusion Splicer
6387 Jin Fangyuan VR 6 XAGS CNC Shearing Machine
6388 Jin Fangyuan PR 6 C Bending MAchine
6389 Jindingbang Semi-Automatic Glue Brushing Machine
6390 Jingsheng TDR 80 A-ZJS Full-automatic Crystal Growin
6391 Jinhua Huafeng Instrument JYS 2940 G Reverse Current Tester
6392 Jinhua Jinfeng Instrument JYS 2960 F Trr Tester
6393 Jinhua Jingeng Instrument JYS 2960 H Trr Tester
6394 Jiu Ding Nordson March FlexTRAK-2 MB Plasma Cleaning Machine
6395 Jiule Xing Crystal Stick Adhesion Table
6396 Jiule Xing Mounting Machine
6397 JJ Instrument T 22 K Tensile Testing Machine
6398 J-Mar 010-3180-012
6399 Jobin Yvon Emission Instruments JX 38 S Furnace
6400 Jobin Yvon Horiba 750 M Spectrometer
6401 Jobin Yvon Horiba 232 / 488 MSD Spectrometer
6402 Jobin Yvon Horiba 750M Spectrometer
6403 Johann Hofler Maschinenbau Gen 4 Connector Pinning
6404 Johann Hofler Maschinenbau Pin and Bending Device
6405 Johannson Drill
6406 John Chubb Instrumentation JSI 140 Electrostatic Voltmeter
6407 John Dusenbery 765 AB Core Cutter
6408 John Dusenbery 9583236 Core Cutter
6409 Joinpack JP 750 Vacuum Packaging Machine
6410 Joinpack JP 750 Vacuum Packaging Machine
6411 Joinwit Programmable Optical Attenuator
6412 Joinwit Optoelectronic Tech Programmer Optical Attenuator
6413 Jonas & Redman SIN Tray Loader /  Unloader
6414 Jonas & Redman Cell Tester Sorter
6415 Jonas & Redman Wafer Test Sorter
6416 Jonas & Redman Transfer System
6417 Jonas & Redman Wet Inline Unloader
6418 Jonas & Redman Chemical Vapor Deposition (CVD) Wafer Handling
6419 Jonas & Redman Wet Inline Loader
6420 Jonas & Redman Wet Batch Unloader
6421 Jonas & Redman Wet Batch Loader
6422 Jonas & Redman Wafer Handling Diffusion
6423 Jonas & Redman Print Line Unloader
6424 Jonas & Redman Wafer Handling Oxidation – LFC
6425 Jonas & Redman Print Line Loader
6426 Jonas & Redman Laser Marking Loader – LFC
6427 Jonas & Redman Laser Marking Unloader – LFC
6428 Jonas & Redman Carrier Stack Exchanger
6429 Jonas & Redman SIN Tray Loader / Unloader
6430 Jonas & Redman E 2000 HT 410-4 Chemical Vapor Deposition (CVD) SIN Furnace
6431 Jonas & Redman
6432 Jones & Shipman Surface Grinder
6433 Jones Lamson J&L Classic 14 T Optical Comparator
6434 Joos HP-S 40 Hot Pressing Machine
6435 Jordan Valley BedeMetrix X-ray Diffractor (XRD)
6436 Jordan Valley BedeMetrix X-ray Diffractor (XRD)
6437 Jordan Valley JVX 6200 iF Industrial X-ray
6438 Joslyn Electornic Systems Surge Generator
6439 JOT PU 400 Bare Board Unstaker
6440 JOT J 208 50.3 / 20 Transfer Conveyor
6441 JOT Conveyor
6442 JOT FIFO
6443 JOT J 213-53 Single Magazine Handler
6444 JOT J 207-50 Turn Unit Conveyor
6445 Jot J 213-53 1/38 Single Magazine PCB Unloader
6446 JOT PCB Conveyor
6447 Joyo JKL 451 M Cutting
6448 JPW Industrial HT 334 TUL 480 V 30 KW Oven
6449 JST SAA 00001 Stainless Steel Solvent Engineering Wash Tank Hood
6450 JST STA 00106 Stainless Steel Engineering Dual Wash Tank (Acid Wash Tank)
6451 JST STA 00173 Stainless Steel Acid / Solvent Combo-Wash Tank Hood (Engineering Min Wash Tank)
6452 JST Solvent Cleaning Hood / Photo-Glass Mixing
6453 JST Solvent Hood
6454 JST Chem Delivery Unit Developer
6455 JST Auto Stripper Bench
6456 JST AP K 2 N Crimper
6457 JT BC 500 C Conveyor
6458 JT RS 1000 N Relow Oven
6459 JT Corp JTS 3211 Automatic 4 Para Sorter
6460 Juan Martin 450 / LK Grinding Machine
6461 Juki KE-2020 Chip Placer
6462 Juki TR 6 DE MTC (Matrix Tray Changer)
6463 Juki KE-750 Pick and Place Machine
6464 Juki 730 Pick and Place
6465 Juki 740 Pick and Place
6466 Juki 750 Pick and Place
6467 Juki KE 2060 R Pick & Place
6468 Juki KSP Printer
6469 Juki 2080 RM High Speed Flexible Mounter
6470 Juki KE 3020 VAM SMT Mounter
6471 Juki KE 3020 VAM High Speed Modular Mounter
6472 Juki RS 1 Fast Smart Modular Mounter
6473 Juki KE 2080 Placement
6474 Juki GL Screen Printer
6475 Juki KE 2060 E Placement Machine
6476 Juki KE 2070 Placement
6477 Juki MTC Placement
6478 Juki GKG GL Stencil Printer
6479 Juki GKG GL Stencil Printer
6480 Juki KE 2080 Placement
6481 Juki FS 730 Pick & Place Machine
6482 Julabo SE 26 Oil Bath
6483 Julabo Presto A 80 Cryothermostat
6484 Julong 1 GOH 1 MX 6 Oven
6485 Julun CL 950 L Cable Cutting, Crimping Machine
6486 JunAir Compressor
6487 Jun-Air 6-25 Compressor
6488 Jun-Air OF 1202-40 MQ 3 Air Dyer
6489 Jungheinrich EPG 113 G 115-300 ZT Forklift
6490 Jungheinrich ETX K 150 Forklift
6491 Jungheinrich ETX K 150 Forklift
6492 Junhua Precision Industry KB-3100 Substrate Load
6493 Junhua Precision Industry KB-3110 Auto Pick / Place
6494 Juniper E 320
6495 Juniper M 120
6496 Juniper M 10
6497 Juniper M 10 i
6498 Juniper Networks EX 2200-C
6499 Junker Quickpoint 3000 / 50 Grinding Machine
6500 Justrite 25701 Flammable Liquid Storage Cabinet
6501 Justrite Bulkhead Style Acid Cabinet
6502 Justrite Bulkhead Style Solvent Cabinet
6503 Jusun IMS Gas Analyzer
6504 Jusung Eureka 3000 EPI Tool
6505 JVM UPCE 15 Unit Dose Package Cutting
6506 JWI 630 G 32-20-6 SA Filter Press
6507 JWI Filter Press
6508 JYT G 6 Ingot Furnace
6509 K&L Microwave DC 0201 Tunable Bandpass Filter
6510 K&S 8020 Auto Gold Ball Bonder
6511 K&S AT Premier Wire Bonder
6512 K&S AT Premier Wire Bonder
6513 K&S 780 Wafer Saw
6514 K&S 982-6 Dicing Saw
6515 K&S 8028 Wire Bonder
6516 K&S 1488 L Turbo Wire Bonder
6517 K&S 980 Wafer Saw
6518 K&S 1472 Wire Bonder
6519 K&S 1488 Plus
6520 K&S 1488 Plus
6521 K&S 1488 L Turbo Wire Bonder
6522 K&S 4123 Wire Bonder
6523 K&S 971 Microwasher
6524 K&S Wafer Mounter
6525 K&S 8020 Wire Bonder
6526 K&S 1488 Turbo Wire Bonder
6527 K&S 4123 Wedge Bonder
6528 K&S 4524 Wire Bond
6529 K&S 7500 Plus
6530 K&S 4129 Manual Wedge Bonder
6531 K&S 1488 L Turbo Wire Bonder
6532 K&S 1488 Wire Bonder
6533 K&S 1474 Wedge Bonder
6534 K&S AT Premier Stud Bumper
6535 K&S Maxum Wire Bonder
6536 K&S Maxum Ultra Wire Bonder
6537 K&S 8098 Wire Bonder
6538 K&S Maxum Wire Bonder
6539 K&S 8028
6540 K&S 960-8 Manual Wafer Mounter
6541 K&S 8090 Large Area Wedge Bonder
6542 K&S 4526 Manual Wire Ball Bonder
6543 K&S 8028 SG Gold Wire Bonder
6544 K&S 4524 A Digital Ball Bonder
6545 K&S 8098
6546 K&S Maxum Automatic Wire Bonder
6547 K&S 7100 Dicing Saw Machine
6548 K&S 982-6 Dicing Saw
6549 K&S 972 Mask Cleaner
6550 K&S Easyline 8032 Die Bonder
6551 K&S Maxum Wire Bonder
6552 K&S Maxum Ultra Plus Wire Bonder
6553 K&S 8090 Wire Bonder
6554 K&S 8098 Wire Bonder
6555 K&S 4129 Manual Heavy Wire Wedge Bonder
6556 K&S Maxum Ultra Wire Bonder
6557 K&S Maxum Automatic Wire Bonder
6558 K&S 1472
6559 K&S 1484
6560 K&S 4124
6561 K&S Maximum Ultra Wire Bonder
6562 K&S Maxum Wire Bonder
6563 K&S 1484 Wire Bonder
6564 K&S 8028 SG Ball Bonder
6565 K&S Manual Wire Bonder
6566 K&S Conveyor
6567 K&S AT Premier Plus Wafer Level Bonder
6568 K&S Maxum Ultra Gold Ball Bonder
6569 K&S Maxum Ultra Wire Bonder Conversion Kit
6570 K&S Powerfusion
6571 K&S Powerfusion
6572 K&S 982-6 Wafer Dicing Saw
6573 K&S AT Premier Plus Bonder
6574 K&S AT Premier Plus
6575 K&S Turbo Ball Bonder
6576 K&S AT Premier
6577 K&S 4524 AD Bonder
6578 K&S 1488 Plus
6579 K&S 1488 Plus
6580 K&W MA 1006 Mask Aligner
6581 K&Z CMP 11 Pyranometer
6582 K.H. Fredrick Large Manual Dial Voltage Controller
6583 Kadia 130 B Hydraulic Honing Machine
6584 Kadmach Machinery CMC-CM Communating Mill
6585 Kaesar CS 76 Air Compressor
6586 Kaesar KRD 1200 Dryer
6587 Kaeser Air Compressor
6588 Kaeser DNS 231 Air Compressor
6589 Kaeser Air Compressors
6590 Kaeser KAD 90 Compressed Air Dryer
6591 Kaeser KRD 750 Dryer
6592 Kaeser SX 6 Air Compressor
6593 Kaeser SM 11 Air Compressor
6594 Kaeser F 6 KA Portable Dryer
6595 Kafi CF L 460 Turning Machine
6596 Kaijo Cassette Cleaner
6597 Kaijo Wet Bench
6598 Kaijo 118
6599 Kaili Air-con 30 R 060 ZA
6600 Kaise SK 3100 Insulation Tester
6601 Kaiser Air Compressor
6602 Kalamazoo Cold Saw
6603 Kalamazoo FA 350 SA Saw
6604 Kalamazoo Industries Robodrill Chip Conveyor
6605 Kaltenbach 3 KL 450 E Saw
6606 Kaman KD 2446 / KD 2306 Probes
6607 Kambert Machinery KOG 250 Oscillating Granulator
6608 Kampf LSF WSM 600 Winding Tool
6609 Kanken KPL C 13 u PV
6610 Kanken KT 1000 FA
6611 Kanken KT 1000 Fi S
6612 Kapema BGM 75 Belt Sander
6613 Kapema BM 28 A Drill Press
6614 KAPS All A Bottle Capper
6615 Kardex Shuttle XP 250 1250 X 610 Pasternoster
6616 Kardex Remstar 500 XP-2450 x 864-4550 Vertical Lift Module
6617 Kardex Shuttle XP 250 1250 X 610 Pasternoster
6618 Kardex Shuttle XP 250 1250 X 610 Pasternoster
6619 Kardex Industriever 8000 Parts Storage
6620 Karl Fischer 841 Titrator
6621 Karl Muller Elektror HRD 1 / 5 High Velocity Blower
6622 Karl Suss MJB 3 Mask Aligner
6623 Karl Suss MA 150 Mask Aligner
6624 Karl Suss MA 56 Mask Aligner
6625 Karl Suss MA 56 Mask Alligner
6626 Karl Suss BA 300-MIT Automated Inspection Tool
6627 Karl Suss HVMMFT, C 4 Mold Fill Tool
6628 Karl Suss HVMMFT Automatic Injection Molded Solder Mold Filling tool
6629 Karl Suss RC 8 Photoresist Spinner
6630 Karl Suss PM 5 Probe Station
6631 Karl Suss S B 8 Bonder
6632 Karl Suss MJB 21 Dual Side Aligner
6633 Karl Suss CL 10 Wafer Mask Cleaner
6634 Karl Suss Dark Box For Probe Station
6635 Karl Suss PA 200 Probe Station
6636 Karl Suss MA 6 Mask Aligner
6637 Karl Suss MJB 45 Mask Aligner
6638 Karl Suss ZE 1000 G
6639 Karl Suss DSC 300 UV Exposure Tool
6640 Karl Suss MA 150 Aligner
6641 Karl Suss ACS 300 Gen 2 Coater Developer
6642 Karl Suss ACS 200 Gen 3 Coater Developer
6643 Karl Suss MA 200 Gen 3 Mask Aligner
6644 Karl Suss MA 200 Compact Mask Aligner
6645 Karl Suss CBC 200 Auto Bonder
6646 Karl Suss PM 5 Probe Station
6647 Karl Suss MA 200 Mask Aligner
6648 Karl Suss Prober Dark Box Enclosure
6649 Karl Suss ACS 200 Coat Track
6650 Karl Suss MA 1006 Mask Aligner
6651 Karl Suss MA 56 Mask Aligner
6652 Karl Suss MA 56 Mask Aligner
6653 Karl Suss MJB 3 Mask Aligner
6654 Karl Suss RC 8 Spin Coater
6655 Karl Suss MA 200 CC Mask Aligner
6656 Karl Suss ACS 200 Coater
6657 Karl Suss PA 300 Probe Station
6658 Karl Suss MA 200 CC Mask Aligner
6659 Karl Suss ACS 200 Coater
6660 Karl Suss MA 200 CC Mask Aligner
6661 Karl Suss Microscope
6662 Karl Suss / Fairchild Technologies PR 800 DV Track
6663 Kashiyama SD 90 V III Dry Screw Pump
6664 Kasuga Rookie VCS Test Handler
6665 Kasuga VS 0954 BBB Laser Marker
6666 Kasuga VS 0954 FFF Laser Marker
6667 Kato SSE 45 CI A HTHH
6668 Kato Engineering A 270040000 Steam Turbine Generators
6669 Kaukan KW 2 KPTS Chiller
6670 Kayex KX 120 Crystal Grower
6671 Kayex CG 6000 Crystal Grower
6672 Kayex 150 Mono Crystal Furnace
6673 Kayex
6674 Kayex 898 CZ Crystal Grower
6675 Kayex CG 6000 Crystal Growing Furnace
6676 KC Tech SiH 4 Valve Manifold Box
6677 KC Tech CH 2 F 2 Valve Manifold Box
6678 KC Tech CHF 8 Valve Manifold Panel
6679 KC Tech 4 %PH 3 /N 2 Valve Manifold Box
6680 KC Tech C 4 F 8 Gas Cylinder Panel
6681 KC Tech Gas Cabinet
6682 KD Scientific 780210
6683 Kearney & Treaker Mill
6684 Kearney & Trecker 415 S-15 Milling Machine
6685 Kearney Trecker Orion 2300 Mill
6686 KeepRite KCL 023 T 4 A C 12 V 1085 Condenser
6687 Keith KBR 18 4 90 1500 Preheat Furnace
6688 Keith KBR 18 4 180 1000 Annealing Furnace
6689 Keith KECR 120 D 96 1200 Car Bottom Loading Carbonization Furnace with Thermal Oxidizer System
6690 Keith KEGH 30 38 30 2200 Bottom Loading Furnace
6691 Keith Kiln
6692 Keith Machinery Mixer
6693 Keithley 595 Quasistatic CV Meter
6694 Keithley 230
6695 Keithley 590 CV Analyzer
6696 Keithley S 900 A Tester
6697 Keithley 2602 System Sourcemeter
6698 Keithley 237
6699 Keithley 238
6700 Keithley 707 Switching Matrix
6701 Keithley 2231 A-30-3 DC Power Supply
6702 Keithley 8160 C
6703 Keithley 224
6704 Keithley 213 Quad Voltage Source
6705 Keithley 2361 Trigger Controller
6706 Keithley 236 Source Measure Unit
6707 Keithley 237 High Voltage Source Measure Unit
6708 Keithley 5951 Remote Input Coupler
6709 Keithley 595 Quasistatic CV Meter
6710 Keithley 590 CV Analyzer
6711 Keithley 175 Multimeter
6712 Keithley 175 A Autoranging Multimeter
6713 Keithley 181 Nanovoltmeter
6714 Keithley 480 Pico Ammeter
6715 Keithley 485 Autoranging Picoammeter
6716 Keithley 617 Programmable Electrometer
6717 Keithley 414 A Pico Ammeter
6718 Keithley 228 Voltage Current Source
6719 Keithley 2001 Sourcemeter
6720 Keithley 2400 Sourcemeter
6721 Keithley 2000 DMM Sourcemeter
6722 Keithley Scanning Thermometer
6723 Keithley Digital Multimeter
6724 Keithley 2400 Digital Source Meter
6725 Keithley Source Meter
6726 Keithley 480 Picoammeter
6727 Keithley 181 Nanvoltmeter
6728 Keithley 194 A High Speed Voltmeter
6729 Keithley 705 Scanner
6730 Keithley 6517 A Meter
6731 Keithley 8009 Resistivity Test Fixture
6732 Keithley 2001 Multimeter
6733 Keithley 7078 PEN Programming Light Pen
6734 Keithley 2400 Digital Source Meter
6735 Keithley Lot of Equipment
6736 Keithley 7700 Ethernet-Based Digital Multimeter / Data Acquisition
6737 Keithley 707 Matrix Cards
6738 Keithley 2000 Nanovoltmeter
6739 Keithley 2450 Source Meter
6740 Keithley 2182 A Nanovoltmeter
6741 Keithley 7001 Switch Unit
6742 Keithley 2750 Multimeter, Switch System
6743 Keithley 175 Autoranging Multimeter
6744 Keithley 175 A Autoranging Multimeter
6745 Keithley 2200 72 1 Power Supply
6746 Keithley 2440 Power Supply
6747 Keithley 2651 A Power Supply
6748 Keithley 610 B
6749 Keithley Power Supply
6750 Keithley Equipment Lot
6751 Keithley Digital Multimeter
6752 Keithley 196 Multimeter
6753 Keithly 192 Programmable DMM
6754 Kejie JTGK 500 E Engraving Milling
6755 Keller VARIO-T Exhaust Dry Separator Unit
6756 Keller Precutor and Kneeer / Precutting Unit and Extruder for Filter Cakes
6757 Kelly Duplex Horizontal Mixer
6758 Keltec CIJR 625 40
6759 Kelvinator Scientific UC 2020 Freezer
6760 Kemet LP (DS) 16 Dual Face Lapping & Polishing Machine
6761 Kemet XJ 56 Copper Plate Single Side Polishing Machine
6762 Kemet XJ 56 Single Side Polishing Machine
6763 KEMET LP (DS) 16 Lapping & Polishing Machine
6764 KEMET XJ 56 Polishing Machine
6765 KEMET XJ 56 Polishing Machine
6766 Kemper Fume Extraction System
6767 Kenco 052 105 150 OBI Press
6768 Kensington CSMT 4
6769 Kent HVA 100 Print Pad
6770 Kent KGS 618 N Hand Free Surface Grinder
6771 Kent Kipp 150 Pad Printer
6772 Kenwood CS-5400 Oscilloscope
6773 Kenwood Pa 18-1, 2 A Regulated DC Power Supply
6774 Kenwood Pa-18 Regulated CB Power Supply
6775 Kepco Power Supply
6776 Kepco ABC 15-1 M Power Source
6777 Kepco ABC 15-1 M Regulated DC Supply
6778 Kepco ABC 40-0.5 M DC Source Power Supply
6779 Kepco ABC 425 M DC Source Power Supply
6780 Kepco BOP 1000 M Bipolar Power Supply
6781 Kepco LMS 5300 Power Supply
6782 Kepco BOP 20 10 M Bipolar Operation Power Supply Amplifier
6783 Kepco & Harrison Various Power Supplies
6784 Kern K 980 Cutter
6785 Kern K 905 Cut Sheet Feeder
6786 Kern K 980 Cutter
6787 Kern K 905 Cut Sheet Feeder
6788 Kern 3000 Inserter
6789 Kern 3000 Inserter
6790 Kestrel Dynascope QC 200 Digital Microprocessor, Table Unit
6791 Kevex Mxrf. 952-101, Xrf 02 Fluorescence Spectrometer
6792 Kewaunee Scientific Supreme Air Venturi Ventilated Fume Hood Station
6793 Key International TD 101 WD Deduster
6794 Keyence LK H 022 K Laser Sensor
6795 Keyence TM 3001 Micro Meter for Sesvenna Prism Bar
6796 Keyence TM 3001 Micro Meter
6797 Keyence Surface Scanning Device
6798 Keyence LC 2101 Laser Displacement Meter
6799 Keyence LC 2400 W Laser Displacement Meter
6800 Keyence VHX 2000 Digital Microscope
6801 Keyence VHX 1000 Microscope
6802 Keyence VH 8000, VH-D 800, VH-Z 05 Microscope, Monitor & Lens
6803 Keyence LT 9010 M Laser Measurement System
6804 Keyser CS 120 Compressor
6805 Keysight M 9502 A AxIe Chassis
6806 Keysight U 4431 A MIPI M-PHY Interface Protocol Analyzer
6807 Keysight U 4432 A Flying Lead Probes
6808 Keysight 4263 B Inductance, Capacitance, Resistance (LCR) Meter
6809 Keysight Keysight 8765 D Coaxial Switch
6810 Keysight 33500 B Waveform Generator
6811 Keysight 34980 A Multifunction Switch
6812 Keysight Multifunction Switch
6813 Keysight 34401 A Digital Multimeter
6814 Keysight 34970 A Data Acquisition / Data Logger Switch Unit
6815 Keysight E 5515 C Wireless Communications Test Set
6816 Keysight 86100 C Infiniium DCA-J Wideband Oscilloscope Mainframe
6817 Keysight 86100 D Infiniium DCA-X Wide-Bandwidth Oscilloscope Mainframe
6818 Keysight PNA N 5225 40GHz PNAs
6819 Keysight Test Equipment
6820 Keysight N 4693 A ECal Kit
6821 Keysight CP 015 Current Probe
6822 Keysight DSOX 2002 A Storage Oscilloscope
6823 Keysight DSOX 2002 A Oscilloscope
6824 Keysight DSOX 3034 T Oscilloscope
6825 Keysight U 2001 A USB Power Sensor
6826 Keysight 560 98 K 50 Attenuator
6827 Keysight 8494 H Attenuator
6828 Keysight 8496 H Attenuator
6829 Keysight 8648 C Signal Generator
6830 Keysight E 4443 A Spectrum Analyzer
6831 Keysight 34461 A Digital Multimeter
6832 Keysight E 36312 Power Supply
6833 Keysight Technologies 1146 B
6834 Keytek Instrument 424 Surge Generator / Monitor
6835 KH Offload
6836 Kikusui PLZ 303 W Electronic Load
6837 Kikusui PAD Regulated DC Power Supply
6838 Kikusui PLZ 72 W Electronic Load
6839 Kikusui PLZ 152 WA Electronic Load
6840 Kikusui PAB 8-5 Regulated Power Supply
6841 Kikusui Electronics DC Power Supply
6842 Kilham 15 Bendit Brake
6843 Kilian T 300 Tablet Printing Machine
6844 Killion Extruder
6845 Killion
6846 Kimmon Vdk-150 Wf-Am IPA Dryer & Quartzware
6847 Kimmon Vdk-150 Wf-Am IPA Dryer & Quartzware
6848 Kimmon Electric Helium Cadmium Laser
6849 Kimmon Koha IK 3501 R-G Helium Cadmium Laser Head
6850 Kimmon Koha KP 2014 C Helium Cadmium Laser Power Supply
6851 Kimmon Koha IKRF Remote Fan Assembly
6852 Kimmon Koha OTMF Optical Table Mounting Feet (pair)
6853 Kimono Helium Cadmium Laser
6854 Kimura Denyoki TD T 130 M Timer Controller
6855 Kince KWA-1225 Reflow Oven
6856 Kinefac TD-21 CNC Lathe
6857 Kinefac MC-4 Die Roller
6858 Kinergy
6859 Kinergy ACS-200 Substrate Sorter
6860 Kinergy BM 800 ST Buffing Machine
6861 Kinergy Automatic Leadframe Loader
6862 Kinergy Deflash 18 LD 300 MI Conservation Kit for Shuttle
6863 Kinergy Buffing Machine
6864 Kinetek DR 300 C Inspection Scope
6865 Kinetic Systems Isolation Table
6866 Kinetix 6120 Tester
6867 Kinetix 6500
6868 King Design Equipment Lot
6869 King Tiger 8 Site Tester
6870 King Tiger KT 2 Pro 8 Site Test System
6871 King Tiger KT 3 P 2 Site Test System
6872 Kinglands Guillotine
6873 Kinney KD 30 Pump
6874 Kinney SDV-120 Vacuum Pump
6875 Kinney Baldor Oil Pump
6876 Kinston HL 1500 Lathe
6877 Kirloskar Mcquay Chiller
6878 KIS DKS 1500 Minilab Printer
6879 Kistler 5006 Charge Amplipher
6880 KJ Lumonics 710 Laser Welder
6881 KKS ACS 3 Hot Stamping Press Machine
6882 KLA-Tencor Candela CS-20 Surface Analyzer
6883 KLA-Tencor Candela CS-10 Surface Analyzer
6884 KLA-Tencor Candela CS 2 Surface Analyzer
6885 KLA-Tencor ES 20 Inspection System
6886 KLA-Tencor ULTRA
6887 KLA-Tencor FT 700 Film Thickness Measurement System
6888 KLA-Tencor 2608
6889 KLA-Tencor Candela CS-10 Surface Analyzer
6890 KLA-Tencor 8100 / CD CD Measurement
6891 KLA-Tencor 8100 / CD CD Measurement
6892 KLA-Tencor 8100 Scanning Electron Microscope (SEM)
6893 KLA-Tencor 8100 Scanning Electron Microscope (SEM)
6894 KLA-Tencor AIT Patterned Wafer Inspection System
6895 KLA-Tencor AIT Patterned Wafer Inspection System
6896 KLA-Tencor AMRAY 4200 Scanning Electron Microscope (SEM)
6897 KLA-Tencor CRS 1010 Review Station Review Station
6898 KLA-Tencor 2132 Bright Field Inspection
6899 KLA-Tencor AIT I Darkfield Defect Inspection
6900 KLA-Tencor 2132 Bright Field Inspection
6901 KLA-Tencor CRS 1010 Defect Review
6902 KLA-Tencor ES 31 E-beam Inspection
6903 KLA-Tencor eS 810 E-Beam Inspection
6904 KLA-Tencor eS 810
6905 KLA-Tencor Ultrapointe CRS 1010-S
6906 KLA-Tencor Ultrapointe CRS 1010-S Confocal Review Station
6907 KLA-Tencor Ultrapointe CRS 1010-S Confocal Review Station
6908 KLA-Tencor Ultrapointe CRS 1010-S
6909 KLA-Tencor Ultrapointe CRS 1010-S
6910 KLA-Tencor UV 1050 Thin Film Thickness Measurement tool
6911 KLA-Tencor AIT UV ++ Pattern Inspection
6912 KLA-Tencor FP 20 Metrology Tool
6913 KLA-Tencor Surfscan 5500 Particle Counter
6914 KLA-Tencor KT 2401
6915 KLA-Tencor CV 450 Visedge Edge Inspection System
6916 KLA-Tencor P 17-0389969 Stylus Profiler
6917 KLA-Tencor EV 300
6918 KLA-Tencor EV 300 SEM
6919 KLA-Tencor 5015 Wafer Particle Measurement System
6920 KLA-Tencor 216 E – 236 Le – Kla 20 Misc. Parts, Manuals
6921 KLA-Tencor Alpha Step AS-IQ
6922 KLA-Tencor AIT Patterned Surface Defect Inspection System
6923 KLA-Tencor ICOS 8250 Automated Optical Inspection (AOI)
6924 KLA-Tencor Candela CS 2
6925 KLA-Tencor Quantox 64100
6926 KLA-Tencor AIT UV+
6927 KLA-Tencor AIT I Surfscan
6928 KLA-Tencor 8100 Critical Dimension – Scanning Electron Microscopy (CD-SEM)
6929 KLA-Tencor 086630 Surface Scan
6930 KLA-Tencor ICOS Ci T 120 Auto Inspection & Tape Reel
6931 KLA-Tencor PVI 6 1 H 0236 Optical Inspection Chemical Vapor Deposition (CVD)
6932 KLA-Tencor PVI 6 Rev 1 FSPI Automated Optical Inspection (AOI) system
6933 KLA-Tencor Surfscan 4500
6934 KLA-Tencor Candela CS-20 V Surface Analyzer
6935 KLA-Tencor Candela CS 2 Surface Analyzer
6936 KLA-Tencor Candela CS-10 Surface Analyzer
6937 KLA-Tencor ES 32 E-Beam Defect Inspection
6938 KLA-Tencor P 10 Surface Profiler
6939 KLA-Tencor SP 1-TBi Non Pattern Inspection System
6940 KLA-Tencor Surfscan SFS 4500
6941 KLA-Tencor P 12 Stylus Profiler
6942 KLA-Tencor Candela 7100
6943 KLA-Tencor Candela CS-20 Surface Analyzer
6944 KLA-Tencor P 11 Surface Profiler
6945 KLA-Tencor Surfscan 4500 Wafer Inspection System
6946 KLA-Tencor P 2 Profiler
6947 KLA-Tencor 996 MS MicroVision
6948 KLA-Tencor 925 DT IC Mark/Lead Inspector
6949 KLA-Tencor P 10 Surface Profiler
6950 KLA-Tencor HRP 350 Thickness Measurement System
6951 KLA-Tencor P 10 Surface Profiler
6952 KLA-Tencor Candela CS 920 Inspection
6953 KLA-Tencor 2135
6954 KLA-Tencor 2365 UV/Vis Ultra Broadband Brightfield Patterned Wafer Inspection System
6955 KLA-Tencor 2139 Inspection System
6956 KleenAir M 1329 Cure Oven
6957 Klement High Pressure Torsion Press
6958 Klenzaids Laminar Air Flow Booth
6959 KLH Water Chiller
6960 Klingelnberg Micronic 2
6961 Kloe Dilase 650 Optical Lithography Writer
6962 Klopper AH 2100 Washer
6963 Knauer K 2600 UV Detector
6964 Knauer K 1001 HPLC Pump
6965 Knauer Interface Box
6966 KNF Neuberger PM 14287-813.3 Vacuum Pump
6967 KNGY Precision Degator
6968 KNGY Sohed Precision Tool System
6969 KO Lee
6970 KO Lee 034.0056
6971 KO Lee 032.0081
6972 KO Lee 5618 HG Bevel Grinder
6973 KO Lee Bevel Grinder
6974 KO Lee BA 960 Grinder
6975 Kocat KC-903 Scrubber
6976 Kocat KC 903 Gas Scrubber
6977 Koehring Crane
6978 Kofab BA7 1 Conveyor
6979 Koh Young KY 3020 T Solder Paste Inspection (SPI)
6980 Koh Young Aspire DL PCB Inspection System
6981 Koh Young SPI
6982 Koh Young KY 8030 2 Inspection Machine
6983 Kohler GGMB-6211507 Back Up Generator
6984 Kohtaki KTS 2 Transfer Molding
6985 Kohtaki Molding Press
6986 Kokusai DJ-1236 VN-DF Low Pressure Chemical Vapor Deposition (LPCVD) TiN
6987 Kokusai DD 1206 V-DF Vertical Low Pressure Chemical Vapor Deposition (LPCVD) Furnace
6988 Kokusai DD-1223 VN TiN Atomic Layer Deposition (ALD) system
6989 Kokusai Quixace DJ-1206 VN-DM SiN Low Pressure Chemical Vapor Deposition (LPCVD)
6990 Kokusai Quixace DJ-1206 VN-DM SiN Low Pressure Chemical Vapor Deposition (LPCVD)
6991 Kokusai Quixace Ultimate Vertical Low Pressure Chemical Vapor Deposition (LPCVD)
6992 Kokusai Quixace Ultimate Atomic Layer Deposition (ALD) system
6993 Kokusai Quixace Ultimate Atomic Layer Deposition (ALD) system
6994 Kokusai Quixace DD-1236 VN-DF Vertical Low Pressure Chemical Vapor Deposition (LPCVD) Furnace
6995 Kokusai Quixace Ultimate DJ-1236 VN-DF Low Temp Steam Anneal
6996 Kokusai Quixace-II Vertical LPCVD Furnace
6997 Kokusai BDF 200 LP CVD Furnace
6998 Kokusai Electric RM 6500 R Plasma Asher
6999 Kokusai Electric RM 6500 R Plasma Asher
7000 KOLB PS 03 Steel Plate Washer
7001 KOLB PS 07 ST Sieve Washing Machine
7002 Komatsu OBS 80-3 Hot Joggle
7003 Komatsu OBW 150 2 Punch
7004 Komax Xell-3400 Automatic Welding Machine
7005 Komax Xell-Gen 2 Automatic Lay-Up System
7006 Komax Xcell 3400 Automatic Welding Machine
7007 Komax BY 188 T Cable Twister
7008 Komax Alpha 411 Wire Processing
7009 Komax Zeta 633 Wire Processing
7010 Komax Alpha 411 Cutting machines
7011 Komax Xcell 2500 Double Soldering String Cells Station
7012 Komo VR 512 TG Router
7013 Komo VR 512 Mach One SHO Router
7014 Komo VR 612 Mach III Router
7015 Koncar Post Couring Oven
7016 Kondia FV 1 Milling Machine
7017 Kondia CM 60 MA CNC
7018 Kondia CM CNC
7019 Konecranes Single-Beam Crane
7020 Konecranes
7021 Kongskilde FC 1200 S Blower
7022 Konica Aero DR Standard Flat Panel Detector
7023 Konica Sonimage HS 1 Ultrasound System
7024 Kontron WW 520
7025 Kopf Model 750 Needle / Pipette Puller
7026 Kopp Verpackungssysteme CO 140 INT Poly Horizontal Bag Forming and Sealing Machine
7027 Koppers 105 A Mill
7028 Koref KR 10020 Chiller
7029 Koref KR 10010 R Water Chiller
7030 Koref KR 10030 Y
7031 Korvis Post Laser Measurement tool
7032 Korvis SPSAI_KRJ
7033 Korvis SPSAI_KMG
7034 Korvis SPSAI_KDL
7035 Kouda FV 1 Drill Press
7036 Koyo R 631 DF Surface Grinder
7037 Koyo Lindberg VF 100 B Cure Furnace
7038 KP Technology SKP 5050 Scanning Kelvin Probe
7039 Kramer E 2000-750 Metal Detector / Deduster Combo Unit
7040 Kramer Lock Met 30 + Metal Detector / Deduster Combo Unit
7041 Kramer E 2000 1000 Metal Detector / Deduster Combo Unit
7042 Krass 44 L LQFP Trim System
7043 Kratos AXIS Ultra X-Ray Photoelectron Spectrometer
7044 Krause Maffei 350 1900 C 1 Injection Molding
7045 Krause Maffei RIM
7046 Krauss Maffei HZ 1000 Peeler Centrifuge
7047 Krauss Maffei KMD 50 K Extruder
7048 Krauss Maffei KMD 50 Extruder
7049 Krauss Maffei
7050 Krauss-Maffei HZ 800 Centrifuge, Peeler
7051 Krohm-Hite 3103 Dual Channel Tunable Filter
7052 Krohm-Hite 3202 Dual Channel Tunable Filter
7053 Krohm-Hite 4100 A Push Button Oscillator
7054 Krohm-Hite 3202 Variable Filter
7055 Krohn-Hite 7500 Amplifier
7056 Kruss DSA 25 E Expert Drop Shape Analysis System
7057 Ktron Colortronic CEF 50 Doseding Polypropylene
7058 Kuka KR 15/2 Pick and Place Robot
7059 Kuka KR 5 Robot
7060 Kuka KR 100-2 PA Robot
7061 Kula Robot
7062 KunShan Gaoqiang Industrial Equipment BUBE 1496 6 ADE 1452 N2 Cabinet
7063 KunShan Gaoqiang Industrial Equipment HSD 718 FD N2 Cabinet
7064 KunShan Gaoqiang Industrial Equipment ADE 726 N2 Cabinet
7065 Kunshan Huaye Equipment Etch Hood
7066 Kurt J Lesker Film Evaporator
7067 Kurt J Lesker PVD 75 Sputter
7068 Kurt J Lesker High Vacuum Research Chamber
7069 Kurt J. Lesker CMS 24 Physical Vapor Deposition System
7070 Kuttler Automation V 200 Unloader Deburring Line
7071 Kwikool SAC 6021 Portable Cooling System
7072 KYB Gas Fill
7073 KYB Spin Roll Closer
7074 Kyowa LMA A 50 N Miniature Compression Load Cell
7075 Kyzen C 8622 Board Washing
7076 Kyzen E 5321 Washing Pallets
7077 L&J EM 2 75 Press
7078 L&L XL 112-FA 24-01-G 407-480 R 3 K-B 97 Oven
7079 L&L Special Furnace Co GS 1714 Kiln
7080 L. Schuler Goeppingen Press
7081 Lab Line Instruments 680 Environmental Chamber
7082 Lab Research Products 2220 Lab Freezer
7083 Labconco 47700 Fume Hood
7084 Labconco Class II Biosafety Cabinet
7085 Labconco Fume Hood
7086 Labconco Centrivap Concentrator
7087 Labconco 117
7088 Labconco Laboratory Hood 6′
7089 Labconco Laboratory Hood 3′
7090 Labconco Table for Hoods
7091 Labconco Laboratory Fume Hood
7092 Labconco Laboratory Fume Hood
7093 Labconco 50600 00 Glove Box
7094 Labconco Fume Hood
7095 Labconco Spark Plug Bench Hood
7096 Labconco Glovebox
7097 Labconco SteamScrubber Glassware Washer
7098 Label King Label Machine
7099 Labindia PICO + pH Meter
7100 Labindia PICO + Conductivity Meter
7101 Labindia DISSO 2000 Dissolution Tester
7102 Labindia Disso 2000 Dissolution Test Apparatus
7103 Labindia PICO + pH Conductivity Meter
7104 Labindia DS 8000 Dissolution Test Apparatus
7105 Labline 3499 M-3 Oven
7106 Labline 3478 Oven
7107 Labline Squaroid Duo-Vac Oven
7108 Labline Ultra-Clean 100 (3594 M-3) Oven
7109 Labline Imperial IV Water Bath
7110 Labnet W 1106 Water Bath
7111 Labnet Refrigerated Centrifuge
7112 Labomed Vision 2000 Binocular Polarizing Microscope
7113 LabRepCo LABH 30 DTX Explosion Proof Refrigerator
7114 LabSphere CD-610 Spectrometer System
7115 Labsphere LED 1100 Spectral / Goniometric Analyzer
7116 Laetus Laetus Reader
7117 Lagun FTV-1 S
7118 Lagun Liberty LL-EC-13 Lathe
7119 Lagunmatic 310
7120 Lagunmatic 310
7121 Laiyin Laser TY 965 B Laser Cutting Machine
7122 Lake Erie Hydraulic Press
7123 Lake Shore Cryotronics 7400 S Series VSM Magnetometer
7124 Lakeshore 410 Gaussmeter
7125 Lakeshore Cryopump Temperature Monitor
7126 Lam 3622
7127 Lam 490 B Auto Etcher
7128 Lam
7129 Lam SIG 450 PROC MOD A Reactive Ion Etch (RIE) Asher FEOL Dry Strip System
7130 Lam BEVEL 450 MM Dry Etch System
7131 Lam Remote AC Box / Power Panel for Lam Etch System
7132 Lam T Match RF Match Assembly 9400
7133 Lam 2300 Flex EL Chamber
7134 Lam Etcher
7135 Lam Rainbow 4428 XL Poly Nitride Etcher
7136 Lam Remote Station
7137 Lam Remote Station
7138 Lam Remote Station
7139 Lam 490 Auto Etch
7140 Lam 496 B Plasma Etch
7141 Lam D 6 S 3504 AW
7142 Lam 2300 ELD WCoP Electorless Deposition
7143 Lam Alliance 9100 PTX Dry Etch
7144 Lam Concept Two Inova
7145 Lam Teres Chemical Mechanical Polishing (CMP)
7146 Lam Sabre 3 D FI MOD Plating Tool
7147 Lambada Physik LPX 105
7148 Lambda GEN 30 25 Ion Gun Controller
7149 Lambda LH 124 Atomic Force Microscope (AFM) Regulated Power Supply
7150 Lambda LP 412 FM Power Supply
7151 Lambda Dual Regulated Power Supply
7152 Lambda LK 345 A Regulated Power Supply
7153 Lambda LPD 422 A FM Dual Regulated Power Supply
7154 Lambda LPD 415 A FM Dual Regulated Power Supply
7155 Lambda LQD 421 Dual Regulated Power Supply
7156 Lambda LX 55 016 A 13 20 TDF Development Kit
7157 Lambert & Jouty Moving Line
7158 Lambert & Jouty Moving Line Stator
7159 Lamda XIS Amphibian Laser Lithography System
7160 Laminar CAT 130 Flow Hood
7161 Laminar Air Flow Hood
7162 Landis Precision Grinder
7163 Landis OD Grinder
7164 Lanfranchi LRPU 1600/8/24
7165 Lanfranchi LRPU 1600/8/24
7166 Lanfranchi LRPU 2400/12/36
7167 Langley EH 102 Elevator Lift
7168 Langley EH 102 Vertical Lift
7169 Lanpulike UVEC-4 Uv Spot Light Source Curing Machine
7170 Lanpulike UVEC-4 Uv Spot Light Source Curing Machine
7171 Lanpulike UVEC-4 Uv Spot Light Source Curing Machine
7172 Lantech Q 1000 Stretch Wrapper
7173 Lantech QA 003186 Machine Wrapper and Rotary Arm
7174 Lantech C 2000 Hot Melt Case Erector
7175 Lantech C 2000 Tape Automatic Case Erecting System
7176 Lantechnical K 2 21 1 C End Seal
7177 Lanze AC Tech Controller
7178 Lapmaster 120″ Continuous  Grinder
7179 Lapmaster 120″ Continuous  Polisher
7180 Lapmaster EL 200 Lapping Machine
7181 Lapmaster EL 200 Lapping Machine
7182 Lapmaster 48 Precision Optics Polisher
7183 Lapmaster LFG 12 Grinding Machine
7184 Lapmaster Lapping Machines
7185 Lapmaster 12 Lapping Machine
7186 Lapmaster DSL 720 Double Sided Lapping Machine
7187 Larox PP 01 H 2 Filter Press
7188 Lasag Laser Seal Glove Box
7189 Lasair 1002 Particle Counter
7190 Laser Design Inc (LDI) DS-2020 3D Laser Scanning System
7191 Laser Vision Systems Semi – Auto align
7192 Laser Vision Systems X 2-220 Baffle Attach System
7193 Laser Vision Systems Wafer Stacker
7194 Laser Vision Systems Camera Guide Flip Stacker
7195 Laser Vision Systems Wafer Stacker
7196 LaserScope Venus Erbium YAR Power Supply
7197 LaserStar Technologies 525 728 060 Class 4 Laser
7198 Lasertec 2 PG 20 Mask Inspection
7199 Lasertec 2 PG 20 Mask Inspection
7200 Lasertec M 1350 EUV Inspection System
7201 Lasertec DP 200 Reticle Handler Dual Pod
7202 Lasertec M 2351 Blank Scanner
7203 Lasertec DMG LT 65 3 D Additive Manufacturing and Milling
7204 Lassy 12 F Tapper
7205 Lauda Integral T 1200 Chiller
7206 Laurel Spin Coater
7207 Laurier DS 3000 Pick and Place
7208 Laurier HA 225 Plus Hybrid Epoxy Die Bonder
7209 Laurier DS 4000 Die Sorter
7210 Laurier DS 9000 Die Sorter
7211 Lay Concept AXYS 2382 Etching Web Bench and Robot
7212 Lay Concept Motorized Trolley for Transport and Loading
7213 Layton MCS Modular Cooling System
7214 Layup Multi-Line Registration Alignment
7215 LC Technology Solutions SPBT-1 Bench Top Solvent Purification Sysytem
7216 LCC Automatic Test Equipment (ATE)
7217 Leader LBO 51 MA Scope
7218 Leader 718-3 D DC Power
7219 Leap AH-480 Baking
7220 Leap Technologies Robotic Arm Controller
7221 Leatus Polyphem 1030 Camera System
7222 LeBlond Makino Vertical Mill
7223 Leblond Regal Precision Engine Lathe
7224 Leblond Regal Servo-Shift Engine Lathe
7225 Leblond / Makino MC 86 CNC
7226 Leblond Makino NC Mill
7227 Leblond Makino NC Mill
7228 LECO CS 244 Carbon Sulphur Determinator
7229 LECO TC 136 Nitrogen Oxygen Determinator
7230 Leco PR 22 Pneumatic Mounting Press
7231 Leco GDS 750 A Glow Discharge Optical Emission Spectrometer
7232 LeCroy LC 884 Oscilloscope
7233 LeCroy CP 150 Current Probe
7234 LeCroy AP 015 Current Probe
7235 LeCroy 9314 A Oscilloscope
7236 LeCroy 334
7237 LeCroy 9314 M Quad Oscilloscope
7238 LeCroy LC 534 AL Oscilloscope
7239 LeCroy Storage Oscilloscope
7240 LeCroy WP 940 Oscilloscope
7241 LeCroy LT 374 Oscilloscope
7242 LeCroy Wavemaster 8300
7243 Lecroy LI 364 Wave Raner
7244 Lecroy Waverunner 6050
7245 Lecroy Waverunner 6100
7246 Lecroy DA 1855 A Differential Amplifier
7247 Lecroy CP 015 Current Probe
7248 LeCroy WavePro 7200 Oscilloscope
7249 Lecroy Wavepro 7100 A Oscilloscope
7250 Lecroy Wavepro 7200 A Oscilloscope
7251 Lectra Vector 2500 Automated Fabric Cutting Machine
7252 Ledco Film Laminator
7253 Ledoux, Eurotole, Hams PLF Autoclave Racks
7254 Lee Industries 500 LD 7 T Kettle
7255 Lee Industries 20 D 7 T Kettle
7256 Lee Industries 3 D Jacketed Vessel
7257 Lee Laser Inc 818 T 0 / 20 Laser
7258 Leeson Oil Pump
7259 Leeson Termination Kiln
7260 Lehighton 1510 RP
7261 Lehighton 1605 P Mobility Mapper
7262 Lehighton 1310
7263 Leibert AC Unit
7264 Leica EBPG-4 HRV E-Beam Lithography System
7265 Leica APEC 3020 Thickness Measurement Tool
7266 Leica MZ APO Stereo Microscope
7267 Leica Ployvar SC Charge-Coupled Device (CCD) for Polyvar Transmission Electron Microscopy (TEM)
7268 Leica PL APO 150
7269 Leica DM LB Microscope
7270 Leica DM IRE 2 Microscope
7271 Leica TCS SP 2 / DM RXE Microscope Base-DMRXE
7272 Leica DM IRE 2 Microscope
7273 Leica DM-RM 307-371.010 Microscope
7274 Leica Micrioscope Optic
7275 Leica S 6 E 6.3 to 40 Zoom Microscope
7276 Leica Mz 75 Microscope
7277 Leica S 8 A P 0 Microscope
7278 Leica INM 100 Confocal Microscope
7279 Leica EM IGL
7280 Leica TCS SP 2 Confocal Microscope
7281 Leica Stereo Zoom Microscope
7282 Leica GZ 6 Stereozoom Microscope
7283 Leica Reichert Polyvar 2 Microscope
7284 Leica PrecisionPoint VX API Machine
7285 Leica / Vistec / Raith V B 6 UHR EWF Lithography System
7286 Leica Cambridge S 260 Scanning Electron Microscope (SEM)
7287 Leitz 060-642.001 Optical Comparator
7288 Leitz Ergolux Film Measurement Tool
7289 Leitz Wetzlar Orthoplan Scope
7290 Lektro Aircraft Tug
7291 Lemsys TRD
7292 Leo Box Coater
7293 Leo Corporation LTA 130 A Wafer Analyzer
7294 Leo Engineering Box Coater
7295 Leroy 30 HP Air Compressor
7296 Leroy Somper 1 L 590 PR Pump
7297 Lesco Super Spot Max UV Lightsource
7298 Letelac LTZSG 20 Auto Transformer
7299 Lewa Process Technologies Eco Prime 1000-2 Low Pressure Chromatography System
7300 Lewco Conveyor Lift Gate
7301 Lewco Gravity Conveyor
7302 Lewco Gravity Conveyor
7303 Lewco Conveyor Lift Gates
7304 Lewco Oven
7305 Lewis/Stoelting WRD Ultrasonic Washing System
7306 Lexel 85 Argon Ion Laser
7307 Leybold D 65 BCS Vacuum Pump
7308 Leybold Turbovac 150 Turbo Pump
7309 Leybold Turbovac Tw 220/150 S Turbo Pump
7310 Leybold CVD 300 H/6/1/1 UHVCVD (Ultra-High Vacuum Chemical Vapor Deposition) Reactor
7311 Leybold Syrus II 3610
7312 Leybold Dryvac DV 650 Special Vacuum Pump
7313 Leybold D 90 AC Vacuum Pump
7314 Leybold D 25 BDS Vacuum Pump
7315 Leybold D 40 B Vacuum Pump
7316 Leybold D 90 AC Vacuum Pump
7317 Leybold D 25 BCS Vacuum Pump
7318 Leybold D 25 BCS / PFPE Vacuum Pump
7319 Leybold SiGe Chemical Vapor Deposition (CVD)
7320 Leybold Ecodry L 12300
7321 Leybold Turbovac 600 Turbo Pump
7322 Leybold Turbovac 350 i ISO 100 Turbo Pump
7323 Leybold Dryvac 2
7324 Leybold Turbo UL 100 Helium Leak Detector
7325 Leybold Phoenix L 3001 Leak Detector
7326 Leybold UL 500 Leak Detector
7327 Leybold D 16 B Vacuum Pump
7328 Leybold A 700 QE E-Beam Deposition System
7329 Leybold Coolpak Compressor
7330 Leybold Turbovac 150 Turbomolecular Pump
7331 Leybold Dryvac 100 P
7332 Leybold Turbovac Mag 2800 Pump
7333 Leybold Turbo Vac 361 C Pump
7334 Leybold A 700 Q Evaporator
7335 Leybold WVU 501 Roots Blower
7336 Leybold WVU 151 Roots Blower
7337 Leybold Varodry VD 65 Vacuum Pump
7338 Leybold / Edwards Pumps
7339 Leybold / Inficon IC 4 Plus
7340 Leybold Heraeus A 650 Sputtering system
7341 Leybold Heraus Trivac Model D 30 A 30 cfm Mechanical Pump
7342 Leybold Vacuum Turbovac 1000 C Spare Turbo Pump for RIE
7343 Leyland-Gifford Vertical Drill
7344 LG 8002 Function Generator
7345 Li Lon Shiang Annealing Oven
7346 Li Quan Technology VT Hard Tray Mold
7347 Li Quan Technology Hard Tray Mold
7348 Li Quan Technology VT-S Hard Tray Mold Maker
7349 Li Quan Technology J 0297 VT-T Hard Tray Mold Maker
7350 Li Quan Technology J 0319 New Mold Making Tool
7351 Li Quan Technology J 0335 Aluminum Die Fresh Common Mold Base
7352 Li Quan Technology J 0341 Aluminum Mold
7353 Li Quan Technology J 0337 Aluminum Die Fresh Common Mold Base
7354 Li Quan Technology J 0319-2 Mold Making + Electroplating
7355 Li Quan Technology J 0315 Aluminum Mold
7356 Li Quan Technology J 0338 Aluminum Die Fresh Common Mold Base
7357 Li Quan Technology Mold Maker
7358 Li Quan Technology VT-T Mold Maker
7359 Li Quan Technology J 0353 Aluminum Die Holder
7360 Lianyi CS-6-13 Fiber Adjustments For Reflection
7361 Lianyi CS-6-13 Six Dimensional Adjustments
7362 Lianyi CS-6-13 Six Dimensional Adjustments
7363 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
7364 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
7365 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
7366 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
7367 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
7368 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
7369 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
7370 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
7371 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
7372 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
7373 Lianyi CS-6-13 Reflection Fiber Adjustments
7374 Libert AP 600 Power Supply
7375 Libert GXT MT
7376 Libert GXT MT
7377 Lid Baking Oven
7378 Liebherr Litronic Wheeled Excavator
7379 Life Technologies Personal Genome Machine (PGM)
7380 Life Technologies Ion Chef System
7381 Life-Care Equipment Ultrasonic Cleaner
7382 Liftrite T 132 K 48042 A Manual Walkie Lift
7383 Lighthouse APEX P 3 Particle Counter
7384 Lightnin Agitator
7385 Lightnin Mixer
7386 Lightriar Technology FBT-LJS-001 Optical Coupler Manufacturing Machine
7387 Lightriar Technology FBT-LJS-002 Optical Coupler Manufacturing Machine
7388 Lightriar Technology FBT-LJS-003 Optical Coupler Manufacturing Machine
7389 Lightriar Technology FBT-LJS-004 Optical Coupler Manufacturing Machine
7390 Lightriar Technology FBT-LJS-005 Optical Coupler Manufacturing Machine
7391 Lightriar Technology FBT-LJS-006 Optical Coupler Manufacturing Machine
7392 Lightriar Technology FBT-LJS-007 Optical Coupler Manufacturing Machine
7393 Lightriar Technology FBT-LJS-008 Optical Coupler Manufacturing Machine
7394 Lightriar Technology FBT-LJS-009 Optical Coupler Manufacturing Machine
7395 Lightriar Technology FBT-LJS-010 Optical Coupler Manufacturing Machine
7396 Lightriar Technology FBT-LJS-011 Optical Coupler Manufacturing Machine
7397 Lightriar Technology FBT-LJS-012 Optical Coupler Manufacturing Machine
7398 Lightriar Technology FBT-LJS-013 Optical Coupler Manufacturing Machine
7399 Lightriar Technology ZDJ-1000 Fbt Terminal
7400 Lightriar Technology FBT-LJS-014 Optical Coupler Manufacturing Machine
7401 Lightriar Technology FBT-LJS-015 Optical Coupler Manufacturing Machine
7402 Lightriar Technology FBT-LJS-016 Optical Coupler Manufacturing Machine
7403 Lightriar Technology FBT-LJS-017 Optical Coupler Manufacturing Machine
7404 Lightriar Technology FBT-LJS-018 Optical Coupler Manufacturing Machine
7405 Lightriar Technology FBT-LJS-019 Optical Coupler Manufacturing Machine
7406 Lightriar Technology FBT-LJS-020 Optical Coupler Manufacturing Machine
7407 Lightriar Technology FBT-LJS-021 Optical Coupler Manufacturing Machine
7408 Lightriar Technology FBT-LJS-022 Optical Coupler Manufacturing Machine
7409 Lightriar Technology FBT-LJS-023 Optical Coupler Manufacturing Machine
7410 Lightriar Technology FBT-LJS-024 Optical Coupler Manufacturing Machine
7411 Lightriar Technology FBT-LJS-025 Optical Coupler Manufacturing Machine
7412 Lightriar Technology FBT-LJS-026 Optical Coupler Manufacturing Machine
7413 Lightriar Technology LZ 17001 Fbt Terminal
7414 Lightriar Technology FBT-LJS-027 Optical Coupler Manufacturing Machine
7415 Lightriar Technology FBT-LJS-028 Optical Coupler Manufacturing Machine
7416 Lightriar Technology FBT-LJS-029 Optical Coupler Manufacturing Machine
7417 Lightriar Technology FBT-LJS-030 Optical Coupler Manufacturing Machine
7418 Lightriar Technology FBT-LJS-031 Optical Coupler Manufacturing Machine
7419 Lightriar Technology FBT-LJS-032 Optical Coupler Manufacturing Machine
7420 Lightriar Technology FBT-LJS-033 Optical Coupler Manufacturing Machine
7421 Lightriar Technology FBT-LJS-034 Optical Coupler Manufacturing Machine
7422 Lightriar Technology B 4360 Pull Cone Test System
7423 Lightriar Technology B 4360 Pull Cone Test System
7424 Lightriar Technology B 4360 Pull Cone Test System
7425 Lightriar Technology B 4360 Pull Cone Test System
7426 Lightriar Technology B 4360 Pull Cone Test System
7427 Lightriar Technology B 4360 Pull Cone Test System
7428 Lightriar Technology B 4360 Pull Cone Test System
7429 Lightriar Technology B 4360 Pull Cone Test System
7430 Lightriar Technology B 4360 Pull Cone Test System
7431 Lightriar Technology B 4360 Pull Cone Test System
7432 Lightriar Technology B 4360 Pull Cone Test System
7433 Lightriar Technology B 4360 Pull Cone Test System
7434 Lightriar Technology B 4360 Pull Cone Test System
7435 Lightriar Technology B 4360 Pull Cone Test System
7436 Lightriar Technology B 4360 Pull Cone Test System
7437 Lightriar Technology B 4360 Pull Cone Test System
7438 Lightriar Technology B 4360 Pull Cone Test System
7439 Lightriar Technology B 4360 Pull Cone Test System
7440 Lightriar Technology B 4360 Pull Cone Test System
7441 Lightriar Technology B 4360 Pull Cone Test System
7442 Lightriar Technology B 4360 Pull Cone Test System
7443 Lightriar Technology B 4360 Pull Cone Test System
7444 Lightriar Technology B 4360 Pull Cone Test System
7445 Lightriar Technology B 4360 Pull Cone Test System
7446 Lightriar Technology B 4360 Pull Cone Test System
7447 Lightriar Technology B 4360 Pull Cone Test System
7448 Lightriar Technology B 4360 Pull Cone Test System
7449 Lightriar Technology B 4360 Pull Cone Test System
7450 Lightriar Technology B 4360 Pull Cone Test System
7451 Lightriar Technology B 4360 Pull Cone Test System
7452 Lightriar Technology B 4360 Pull Cone Test System
7453 Lightriar Technology B 4360 Pull Cone Test System
7454 Lightriar Technology B 4360 Pull Cone Test System
7455 Lightriar Technology B 4360 Pull Cone Test System
7456 Lightriar Technology B 4360 Pull Cone Test System
7457 Lightriar Technology B 4360 Pull Cone Test System
7458 Lightriar Technology B 4360 Pull Cone Test System
7459 Lightriar Technology B 4360 Pull Cone Test System
7460 Lightriar Technology B 4360 Pull Cone Test System
7461 Lightriar Technology B 4360 Pull Cone Test System
7462 Lilian Milling Machine
7463 Lilongxing Annealing Furnace
7464 Linberg Kiln
7465 Linco ILS-610 H Sputter
7466 Lincoln TIG 255 Welder
7467 Lincoln Grease Pump
7468 Lincoln TEFC AC Motor
7469 Lincoln TIG Welder
7470 Lincoln DVBAAF 4 PSTC 61 L Hydraulic Pump
7471 Lincoln Electric Square Wave TIG 255 Electric Welder
7472 Lincoln Electric CV-400 Industrial Welder with Wire Feed
7473 Lincoln Electric Ultimate E 1 Hydraulic Power Unit
7474 Lincoln Motors SSD 2 P 25 TCTM 61 YKL 16
7475 Lindberg Laboratory Tube Furnace
7476 Lindberg Heavy Duty Horizontal Furnace
7477 Lindberg Controller
7478 Lindberg 2290 Conveyor Furnace
7479 Lindberg / Blue M GO 1340 P 3 A Gravity Oven
7480 Lindberg / Blue M HTF 55667 C Tube Furnace
7481 Lindberg / Blue M POM-588 C-3 Oven
7482 Lindberg / Blue M MO 1440 A-1
7483 Lindberg / Blue M CC 58434 C-1 Furnace
7484 Lindberg / Blue M Furnace
7485 Lindgren RF Enclosures T/T
7486 Lindl Tilting Engine
7487 Lindl P 50 Tilting Engine
7488 Lindstrom PS 501 3 Torque Wrench
7489 Linear CKK-15-110 Wafer Lifter
7490 Lineberg Kiln
7491 Ling Electronics PA 30-3-A 1-1-3844 B
7492 Lingburg 54252 Furnace
7493 Linicon LV 125 A Vacuum Pump
7494 Link Analytical INCA L 300 QI Energy Dispersive X-Ray
7495 Link Instruments LA 5240 Logic Analyzer
7496 Lintec RAD 2500 M DAF Wafer Mount Tool
7497 Lintec LTD-2500 F / 12 Wafer Mounter Peeler
7498 Lintec RAD 3010 F 12 Detape for Backside Grind, DP
7499 Lintec RAD 2500 F/12 Fully Automatic Tape Mounter & Peeler
7500 Lintec RAD 3500 F/8 LAM
7501 Lintec 2500 F Auto Wafer Mounter System
7502 Lintec Adwill RAD 2500 M 12 LE Non Contacts Table
7503 Lintech 205716 Slide
7504 Lintech 205715 Slide
7505 Linx NTM 441 OUM Unloader
7506 Lion Precision P0-17-4520 Driver
7507 Lion Precision P0-16-8800 Probe
7508 Lioni Precision DMT 12 Dual Range Sensitivity Probe Driver Module
7509 Lissmac SBM M 1500 Deburring Machine
7510 Lissy Robot with Cabinet and Balance and PC
7511 LitePoint IQflex
7512 LitePoint IQ2010
7513 Litron Chiller
7514 Little David LD 16 A Case Sealer
7515 Little Giant 3 MDX Pump
7516 Little Giant 2 MD Pump
7517 Little Giant 1-A A-M-D Pump
7518 Little Giant 1 AA-MD Pump
7519 Little Giant 1-AA-OM Pump
7520 Littleford Daymax 100 Mixer
7521 Littleford Day W-10 Mixer
7522 Livingston & Haven Hydraulic Pump
7523 LK G 90 C Calibration Table
7524 LMT B 520 Photometer
7525 LNS Quick Load Servo 80 S 2 Bar Loader / Feeder
7526 LNS Quick Load Servo S 3 Bar Loader / Feeder
7527 LNS Quick Load Servo S 2 Bar Loader / Feeder
7528 LNS Alpha 552 Bar Feeder
7529 LNS Turbo 57508026
7530 Loctite 98760 Conveyer
7531 Loctite Posi-Link
7532 Loctite Epoxy Dispenser
7533 Loctite HYSOL BULK-14 Adhesive Dispenser
7534 Loctite EQ RB 15 200 D Gantry Robot
7535 Loctite ZETA 7610 MPMA Light Cure Conveyor
7536 Loctite Hysol Wheel Coater
7537 Loctite 7411
7538 Loctrite UVALOC 1000 Light Cure Chamber
7539 Lodestar 8203 Power Supply
7540 Lodigue M 20 MK Littleford Mixer
7541 Logicom Instruments ACS CSTL 20 ACS Liquid Thermal Shock Chamber
7542 Logitech 1 DP 41 Wafer Substrate Polisher
7543 Logitech 15 1 MOD 1-1 Wire Saw
7544 Logitech DL 4 Lapping System
7545 Logitech Auto Colimator
7546 Logitech AXL 1 Annular Saw
7547 Logitech 1 DP 1-5 Polisher
7548 Logitech PM 2 A Polisher
7549 Logitech PM 2 A Polisher
7550 Logitech 1 CM 51 CDP Automatic Chemical Mechanical Polishing (CMP)
7551 Logtech Y-SU 45 Keyboard
7552 LOH LCM 240 CNC Centering Machine
7553 Loh 4322 Centering Machine
7554 LOH WG Edge Polisher
7555 LOH RXT CNC 17 Grinding Machine for Ophthalmic Lenses
7556 LOH Centromatic Micro
7557 LOH LZ 80 Centering Machine
7558 LOH ACM 15 V Aspherical Robotic Polish
7559 LOH Laser Centering Machine
7560 LOH Laser Centering Machine
7561 LOH Plano Machine – Surface Grinder
7562 LOH PM 350 Polisher
7563 LOH Wetzlar PM 3 Three Spindle
7564 LOH Wetzlar Polishing Machine
7565 Loma Scale
7566 Lomar Split Jaw Crimper
7567 Lonati L 46-J Circular Knitting Machine
7568 Long Wei TPR 3003 2 D DC Power Supply
7569 Loomis V 5 Automatic Roller Breaker
7570 Loomis V 5 Automatic Roller Breaker
7571 Loral 042-B 347-A 2 C-7 C 2 Switch RF Coaxial
7572 Lorimer Hydraulic Press
7573 Lorlin T 1 Test Fixture
7574 Lorlin Tester
7575 Lot of Grid Locks
7576 Lot of Misc Equipment
7577 Lourdes 2100 x Punch Press
7578 LoveShaw Little David Top and Bottom Case Sealer
7579 LPI Screener
7580 LPKF Protomat 95 S Circuit Milling Machine
7581 LPKF Laser
7582 LPKF ZelFlex Z 4 P Pneumatic Stencil Frame
7583 LPKF ProtoMat C 400 Router Workstation
7584 LPKF 606320 P Laser
7585 LPKF Laser & Electronics ML 6320 P UV Laser
7586 LR Environmental Equipment Temperature Chamber
7587 LS LGH 550 M Hydro Mechanical System
7588 LSI 45/60 Track
7589 LTX Delta Tester
7590 LTX  Credence Fusion – HF Integrated Circuit Tester Tool
7591 LTX  Credence TS 88 Test System
7592 LTX / Credence Fusion AC Automatic Test System
7593 LTX / Credence Emiscope-II t
7594 LTX / Credence ASL 1000 Tester
7595 LTX / Credence Fusion HFI Tester
7596 LTX / Credence Sapphire Tester
7597 LTX / Credence Synchromaster II Tester
7598 LTX / Credence Fusion HFI Tester
7599 LTX / Credence Fusion HFI Tester
7600 LTX / Credence Kalos HEX Memory Tester
7601 LTX / Credence Kalos HEX Memory Tester
7602 LTX / Credence Quartet One Tester
7603 LTX / Credence DX 90 Tester
7604 LTX / Credence PPS Fusion Tester
7605 LTX / Credence PVI Tester
7606 LTX / Credence STS 5020 Domain Tester
7607 LTX / Credence SC Micro Tester
7608 LTX / Credence SC Micro Tester
7609 LTX / Credence Duo Tester
7610 LTX / Credence Quartet Tester
7611 LTX / Credence HFi Tester
7612 LTX / Credence Trillium Deltamaster
7613 LTX / Credence ASL 1000 Tester
7614 LTX / Credence Personal Kalos Tester
7615 LTX / Credence Fusion MX Tester
7616 LTX / Credence ASL 1000 IC Tester
7617 LTX / Credence ASL 1000 Tester
7618 LTX / Credence Quartet One Tester
7619 LTX / Credence Sapphire Tester
7620 LTX / Credence Fusion HF Tester
7621 LTX / Credence TMX 07 Manipulator and Docking Plate
7622 LTX / Credence Fusion CX Tester
7623 LTX / Credence Fusion CX Tester
7624 LTX / Credence Quartet Tester
7625 LTX / Credence LT 1001 Tester
7626 LTX / Credence TS 80 Tester
7627 LTX / Credence Kalos Tester
7628 LTX / Credence Quartet Tester
7629 LTX / Credence Delta D 50 Tester
7630 LTX / Credence Fusion CX Tester
7631 LTX / Credence Manipulator
7632 LTX / Credence Test Head
7633 LTX / Credence Cooling Rack
7634 LTX / Credence Fusion CX Tester
7635 LTX / Credence Manipulator
7636 LTX / Credence Test Head
7637 LTX / Credence Cooling Rack
7638 LTX / Credence LT 1101 Tester
7639 LTX / Credence Kalos Hex 48 Memory Tester
7640 LTX / Credence Fusion MX FX 2 Card
7641 LTX / Credence Fusion CX Tester
7642 LTX / Credence TS 80 Tester
7643 LTX-Credence Fusion HF
7644 LTX-Credence Sapphire Tester
7645 LTX-Credence Fusion MX Tester
7646 Lucas 42 B 60 Horizontal Mill
7647 Lufran 090 RE 480 1000 U DI Water Heater
7648 Lufran 090 RE 480 1000 U DI Water Heater
7649 Lumatec SUV DC Curing System
7650 Lumen Dynamic S 1500 A Omnicure UV Curing
7651 Lumentics OptiGauge 13000 Precision Thickness Measurement
7652 Luminex 100 IS Analyzer
7653 Lumonics Hard Laser Marker
7654 Lunaire CEO 932 W 3 Temperature & Humidity
7655 Lust Drive
7656 Lust Drive
7657 Lust Drive
7658 Luxo Microscope
7659 Luxo Magnifying Glass Inspection
7660 Lynx NTM 210 BLBP Vacuum Loader
7661 Lynx Conveyor
7662 Lynx-Spectra Vision System
7663 Lytron MCS 10 G 01 BB 1 Modular Cooling System
7664 M Braun MB 200 G
7665 M&M Compressor
7666 M&R AG 350-6 n-D-S-S-V Mask Aligner
7667 M&W RPC 2/28 W-RNB Recirculator – Flowrite
7668 M.B. Tech NC 25 PCB Leadframe Cleaning Machine
7669 M.P.T. Oil Fill
7670 M.P.T. Dual Piston Torque
7671 MAC Bag House
7672 Macbeth SPL 75 B Spectra Light
7673 Macbeth The Answer II Reflection Densitometer
7674 MacGregor DC 1000 Welding
7675 MacGregor DC 1800 Welding
7676 Machin Paper Shredder
7677 Machin Refrigerated Centrifuge
7678 Machin ACM 174 Wrist Action Shaker
7679 Machine Tools
7680 Machines
7681 Machvision CVRS 2824 33 M Verismart Verification System
7682 Machvision CVRS 2 2824 33 M Verismart Verification System
7683 Mactronix AE 2-600 Ae2 Wafer Sorter
7684 Mactronix MCL-325 Wafer Transfer System
7685 Mactronix AWI 400 Wafer Stage for Bright Light Set-up
7686 Mactronix Eureka Wafer Transfer System
7687 Mactronix Wafer Sorter
7688 Mada TP 80 EX
7689 Madell Mini-X Automatic Pick and Place
7690 Madell Automatic Pick and Place System
7691 Madell Furnace
7692 Mag View MVM 040 PN Magnetic Flow Meter
7693 Mag View MVM 040 PA Magnetic Flow Meter
7694 Mag View MVM 200 PN Magnetic Flow Meter
7695 Magic IB L 10 12 D Extrusion Blow Molding Machine
7696 Magic IB L 10 12 D Extrusion Blow Molding Machine
7697 Magic IB L 10 12 D Extrusion Blow Molding Machine
7698 Magic IB L 10 12 D Extrusion Blow Molding Machine
7699 Magna Power DC Power Supply
7700 Magna Power Electronics TSA 20-1250 DC Power Supply
7701 Magna Power Electronics Xrii 100-80
7702 Magnetek 6 357726 01 AC Motor
7703 Magnetic Instrumentation 912 Gaussmeter Measurement Instrument
7704 Magne-Tron Instruments 800 Four Point Probe Resistivity System
7705 Magnose Bulk Bottle Filling Machine
7706 Magnum Press
7707 Magnus Aqueous Cleaning System
7708 Magrol 5100 Dynamometer Controller
7709 Magtrol DSP 6000 Power Analyzer
7710 Maguire WSB-100 Series Weigh Scale Blender
7711 Mai Jiun LP 660 UV 2 T
7712 Mai Jiun LP 770 XB
7713 Mai Jiun LP 770 XB
7714 Mai Jiun LP-770 BBDS
7715 Mai Jiun R 25
7716 Maingchau Parts Cleaner
7717 Maingchau Boat Cleaner
7718 Maingchau Tube Cleaner
7719 MAKE MODEL TYPE56
7720 make this model this type this
7721 Makerbot Replicator Plus 3 D Printer
7722 Makino MC-86 Horizontal Mill
7723 Makino MC-98 Horizontal Mill
7724 Makino 1516
7725 Makino SNC 64 CNC Veritcal Graphite Machining Center
7726 Malcom RCM S Reflow Checker
7727 Malvern Mastersizer 2000 Particle Size Analyser
7728 Malvern CVO 100 Rheometer
7729 Malvern Mastersizer 2000
7730 Malvern Hydrosight Particle Visualization Sampling Unit
7731 Malvern Panalytical Morphologi G 3-MAL 1140289 Particle Measurement
7732 Malvern Zetasizer
7733 Manchester Endformer
7734 Mania Speedy MPP 4504 Flying Probe
7735 Mania Accumatch Optical Inspection (AOI)
7736 Mania Technologie Speedy 580 Probe Tester
7737 Mania Technologie Speedy 280
7738 Manix TSC 1210 Oven
7739 Manjer Engineering AHPAT 3000 PC 50 Auto Testing and Sorting Handler
7740 Manncorp MC 391 V 1-V Pick & Place
7741 MannCorp 290 V Desiccant Cabinet – Dry Box
7742 Manncorp
7743 Manner Tape Transport Dish Washer
7744 Mannesmann MPM 45 E 1 CPA Press
7745 Manson AC 1103 Isolation Transformer
7746 Manson DPS 3030 DC Regulated Power Supply
7747 Manson MPD 1850 DC Regulated Power Supply
7748 Manson MPD 3030 DC Regulated Power Supply
7749 Manson MPD 6015 DC Regulated Power Supply
7750 Mantis MFC
7751 Mantis Vision Equipment
7752 Manual Singulation
7753 Manufacturing Integration Flexisort 600 Die Sorter System
7754 Manufacturing Integration Technology IMT 1200 M Laser Mold Cleaning Machine
7755 Manufacturing Integration Technology MIT_LH 100 SP Laser Mark Machine
7756 Manufacturing Integration Technology MIT_LH 100 TTL Laser Mark Machine
7757 Manufacturing Systems ECG Cable Shield Probe Fixture
7758 Manz Sina XL Chemical Vapor Deposition (CVD)
7759 Manz Automatic Loading and Unlo
7760 Manz Sina 3400 Bilateral Automatic Wafer Loading and Unloading Machine
7761 Manz Z 10 Stackbox Unloader
7762 Manz Z 10 Pallet Loader
7763 Manz Z 10 Pallet Unloader
7764 Manz Z 10 Wafer Loader
7765 Manz Z 10 Wafer Unloader
7766 Manz Zelltester Cell Classification
7767 Manz Loader Stackbox
7768 Manz Loader Back Side Metallization Stackbox to Rotary Table
7769 Manz Pallet Loader
7770 Manz Unloader
7771 Manz Pallet Unloader and Wafer
7772 Manz Unloader Metallization Fast Firing Furnace
7773 Manz Cell Classification
7774 Manz Loader Stackbox
7775 Manz China W 12 1640 Developer
7776 Marason Plasma-Enhanced Chemical Vapor Deposition (PECVD) Abatement System
7777 Marathon Electric FVD 56 T 34 F 5301 J P
7778 Marathon Electric 7 VF 213 TTFW 4020 AD L Series E AC Motor
7779 Marathon Electric EVB 286 TTDC 4026 BB S Series E AC Motor
7780 Marathon Electric 1 J 405 TTF 56036 AP W XRI High Efficiency AC Motor
7781 March AP 1000 Plasma System
7782 March PX 250 Asher
7783 March AP 1000 Plasma Clean
7784 March FlexTrak In-Line Plasma
7785 March PX 250 Plasma System
7786 March Flextrak Plasma Cleaner
7787 March PX 1000 E 8 3711 Automatic Plasma Clean Machine
7788 March GCM 200 Plasma Etch Cleaner
7789 Marchant 6 FG Shrinker
7790 Marchant 12 A Shrinker
7791 Marchesini BA 100 Kutulma Alu Alu Packing Line
7792 Marchesini ALU Blister Packaging Line
7793 Marchesini Cartoner
7794 Marchesini BlisterMachine
7795 Marchesini Eye Drop Packaging Line
7796 Marchesini 4 0801550 Blister Machine
7797 Marchesini 4 0801560 796 Leaflet Folding Machine
7798 Marconi 2019 A Signal Generator
7799 Marconi 2024 Signal Generator
7800 Marconi 2026 Signal Generator
7801 Maremont Pin & Plate Crimping Press
7802 Mark-10 Peel Tester
7803 Markem 530 Pad Printer
7804 Markem 606 Laser Marking
7805 Markem U 1471 Marking System
7806 Markem 612 Laser Marker
7807 Markem Imaje Ink Jet Printer
7808 Markperi MPC 1012 RF Welder
7809 Marley 600 Ton Cooling Towers
7810 Mars Mech Bottle Filling Machine
7811 Martek Automation Yogi Indexer Comb Mold
7812 Martek Automation DBS Lead Twist
7813 Martin B 64-140 Centrifugal Discharge Bucket Elevator
7814 Martin Yale PacMaster S 343-4 IS
7815 Martin Yale 959 Automatic Paper Folder
7816 Marvel 8 Mark II
7817 Marvel V 10/2 Band Saw
7818 Mason Technologies Allring Loader & Unloader
7819 Mastech HY 3005 F-3 DC Power Supply
7820 Mastech HY 3020 Mr DC Power Supply
7821 Mastech HY 3005 F 3
7822 Master Appliance HG 501 A Heat Gun
7823 Matchmaker CNC Lathe
7824 Matec GTR 4009 ARN D Gantry System
7825 Materials Development CSM WIN 590
7826 Matrical SonicMan SCM 1000-4 Sonicator
7827 Matrix System 10, Model 1178 Plasma Stripper
7828 Matrix Optical Projector
7829 Matrix Asher
7830 Matrix MW Resist 1188 Asher
7831 Matrix Jaguar 300 Asher / Stripper
7832 Matrix System 10 Plasma Stripper
7833 Matrix 206 Asher Plasma Stripper System
7834 Matrix Microscience Pathatrix Pathogen Detection
7835 Matsuhiro Shuttle
7836 Matsuura MAM 500 HF PC 2 CNC Horizontal Milling
7837 Matsuura RA 2 F CNC
7838 Matthews iMark EZ Touch C 84 Printer
7839 Mattson Aspen Chemical Vapor Deposition (CVD)
7840 Mattson TiW Etch Tool Wet Etch tool
7841 Mattson Cygnus 100 Fourier Transform Infrared Spectroscopy (FTIR)
7842 Mattson Laser Power Supply
7843 Mattson Gas Laser
7844 Mattson Satellite FTIR Fourier Transform Infrared Spectroscopy
7845 Maximus 804 Microsystem
7846 Maximus 804 Robot Coater
7847 Maxtek MDC 360 Deposition Controller
7848 Maxtek MDC 360 Deposition Controller
7849 Mazak AJV-25/404 Vertical CNC
7850 Mazak VQC-15/40 Vertical CNC
7851 Mazak 5 Axis Mill Turn Lathe
7852 Mazak
7853 Mazak VTC type 160 A
7854 Mazak For FH 480
7855 Mazak FH 480 X
7856 Mazak Manuel 24″ Lathe
7857 Mazak AJV 25 / 404 N
7858 Mazak Intergrex 300 III S CNC
7859 Mazak Nexus 350 IIMYS
7860 MB Dynamics Vibration ED Shaker
7861 MBI Wafer Alignment System
7862 MBJ 128 C EL Table
7863 MBJ EL Tester
7864 MBR USS 9200 Soldering System
7865 Mbraun Glove Box
7866 MBraun SPS 800 Solvent Drier
7867 MBtech NC 25 Flux Cleaning Machine
7868 MBTech NC 25 Batch Cleaning
7869 MC USB-1608 FS-PLUS Sampling Card
7870 MC Electronics TES 8653 D IC Tray Handler
7871 MC Electronics (MCE) TES 8653 D IC Tray Handler
7872 McBain Instruments Micro Measure 11
7873 McBain Instruments P/N MUC 65 2
7874 McBride Machine 9407015-2 A Unwinder
7875 McBride Machine 9407015-2 A Calender
7876 McBride Machine 9407015-2 A Control System of Blanket Tension of the Unwinder
7877 McBride Machine 9407015-2 A Winder
7878 MCP-Pal Vertical Continuous Plating Line
7879 Mcquay 600 Ton Chiller
7880 Mcquay 2100 Refrigerator
7881 McQuay Chiller
7882 MCR KF 300 Vacuum Oven
7883 MCT 3608 E 3 DIP Handler
7884 MCT 3616.3 DTS DIP Handler
7885 MCT 3608 E 3 DIP Handler
7886 MCT 3608 E 3 DiP Handler
7887 MCT 3616 3 DTS DIP Handler
7888 MCT 3616 E 6 Test Handler
7889 MCT 3608 Handler
7890 MCT 3608 Handler
7891 MCT 3608 Handler
7892 MCT WTS Handler
7893 MCT WTS Handler
7894 MCT 3608 Handler
7895 MCT 3608 Test Handler
7896 MCT Tri-Temp Kit Chiller
7897 MCT 3608 Handler
7898 MCT Handler
7899 MCT 3608 Test Handler
7900 MCT PX 2000 Peeling Machine
7901 MCT FH 1200 Handler
7902 MCT 3608 EMD Handler
7903 MD Intelligent Dehumidifier
7904 MDC CVS 10 Power Supply
7905 MDC E-Vap XY Prog. Sweep Controller
7906 MDC THINFILM Custom E-Beam Evaporator System
7907 MDC 811 CV Hg Probe
7908 Meanwell S 100 5 Power Supply
7909 Meanwell SE 450 12 Power Supply
7910 Measurement Computing Data Acquisition Boards
7911 Meccanica Cambi SRL Diamond Machine
7912 Meccanica Cambi Edging and Beeling Machine
7913 Mech EL 1204 W Wedge Bonder
7914 Mechanical Devices Max TC Temperature Forcing System
7915 Mech-El 827 Wire Bonder
7916 Mech-El 990
7917 Mech-El 1204 W Wedge Bonder
7918 Meco Mobile Incline Conveyor
7919 Meco EPL 2400 Strip Solder
7920 Meco Electrolytic Deflash Assembly
7921 Mecon Bubbler Machine
7922 Medica Instrument Vertical Autoclave
7923 Medica Instruments 7441 FA Vertical Autoclave
7924 Mega Q 2 52 Tester
7925 Mega Q 2 62 Tester
7926 Mega Electronics FAPC 3000 Aqua Klean Acid Wash Washer
7927 Mega Fluid Systems MB 321 LCU 100 Blender
7928 Mega Kinetics Megapure 6001 HC Chemical Delivery System for Electo Plate / Etch tools
7929 MEI 709
7930 MEI Evolution Solvent Sink
7931 Meier VPI System
7932 Meiji Sample Preparation Microscope
7933 Meiji Microscope
7934 Meiji 560 Light Box
7935 Meiji Microscope
7936 Meiji Microscope
7937 Meiji Microscope
7938 Meiji Microscope
7939 Meiji Microscope
7940 Meiji Microscope
7941 Meiji Microscope
7942 Meiji Microscope
7943 Meiji Manual Sanding Station
7944 Meiji 400 X Assembly Maintenance Station
7945 Meikle Station 030-00 Laser Soldering Station
7946 Mellen Microtherm Box Furnace
7947 Mellen Tubular Furnace
7948 Melles Griot Optical Table Breadboard
7949 Melles Griot 05-LPM-340-065
7950 Melles Griot 06 DLD 103 Laser Diode Controller
7951 Melles Griot 17 PCW 011 Piezoelectric Controller
7952 Melles Griot 17 NTT 001 MR NanoTrak NTT Controller
7953 Melles Griot NanoMax-HS 17 MAX 605/R 3-Axis Positioner
7954 Melles Griot 17 PCZ 013 Piezoelectric Controller
7955 Melles Griot 13 SKP 001 Beam Analyzer
7956 Melles Griot Optical Table
7957 Melles Griot Optical Table
7958 Melles Griot Electrical Power Supply
7959 Memcon MCX 26 MPM FW SC Connector
7960 Memmert 100-800 Oven
7961 Memmert SLE 500 Oven
7962 Memmert INP 500 Incubator
7963 Memmert SFP 500 Hot Air Sterilizer
7964 Memmert ULE 400 AO H 4 Universal Oven
7965 Memmert Constant Climate Chamber
7966 Mentor Burn In Chamber for Power
7967 Mentor Technology AI Components Checking Fixture
7968 Mentor Technology Check Lead and ICT Skip Component Fixture
7969 Mentor Technology Bottom and Top Mould
7970 Mentor Technology Hi-Pot Test Fixture
7971 Mentor Technology ATS Test Fixture
7972 Mentor Technology Connector for 12V
7973 Mentor Technology Cable for 5V
7974 Mentor Technology AC Cable
7975 Mentor Technology Cable for Fan
7976 Mentor Technology Burn-In Cooling Fixture
7977 Mentor Technology IC Test Fixture
7978 Mentor Technology Burn-In Test Fixture
7979 Mercer 9401 Multimeter
7980 Merck Analytical Balance
7981 Merck MAS 100 Air Sampler
7982 Merck Mill Q Advantage A 10 Ultrapure Water System
7983 Messer Griesheim (MG) Apollo 100 Container
7984 Met One 237 B Laser Particle Counter
7985 Met One PCX Particle Counter
7986 Metacrafts Conveyor Belt
7987 Metal Chem Dry Heat Sterilizer
7988 Metal Max Lathe
7989 Metalab Scientific Water Bath
7990 Metcal PS 5200 Soldering Systems
7991 Metcal BVX 101
7992 Metcal Welding Irons
7993 Metfab Engineering Cool Down Rack
7994 Metler Toledo PB 5001 S Precision Balance
7995 Metro C 85-DVN Oven
7996 Metro SMT Component Reel Shelving
7997 Metro Precision Machining F 13894 00 Snap Preform Fixture
7998 Metrohm 795 KFT Titrator
7999 Metrohm 795 KF Titrator
8000 Metrohm 798 MPT Autotitrator
8001 Metrohm
8002 Metrohm Ti 798 KF Titrator
8003 Metrohm 827 pHmetro
8004 Metrohm 780 pH Meter
8005 Metrohm Titrator Titrino Plus 848
8006 Metronelec Menisco ST 50 Solder Wetting Balance
8007 Metronelec ST 50 Solder Wetting Balance
8008 Metronics Quadra-Chek 200 Digital Readout
8009 Mettler AE 100
8010 Mettler PE 1600
8011 Mettler AE 163
8012 Mettler Toldo Analytical Balance
8013 Mettler PH Probe
8014 Mettler AC 100 Scale
8015 Mettler Garvens S 2 Check Weigher
8016 Mettler Toledo T 50 Excllence Auto Titrate
8017 Mettler Toledo NewClassic MF MS 1602 SE / 01 Balance
8018 Mettler Toledo XTC 1001 Weighing Scale
8019 Mettler Toledo XS Hi Speed Check Weigher
8020 Mettler Toledo MN Checkweigher
8021 Mettler Toledo Weighing Balance
8022 Mettler Toledo Completed Scales from P026
8023 Mettler Toledo T–401 Electronic Weighing Balance
8024 Mettler Toledo T–402 Electronic Weighing Balance
8025 Mettler Toledo Weighing Balance
8026 Mettler Toledo DL 38 Tritator
8027 Mettler Toledo XP 205 Weight Scale
8028 Mettler Toledo AT Analytical Scale
8029 Mettler Toledo HR 83 Moisture Analyzer
8030 Mettler Toledo MS 603 S Precision Balance
8031 Mettler Toledo Semi-Micro Balance
8032 Mettler Toledo pH/Ion Meter
8033 Mettler Toledo HR 83 Halogen Moisture Analyzer
8034 Mettler Toledo MP 70 Melting Point Apparatus
8035 Mettler Toledo XP 205 Analytical Balance
8036 Mettler Toledo XP 5003 SDR Precision Balance
8037 Mettler Toledo XP 504 Analytical Balance
8038 Mettler Toledo Analytical Balance
8039 Mettler Toledo Weighing System
8040 Mettler Toledo HR 83 P Halogen Moisture Analyser
8041 Mettler Toledo FiveGo Hand pH Meter
8042 Mettler Toledo XS 2
8043 Mettler Toledo TGA DSC 1 Thermogravimetric Analyzer / Differential Scanning Calorimeter
8044 Mettler Toledo AB 204 Precision Scale
8045 Mettler Toledo AB 204 Precision Scale
8046 Mettler Toledo Bohdan Universal Sample Prep Unit
8047 Meuser M 4 L Gear Head Lathe
8048 Mex Quest Engineering Heavy Duty Digital Caliper Assembly
8049 Meyer ICOLAB 36 / 21 Laminator
8050 Meyer Berger DS 271 Wire Saw
8051 Meyer Berger Inner Diameter Saw
8052 Meyer Berger Laminator
8053 Meyer Burger TS 4 Glass Saw
8054 Meyer Burger DS 265 Wire Saw
8055 Meyer Burger NG Series Laminator
8056 Meyer Burger 805 BS Band Saw
8057 Meyer Burger DS-265
8058 Meyer Burger TS-23 ID Saw
8059 Meyer Burger 50 MW Plasma-Enhanced Chemical Vapor Deposition (PECVD)
8060 Meyer Burger SiNA XXL (50 MW) Plasma-Enhanced Chemical Vapor Deposition (PECVD)
8061 Meyer Burger 3 S Laminator
8062 Meyer Burger SINA L Ext Turbo Plate PECVD
8063 MFD Pneumatics MDS 1 GP C 08 Sensors
8064 MGE Comet
8065 MGE Galaxy PW UPS
8066 MGE Galaxy PW UPS
8067 MGE Galaxy PW UPS
8068 MGI Wafer Transfer System
8069 Michael Riedel Transformatorenbau Drive Sonder
8070 Mickle Labs Peach Printer
8071 Micos RS 232 Polarization Controller
8072 Micristar 828 D 00 403 403 000
8073 Micro Automation 1006 Dicing Saw
8074 Micro Automation M 1100 Dicing Saw
8075 Micro Automation M 1100 Dicing Saw Parts
8076 Micro Control ABES-IV Logic and Memory Burn-In System
8077 Micro Control Abes III (10 X) Burn-in Oven
8078 Micro Control Abes IV Burn-in Oven
8079 Micro Control WRP 64 Burn-in Oven
8080 Micro Engineering Rabs Melabs U 2 Programer
8081 Micro Engineering Rabs Melabs U 3 Programer
8082 Micro Instrument 1120 2 10 Power Supply Unit
8083 Micro Instrument 1120 3 10 Power Supply Unit
8084 Micro Joger Temptron
8085 Micro Matic Precision Wafering Machine
8086 Micro Modular System LED VR A A BR Tape & Reel System
8087 Micro Modular System LU 950 Loader
8088 Micro Modular System LU 950 Loader
8089 Micro Modular System LU 950 Loader
8090 Micro Modular System AFL SF A A MLT Manual Singulation Press
8091 Micro Motion MT 2000 Automatic Taping Machine
8092 Micro Technical Industries Thermoprobe
8093 Micro Tool & Machine X-Shear Core Cutting Machine
8094 Micro Vision 851 Lead Straightener
8095 Micro Vu 24 / 18
8096 Micro Vu Excel 1051 UC Coordinate Measuring Machine (CMM)
8097 Microbar Mini Track Mate Chemical Dispensing System
8098 Microchip Technology Picstart Plus Development Programmer
8099 Microcontact AG P 1040
8100 Microcontrol Leonardo 200 Taper / Detaper
8101 Microdrill DSLS Punch Machine
8102 MicroFiber IG 3 SS 1400 heater
8103 Microfludics M-110 EH-30 Homogenizer
8104 Microfluidics LM 20 Microfluidizer
8105 Microline 06-1871 A Gas Cabinet
8106 Microline 06-1870 A Gas Cabinet
8107 Microlink Peel Back Force Tester
8108 Micromanipulator 8860 / 8865
8109 Micromanipulator
8110 Micromanipulator
8111 Micromanipulator
8112 Micromanipulator 9000-VIT Micromanipulator
8113 Micromanipulator 6000 Probe Station
8114 Micromanipulator 1000 DC 12 Internal Prober
8115 Micromanipulator Probe Station
8116 Micromanipulator 6000 Manual Probe Station
8117 Micromanipulator EzLaze 3 Probe Station with Laser
8118 Micromanipulator Probe Station
8119 Micromass 386 Mass Spectrometer
8120 Micromass MALDI Micro MX (MALDI-TOF-MS) Matrix Assisted Laser Desorption Ionization Time of Flight Mass Spectrometer
8121 Micrometrics AccuPyc II 1340 Gas Pycnometer
8122 Micron Master 15 520 15 inch Airmill
8123 Micron Optics FFP TF 1550 Fiber Perot Tunable Filter
8124 Micronic LRS 230-IT Laser Lithography Tool
8125 Micronic MP 80 Laser Lithography Tool
8126 Microscan MS 860 Scanner
8127 Microsemi Power Supply Unit
8128 Microsemi Burn-In system
8129 Microsemi Burn-In system
8130 Microsense EZ 11 VSM Vibrating Sample Magnetometer
8131 Microsoft Mouse
8132 Microtec MT-2217
8133 Microtec MT-550 TV Screen Printer
8134 Microtech Marangoni Dryer
8135 Microtest CT 8681 Cable / Harness Tester
8136 Microtrac UPA 150 Particle Measurement
8137 MicroVision (Leica) MVT 2080 Inspection Station
8138 MicroVu Matrix M 441 Vision Non Contact Measuring Machine
8139 MicroVu H 14 Optical Comparator
8140 MicroVu Optical Comparator
8141 Micro-Vu 500 HP Optical Comparator
8142 Micro-Vu 24 / 18 Optical Comparator
8143 Micro-Vu Visual Measuring System
8144 Mid Eastern Industries HW-D Series
8145 Miele Industrial Washer
8146 Mighty Comet 2 SHG-A Knee Mill
8147 Mighty Lube 7065 B Automatic Lubrication System
8148 Mikron M 7500 Infared Camera
8149 Mikron VCP 600
8150 Mikron Hob Sharpener
8151 Mikropack SpecEL 2000 VIS
8152 Milara STW 1 Printer
8153 Milestone ETHOS UP Microwave Digestion System
8154 Milestone Ethos Microwave Digestion System
8155 Milipore Water System
8156 Milipore 50155 Filter
8157 Militorr Vacuum Gauge
8158 Mill Lane Optical Coater
8159 Miller 15 HP Air Compressor
8160 Miller Syncrowave 351
8161 Miller FPP-5000 4-Point Probe
8162 Miller Design & Equipment FPP 100 4-Point Probe
8163 Milli Q Water Purification System
8164 Millipore ELIX 20 Water Purification System
8165 Millipore Elix 5 Water Distillation System
8166 Millipore Automatic Sanitation Module and Storage Tank
8167 Millipore Chromatographic Columns
8168 MilliPore Simplicity UV System
8169 Milltronics RH 25 Vertical Mill
8170 Milmega RF Power Amplifiers
8171 Milmetco Engineering HA 3630 Oven
8172 Mimaki CF 2 0912 Cutter
8173 Minami MK 878 SV Solder Paste Machine
8174 Minaservices GEN 5 Etching Web Bench and Robot
8175 Ming Yang Branch Tablet Counting Machine
8176 Mini Circuits ZHL-20 W-13 RF Amplifier
8177 Minipa Multimeter
8178 Minipack Tunnel 70 Oven
8179 Minipack MP-SWM Stretch Wrap Machine
8180 Minister Machine Press
8181 Minolta CR 321 Colorimeter
8182 Minster 60 Ton Press
8183 Mipox Wafer Edge Sander
8184 Mirae MR 5500 Handler
8185 Mirae 820 Burn In Loader / Unloader
8186 Mirae 9210 Burn In Loader / Unloader
8187 Mirae M 5500 Memory Handler
8188 Mirae M 5500 Memory Handler
8189 Mirae MR 5500 Memory Handler
8190 Mirae MR 5500 Handler
8191 Mirtec MV 7 Inline AOI System
8192 Mirtec MV 3 L
8193 Mirtec MV 3 L Inspection Machine
8194 Mirtec MV 7 XI In Line 2D AOI
8195 Mirtec MV 7 XI In Line 2D AOI
8196 Mirtec MV 7 U In Line Type Vision Inspector
8197 Mirtec MV 7 U In Line Type Vision Inspector
8198 Mirtec MV 7 U In Line Type Vision Inspector
8199 Mirtec MV 7 U In Line Type Vision Inspector
8200 Mirtec MV 7 xi In Line Vision Inspector
8201 Mister Presses P 2-60
8202 Mistrello Jumbo A 25 I Racks
8203 Mistry & Brothers 2T Hand Wheel Press
8204 Mistry Engineering Vial Inspection M/C
8205 Mistry Engineering Vial Washing M/C
8206 Mistry Engineering Vial Air Cleaning M/C
8207 Mistry Engineering Filled Vial Inspection Machine
8208 MIT Strip Laser Marking System
8209 Mitsubishi Robot
8210 Mitsubishi SX 20 Electrical Discharge Machining (EDM) tool
8211 Mitsubishi Melsec A 870 GOT Graphic Operation Terminal
8212 Mitsubishi M V 70 E
8213 Mitsubishi RVE 3 J
8214 Mitsubishi RV-4 A-S 311
8215 Mitsubishi 7000 Series Battery Module
8216 Mitsubishi DWC-90 Wire EDM
8217 Mitsubishi KA 97 DV 132 S 4 BMG 5.5 KWX 1 / 70.54 Motor
8218 Mitsubishi FX 20 Wire EDM Machine
8219 Mitsubishi K Wire EDM
8220 Mitsubishi Wire EDM
8221 Mitsubishi Forklift
8222 Mitsubishi Evolution X 5 510 Waterjet
8223 Mitsubishi Grinding Wheel
8224 Mitsubishi LCU Cooling Unit
8225 Mitsubishi ML 3016 F CO2 Laser
8226 Mitsubishi FX 20 Wire EDM
8227 Mitsubishi PX 05 Electrical Discharge Machine
8228 Mitsui Seiki HU 40 T AWC 5-Axis Mill
8229 Mitsui Seiki HU 40-T-AWC
8230 Mitsui Seiki 7 CN 105 Vertical Jig Boring Machine
8231 Mitsui Seiki HU 50 T Horizontal Machining Center
8232 Mitsui Seiki HU 50 A Horizontal Machining Center
8233 Mitsui Seiki VT 3 A Vertical Machining Center
8234 Mitsumi KFKEA 4 XT Keyboard
8235 Mitutoyo CMM
8236 Mitutoyo QVX 202
8237 Mitutoyo PH-3500 Comparator
8238 Mitutoyo Coordinate Measuring Machine
8239 Mitutoyo FS 70 Optical Microscope for Active Layers Inspection
8240 Mitutoyo Measuring Microscope
8241 Mitutoyo LH-600
8242 Mitutoyo C 112 CEXB Digital Indicators
8243 Mitutoyo Quick Vision IQUP 202 Vision Measuring Machine
8244 Mitutoyo Microscope Probe Manipulator
8245 Mitutoyo LSM 6200 Display Unit
8246 Mitutoyo LSM 512 S Laser Scan Micrometer
8247 Mitutoyo CV 4500 S 4 Measuring Microscope
8248 Mitutoyo CV 500 Contour Measuring Instrument (Contracer)
8249 Mitutoyo FS 110 Microscope
8250 Mitutoyo PJ 300 Profile Projector
8251 Mitutoyo CRTAC 7106 Coordinate Measuring System
8252 Mitutoyo PH 350 Precision Profile Projector
8253 Mitutoyo PH 350 – 1850 Profile Projector
8254 Mitutoyo PJ 300 Profile Projector
8255 Mitutoyo Quick Vision AVA 404-PRO Vision Measuring System
8256 Mitutoyo PJ 300 Profile Projector
8257 Mitutoyo Granite Surface Plate
8258 Mitutoyo CS 3000 S 4 Form Tracer
8259 Mitutoyo RA 116 Roundness Tester
8260 Mitutoyo Measuring Instrument
8261 Mixer Direct 500 Gallon Mixing Tank
8262 Mixer Direct 150 Gallon Tank
8263 Miyachi Unitek LMF 2000-SM Laser Marker
8264 Miyachi UB 25 Gold Lead Welder
8265 MJB LM Rework Station
8266 MKS Residual Gas Analyzer
8267 MKS 250 B Controller
8268 MKS 247 C 4 Channel Readout
8269 MKS 600 Series Pressure Controller
8270 MKS 902 B 11014 Vacuum Pressure Transducer
8271 MKS Liquozone Primo 3 Liquid Ozone Generator
8272 MKS Instruments FS 100 Flow Scan
8273 MMI DED 0001-10 Flash Lens Equipment Defect Resolution
8274 MMI Systems JI Julian Inspection Machine
8275 MMI Systems JI Julia Inspection Machine
8276 MMI Systems DED 0001-10 Flash Lens Equipment Defect Resolution
8277 MMI Systems DED 0008 Lightpipe Inspection Machine
8278 MMI Systems 100001 Pick N Place Wafer Handling System
8279 MMS Murano-HVTHbM-A A CvCv LED Optical Tester
8280 Modescan 1780 Laser Beam Profiler
8281 Modine Heater
8282 Modula Sintes 1 Vertical Lift Module
8283 Modular Conveyor 010-0772 Conveyor
8284 Modular Conveyor 010-0767 Conveyor
8285 Modular Conveyor 010-0773 Conveyor
8286 Modutek Iridium Sht Clean Stn Wet Bench
8287 Modutek RCE 025-1500-02 Water Chiller
8288 Modutek Detergent CDU
8289 Modutek CDU Single Etch
8290 Mohawk Lifts Mobile column Lift
8291 Moi Engineering BOPP Film Wrapping M/C
8292 Mokon H 53324 TY Oil Heater
8293 Moldman 8000
8294 Molecular Devices SpectraMax M Series Multi-Mode Microplate Reader
8295 Molecular Devices GENEPIX 4000 B PRO Microarray Scanner
8296 Molecular Devices GenePix 4000 B Microarray Scanner
8297 Molecular Devices Versamax Tunable Microplate Reader
8298 Molecular Devices Spectramax 190 Microplate Reader
8299 Molecular Dynamics Storm 860 Molecular Imager
8300 Molecular Imprints Imprio 55
8301 Molecular Imprints Imprio 55 Nanoimprinting Machine
8302 Molex Crimper
8303 Monarch CKK Lathe
8304 Monarch Pathfinder CNC
8305 Monarch Nova Strobe
8306 Monogram Temperature Meter
8307 Monsanto Tensometer 20 Tensile Testing Machine
8308 Montalva U 4 Controller
8309 Montrac Cleanroom Shuttle
8310 Moore Diamond Turning Machine
8311 Morehouse Cowles 12-30 P Vertical Sand Mill
8312 Mori Seiki M-300 A 1 Power Supply
8313 Mori Seiki MV 65 B/ 50 Mill
8314 Mori Seiki MV 45/40 A Mill
8315 Mori Seiki MV 65
8316 Mori Seiki DL 25 MC CNC
8317 Morse 201 VS 1 Drum Roller
8318 Mosaid MS 4205 Memory Tester
8319 Mosaid MS 4205 Manual Memory Tester
8320 Mosaid MS 4205 Manual Memory Tester
8321 Mosaid MS 4205 ex Engineering Memory Test System
8322 Motic DMB 1 Binocular Microscope
8323 Motic DMWB 3 223 ASC Digital Microscope
8324 Motic MLC 150 C
8325 Motion Analysis Kestrel 2200 Motion Capture Camera
8326 Motion Analysis Raptor-E Motion Capture Camera
8327 Motion Control Component Breakdown
8328 Motoman MRC II SV 3 Robot
8329 Motoman UP 20 XRC Robot
8330 Motoman UP 20-6 XRC Robot
8331 Motoman SK6 XRC Robot
8332 Motoman UP 50 Robot
8333 Motoman SP 100 Robot
8334 Motoman MSK 120 Robot
8335 Motoman UP 50 XRC Robot
8336 Motoman SP 100 XRC Robot
8337 Motoman Robot
8338 Motorola Lighting BGA MSA 250 A Ball Placement
8339 Motorola Lighting MSA 250 A Ball Placement
8340 Mountz MR 3 Tool Balancer
8341 Movin Cool Class Plus 26 / Cool 3 Chiller
8342 MovinCool 10 SFU
8343 MovinCool Office Pro 24 Portable AC Unit
8344 MovinCool Office Pro 18 Portable AC Unit
8345 Moxa DA 682 Controller
8346 Moyno CDQ / AAA Large Positive Displacement Pump
8347 Moyno Pump on Pallet
8348 Moyno Small Positive Displacement Pump
8349 MPJA DC Supply
8350 MPM UP 2000 HIE Solder Paste Machine
8351 MPM UP 2000 HIE Solder Paste Machine
8352 MPM UP 2000 Screen Printer
8353 MPM AccuFlex Screen Printer
8354 MPM AccuFlex Screen Printer
8355 MPM UP 2000 B Printer
8356 MPM TF 100 Semi-Automatic Screen Printer
8357 MRC 943 Sputtering System
8358 MRC 903
8359 MRC 603 Sputtering System
8360 MRC 903 M Sputtering system
8361 MRC 943 Sputtering System
8362 MRL Single Tube Semiconductor Furnace
8363 MRL FCE 2 TUBE RHL HT 1312 Furnace
8364 MRL MS 7 A 0002 Low Pressure Chemical Vapor Deposition (LPCVD) Furnace
8365 MRL Furnace
8366 MRL LT 1018 Furnace
8367 MRL / Sandvik 1014 Furnace
8368 MRSI 505 Pick and Place Machine
8369 MRSI 605 Die Bonder
8370 MRSI 705 Die Bonder
8371 MRSI 605 Die Bonder
8372 MRSI 705 Die Bonder
8373 MRSI 505 Pick & Place
8374 MRSI 505 Bonder
8375 MRSI 505 Bonder
8376 MRSI 605 Die Bonder
8377 MRT 1000 Magnetic Annealing Furnace
8378 MSC Milling and Drilling Machine
8379 MSC Manhattan Industrial 951463 Band Saw
8380 MSL Technoven 300 Oven
8381 MSP 2300 XP 1 Particle Deposition
8382 MTA Automation RC 500 TR 300 80 W Iron Robot
8383 MTI STX-202 A Desktop precision wire saw with touch screen control
8384 MTI DSS-822
8385 MTI MSS-816
8386 MTI OTF 1200 X S VT Vertical Furnace
8387 MTI GSL-1100 High Temperature Tube Furnace
8388 MTI Unipol-802 Precision Lapping/Polishing Machine
8389 MTI STX-202 Diamond Wire Saw
8390 MTI Autoscan 200
8391 MTI 150 Low Speed Diamond Saw
8392 MTI MSS 816 Saw
8393 MTI MSS 612
8394 MTI MSS 612 Saw
8395 MTI MSK HRP 03 Press
8396 MTI TX 202 A Diamond wire saw
8397 MTI Instruments ProForma 200 SA Warp & Bow
8398 MTI USA AMS 2000 Trim & Form
8399 MTO MT Stereo Microscope
8400 MTO MT Stereo Microscope
8401 MTO MT Stereo Microscope
8402 MTO MT Stereo Microscope
8403 MTO MT Stereo Microscope
8404 MTO MT Stereo Microscope
8405 MTO MT Stereo Microscope
8406 MTO MS 740 Desktop Microscope
8407 MTO MT Stereo Microscope
8408 MTO MT Stereo Microscope
8409 MTO MS 740 Desktop Microscope
8410 MTO MS 740 Desktop Microscope
8411 MTO MS 740 Desktop Microscope
8412 MTS Nanoindenter II
8413 MTS ReNew
8414 MTS QTest 2/L Test Frame
8415 MTS 810 Tester
8416 MTS Systems 244 12 Hydraulic Actuator
8417 Mucci Mixer 2 HP 6 H 16 Mixer
8418 Mucci Mixers 2 HPL 8 14 Mixer
8419 Muehlbauer DS 10000
8420 Mueller Gmbh
8421 Muhlbauer DB 200 WTX-1 Die Bonder
8422 Muhlbauer DS 10000 Tape & Reel Die Sorting
8423 Muhlbauer DS 10000 Pick and Place Die Sorter
8424 Muhlbauer DS 10000 Pick and Place Die Sorter
8425 Muhlbauer SSH 2008 Application Machine
8426 Muhlbauer DS 10000 Die Sorting System
8427 Muhlbauer DS 10000 PNP
8428 Muhlbauer DS 8000 PNP
8429 Muhlbauer DS 10000 PNP
8430 Muhlbauer DS 8000 PNP
8431 Muller Intermediate Bulk Drums
8432 Multilift Floor to Floor Conveyor
8433 Multiline Film Punch
8434 Multiline Automatic Post Image Artwork Punch
8435 Multiline PEP
8436 Multiline 34 Film Developer
8437 Multiline Technology ATP 1000
8438 Multimill
8439 Multiplas V 4-85 T-G Injection Molding Machine
8440 Multiplas V3 2R 55T Plastic injection molding machine
8441 MultiPress Se 30
8442 Multiprobe APF II Atomic Force Probe (AFP)
8443 Multiprobe MP 1 Atomic Force Probe (AFP)
8444 Multitest MT 8704 Handler
8445 Multitest MT 8704 Handler
8446 Multitest MT 8704 Handler
8447 Multitest MT 8502 TriTemp Gravity Handler
8448 Multitest MT 8704 Multitest Base Handler
8449 Multitest MT 2168 Pick & Place Handler
8450 Multitest MT 8704 Handler
8451 Multitest 9320 Handler
8452 Multitest 9308 Handler
8453 Multitest MT 2168 Pick and Place Test Handler
8454 Multitest MT 9320 Handler
8455 Multitest MT 8589 Handler
8456 Multitest MT 9918 Handler
8457 Multitest 9308 Handler
8458 Multivac Vacuum Sealer
8459 Multivac R 145 Packing Machine
8460 Multiwire Laboratories / Seifert Analytical MWL 120 / X-Ran PSU Camera System
8461 Munstermann Curing Oven
8462 Munstermann Oven
8463 Munstermann Drier Line Oven
8464 Munter ComDry Dehumidifier
8465 Murata CDS 450 G 0
8466 Murata CDS 450 G 0
8467 Murata CDS 450 G 0
8468 Murata M 2000-30 Turret Punch
8469 Murata M-2044
8470 Musashi VSU 350 Smart Box Aerojet Jetting System
8471 Musashi SW 3000 SS 3 A Aerojet Jetting System
8472 Mushashi TAD 1000 M Dispenser System
8473 MVP Epoxy Dispenser
8474 Mycronic / Mydata MY 12
8475 Mycronic / Mydata MY 12 Pick & Place
8476 Mycronic / Mydata MY 12 Pick & Place
8477 Mycronic / Mydata MY 9 Pick & Place
8478 Mycronic / Mydata MY 15 Pick & Place
8479 Mycronic / Mydata MY 600 JX Dispensing Machine
8480 Mycronic / Mydata MY 12 E Pick and Place
8481 Mydata MY 600 Jet Printer
8482 MyData My 12
8483 MyData My 12 Pick and Place Machine
8484 Mydata MY 12 Pick and Place
8485 MyData TP 9 UFP Hydra Component Laying Machine
8486 Mydata MY 12 Automatic Placement Machine
8487 Mydata TP 9 UFP Hydra
8488 MYDATA TP 9 UFP Hydra Placement Machine
8489 Mydata MY 9 Pick and Place
8490 Mydata MY 15 e Placement
8491 Mydata M 12 Pick and Place
8492 Myford MG 12 Cylindrical Grinder
8493 Myriad Mask Aligner
8494 Mystaire Air Scrubber
8495 N & R Scientific XRL-120
8496 N&F Automation Automatic Pick and Place Machine
8497 N&K Technologies 8000 CD LittleFoot
8498 Naber N 7
8499 Nabertherm
8500 Nabertherm Furnace
8501 Nabertherm TS 1 100 Tube Furnace
8502 Nabertherm N 120 / 65 HACS Chamber Furnace
8503 Nabertherm TR 450 / S Drying Furnace for Polymer
8504 Nabertherm N 4010 / 26 / HA Drying Furnace for Li Carrier
8505 Nabertherm NA 120  / 45 S (2) and TR 120 / S (3) Drying Furnace for Mask and Plates
8506 Nabertherm GmbH LH 216 / 14 Chamber Furnace
8507 Nabertherm GmbH LH 60 / 14 Chamber Furnace
8508 Naberthrom Top 16 / R Kiln
8509 Nachi SW 166-03 Robot
8510 Nachi NSB-63 D Broach Machine
8511 Nachi AX 10
8512 NACS Lower Housing Degator
8513 Nada Tech N 44 Wafer Sorter
8514 Nagel SCD 80 Super Finishing Machine
8515 Nakamura-Tome TMC 30 CNC Lathe
8516 Nakan Techno A 45 A PI Coater
8517 Nakan Techno PB 45 4 P PI Coater
8518 Nakan Techno BF 45 W Postbake
8519 Nalgene 4150 9000 HDPE Dewar Flask Cover for Liquid Nitrogen
8520 NAMS Tech CW 150213-270 Plasma Cleaner
8521 Nanjing DVP 740 Mini Fusion Splicer
8522 Nanjing Hanna GRY 3 ET Infrared Temperature Controlled High Frequency Preheater
8523 Nano Surface SL 910 SFCL Single Diamond Polishing Machine
8524 Nano Surface SL 910 SFCL Single Diamond Polishing Machine
8525 Nanofinder 30
8526 Nanoflash LFA 447
8527 Nanometric CD 50
8528 Nanometrics Nanospec 8300 X Thin Films Measuring system
8529 Nanometrics M 6100
8530 Nanometrics 6100
8531 Nanometrics AFT 210 Spectroscope
8532 Nanometrics Nanospec AFT
8533 Nanometrics Nanospec AFT
8534 Nanometrics 8300 X Film Thickness Analyzer
8535 Nanometrics Nanoline 50 CD Measurement System
8536 Nanometrics M 6100 Film Thickness
8537 Nanometrics 7000-0435 Microscope
8538 Nanometrics CTS 102 Film Thickness Computer
8539 Nanometrics NanoSpec/AFT
8540 Nanometrix 169 X-Ray
8541 Nanonex NX 2600 BA Mask Aligner Module
8542 Nanospec 210 Inspection Station
8543 Nanotronics nSpec SiC Wafer Inspection Station
8544 NanoWave
8545 Nantong Hunan H 101-2 AS Dry Oven
8546 Nantong Hunan H 101-2 AS Dry Oven
8547 Nantong Hunan H 101-2 AS Dry Oven
8548 Nantong Hunan HN 101-OA Dry Oven
8549 Nanya
8550 Napco 5851 Vacuum Oven
8551 Napson HF-90 R Lifetime Machine
8552 Narda 3752 Coaxial Phase Shifter
8553 Narda 3020 A Bi-Directional Coaxil Coupler
8554 Narda 766 20 Coaxial Attenuator
8555 Narda 769 30 High Power Attenuator
8556 Nardini ND 1560 E Engine Lathe
8557 Nardini MS 1440 E Lathe
8558 Narishige MMO Oil Hydraulic Micromanipulator
8559 Nash NRV-60 Vacuum Pump
8560 Nat Instruments MID 7654 Motor Drive
8561 Nation Electronics Technology Horizontal Cutting Machine
8562 Nation Electronics Technology Manual Vertical Cutting Machine
8563 Nation Electronics Technology Setting Foot Machine
8564 Nation Electronics Technology Electrical Safety Compliance Analyzer
8565 Nation Electronics Technology
8566 Nation Electronics Technology AC Source
8567 National NH 5216 Shear
8568 National Sheet Metal Brake
8569 National Controls 5300 MK-IV Counting Scale
8570 National Electric Coil HP 10 AC Motor
8571 National Instrument NI USV Data Acquisition Module
8572 National Instruments NI USB 6341 X Series Data Acquisition Module
8573 National Instruments SC-2345 Shielded Carriers for SCC Modules
8574 National Instruments GPIB-RS 232 Converter
8575 National Instruments NI USB-6363 BNC Data Logger
8576 National Instruments PXI-1045
8577 National Instruments PXI-4071
8578 National Instruments PXI-5112
8579 National Instruments CB 50 LP I/O Connector Block
8580 National Instruments CB 68 LP I/O Connector Block
8581 National Instruments CB 68 LPR I/O Connector Block
8582 National Instruments FLKM 50 I/O Connector Block
8583 National Instruments PCI 488.2 GPIB Interface Card
8584 National Instruments PCI 6023 E DAQ Card
8585 National Instruments PCI 6503 Digital I/O Card
8586 National Instruments PCI 6509 Digital I/O Card
8587 National Instruments PCI 6722 Analog Output Card
8588 National Instruments PCI DIO 96 Digital I/O Register
8589 National Instruments RS 232 Communication Adaptor
8590 National Instruments USB 6501 Digital I/O
8591 National Instruments 763507 B-01 GPIB 1 Meter
8592 National Instruments NIUSB 6366 152804 C-01 L, X Series Multifunction
8593 National Instruments SCB 68 Data Acquisition
8594 Nauder Lubrication Unit for Oil ISO VG 32
8595 Naura Diffusion Furnace
8596 Nauset NAU 3 X 10 Down Draft
8597 Nauset NAU 2 X 4 Down Draft
8598 Nauset NAU 2 X 6 Down Draft
8599 Navigator
8600 NBS Technologies WPC 08 A Wafer Transfer system
8601 NCA Systems Ion Exchange Water Treatment
8602 NCB Network NF 200 Furnace
8603 NEC CPS 400 F Soft Solder Die Bonder
8604 NEC CPS 400 Soft Solder Die Bonder
8605 NEC Bestem DO 1 Die Bonder
8606 Nedco BiFlow Conveyor
8607 Nederman Dust Collector
8608 Nederman NFP S 1000 Dust Collector
8609 Nederman MDL 850.01 Vacuum Dust Collector
8610 Neeltran 3SCIA4640033 Rectifier
8611 Negevtech NT 3100 Bright Field Inspection
8612 Nel 8 Contactless w Mounter System for MSA 840-4021
8613 Nel HR 8500 II Tape Appl
8614 Nel System MSA 840 Mounter
8615 NEMS Tech CW 150830 880 Plasma Cleaner
8616 NEMS Tech APO Plasma Cleaner
8617 Neos N 21040.5 IDS A-O Modulator Driver
8618 Nercon Washdown Conveyor
8619 Neri SL Labeler
8620 Neslab HX-500 Chiller
8621 Neslab HX-300 Chiller
8622 Neslab CFT-75 Refrigerated Recirculator
8623 Neslab HX 300 Recirculating Chiller
8624 Neslab Coolflow CFT 75 Refrigerated Recirculator
8625 Neslab HX 75 Cool Flow Refrigerated Recirculator
8626 Neslab HX 300 Chiller
8627 Neslab Coolflow 50
8628 Neslab HX 300 Chiller
8629 Neslab HX 75 Water Chiller
8630 Neslab HX 75
8631 Neslab HX 300 Chiller
8632 Neslab ThermoFlex 1400 Recirculating Chiller
8633 Neslab HX 750 Air CoolED Chiller
8634 Neslab CFT-75 Chiller
8635 Neslab NX 750 Recirculating Chiller
8636 Neslab HX 750 Air CoolED Chiller
8637 Neslab HX 750 Air Cooled Chiller
8638 Neslab HX 500 Chiller
8639 Neslab RTE 111 Chiller
8640 Neslab RTE 111 Chiller
8641 Nesta Flex Conveyor Belt
8642 Nestal Elion 800-130 M Injection Molding Machine (IMM)
8643 NestFlex 275 Expandable Conveyor
8644 Netstal HP 3500 3550 R
8645 Netter NT 200 Pallet Washing Machine
8646 NetTest Walic
8647 Netzgerat
8648 Netzsch LME 20 T Mill
8649 Netzsch Attrition Mill
8650 Netzsch 230 ISO Process
8651 Netzsch 230 ISO Press
8652 Neutralization Technology Microscope
8653 Neutrix Electrode Grinder
8654 Nevoni NV 1.1 Stove for Sterilization and Drying
8655 New Brunswick Innova 5000
8656 New Brunswick Scientific G 2 Gyrotory Shaker
8657 New Brunswick Scientific G 25 Incubator Shaker
8658 New Brunswick Scientific BioFlo Pro Fermentor
8659 New England Machinery H ECS 10 Vertical Elevator Conveyor
8660 New Focus 3501 Optical Chopper
8661 New Focus 6428 Telecom Test Laser
8662 New Holland Parts Dryer
8663 New Pig Drip Deck
8664 New Pig Spill Containment Pallet
8665 New Wave Research (ESI) AccuScribe SS 40 Laser Scriber with Jasper Laser
8666 New World Technologies ER 250 Torque Wrench & Controller
8667 New York Blower Series 20 GI Fan
8668 Neware Battery Test System
8669 Newbery Industry Inc V 3-3 CPS Shuttle Injection Press
8670 Newbury Industries V 3-30 ES Molding Machine
8671 Newlong LZ 46 TVA Seal Dispenser
8672 Newlong LS 56 TVA (LZ 1041) Screen Printing Machine
8673 Newmco IMVF 150-24
8674 Newport 1835 C Multi-Functional Optical Meter
8675 Newport FKP-STD Fiber Optic Project Kit
8676 Newport RP Reliance / SL Series Optical Table Breadboard
8677 Newport RS 4000 / I2000 Optical Table Breadboard
8678 Newport 6’x4′ Optical Table
8679 Newport Optical Table
8680 Newport VH 3660 W-OPT Laser Welder Precision Alignment and Laser Weld Platform (3-Beam)
8681 Newport 1931 C Optical Power Meter
8682 Newport 4000 Laser Welder System
8683 Newport LW 4200 Sys Laser Welder System
8684 Newport
8685 Newport 5030 Laser Diode Driver
8686 Newport 1835 C Multifunctional Optical Meter
8687 Newport 3040 Temperature Controller
8688 Newport 2936 Power Meter
8689 Newport 1936 Power Meter
8690 Newport 505 Laser Diode Driver
8691 Newport 1830 C Optical Power Meter
8692 Newport M 426 Series
8693 Newport DC Volts
8694 Newport 2832 C Power Meter
8695 Newport 561 Tilt Stage
8696 Newport 818 IR
8697 Newport ESA-C U-Drive Controller
8698 Newport 1835 C Optical Meter
8699 Newport 818 1 Optic Detector
8700 Newport 818 IS 1
8701 Newport 818 IS 1 Universal Fiber Optic Detector
8702 Newport 818 IS 1 Universal Fiber Optic Detector
8703 Newport OTS-SST-510-12-I SmartTable OTS HD
8704 Newport OTS-10 Overhead Table Shelf
8705 Newport OTS-LSC-510 Laser Safety Curtain 5 x 10 foot OTS system
8706 Newport 910 A Compact Five-Axis Spatial Filter
8707 Newport U-13 X UV Objective Lens
8708 Newport 910 A / 910 PH-10 Mounted High-Energy Pinhole Aperture
8709 Newport 910 A / 910 PH-5 Mounted High-Energy Pinhole Aperture
8710 Newport FMS 100 PPHA Metrology Linear Stage, Steeping Motor, Linear Encoder
8711 Newport XPS-Q 6 Universal Controller / Driver
8712 Newport Optical Table
8713 Newport 350 / 350 B Temperature Controller
8714 Newport 350 B Temperature Controller
8715 Newport 560 B Temperature Controller
8716 Newport 3150 Temperature Controller
8717 Newport ESP 301 Motion Controller
8718 Newport 11 S I73805 Rotate Stages
8719 Newport 11 S I73805 Controller for Rotate Stages
8720 Newport 66902 Arc Lamp
8721 Newport SP 66912 3823 Arc Lamp
8722 Newport 66923 Arc Lamp
8723 Newport 69920 Power Supply
8724 Newport 69907 Power Supply
8725 Newport LW 4000 Automated Laser Welding Work Station
8726 Newport RS 2000 Anti Vibration Optical Table
8727 Newport 1936 C Power Meter
8728 Newport 1936 C Power Meter
8729 Newport 1936 C Power Meter
8730 Newport 1936 C Power Meter
8731 Newport 1931 C Optical Power Meter
8732 Newport 819 C Spectralon Collimated Beam Integrating Sphere
8733 Newport PM 500 C Precision Motion Controller
8734 Newport F PCB 15 PM FA Polarization Beam Combiner
8735 Newport PM 500 XY Theta Stage
8736 Newport Receiver
8737 Newport zED-TH/N Humidity Sensor
8738 Newport MM 4005 Motion Controller
8739 Newport zED-TH/N Humidity Sensor
8740 Newport zED-TH/N Humidity Sensor
8741 Newport zED-TH/N Humidity Sensor
8742 Newport zED-TH/N Humidity Sensor
8743 Newport zED-TH/N Humidity Sensor
8744 Newport zED-TH/N Humidity Sensor
8745 Newport ESP 301
8746 Newport Corp CTXL TRH / N
8747 Newtec Air Compressure Machine
8748 Nexaldes Central Dust Collection System for Drill
8749 Nexen CRD 350 Drives
8750 Nextest Maverick PT-I Tester
8751 Nextest Maverick PT-I NT Tester
8752 Nextest Maverick PT-I Tester
8753 Nextest Maverick PT-I Tester
8754 Nextest Maverick PT-I Tester
8755 Nextest Magnum PV HD Tester
8756 Nextest Magnum PV HD Tester
8757 Nextest Magnum PV HD Tester
8758 Nextest Magnum PV HD Tester
8759 Nextest Magnum Tester
8760 Nextest Magnum HD 512 Tester
8761 Nextest Maverick GTX Tester
8762 Nextest Maverick GTX Tester
8763 Nextest Maverick GT Tester
8764 Nextest Maverick I GT Tester
8765 Nextest Maverick I GT Tester
8766 Nextest Maverick GT Tester
8767 Nextest Maverick GTX Tester
8768 Nextest Maverick GTX Tester
8769 Nextest Magnum PV SCM Tester
8770 Nextest Maverick GT Manipulator
8771 Nextest Magnum PV
8772 Nextest Magnum PV
8773 Nextest Magnum PV
8774 Nextest Magnum PV Tester
8775 Nextest Magnum SV Tester
8776 Nextral NE 110 Reactive Ion Etcher (RIE)
8777 Nexx Nimbus Advanced Sputter Deposition System
8778 NEXX S 300 Plating Station
8779 NEXX Straus 300 Plating
8780 Nexx Systems Cirrus 150 PECVD
8781 Nexx Systems Cirrus 150 REI Etch
8782 Neytech Qex Furnace
8783 NF 4005 High Speed Power Amplifier
8784 NF 4020 High Speed Power Amp/ Bi-Polar Power Supply X2
8785 NGK RC 1000 A
8786 NGK Megcon RC 2000 ACDS CO2 MIxer
8787 NH Horizon Ion Fan
8788 Niagara 192 Roll Form
8789 Niagara 180 Roll Form
8790 Niagara DC 2800 SS Dust Collector
8791 Nicchu ZHBNF-155 Aluminum Die Cast Shot Blast Machine
8792 Nichigo-Morton V 130 Selene Vacuum Laminator
8793 Nicolet NXR 1400 X-Ray Machine
8794 Nicolet X-Ray Machine
8795 Nicolet NXR 1525 X-Ray
8796 Nicolet Impact 420 FTIR
8797 Nicolet 6700 Microscope
8798 Nicolet NXR 1400 X-ray Machine
8799 Nicolet Imaging Systems IS 10 X X-Ray System
8800 Nidec Copal Electronics CT 94 Cover Unloading Machine
8801 Nidek FT 17 Laser Interferometer
8802 Nikon MM 40 Microscope
8803 Nikon 6 C Profile Projector
8804 Nikon NSR 2205 EX 14 C Lithography
8805 Nikon NSR 2205 EX 14 C Lithography
8806 Nikon NSR-2205 EX 14 C Step & Scan Stepper
8807 Nikon S 610 C Lithography
8808 Nikon LK Scanner
8809 Nikon Eclipse L 200 Station
8810 Nikon Eclipse L 200 Station
8811 Nikon Diaphot Microscope
8812 Nikon MM 40 Microscope
8813 Nikon SMZ 445 Lower Power Microscope
8814 Nikon V-16 E Profile Projector
8815 Nikon Eclipe E 400 Microscope
8816 Nikon NSR S 650 D Immersion Scanner
8817 Nikon V 12 Optical Comparator Profile Projector
8818 Nikon NWL 640 Wafer Loader
8819 Nikon Microscope
8820 Nikon LHS-H 100 P 1 Microscope
8821 Nikon Optiphot Microscope
8822 Nikon V 12 Profile Projector
8823 Nikon Otiphot 66 IC Inspection Microscope
8824 Nikon Otiphot 66 IC Inspection Microscope
8825 Nikon OptiPhot 100 Microscope
8826 Nikon Eclipse L 200 Microscope
8827 Nikon TE DH 100 W
8828 Nikon Lasermark Overlap Inspection System
8829 Nikon Objectives
8830 Nikon Interferometer Objectives
8831 Nikon V 12 B Profile Projector / Optical Comparator
8832 Nikon OST 3100 Microscope
8833 Nikon V 12 B Projector
8834 Nikon Profiler
8835 Nikon NSR 1505 G 4 Wafer Stepper
8836 Nikon SMZ 645 Microscope
8837 Nikon XTV 160 Inspection System
8838 Nikon Eclipse ME 600 Microscope
8839 Nikon Optiphot 200 C CFH 200 Z Confocal Microscope
8840 Nikon SMZ 10 A Low Mag Microscope
8841 Nikon L 200 High Power Microscope
8842 Nikon 4562 Comparator
8843 Nikon NSR 1505 G 4 D Stepper
8844 Nikon Profile Projector
8845 Nikon NWL 860 Microscope
8846 Nikon OTS 3100 Microscope
8847 Nikon Eclipse LV 100 Microscope
8848 Nikon Microscope
8849 Nikon Microscopes
8850 Nikon V 20 A Profile Projector
8851 Nikon NSR 207 D Deep UV Wafer Stepper
8852 Nikon Precision S 204 Scanner
8853 Niles Simmons N 30 MC x 4500
8854 Nilpeter F 3000
8855 Nilson S 1
8856 Nilson S 0
8857 Nilson S 0
8858 Nilson S 2
8859 Nilson S 3 F
8860 Ningbo Haitian HT 780 Gold AIM Molding Machine
8861 Ningbo Haitian HT 530 Gold AIM Molding Machine
8862 Ningbo Syil X 6
8863 Ningbo Syil X 5
8864 NingBo Zhongce Electric DW 4822 Curve Tracer / Oscilloscope
8865 Nippon America AR 5000 VA Automatic AC Voltage Regulator
8866 Nishimura Electric FB 1500 Friction Blower
8867 Nissan RPX 2 W 2 Fork lift
8868 Nissei ASB SBM -PF 6-2 B
8869 Nissei ASB SBM PF 8-2 B
8870 Nissei ASB PF 6-2 B
8871 Nisshin G 6 Square Machine
8872 Nisshin NWSS 250 Truncation Machine
8873 Nisshin NWSS 360 Truncation Machine
8874 Nisshinbo PVS 1222 Solar Simulator
8875 Nissin ED 8439 Spacer Spray
8876 Nissin UFH 00549 PCB Tightening Machine
8877 Nissin UFH 00547 PCB Gel Apply Machine
8878 Nissin Square Machine
8879 Nissin Exceed 2000 A Implanter
8880 Nitto HR 6304 Wafer Detaper
8881 Nitto Nel DSA 840 Taper
8882 Nitto Nel HAS 840 Detaper
8883 Nitto M 286 N Manual Mounter
8884 Nitto MSA 840 Semi-Auto Mounter
8885 Nitto MA 1508 N Fully-Auto Mounter
8886 Nitto DSA 840 Semi-Auto Taping
8887 Nitto HSA 840 Semi-Auto Detaping
8888 Nitto MA 3000 II Fully-Auto Detaping Mounter
8889 Nitto HR 8500 II Fully-Auto Detaping
8890 Nitto UM 810 UV Irradiator
8891 Nitto / Denko HMT 840 Manual Defoiler tool
8892 Nitto Denko MA 2008 Wafer Mounter
8893 Nittoku AN 480 12 30 Coil Winding
8894 Nittoku M1 02 4 Coil Vision
8895 Nittoku HJ 2 Coil Welding
8896 Nittoku PRL 02 Coil Loading / Unloading
8897 NJM Final Touch Print & Apply
8898 NJM 311 LSB / 272 Pace Setter Auto Labeling Machine
8899 NNI NVIS 2500 Vision Inspection Measuring System
8900 Nobles 29619.9
8901 Noisecomm NC 346 B Noise Source
8902 Noiseken ESS-2000 ESD Tester
8903 NOMURA NN-20J CNC Automatic Lathe
8904 Nook
8905 NOR Roll Form
8906 Noran 683 A 1 SPS
8907 Norcimbus Amonia Valve Manifold Box
8908 Norcimbus Dichlorosilane Valve Manifold Box
8909 Norcimbus Sih4 Valve Manifold Box
8910 Nord 9072.1 / 32 Motors & Gear Reducers
8911 Nord Engineering C/P 10-2-2 DCT Twin Spindle Polisher
8912 Nord Engineering SP 6 6 2 DCT Precision Optics Polishing Machine
8913 Nordiko Sputtering Machine
8914 Nordiko System VAT Isolation Valve
8915 Nordson FlexTRAK Plasma Cleaner
8916 Nordson Select Coat IR Oven
8917 Nordson Powder Paint Spray Booth
8918 Nordson Lacquer Machine
8919 Nordson 752
8920 Nordson E 3 V EFD Dispenser
8921 Nordson / Asymtek 31634
8922 Nordson / March AP-1000 Plasma Cleaner
8923 Nordson / March AP 300 Dual Gas Plasma Chamber
8924 Nordson Asymtek SL 940 E / SL 941 E Conformal Coating System
8925 Nordson Asymtek SL 940 E / SL 941 E IR Convection Curing Oven
8926 Nordson Dima DD-500 Dispense Master
8927 Nordson EFD 7017041 High Precision Dispenser
8928 Nordson EFD Performus V-III Wet Painting Tool
8929 Nordson Yestech YTV FX AOI
8930 Norgren F 08 000 A 3 D 0 Filter
8931 Norgren F 45 421 AODA Filter
8932 Norgren F 46 424 MAMA Filter
8933 Norlake Transformer
8934 Norlake Scientific Freezer
8935 Norland Fiber Optic Interferometer
8936 Nortel Lot of Phones
8937 Nortel Networks DP 0011001 Channelized Access Processor
8938 North Atlantic 8500 F 141 Angle Position Indicator
8939 North Star Imaging X 50 X-ray
8940 Northamtool Press
8941 Northstar EM1 Emulator Final Test Handler
8942 Norton Chemical Process Products
8943 Norton Roller for Powder
8944 Norton Diamond Wheel
8945 Norton / NRC 0162 Vacuum Diffusion Pump
8946 Nousstar KD LWS 350 ST Wave Solder
8947 Nova Biomedical Bioprofile 400 Analyzer
8948 Novascan Synergy ESPM 3-D AFM
8949 Novastar Wave Solder Machine
8950 Novastar 1200 A Benchtop Reflow Machine
8951 Novastar MPP-11 Manual Placement Machine
8952 Novatec Dehumidified Dryer
8953 Novellus Innova PVD Tool
8954 Novellus Innova PVD Tool
8955 Novellus Inova PVD PVD Cu Barrier-Seed System
8956 Novellus Concept Three Altus MAX EFX Chamber Etch
8957 Novellus Sabre NeXT Cobalt Plating System
8958 Novellus Concept 3 Speed Max HDP CVD Oxide
8959 Novellus C 3 Speed Chambers
8960 Novellus Systems Inova PVD Sputter
8961 Novtek Spyder NTS 4358
8962 Novtek Spyder
8963 Novx 5315
8964 Novx 500 Tester
8965 Noyes OPM 4-2 Power Meter
8966 Noyes OPM 4-4 C Power Meter
8967 NP Photonics RFLM 100 3 C 31 0 003 Fiber Laser
8968 NP Photonics RFLM 100 3 C 31 0 003 Fiber Laser
8969 NP Photonics FLM 25 3 C 31 0 Fiber Laser
8970 NP Photonics Fiber Laser
8971 NRC Vacuum Diffusion Pump
8972 NRC 0161 2 Vacuum Diffusion Pump
8973 NRC XL-A Isolation Legs
8974 NRC Equipment  Corp NRC 3114 Vacuum Coater Evaporator
8975 NSI PN 1 COB Assembly Machine
8976 NSI HP 125 Assembly Machine
8977 NSW Automation Manual Inspection System
8978 NSW Automation Manual Inspection System
8979 NSW Automation LAVI Automated System
8980 NSW Automation Post Ribbon Inspection System
8981 NTC NTC 442 DW Wire Saw
8982 NTC PV 500 FD Diamond Wire Slicer
8983 NTC PV 500 D Diamond Wire Slicer
8984 NTC PV 600 D Diamond Wire Slicer
8985 NTC 600 D Plus Short Main Roller Span Machine
8986 NTE 296 S PCBA Cutter
8987 NTS 4 C 320 Wax Mounting Machine
8988 NTS 36 G DMP SL 910 AFCL Diamond Polishing Machine
8989 NTSCO HWK 750 PTSB 2 Water Cooler
8990 NTX NT 116 Handler
8991 Nu Tech Swift Plus Server
8992 Nuaire NU-425-600 Hood
8993 Nuaire NU-430-600 Hood
8994 Nuaire NU-430-400 Hood
8995 NuAire Vertical Airflow Cabinet
8996 NuAire NU 540 500 Fume Hood
8997 NuAire NU 99578 GA Refrigerator
8998 NuAire NU 8600 Incubator
8999 Nuarc Shooters Xxposure Unit
9000 NuArc FT 40 APRNS Flip Top Exposure System
9001 Nuarc FT 26 V 3 UPNS Stencil / Screen Maker
9002 NuArc Flip Top FT 26 V Platemaker
9003 Nuflare Technology EMB 7000 E-Beam
9004 Nutek NTM 0411-M-400-1 Magazine Unloading Conveyor
9005 Nutek NTM 4417-UM Feeder
9006 Nutek NTM 4416-CUM Remove Cap Machine
9007 Nutek M 4410 LM-1 K Feeder
9008 Nutek NTM 4410 UM-1 K Unloader Advanced Platform
9009 Nutek Linking Conveyor
9010 Nutek 1000 MM SRCXL Chain Conveyor
9011 Nutek NTM 0501 X 1000 2 1M Inspection Conveyor
9012 Nutek Conveyor
9013 Nutek Buffer
9014 Nutek NTM 650 Buffer
9015 Nutek NTM 210 Destaker
9016 Nutek Shuttle
9017 Nutek Conveyor
9018 Nutek Inverter
9019 Nutek Conveyor
9020 Nutek Workbench Conveyor
9021 Nutek NTM 640 SXXL Multi Function Vertical Buffer
9022 Nutex NTM 610 SL
9023 Nutex NTM 210 BLBP
9024 Nutex NTM 610 SLDT
9025 O.E. Labs LDLS 02 Laser Light Source Module
9026 O.E. Labs LDLS 02 FP LD Source
9027 O.E. Labs LDLS 02 FP LD Source
9028 OAI Hybralign Series 200
9029 OAI Hybralign 400 Large Area Mask Aligner and Exposure System
9030 OAI 5006 Mask Aligner
9031 OAI Optical Associates LS 30 / 5 Exposure Tower
9032 Oak River Technology 0400 3337 Laser Ablation
9033 Oaumann LWS 8-80
9034 Objet Connex 350 3D Printer
9035 Objet Alaris 30 3D Printer
9036 Ocean Optics Maya 2000 PRO Spectrophotometer
9037 Oceanhood NMM 820 RF Microscope
9038 OCREV Electrical Transformer
9039 OCREV Transformer
9040 Odawara EV HEV Tractor Stator Winding System
9041 OFI EP 613 DC Power Supply
9042 OGP Smartscope 400 ZIP
9043 OGP Smartscope 400 ZIP Coordinate Measuring Machine
9044 OGP Smartscope Flash Video Measurement System
9045 OGP Avant 200 B&W
9046 OGP Smartscope Flash 200
9047 Ohaus Adventure Pro AV 412 Scale
9048 Ohaus CD 11 Scale
9049 Ohaus Champ Scale
9050 Ohaus D 10-00 Scale
9051 Ohaus C 305 S Scale
9052 Ohaus Portable Balance
9053 Ohaus GT 4800
9054 Ohaus EB Series Digital Scale
9055 Ohaus Weights
9056 Ohmiya OMN 860 MZ Manual Tape Mounter
9057 O’House Explorer Pro
9058 OI Analytical Aurora 1030
9059 Oilgear Broach
9060 Okamoto ACC 16-32 DX OD Saw
9061 Okamoto ACC 20-40 DX OD Saw
9062 Okamoto PFG 500 P Surface Grinder
9063 OKI MFX-2206 XX Fume Extractor
9064 OKI BVX 100 Fume Extraction
9065 Oki Microline 420 Printer
9066 Okidata Microline 320 Turbo Printer
9067 OKK Manual Horizontal Miller
9068 Oktek G 5001 A Multi-Function SMD Chip Counter
9069 Okuma Lathe
9070 Okuma LC-20 M CNC Lathe
9071 Okuma Cadet-Mate Spindle
9072 Okuma Cadet V Spindle
9073 Okuma LU-35 Lathe
9074 Okuma GI 20 N Grinder
9075 Okuma MacTurn 250 W CNC Turning Center
9076 Okuma & Howa Lathe
9077 OLAMEF Cortadora
9078 Olamef TP 6 / A Axial Lead Forming Machine
9079 Olamef TP/LN 500 / 2 Machine Material Preparation
9080 Olamef TP/V-PR/ 2 Machine Material Preparation
9081 Olec AP 30 Accuprint Exposure Unit
9082 Oliver M 4045.004 A 001 Table Saw
9083 OLS SB-RT-2 S/MB
9084 Olympian G 15 U 3 Generator
9085 Olympus BX 41 Microscope
9086 Olympus Tokyo Microscope
9087 Olympus SZX 12 Microscope
9088 Olympus MX 50 A F Microscope
9089 Olympus AL 110 C 96 Wafer Auto Loader
9090 Olympus CH-2 Binocular
9091 Olympus SZ 51 40 X Microscope
9092 Olympus PMG 3 Microscope
9093 Olympus OLS 1100 Video Microscope
9094 Olympus Optical Microscope for Passive Layers Inspection
9095 Olympus BX 60 M Microscope
9096 Olympus BHMJL Microscope
9097 Olympus IX 70 Microscope
9098 Olympus 8 Microscope System
9099 Olympus OLS 1200 Microscope
9100 Olympus SZH Multiple Objectives
9101 Olympus SZ 60
9102 Olympus SMZ 800 Stereomicroscope
9103 Olympus TH 4-200 Power Supply Unit
9104 Olympus STM 6 F 10 3 Microscope
9105 Olympus MX 50 A-F High Power Microscope
9106 Olympus Measuring Stereo Microscope System
9107 Olympus FR 3220 IR Infrared Microscope
9108 Olympus Scope
9109 Olympus Measureing Microscope
9110 Olympus SZ 40 Microscope
9111 Olympus Semiconductor Inspection Microscope
9112 Olympus 1626 Microscope
9113 Olympus MX 40 Inspection Microscope
9114 Olympus SZX 10 Low Mag Stereozoom Microscope
9115 Olympus Microscope
9116 Olympus Microscope
9117 Olympus CX 31 Microscope
9118 Olympus (Nanometrics) Microscope
9119 O-M VL 6 NT Vertical Turn Machine
9120 Omada RC 25 CNC Press Break
9121 Omano OM 2300 S-JW 11 Stereo Boom Microscope
9122 Omano OM 2300 S-JW 11 Microscope
9123 Omega HH 509 Thermometer
9124 Omega Hot Plate
9125 Omega WT 2000 Thermal Wind Tunnel
9126 Omega FMA 1002 R V 1 Thermal Wind Tunnel
9127 Omega OMB-DAQ-2416 24 BIT ISO USB MOD Data Acquisition Module
9128 Omega OMB-DAQ-2416-4 AO Data Acquisition Module
9129 Omega OMB-DAQ-2416 Demo System, Data Acquisition Module
9130 Omega CT 485 CWF
9131 Omega 650 Digital Thermometer
9132 Omega CN 6072 A P 2 Temperature Controller
9133 Omega MDSS 41 TC Benchtop Thermometer
9134 Omega BB 4 A 230 Black Body Calibrator
9135 Omega LHS 722 A Digital Hotplate
9136 Omega OM 45-5 Freezer
9137 Omega Pro Plus Ultrasonic Cleaner
9138 Omega FleyeVision Rewinder
9139 Omegameter OM 700 Ionic
9140 Omni FRTF-W Powered Conveyor
9141 Omni Powered Conveyor
9142 Omnicure S 1500 A UV Curing Machine
9143 Omnitech Spring and Clip Machine
9144 OMP Adhesion Promoter Handler
9145 Omron VT-WIN 2 Solder Inspection
9146 Omron 3 F 5 VT-WIN Solder Inspection
9147 Omron VT WIN 2 M VH Solder Inspection Equipment
9148 Omron 2982 W 2 Relay Base
9149 Omron MY 2 IN Relay
9150 Omron 9302 Video Microscope
9151 Omron / Adept Cobra S 600 Robot
9152 ON Semiconductor 1020 Waste Collection Cabinet
9153 ON Semiconductor Boron Trichloride Cabinet
9154 On Site N 175 Nitrogen Generator
9155 ONA Hydraulic Press
9156 Onan Emergency Generator
9157 Onan / Cummings 180 Genset Diesel Generator
9158 Oneac CD 31200 Power Conditioner
9159 Oneac CD 31200 Power Conditioner
9160 Oneac 31500
9161 ONEAC Power Conditioner
9162 Ono Sokki CF 7200 Portable FFT Analyzer
9163 Onon DGDB-3382572 Generator
9164 Onset Weather Station
9165 Onsrud L 35102 Lazy Arm Router
9166 Onsrud A 1136 A Pin Router
9167 Ophir NanoModeScan M 2 Measuring System
9168 Ophir Nova Laser Power Meter
9169 Ophir RF 5300674 Power Amplifier
9170 OPK Manual Lifter
9171 Opportunity YMS 50 Laser Cutting Machine
9172 Optec Micromaster Laser Polymer Micromaching System
9173 Optek VideoMic 713 V
9174 Optel Phamaproof Controller Cabinet
9175 Optel Pharmaproof Vision System
9176 Optel Pharmaproof Vision System Expansion
9177 Optel Vision Line 1
9178 Optel Vision Line 2
9179 Optel Vision Line 3
9180 Optellent OptoBERT 06001-10 Bit Error Rate Tester
9181 Optimum Opti D 240 x 500 G Glass Lathe
9182 Optipro PX 200 Polisher
9183 Optipro Optisonic 830 CNC
9184 Opto WMSS 2000 LED Chip Tester
9185 Opto Control Optrix 3 D
9186 Opto Speed Sled 1550 S 10 A Power Supply
9187 Optomec AJ 300 CE Aerosol Jet System
9188 OptoTech ASP 200 CNC-D Polishing Machine
9189 OptoTech SMP 500 CNC-D
9190 Optotech Lens Grinder
9191 Optotech ASM 80 CNC-TC Aspherical Freeform
9192 Orbiform Crimping Machine
9193 Orbit / FR AL 4587 3 Axis Antenna Positioner
9194 Orbital Systems G 08 Grooving Machine
9195 Orbital Systems Crimping Machine
9196 Orbot WF 720 Defect Scanner
9197 Orbotec Film Plotter
9198 Orbotech Symbion S 22 Automated Optical Inspection (AOI)
9199 Orbotech Symbion S 36 22 Automated Optical Inspection (AOI)
9200 Orbotech
9201 Orbotech PC 1450 E AOI System
9202 Orbotech ABC 10.0 2 D 2 Y AOI System
9203 Orbotech AIO 2D
9204 Orbotech Symbions 36 AOI
9205 Orbotech Symbions 22 AOI
9206 Orbotech DP 100 SL Laser Printer
9207 Orbotech Discovery 8200
9208 Orbotech LP-9-HS Laser Plotter
9209 Orbotech Verismart Verifine
9210 Orbotech Asia LP 7008 E/S Plotter
9211 ORC HMW-680 GW-CB 2
9212 ORC HMW-201 B- 5 K Exposing Unit
9213 ORC HMW 680 GW CB Exposing Unit
9214 ORC Exposing tool
9215 ORC HMW-201 B 5 K Exposure
9216 ORC HMW 201 B 5 K UV Exposure
9217 ORC HMW 680 GW UV Exposure
9218 ORC Manufacturing Exposure System
9219 ORDI ICT
9220 Orgin Electric OS-1200 Parallel Seam Sealer
9221 Oriel Scanning Spectrometer/Spectrograph
9222 Oriel 84350 Exposure Workstation
9223 Oriel 60005 Arc Lamp
9224 Oriel 60076 Arc Lamp
9225 Oriel 68806 Power Supply
9226 Oriel 69920 Power Supply
9227 Origin Electric P-3 A Spot Welder
9228 Orion RKE 1500 B-V-G-SP Inverter Chiller
9229 Orion RKS 750 F-V Chiller
9230 Orion AG 360 Stretch Wrapping Robot
9231 Orionics FW 310 Fusion Splicer
9232 Orizio CMO 2 Circular Knitting Machine
9233 Ortery Photosimile Photo Booth
9234 Orthodyne 20 Heavy Wire Wire Bonder
9235 Orthodyne M 20 Heavy Gauge Wire Bonder
9236 Orthodyne M 3600 Wire Bonder
9237 Orthodyne M 360 Al Wire Bonder
9238 Orthodyne M 360 C Al Wire Bonder
9239 Orthodyne 360 Bonder
9240 Orthodyne M 360 C Wire Bonder
9241 Orthodyne M 360 C Wire Bonder
9242 Orthodyne M 20 Bonder
9243 Orthodyne M 360 C
9244 Orthodyne M 360 C
9245 Orthodyne M 360 C
9246 Orx 662 Function Generator
9247 Oryx 11000 EX
9248 Oryx Orion CDM System
9249 Osaka TG 2400 Turbo Pump
9250 Osaka Kiko MH-3 II Milling Machine
9251 Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump
9252 Osaka Vacuum TS 443 Helical Grooved Pump
9253 Osaka Vacuum TG 200 Compound Molecular Pump
9254 Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump
9255 Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump
9256 Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump
9257 Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump
9258 Oscar MC 109 Sonicator
9259 OSG (2TP) M 10 x 1.25-6 H Thread Limit Gage
9260 OSI Metra 2000 Patterned Wafer Inspection system
9261 Osram Mercury Arc Lamp
9262 Osram TL Luminux T 2 Control Station
9263 OsTech Laser Diode & Temperature Controller
9264 OsTech Laser Diode & Temperature Controller
9265 OsTech Laser Diode & Temperature Controller
9266 OTC Revolver 1750 LTX Test Head Stand
9267 OTC Revolver 1750 LTX Test Head Stand
9268 OTC SLS 1300 X LASR 3209
9269 Oubel Tape and Reel
9270 Overbeck Machine Tools 1 B Twister Speed Lathe
9271 Oxford Plasmalab Etcher
9272 Oxford ICP PECVD
9273 Oxford ES-50 Dewar
9274 Oxford CMI 950 Xray Fluorescence Spectrometer
9275 Oxford Plasmalab 133 Plasma-Enhanced Chemical Vapor Deposition (PECVD)
9276 Oxford Plasmalab 133 Plasma-Enhanced Chemical Vapor Deposition (PECVD)
9277 Oxford INCA mics Electron Backscatter Diffraction Equipment
9278 Oxford Wave Wavelength Dispersive X-Ray Spectrometer
9279 Oxford INCA Energy EDS Analysis for SEM
9280 Oxford 7582 EDX LN2 Dewar
9281 Oxford Flexal MkII Plasma Assisted Atomic Layer Deposition (ALD)
9282 Oxford 1020 A Cryopumps
9283 Oxford Cryoplex 10 Cryopumps
9284 Oxford M 600 A Cryopump Compressor
9285 Oxford M 600 B Cryopump Compressor
9286 Oxford Ionfab
9287 Oxford M 600 Compressor for cryo pump
9288 Oxford Plasmalab 100 ICP-RIE
9289 Oxford 700 Nuclear Magnetic Resonance (NMR) Active Shield Magnet
9290 Oxford Level Meter
9291 Oxford EDS
9292 Oxford CMI 900 XRF
9293 Oxford Ionfab 3000 Plus Deposition Reactor Sputtering
9294 Oxford 400 Cryopump
9295 Oxford M 600 Helium Compressor
9296 Oxford Ionfab 300 Plus Etch and Deposition
9297 Oxide OXD-UW50 DUV Laser
9298 Oxydry Powdering Machine
9299 Oxy-Gon TC 100 Vacuum Furnace
9300 OxyProbe Polarographic Dissolved Oxygen Sensor
9301 OZO Automation 18 HS Router
9302 P&H 10 Ton Hoist
9303 P&T PT SCH 635 Guillotine Shear
9304 P&T Accucutter 3001 Guillotine Shear
9305 PAC Machinery PV HG TWIN Wafer Carrier Vacuum Bagger
9306 Pace FT 2700 Rework Station
9307 Pace PPS 85 A Rework Soldering Tool
9308 Pace Sodrtek HW 50 Iron
9309 Pace ST 70 Power Module Controlled Soldering Station
9310 Pacific 29610 Transformer Unit
9311 Pacific Manual Hydraulic Press
9312 Pacific Nanotechnology Nano-R Atomic Force Microscope (AFM)
9313 Pacific Ozone 9 M 24 Ozone Generator 230V 540g/h/28.5#/day@360scfh O2 Stainless Steel Enclosure
9314 Pacific Precision Laboratories 5000 High Precision Measurement
9315 Pacific Scientific Hiac Royco 4150 Particle Counter
9316 Pacific Scientific 247 Particle Counter
9317 Pacific Scientific Instruments Hiac Royco Auto Flow Chemical Sampler
9318 Pacific Western System P 5 NMS 6 Wafer Prober
9319 Pack I Camera Vision System
9320 Pack Leader Labeling M/C
9321 Pack West Top Drive Auto 120 Torquer
9322 Pack West Auto 200 Torquer
9323 Packaging Aids (PA) PVS-HG 60 Sealer
9324 Packard A 202400 Instant Imager Electronic Autoradiography System
9325 Packard A 202400 Instant Imager Electronic Autoradiography System
9326 Packard A 202400 Instant Imager Electronic Autoradiography System
9327 Packo Inox 200 LT Tank
9328 Padelt-Therm KOE 650 B Electric Chamber Kiln
9329 PAL ECP Y 14063 ECP
9330 Pal KCE TTAB Plating
9331 Palatek 15 DK Air Compressor
9332 Palatek Compressor
9333 Palomar 3500 II
9334 Palomar Automatic Bonder
9335 Palomar Hughs 2460 Ball Bonder
9336 Palomar 2470 II Wedge Bonder
9337 Palomar 6500 Die Bonder
9338 Palomar Hughes Wire Bonder
9339 Palowar HTT 1000 Hot Bar Bonder
9340 Pam Glatt FBE 2050 Fluid Bed Drier
9341 Pam Pac Cartonator
9342 Pam Pharmaceutical AF 40 D Capsule Filling
9343 Pamminger Flyer 2.7 Pallet Wrapping Machine
9344 Panalytical PW 3040 Spin Rinse Dryer – Materials Research Diffraction (SRD – MRD)
9345 Panametrics Epoch 2 Digital Ultrasonic Flaw Detector
9346 Panametrics 21 Cam Charger / Adapter
9347 Panasonic HW Wire Bonder
9348 Panasonic Robot for Welding
9349 Panasonic SP 60 P
9350 Panasonic SP 60 P
9351 Panasonic SP 80
9352 Panasonic DMX-401 Die Bonder
9353 Panasonic Inkine SMT Conveyor
9354 Panasonic Conveyor
9355 Panasonic Welder
9356 Panasonic HW 26 B Automatic Wire Bonder
9357 Panasonic MSR Feeder
9358 Panasonic MSR Feeder
9359 Panasonic SP 60 Squeegee Holders
9360 Panasonic SP 60 Pass Support Pin Holders
9361 Panasonic SP 60 Squeegee Top Plates
9362 Panasonic MSR / HT Feeder
9363 Panasonic CM 602 L + CM 402 L Placement Machines
9364 Panasonic CM 402 Placement Machine
9365 Panasonic MV 2 F Placement Machine
9366 Panasonic MPAV 2 B Placement Machine
9367 Panasonic NM 2934 F Conveyor
9368 Panasonic NM 2934 F Conveyor
9369 Panasonic NM MD 35 Placement Machine
9370 Panasonic NPM D 2 Loader
9371 Panasonic NPM D 2 Unloader
9372 Panasonic Wire Bonder Machine
9373 Panasonic Pick and Place
9374 Panasonic HDP G 3 DB 00 A Glue Dispenser
9375 Panasonic MP AG 3 XL MB 15 A Surface Mount Machine
9376 Panasonic MV 2 VBXL HA 55 A Surface Mount Machine
9377 Panasonic TV Monitor
9378 Panasonic BM 221 Pick & Place Machine
9379 Panasonic NM EFF 1 A Flip Chip Bonder
9380 Panasonic NM EFF 1 A Flip Chip Bonder
9381 Panasonic NM EFF 1 A Flip Chip Bonder
9382 Panasonic NPM-D 2 Nutek-NTM 4410 LM Loader
9383 Panasonic NPM-D 2 Nutek-NTM 4410 UM Unloader
9384 Panasonic MDP 300 Flip Chip Bonder
9385 Panasonic CM 301 DKXF – 4 H 4 C Chip Mounter
9386 Panasonic DT 40 T – 40 Multi Tray Feeder
9387 Panasonic SP 60 P-L KXF-1 G 4 C Screen Printer
9388 Panasonic 2559 MB High Speed Chip Component Mounting Machine
9389 Panasonic MA 05 A Multifunctional Placement Machine
9390 Panasonic NM MD 35 MSF HS MultifunctionalPlacement Machine
9391 Panasonic VR 006 Welder
9392 Panasonic Pharmaceutical Fridge
9393 Panavise 308 Helping Hands Vice
9394 Paragon Tester
9395 Paragon Test Boards
9396 Parker Boiler with Water Softener System
9397 Parker Nitrogen Generator
9398 Parker Engineering Vertical Light Soaks
9399 Parker Hannifin N 22 Nitrogen Gas Generator
9400 Parkson M 1200 Universal Milling Machine
9401 Parkson MFP-320-100 Filter Press
9402 Parlec TMM 900 Optical Tool Presetter
9403 Parlec P 950 Tooling Height Presetter
9404 Parmi SPI HS 60
9405 PARR 3780 Small Pressure Reactor
9406 Particle Measuring System Lasair III 5100 Laser Particle Counter
9407 Partner PTV 1405 DU Temperature Chamber
9408 PAS STS 2000 Heat Staking Machine
9409 Pasadena Hydraulics QD 30 / 3322 Press
9410 Pasan 3 b Sun Simulator
9411 Pascal 2015 SD Vacuum Pump
9412 Pasco TD 8551 A Mechanical Equivalent of Heat
9413 Passal Pam 60 Filter Press
9414 Pathak C S Shah Muffle Furnace
9415 Patricia LA-950 A 2 Particle Analyzer
9416 Patterson Kelly LB 4448 Liquid Solid Blender
9417 Paul Muller Company Hopper 23 CF Hopper
9418 Paul Otto Webber PW 10 HS Nano Imprint Hydraulic Press
9419 PBS Subsurface Defect
9420 PBT ModuleClean Cleaner
9421 PCI Analytics ZAG 01 Total Organic Carbon (TOC) Air Generator
9422 Peak ALM-Mini Ambient IC Test Handler
9423 Peak Systems AL 15000 RTA
9424 Peddinghaus Ocean Avenger Plus MDL 1250 / 1C I CNC Drill
9425 Pedersen Clicker Press
9426 Peixin Semi-auto De-Carrier M/C Box Changer
9427 PEL Strip to Strip Tin Plating Line
9428 PEM 727 Traveling Saw
9429 PEM 800 Electro Chemical Machine
9430 Pemserter PS 500
9431 Pemserter Series LT/4 Press
9432 Penia Drive Mixer
9433 Penn Engineering Pemserter Series 4 Manual Press
9434 Penn Tech Vial Washer
9435 Pennsylvania Transformer C 02405-5-1 Substation
9436 Pentamaster PM 6320 Handler
9437 Pentamaster PM 10 Inspection Machine
9438 Pentamaster Solutions PSSP 0036 Manual Lens Focusing Test Station
9439 PEO 601
9440 Perani CBTH 100 Technical Freezer
9441 Perkin Elmber Elan 6000 ICP MS
9442 Perkin Elmer Spectrum Custom GX
9443 Perkin Elmer Lambda 9 Spectrophotometer
9444 Perkin Elmer Paragon 500 Fourier Transform IR Spectrometer (FTIR)
9445 Perkin Elmer Flexar FX-10 UHPLC
9446 Perkin Elmer Spectrum 100 Filter FT-IR
9447 Perkin Elmer TGA 7 / TAC 7 Thermogravimetric Analyzer / DX Thermal Analysis Controller
9448 Perkin Elmer Pyris 1 DSC Scanning Calorimeter
9449 Perkin Elmer Spectrophotometer
9450 Perkin Elmer 4410 Sputtering Tool
9451 Perkin Elmer Analyst 800 AA Double Beam Spectrometer
9452 Perkin Elmer Aligner
9453 Perkin Elmer Avio 200 ICP Optical Emission Spectrometer
9454 Perkin Elmer 2100 ICP-OES (Inductively Coupled Plasma – Optical Emission Spectrometer)
9455 Perkin Elmer TAC 7 / DX Thermal Analysis Controller
9456 Perkin Elmer TGA 7 Thermogravimetric Analyzer
9457 Perkin Elmer TMA 7 Thermomechanical Analysis
9458 Perkin Elmer DMA 7 Dynamic Mechanical Analysis
9459 Perkin Elmer DSC 7 Differential Scanning Calorimetry
9460 Perkin Elmer 430 p Molecular Beam Epitaxy (MBE)
9461 Perkin Elmer Spectrum GX
9462 Perkin Elmer 783 IR Spectrophotometer
9463 Perkin Elmer UV Lamp Supply Parts
9464 Perkin Elmer Lambda 850 Spectrometer
9465 Perkin Elmer 783 IR Spectrophotometer
9466 Perkin Elmer Lambda 900 Spectrometer
9467 Perkin Elmer PHI 660 Scanning Auger Microprobe
9468 Perkin Elmer 4450 Sputtering System
9469 Perkin Elmer 4450
9470 Perkin Elmer GX Custom Spectrophotometer
9471 Perkin Elmer DSC 4000 Differential Scanning Calorimeter (DSC)
9472 Perkin Elmer Lambda 25 Spectrophotometer Uv-Vis
9473 Perkin Elmer PE 2000 Atomic Absorption system
9474 Perkin Elmer 16 PC FT-IR FAR IR Spectrophotometer
9475 Perkin Elmer 2400 8 L Sputtering System
9476 Perkin Elmer 2400 Sputtering System
9477 Perkin Elmer 4450 Sputtering System
9478 Perkin Elmer Lambda 9
9479 Perkin Elmer Analyst 200 Atomic Absorption Spectrometer
9480 Perkin Elmer Lambda 25 UV Spectrophotometer
9481 Perkin Elmer Lambda 25 Fluorescence Spectrophotometer
9482 Perkin Elmer 4450 Pallet Sputter Tool
9483 Perkin Elmer Lambda 900 Spectrophotometer
9484 Perkin Elmer DSC 7 Differential Scanning Calorimeter
9485 Perkin Elmer TMA 7 Thermomechanical Analyzer
9486 Perkin Elmer Pyris 6 DSC
9487 Perkin Elmer Operatta Imaging System
9488 Perkin Elmer PHI 670 Auger Electron Spectroscopy Nanoprobe
9489 Perkin Elmer Wizard 2 2470 Automatic Gamma Counter
9490 Perkin Elmer Tri Carb 2910 TR Liquid Scintillation Analyzer
9491 Perkin Elmer Clarus 580 Gas Chromatograph
9492 Perkin Elmer Analyst 200 Atomic Absorption Spectrometer
9493 Perkin Elmer Infrared Spectrophotometer
9494 Perkin Elmer 1600 Spectrometer
9495 Perkin Elmer 603 0200 Power Supply
9496 Perkin Elmer 224-0620
9497 Perkin Elmer Spectrum 1000 FTIR
9498 Perkin Elmer Pyris 1 Differential Scanning Calorimeter
9499 Perkin Elmer Cryofill Liquid Nitrogen Cooling System
9500 Perkin Elmer DSC 7 Differential Scanning Calorimeter
9501 Perkin Elmer TGA 7 Thermogravimetric Analyzer
9502 Perkin Elmer TAC 7 PC Instrument Controller
9503 Perkin Elmer NexION 300 D ICP/MS
9504 Perkin-Elmer Lambda 35 UV Spectrophotometer
9505 Perkin-Elmer 1000 Series Digital Gas Flow Meter
9506 Perkin-Elmer DMA 8000 Humidity Generator and Controller
9507 Perkin-Elmer Lambda 950 Spectrometer
9508 Perkin-Elmer RX 1 FTIR
9509 Perkins 3 C Press
9510 Perkins 5 C Press
9511 Perkins JR Press
9512 Perkins 5 C Press
9513 Perkins 5 C Press
9514 Perkins Press
9515 Perkins Flattener Press
9516 Pester PEWO-form-EVP Pick and Place Robot
9517 Pester Pewo Form UV P 2 Case Packer
9518 Peter Wolters AL-1 Lapping Machine
9519 Peter Wolters AC 2000 P 2 Double Sided Polishing
9520 Pettingell Planish Hammer
9521 Pfauter P 1250 Gear Hobbing Machine
9522 Pfeiffer MVP 015-2 Diaphragm Membrane Pump
9523 Pfeiffer TCP-5000 Electronic Drive Unit for TPH 2200
9524 Pfeiffer TPH 2200 Turbomolecular Pump
9525 Pfeiffer WKP 1000 A/AD Roots Pump
9526 Pfeiffer Classic 500.1 Physical Vapor Deposition (PVD) Evaporator
9527 Pfeiffer ADP 122 P
9528 Pfeiffer TPH 2200 Turbo Pump
9529 Pfeiffer Turbo Pump
9530 Pfeiffer TPU 200 Turbo Pump
9531 Pfeiffer TPU 510 Turbo Pump
9532 Pfeiffer OKTA 2000 A Pump
9533 Pfeiffer D 35614 Asslar
9534 Pfeiffer Duo 2.5 Rotary Vance Vacuum Pump
9535 Pfeiffer TMH 260 Turbo Molecular Pump
9536 Pfeiffer TCP 380 Turbo Pump Controller
9537 Pfeiffer TCP 120 Turbo Pump Controller
9538 Pfeiffer RGA for Process Control
9539 Pfeiffer / Adixen OKTA 2000 A High Performance Roots Pump
9540 PG 230 T Blister Pack Machine
9541 PGW 753 i Scale
9542 Pharma Lab PSSD 224 AS Steam Sterilizer
9543 Pharmalab Autoclave
9544 Pharmalab Pure Steam Generator
9545 Pharmalab Multicolumn Distillation Plant
9546 Pharmalab SS Storage Tank
9547 Pharmalab Distilled Water Recirculation Pump
9548 Pharmalab 15-16 / SJ / 000159 Propeller Mixer
9549 PHD 53625 Sensor
9550 PHD 55804 1 02 Sensor
9551 PHD 5900 Sensor
9552 PHD 60417 Accessories
9553 PHD 6047 Sensor
9554 Phi Lam Press
9555 Phi Lam Press
9556 Philips EBPG 4 HRV E-Beam Lithography System
9557 Philips PD 7400 DCDM DCDM X-Ray Diffractometer
9558 Philips PD 7405/18 DCD Pro, XRD Wafer Mapper
9559 Philips PA 131302 GEM Emerald X Pick and Place & Accessories
9560 Philips EM 420 TEM
9561 Philips PM 6507 Transitor Curve Tracer
9562 Philips Impulse 300 B Laser Based Film Measurement Tool
9563 Philips NT 5 0.5 T Gyroscan
9564 Philips Intera 1.5 T Gyroscan
9565 Philips X’Pert Pro MRD X-ray Diffraction System
9566 Philips EM 400 TEM
9567 Philips 501 SEM
9568 Philips 700/18 DCD Pro X-ray Diffractor (XRD)
9569 Philips CSM Pick & Place
9570 Philips PM 3350 A Oscilloscope
9571 Philips PW 3040 XRD
9572 Philips CSM Orion
9573 Philips Topaz Pick and Place Machine
9574 Philips / Assembleon Sapphire Pick and Place Chip Shooter
9575 Philips / Horiba PZ 2000 Ellipsometer
9576 Philips ATL Ultramark 400 C Ultrasound
9577 Philips Orion PA 130900 Pick and Place
9578 Philips Orion PA 130900 Pick and Place
9579 Philips Techno TREX 610 T X-ray Metallic Analysis Tool
9580 Phillips CM 12 TEM
9581 Phoenix Digital Tech NPS 510 OEM Printer
9582 Photodyne 22 XLC Fiber Optic Multimeter Power Supply
9583 Photon Nono Mode Scan Scanning Silt Beam Profiler
9584 Photon Control 200 NanoTrak Controller
9585 Photon Tech Int Igniter
9586 Photonetics Tunics-Pri Wavelength Turnable Laser Diode Source
9587 Photonetics Tunic Wavelength Turnable Laser Diode Source
9588 Photonetics Walic
9589 Photonetics Tunic-PRI Wavelength Turnable Laser Diode Source
9590 Photonetics Tunics 1550
9591 Photonetics Tunics 3642 CR 00 Wavelength Tunable Laser Diode Source
9592 Photonetics Tunics 3642 HE 15
9593 Photonics Industries DSH 355 UV Nanosecond Pulse Laser
9594 Physical Electronics 670 Field Emitter Scanning Auger Electronic System
9595 PI E 662 LVPZT Amplifier
9596 Pico 5244 A Picoscope
9597 Picometrics DIS VU 02
9598 Picosun R 200 Atomic Layer Deposition (ALD) Equipment
9599 Piece Maker P 2 45 Minster
9600 PILL Export 250 Resist Development Equipment (PILL)
9601 Pillar Uniblock Classic 500 KVA UPS Universal Power Supply
9602 Pillar Wash Line Equipment
9603 Pillarhouse Soldering Machine
9604 Pinette Emidecau Industries Laboratory Press
9605 Pinte Oven
9606 Pioneer 818 T 0 / 20 Ion Chromatography (IC) Laser Machine
9607 Pioneer FA LCP 1100 LED Chip Prober
9608 Pioneer FA LED Chip Prober
9609 Piovan THW 112 F Thermolators
9610 Pipan Press
9611 Pirani Controller
9612 Pitney Bowes XPV 04 CD Input Channel Muller
9613 PK Liquid Solids Blender
9614 PK Twin Shell Dry Blender
9615 PK Technology 2210
9616 PK Technology FK 11 STD Fiber Cleaver
9617 Plas Labs 4-Glove Glove Box
9618 Plasma Etch PE 75 Benchtop System
9619 Plasma Quest ECR Etcher
9620 Plasma Technology 80 Reactive Ion Etch (RIE)
9621 Plasmatech Plasma Chamber
9622 Plasmatherm 720 Chlorine Etcher
9623 Plasmatherm VII BatchTop Etcher
9624 Plasmatherm 790 PECVD
9625 Plasmatreat FG 5001
9626 Plastic Design 150 D Console Fume Hood
9627 Plastic Systems Wrist Strap / Footwear Tester
9628 PLC Hydraulic Press
9629 Pluriservice S.R.L. Pumiflex Pumice
9630 Pluritec GIGA 8 Spindle Driller
9631 Pluritec Scrubbex 2000 Scrub
9632 Pluritec Espuma Flex Scrubbing Machine
9633 Pluritec GIGA Golden 8888 CNC Drilling
9634 PMC Dataplate Digital Hot Plate
9635 PMI PYC G 100 A Gas Pycnometer
9636 PMS SAS-3600-XP
9637 Pneuma Tech PCA 100 Water Chiller
9638 PNY USB Connector Laser Etch Machine
9639 Point Stone Grain Measuring Machine
9640 Polariod D 305 L Interfermeter
9641 Polaris C-100 LD Conveyor
9642 Polaris Plate Heat Exchanger
9643 Polaris Accuweld
9644 Polaroid ED-10 Microscope
9645 Polaron Instruments E 5100 Scanning Electron Microscope (SEM) Coater
9646 Poly-clip FCA 80
9647 Polycold PFC-660 ST Cryogenic Refrigeration Unit
9648 Polycold
9649 Polycold PFC-660 ST Cryogenic Refrigeration Unit
9650 Polycold 550 ST Chiller
9651 Polycold 500 XC Chiller
9652 Polycold P 500 II Chiller
9653 Polycold PFC-550 ST
9654 Polycold PFC 330 ST
9655 Polycold
9656 Polycold Chiller
9657 Polycold PFC 331 ST Cryogenic Refrigeration Unit
9658 Polycold PFC 672 Cryogenic Refrigeration Unit
9659 Polycold PFC 660 HC Cryogenic Refrigeration Unit
9660 Polyflo H 123 Horizontal Tube Cleaner
9661 Polyflow S-620 OMNI CLEAN
9662 Polyflow S-620
9663 Polyflow S-620
9664 Polyflow Twin Sink Acid Parts Cleaner Bench
9665 Polyflow Vertical Quartz Cleaner
9666 Polymer Laboratories GPC 220 Gel Permeation Chromatography Instrument
9667 Polyscience VWR Recirculating Chiller
9668 Polysoude P 4 Orbital Tube Welder System
9669 Polysoude PS 164 2 Orbital Welder
9670 Polytec OFV 3001 S Scanning Laser Doppler Vibrometer
9671 Polyvac E 1000 Optical Emission Spectrometer
9672 Polywatt Slurry Blender
9673 POP 5200 Air Riveter
9674 Porter CPLKC 7080 V 2-1 Air Compressor
9675 PosiCharge SVS 80
9676 Positector 6000 NS 1 Coating Thickness Measuring Instrument
9677 Powatec P 200 Manual Wafer Mounter
9678 Power Con Oneac
9679 Power Design 5015 S DC Power Supply
9680 Power Design TW 5005 DC Power Supply
9681 Power Design 2005 Precision Power Source
9682 Power Design 2020 B Precision DC Source
9683 Power Design 5015 T Regulated DC Power Source
9684 Power Design TP 325 DC Power Supply
9685 Power Design TW 5005 D Power Supply
9686 Power Design TW 5005 W Twin Power Supply
9687 Power Design 6050 A Universal DC Source
9688 Power Design TW 4005 Transistorized Twin Power Supply
9689 Power Design TW 5005 D Twin Power Source
9690 Power Design Power Supply
9691 Power Designs 5020 Precision DC Source
9692 Power Designs 2020 B Precision DC Source
9693 Power Designs 6050 A Universal DC Source
9694 Power Designs C 500 Precision DC Source
9695 Power Designs 2020 Precision DC Source
9696 Power Designs 1570 High Voltage Calibrated DC Power Source
9697 Power Designs TP 325 DC Power Supplies
9698 Power Mate PA 40 D Regulated Power Supply
9699 Power Mate BP 2 C DC Power Supply
9700 Power Mate BPA-20 E Regulated Power Supply
9701 Power Team P 300 Filter Press
9702 PowerEx 5 HP
9703 Powermatic 81 Saw
9704 Powermatic 1200 Drill Press
9705 Powermatic 1200 Drill Press
9706 Powerstat 116 BU Variable Autotransformer
9707 Powerstat F 136 Variable Autotransformer
9708 Powervar 2000 GPI Microscope
9709 PPT Solvent Purification System
9710 PR Hoffman 1500 Double Sided Lapping Machine
9711 PR Hoffman Double Sided Polisher
9712 PR Hoffman PR 1 Lapper Polisher
9713 PR Hoffman 2300 Lapping & Polishing
9714 PR Hoffman 2400 Lapping & Polishing
9715 PR Hoffman Double Sided Grinder
9716 PR Hoffman Double Sided Polisher
9717 PR Hoffman ME 135
9718 PR Hoffman ME 136
9719 PR Hoffman ME 137
9720 Praxair Ultrapurge 100 Gas Cabinet
9721 PRC Laser STS 2002 Laser
9722 Precision Hot Air Oven
9723 Precision / Quincy 82-650 T Oven
9724 Precision Boilers ST 240 DJ 600 D 480 150 Electric Boiler
9725 Precision Cryogenic Systems Vacuum Dewar
9726 Precision Granite Table
9727 Precision Optics Gen III Manual Text Fixture
9728 Precision Valve PVA
9729 Precision Valve NY 12065 PVA
9730 Precision Valve Curing Oven
9731 Precision Valve Coating
9732 Precitech Flycutter
9733 Preco FlexStar UV Laser System
9734 Preco 1220 L
9735 Premier Outer Weighing Balance
9736 Premier Colour Matching Spectrophotometer
9737 Premier MHML 1.5 Beadmill
9738 Premier Mill MPVDV 60
9739 Premtek International 9920 Semi-Auto Probe Station
9740 PressTek 52 DI AC Printing Machine
9741 Presstek 52 DI-AC Offset Press
9742 Presto Lift
9743 Presto Lift
9744 Presto Lift
9745 PreWaClean Preclean Wet Bench
9746 Price Pump A 10-300 B Centrifugal Vacuum Pump
9747 Price Pump XT 100 AB 525 21211 300 36 3 T 6 Centrifugal Vacuum Pump
9748 Priel Metal Detector
9749 Priel DMP 04 Metal Detector
9750 Primatics System
9751 Primaxx Vapor HF Release Tool
9752 Princeton Applied Research (PAR) HR-8 Lock-In Amplifier
9753 Princeton Instruments (Roper Scientific) CCD Detector
9754 Prior Diesel LN 2 Converter
9755 Pro Beam EBG 40-80 Electron Beam Generator
9756 Pro Plastics PPHF HF Fumer
9757 Procut Cutting Plotter
9758 Prodigit PAL 200 Electronic Loads
9759 Prodigit PAL 250 Electronic Loads
9760 Proditec Automatic Visual Inspection System Tablets
9761 Professional Instrument Company 4 R Air-Bearing Spindle with Integral Brushless-DC Motor
9762 Progressive Tape Winder
9763 Progressive Technologies Sentry 1000 Blower for Tel Mark 8
9764 Progressive Technologies Sentry 1000 Blower for Tel Mark 8
9765 Progressive Technologies Sentry 1000 Blower for Tel Mark 8
9766 Progressive Technologies Sentry 1000 Blower for Tel Mark 8
9767 Projet 3500 HD Max 3D Printer
9768 ProJet 3500 HD Max 3D Printer
9769 Prometrix FT 650
9770 Promotion ECC 700 Conveyor
9771 Prosain Profile Press-Framing Session
9772 Prosain L 603 Solar Module Laminator
9773 Protec Zeus Automatic Dispenser
9774 Protec Ecomax X-Ray Film Processor
9775 ProTec Pellet Dryer
9776 Protec FDS 5000 M Precision Dual Independent Dispenser
9777 Protec Innovation-MS Dual Independent Dispenser
9778 Protec Zeus + Dispenser
9779 Protec Zeus + Dispenser
9780 Protec Zeus + Dispenser
9781 Protec Zeus + Dispenser
9782 Protec Innovation T Dispenser
9783 Protec Innovation T Dispenser
9784 Pro-Tec RGK 4000
9785 Protek 3201
9786 Protek 3006 B Power Supply
9787 Protemp Sirius 200 2 Furnace
9788 ProtoMat H 100 e 40
9789 Proton 54-0102-0003 Rev H Hogan Hydrogen Generator
9790 Proton 54-0102-0003 Rev K Hogan Hydrogen Generator
9791 Proton 54-0102-0003 Rev I Hogan Hydrogen Generator
9792 Proton 54-0102-0003 Rev L Hydrogen Generator
9793 Proton 54-0102-0003 Rev K Hydrogen Generator
9794 Prov TTTH 14000 Tester Handler
9795 PRW 3196 2 X 3 10 Centrifugal Pump
9796 Pryor Laser Markers
9797 Prysme CCN 0025-01 Automated Masking-Unmasking Equipment
9798 Prysme ROBO 8002 Automated Masking-Unmasking Equipment
9799 PSC Quick Check 500 Barcode Verifier
9800 PSI Water Systems 066 Y-72 Evaporator
9801 PSI Water Systems 066 Y-72 Evaporator
9802 PSK NASTRA Reactive Ion Etch (RIE) BEOL Dry Strip System
9803 PSS Nicomp Accusizer 780 ASD
9804 PTC 314 C Surface Thermometer
9805 PTE Warpage 3 D Wafer Bow Management System
9806 PTS 3200 Frequency Synthesizer
9807 Pulsa VSA 1000 Vacuum Seal
9808 Puma PK-6060 V Industrial Air Compressor
9809 Puma Mobility Base
9810 Puma 762 Robot
9811 Pure Aire Laminar Hood
9812 Pureaire Laminar Flow Bench
9813 Pureguard WM 1 Wall Mount Purifier
9814 Purex North America 926 Fume Extraction System
9815 Purex North America 9000 5000 i Volume Fume Extraction System
9816 Puschner uWaveSinter 0790 empa Microwave Sintering Plant
9817 PVA Delta 6 Coating Machine
9818 PVA / Tepla 650 SMT Underfill Machine
9819 PVA / Tepla GIGA 690 Plasma
9820 PVA Tepla / Technics MIM TLA 20 Ion Mill
9821 PWS P 4
9822 Pyradia LF 363635 Furnace
9823 Pyramid MM 131 B Weld Monitor
9824 QC Optics API-3000/5 Reticle Inspection Tooling
9825 QED Technologies Q 22 MRF Precise Polisher
9826 QED Technologies Q 22 MRF Precise Polisher
9827 QES DIS 8000 Optical Inspection System
9828 Qiagen Qia Symphony SP / AS Instrument
9829 Qiagen TissueLyser II
9830 Qiagen BioRobot 9600
9831 Qiagen QIAsymphony AS
9832 Qiagen QIAsymphony SP
9833 Qiagen QiaSymphony SP/AS
9834 Qindao Jinlidun 7000 Chain Furnace
9835 Qingdao Hidicon HDC 2000 Diffusion Furnace
9836 Qingdao Sairuida SRD 165 / TV 4 Diffusion Furnace
9837 Qingdao Sairuida Equipment SDR KS 1 2 Ni Sintering Furnace
9838 Qinhuangdao Boostsolar Photovoltaic Equipment BSL 2236 OAC Ⅲ Laminating
9839 Qinsi QS 5100 Lead Free Reflow Oven
9840 Qiruida Automation Equipment (Suzhou) 7440 IV Tester
9841 QMC ELMS 1000 Laser Lift Off
9842 Quad QSV 1 Intelligent Feeders
9843 Quad IV C 90
9844 Quad ZCR Reflow Oven
9845 Quad QSA 30
9846 Quad QSA 30 V Pick and Place
9847 Quad Systems UP 100 Screen Printer
9848 Quadro Comil
9849 Quadrom Q 0182
9850 Quadtech 1730 LCR DigiBridge
9851 Quadtech 1865 Megohmmetter
9852 Quadtech 1867 Megohmmetter
9853 QuadTech 1865 IR Tester
9854 Quadtech Sentry 50 Ground Bond Tester
9855 Quadtech 7600 Precision RLC Meter
9856 Quali-Line QLC 8500, ZQLC 7504 FM-P Chemical Monitoring System
9857 Qualitau EM system-X PC Board Test
9858 Qualitau EM system-Z PC Board Test
9859 Qualitau HCT system PC Board Test
9860 Qualitau EM system-Y PC Board Test
9861 Qualmark OVS-2.5 LF Halt Chamber
9862 QualMark OVS 4 Halt Chamber
9863 Qualtech QPI 2018 B Haze Meter
9864 Quan Ding Enterprise CP 12001 Automatic Washing Machine
9865 Quan Tech 315 B Resistor Noise Test
9866 Quan Tech 420 Noise Generator
9867 Quantachrome Autosorb BET Surface Analyzer
9868 Quantachrome Instruments Sieving Riffler Rotary Sample Splitter Sieving Reiffler
9869 Quantachrome Instruments Autotap
9870 Quantotest 36000 XRE Spectrometer
9871 Quantronix Laser Symbolizer
9872 Quantronix 116 Laser
9873 Quantum 60 PC Reflow Belt Oven
9874 Quantum Focus Three Head System
9875 Quantum Storage Systems QSB 105 CON Storage Bin
9876 Quartet Mechanics AVI 200 Automated Visual Inspector
9877 Quartet Mechanics AVI 200 Automated Visual Inspector
9878 Quasi Force JL 3060 Smoothing Machine
9879 Qubo Smoke Absorber
9880 Quesant Q-350 CI AMF Q-Scope
9881 Quicher NJ 23 Screw Dispenser
9882 Quick Quick 6101 Extractor Hood
9883 Quicksun 540 LA Solar Tester
9884 Quincy QNWG-502-D Air Compressor
9885 Quincy 25 HP Compressor
9886 Quincy QGV-50 Air Compressor
9887 Quincy QGS 30 Compressor
9888 Quincy QSI-750 Air Compressor
9889 Quincy QGV 75 Compressor
9890 Quincy QGS 30 Compressor
9891 Quincy Compressor
9892 Quincy QGD-40 Compressor
9893 Quincy 20 GC Oven
9894 Quincy QSV 40 Oven
9895 Quincy Lab 20 GC Lab Oven
9896 Quincy Lab 10 GC Lab Oven
9897 Quincy Lab 10 GC Lab Oven
9898 Quincy Lab 10 GC Lab Oven
9899 Quincy Lab 30 GC Lab Oven
9900 Quincy Lab 20 GC Oven
9901 Quincy Labs 20 AF Lab Oven
9902 Quintel Ultra u Line 7000 Series Mask Aligner
9903 Quintel Q 4000 Mask Aligner
9904 Quintel NXQ 4006 Mask Aligner
9905 Quintel 6000 S Mask Aligner
9906 Qunyi Overflow Ultrasonic Vibration Cleaning Tank
9907 R2D Comet Wafer Loading Machine / Batch Transfer System
9908 Racal Dana 9087 Signal Generator
9909 Rackmount Solutions Humidity Chamber Frame
9910 Raco Vetbatim VSS Call Box
9911 Radiant Technology LA 306 Reflow Oven
9912 Radiometer Copenhagen ABL 800 Flex Blood Gas Analyzer
9913 Radyne Power Supply
9914 Rakesh Engineering Conveyor Belt
9915 Rambaudi RamSpeed 827 L
9916 Ramgraber PA Flux Cleaning Bench + Spin Dryer
9917 Randcastle Extruder
9918 Ranger Intelliscan Metal Detector
9919 Rannie
9920 Ransco 7107-1 Thermal Shock Chamber
9921 Ransco 7207-1 M Temperature-Humidity Chamber
9922 Ransohoff 2 stage Cell-U-Clear washer
9923 Rapid Granulator GK 50 C
9924 Rapid Granulator GK 50
9925 RapidMRI RF Coil for MRI
9926 Rasco SO 2000 Handler
9927 Rasco WTC-D 188-ANL(RI) Chiller
9928 Rasco SO 2000
9929 Rauma Stoup Chipper
9930 Rave Merlin G Mask Repair System
9931 Rave Eco-Snow VC 1200 F CO2 Mask Cleaning System
9932 Rave fp 650 Mask Repair System
9933 Rave / Eco-Snow MC 150 Mask Clean
9934 Raymond Lab Mill Table Hammer Mill
9935 Raymond 233 OPC 2 T Forklift
9936 Raymond 10 F 40 L Pallet Jack
9937 Raymond 201 4 DR 40 TT Lift Truck
9938 Raymond 4 D-E 3 RTN Lift Truck
9939 Raymond 470 C 60 Fork Lift
9940 Raymond 470 C 50 Fork Lift
9941 RaySafe i 2
9942 Raytek RXW-1226 SFI Automatic Wafer Edge Defect Inspection System
9943 Raytheon QRS 540-7.5 Power Supply
9944 RD Automation M 8 Flip Chip Bonder
9945 RDN ECC Syncro Cutter
9946 RDN ECC 2.5 Flycutter
9947 RDN Manufacturing 1C.5/206-1 Puller
9948 Re SYS Inc Titan 3 RO Water System
9949 Recco R 458 BD Oven
9950 Recif SPP 200 S Single Wafer Sorter
9951 RedLux Optilux – SD Measurement Inspection
9952 Reeco R 8 H Annealing Oven
9953 Reedholm RI 50 Parametric Tester
9954 Reel-Tech LM-4000 YAG Laser Micromachining
9955 Regal Beloit 5 KC 49 RN 0666 X Pump
9956 Rehm
9957 Reid Ashman OM 3650 SL Manipulator
9958 Reid Ashman OM 1420 1000 Manipulator
9959 Reid Ashman OM 1725 1001 Manipulator
9960 Reid Ashman D 10 Manipulator
9961 Reid Ashman SV Manipulator
9962 Reid Ashman SV Manipulator
9963 Reid Ashman OM 1015 1000 Tester
9964 Reid Ashman OM 1015 1000
9965 Reid Ashman OM 1015 1000
9966 Reid Ashman OM 1015 1000
9967 Reid Ashman OM 1015 1000
9968 Reid Ashman OM 1015 1000
9969 Reid Ashman OM 1015 1000
9970 Reid Ashman OM 1015 1000
9971 Reid Ashman OM 1015 1000
9972 Reid Ashman OM 1015 1000
9973 Reid Ashman SV Manipulator
9974 Reid Ashman D 10 Manipulator
9975 Reid Ashman D 10 Manipulator
9976 Reid Ashman D 10 Manipulator
9977 Reid Ashman D 10 Manipulator
9978 Reid Ashman D 10 Manipulator
9979 Reid Ashman D 10 Manipulator
9980 Reid Ashman D 10 Manipulator
9981 Reid Ashman D 10 Manipulator
9982 Reid Ashman D 10 Manipulator
9983 Reid Ashman D 10 Manipulator
9984 Reis SPS RV 30-26 6-Axis Robot
9985 Reis Robot
9986 Reis RV 20-16 Robot
9987 Reis / Kuka KR 360 2 Auto Crop Robot
9988 Reis / Kuka KR 240 2 2000 Beam Robot
9989 Reis / Kuka KR 240 2 2000 Depoding Robot
9990 Reis / Kuka KR 240 2 2000 Pod Glue Robot
9991 Reis / Kuka KR 240 L 180 2 2000 CFG Loading Robot
9992 Reis / Kuka KR 240 L 180 2 2000 Post Grind Robot
9993 Reis / Kuka KR 240 L 180 2 2000 SFG Loading Robot
9994 Reis Robotics RV 30-26 Robot
9995 Reitz KXE 100-016030-00 Radical Fan
9996 Reliance FM 1000 High Intensity Mixer
9997 Reliance 182 TC Vacuum Water Pump Frame
9998 Reliance Electric Duty Master AC Motor
9999 Reliance Electric Duty Master XE Energy Efficient Duty Master AC Motor
10000 Relm 424 R

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

 

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers