Main Maker

Semiconductor Equipment Parts in stock

Semiconductor Equipment Parts

Categories: ,

Description

The Semiconductor Equipment /parts are  in  Morgan Hill, CA USA and are subject to prior sale without notice. These items are only for end users. The items are subject to prior sale without notice.

PLEASE USE “Ctrl” + “F” KEYBOARDS TO SEARCH WHAT YOU WANT AT THIS PAGE.

PDF VERSION or DOWNLOAD THE LIST HERE.

Item Description
1 001-0084-01 REV B SN 1123 AND 4279
2 0101-1001 /101-1001 / 1000-1001 For Matrix 10 Plasma Asher AWD-D-2-9-001
3 0101-1210 ? 0101-1013 For Matrix 10 Plasma Asher AWD-D-3-4-2-001
4 0150-0026-0300 Pump Assy TE-5C-MD 1Ph Marchpump Baldor Reliance Industry Motor
5 01-5533 Rev 2 chamber Plate
6 023-0103 101-0448 ? AC Power Discussion For Matrix Asher Etcher AWD-D-2-11-007
7 023-0103 AC Power Discussion For Matrix Asher Etcher Systems AWD-D-2-11-005
8 023-0103 AC Power Discussion For Matrix Asher Etcher Systems AWD-D-2-11-006
9 023-0103? 101-0448 AC Power Dis For Matrix Asher Etcher Systems AWD-D-2-11-018
10 03385 KRPA14AG-12V ASSEMBLED In Mexico Potter & Brumfield Control Components
11 053-0020 Chamber ,Branson/IPC L3200 Gasonics
12 054-0009-01 Quartz Fitting For Branson IPC 3000, 2000, 4000 Series Plasma Asher
13 055-0032-01: Pump Adapter Seal ,for Branson IPC Plasma asher
14 0641-0253-01 With 7310-1058-01 For AG Associates Heatpulse ID-AWS-016
15 0641-0254-01 With 7310-1059-01 For AG Associates Heatpulse ID-AWS-016
16 0641-0386-01 For AG Asscoiates Heatpulse
17 0641-0386-01 retro kit, clg sta sen, 0500-0220-01
18 0641-0796-02 &7310-4730-01 A , 0710-0425-03 For AG Associates Heatpulse
19 0641-0796-02 KIT,FLOWER / FLOWSW RETRP
20 0641-0826-01 (7310-2423-01 7100-5628-01 7310-4666-01 ) Pyrometer Parts AG
21 0641-0829-01) 7310-2423-01 7100-5628-01 7310-4666-01 ?) Pyrometer. DCP Rework
22 0641-0830-01(7310-2423-01 7100-5628-01 7310-4666-01 ?) Pyrometer Parts AG
23 0641-0941-01 With 7100-5992-01 Etc For AG Associates Heatpulse ID-AWS-016
24 06764-001, A90-005-06 C , A90-014-01 B ,A90-030-01 C PCBs AWD-D-2-8-3-001
25 06764-001, A90-2608 A, A90-2607 B ,A90-2609 A PCBs AWD-D-3-1-1-001
26 08244017 ? 21036018 244287-001 E / 244288-001 M Ester Interface AWW-10-2-4-014
27 09.2-0475 Bellow For Thin Film Deposition Equipment
28 0992-40375 Electrode Full mesh Groud, for Matrix 103,106,105,205,108, 206 plasma
29 0992-60477 Rev B Robot Arm Effector Matrix
30 0992-70051 ELECTRODE, SILVER, POSITIVE for Matrix 103,106,105,205,108, 206
31 0995-10512 INDEXER,CASSETTE for Matrix 103,106,105,205,108, 206
32 09A208 Transistdc 2N4233A
33 10 Inch Shield Panel  ID-AWS-011
34 101-0049 DC Power Distribution For Matrix Asher Etcher Systems AWD-D-2-11-003
35 101-0049 DC Power Distribution For Matrix Asher Etcher Systems AWD-D-2-11-016
36 101-0049 DC Power Distribution For Matrix Asher Etcher Systems AWD-D-2-11-017
37 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-012
38 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-013
39 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-014
40 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-015
41 101-0178 AC Controller Assy For Matrix Asher Etcher Systems AWD-D-2-11-001
42 101-0178 AC Controller Assy For Matrix Asher Etcher Systems AWD-D-2-11-011
43 101-0461 ? System Main Controller For Matrix Asher Etcher AWD-D-2-11-008
44 101-0461 ? System Main Controller For Matrix Asher Etcher AWD-D-2-11-009
45 101-0461 ? System Main Controller For Matrix Asher Etcher AWD-D-2-11-010
46 101-0461 ? System Main Controller For Matrix Asher Etcher Systems AWD-D-2-11-004
47 101-0461 System Main Controller For Matrix Asher Etcher Systems AWD-D-2-11-002
48 101-0500-VM 5V Valve
49 101-0999 /0101-0999 For Matrix 10 Plasma Asher AWD-D-2-9-002
50 101-1000 For Matrix 10 Plasma Asher AWD-D-2-9-003
51 101-1000 For Matrix 10 Plasma Asher AWD-D-2-9-004
52 1116-40601 Tegal 1513e Assembly Lower Electrode Spare Part
53 111968 Electrode Upper Insulator UHV Clean For STS ICP STS PRO ICP
54 12 Inch  Si Wafer With 4 Inch Pocket
55 12 Inch  Si Wafer With 8 Inch Pocket
56 12 Inch  Si Wafer With 8 Inch Pocket
57 12 Inch Cassette Box
58 12 Inch Wafer With 1 Of  6 Inch Pocket
59 12 Inch Wafer With 13 Of 1.25×1.25 Inch Pockets
60 12 Inch Wafer With 2.25×3.25 Inch Pocket
61 12 Inch Wafer With 3 Of 2 Inch Pocket
62 12 Inch Wafer With 3 Of 4 Inch Pocket
63 12 Inch Wafer With 3 Of 4 Inch Pocket And 3 Of 1.25×1.25 Inch Pocket
64 12 Inch Wafer With 5 Of 2 Inch Pocket
65 12 Inch Wafer With 7 Of Holes
66 12 Inch Wafer With 9 Of 2 Inch Pocket
67 125ml 4oz HDPE
68 126-310-010 Multipin Electrical Feedthrough Perkin-Elmer
69 13 Mhz Matching Unit Controller SRE 134040 ISS. 3 MA-CB3622 AWD-D-1–1-4-001
70 13794-01 Branson IPC VIDEO, PWB 13793-01
71 13842-01 APPLIED MICRO TECHNOLOGY
72 13952-01 A For  Branson/IPC  Asher (?) ID-AWS-k-5-1-006
73 14679-01 Branson IPC,Made in USA, CUBIT DIV PROTEUS INDUSTRIES
74 14908-001 , MITCHELL ELECTRONICS, 00200-AD01 , 00200-0001
75 14inch 4430 RF Diode Backing Plate w SiO2 target
76 14inch Moly Pallet no pocket
77 14inch Perkin Elmer 4430 RF Diode Backing Plate w TiW target
78 14inch Perkin-Elmer 4430 Moly Pallet 12x3inch pockets
79 15-00729-00 CLAMP, SPINDLE HUB MOUNT, Lam Research Novellus
80 156x156mm Cassette
81 15988-01 A Branson IPC Temperature Input
82 1666G2Y Micro HOKE. MITE Regulator Valves-5
83 16×2 Inch  Susceptor, SiC , Base And Cover
84 17-041114-00 A RA8000 2796  For Matrix 10 Plasma Asher AWD-D-3-4-2-002
85 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-001
86 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-006
87 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-007
88 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-008
89 17317-01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-003
90 17317-01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-004
91 17317-01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-005
92 17317-01D AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-002
93 17351-01 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD-D-3-1-7-003
94 17382-01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD-D-3-1-14-001
95 17382-01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD-D-3-1-14-002
96 17382-01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD-D-3-1-14-003
97 17461-01 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD-D-3-1-7-002
98 17542-01 A SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD-D-3-1-7-001
99 1E-011 Tegal Spare Part, Bellow
100 2 Inch Wafer, Material Sapphire? GaN? Sapphire With GaN Epi?
101 2 Inch Wafer, Thin, 50-100um? Material Si? InP?
102 2000-0013-01 AG Associates Heatpulse PCB
103 2000-0013-03 AG Associates Heatpulse PCB
104 2100-0006-01 AG Associates Heatpulse PCB Rev A.5
105 2100-0006-02 AG Associates Heatpulse PCB Rev A.2 ZiaTech ZT8850
106 2100-0007-1000-002 AW-Etch-1000-002 PCB AWM-E-5-2-008
107 2100-0008-1000-016 pcb
108 2100-0022-01 AG Associates Heatpulse PCB
109 2100-0031-01 AG Associates Heatpulse PCB
110 2100-0032-01 AG Associates Heatpulse PCB
111 2100-0060 AG Associates Heatpulse PCB 2100-0060-02 ZiaTech ZT8812
112 2100-0110 AG Associates Heatpulse PCB
113 2100-0120 MIO-24 AG Associates Heatpulse PCB
114 2100-0150 AG Associates Heatpulse PCB
115 2100-0160 AG Associates Heatpulse PCB
116 2100-0170-01 AG Associates Heatpulse PCB
117 2100-0190 ROCK-CADAC 3V-0 000226-F
118 2100-0212 -01 AG Associates Heatpulse PCB
119 2100-0241-01 ZiaTech ZT8820B E.2 AG Associates Heatpulse PCB 2100-0241-009
120 2100-0243-01 AG Associates Heatpulse PCB DASB-FGA 14139 REV B PC7462
121 2100-0250 AG Associates Heatpulse PCB
122 2100-0251-01 AG Associates Heatpulse PCB
123 2100-0253-01 AG Associates Heatpulse PCB
124 2100-0253-02 AG Associates Heatpulse PCB
125 2100-0307-04 AG Associates Heatpulse PCB
126 2105-220251-12(214) CAP Quartz ROHM Device
127 2105-220252-12(214) ? Pedestal Base, Quartz Base ROHM Device
128 2105-220252-12(214) Pedestal Base, Quartz Base ROHM Device
129 2105-220252-12(214) Pedestal Base, Quartz Base ROHM Device
130 2105-220254-12(214) Cap Temp Check  ROHM Device
131 2105-320027-12 Rev 0 Rev 12-214Quartz Shutter ROHM Device
132 2105-420025-12 Rev 0 Bart Tip Quartzware Quartz Part Rohm Corporation
133 2105-420045-12 Rev 0 Injector Sleeve Quartzware Quartz Part Rohm Corporation
134 2105-420054-12 Rev NA Quartzware Quartz Part Rohm Corporation
135 2105-420128-12 Rev 12 Sleeve Quartzware Quartz Part Rohm Corporation
136 2105-420294-11 (214) Pipe, Intake Gas  Quartzware Quartz Part Rohm Corporation
137 21-2402 SL5410SM 2PW FV4 FV4 APTech Regulated Valves
138 2-440-GS40 O Ring G-B33SOL 0384164
139 2502-0040 For AG Associates Heatpulse
140 2506491-21 / 2506-491-21 / 2506-491-01rev A PCB Interconnection Gas Mat810-03421
141 2601-0003-01 Fan For AG Associates Heatpulse , ETRI Model 99XU 99XU2182000
142 26-12000-05 AP1101SHM 2PW FV4 MV4 4.00 APTech Regulated Valves
143 281-7310 Perkin Elmer Ultek LN2 Feedthrough
144 2900-4015-01 CONTROLLER, MULTI-L
145 2908-0041-01 Meter, 1/2?, BRASS,0.5-4GPM,H2O
146 2908-0060-01 Meter H2O Flow 3-6 GPM KOBOLD SMW-7117-B For AG Asscoiates Heat
147 2oz Clear Glass Black Plastic Lids 12 Pack X000WUM5UH
148 2oz Clear Glass White Plastic Lids
149 2S700-601-(2S003-017) Japan With STK6722H AWM-B-4-5-008
150 3 Inch InP Wafer
151 3 Inch Susceptor Wafer Carrier  MPT RTP-600s RTP-800s AG Associates AG 610
152 3 Inch Susceptor Wafer Carrier For MPT RTP-600s RTP-800s AG Associates AG 610
153 301 FSVP N2 10 SLM Mass Flow Controller MFC Porter Instrument Company
154 302-031-03 23017-6 PCB
155 32 Bit Interface Model No. 120 Semi fusion Corp #356 Tr. ST9 Or ST600 Or ST009?
156 33-295-001 A Tegal Spare Part
157 3700-0110-01 Nitsuko Corporation Model BCR26 BCV5100 001100008 DC Power Supply
158 39-535-003 Tegal Spare Part
159 39-562-001 Tegal Spare Part
160 39-793-001 Tegal Top Electrode Raines Technologies
161 4 Inch Metal Cassette
162 4 Inch Metal Cassette
163 4 Inch Plastic Cassette And SST Tank With Small Holes For Wet Process
164 4 Inch Si Wafer Carrier With 2 Inch Pocket
165 4 Inch Si Wafer With 3 Inch Pocket With Flat
166 4 Inch Teflon Cassette PFA EMPAK X7100-01 PFA Wafer Cassette
167 4 Inch Teflon Cassette PFA FLUOROWARE AI82-39M PFA Wafer Cassette
168 4 Inch Teflon Cassette PFA FLUOROWARE AI82-39MLBH  LZ Wafer Cassette
169 4 Inch Teflon Cassette PFA FLUOROWARE AI82-39MLBY PFA Wafer Cassette
170 4 Inch Wafer Handle
171 4 Of 2 Inch Susceptor Wafer Carrier  MPT RTP-600s RTP-800s AG Associates AG 610
172 400 Series AIRCO Regulated Valves With Marsh Meters Gauges
173 4000-1044-06 Lamp PWR CNTL
174 4000-1044-07 Lamp Driver 208VAC
175 4000-1044-07 Lamp Driver 400VAC
176 4004-0004-01 Model WI40-371-00/xx,xp Converter Concepts Inc
177 4004-0020 Condor MPS-140W Power Supply AG Associates Heatpulse RTP
178 4004-0050 AG Associates  Power One Model HN12-5.1-A Power Supply
179 4004-0060 (AG Associates )Power One HDD15-5-A Power Supply 71269-04 Gasonics ?
180 4-01243 Barrel, Susceptor, 5 inch,XYCARB CERAMICS
181 40-215-001 Tegal Spare Part
182 40-329-002  Tegal Spare Part
183 403-E1IS SCR AG Associates Heatpulse Chips
184 4050-1016-01  AG Associates Heatpulse TSCRN, CUSTOM
185 4050-1023-01  AG Associates Heatpulse TSCRN MON, STAND ALONE
186 4100-0017-11 Pura-LITE Barnsread A110B For AG Asscoiates Heatpulse
187 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-6-3-002
188 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-6-4-001
189 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-7-4-001
190 4145B Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-6-3-001
191 41500804 310819 Veriflo Regulated Valves With Span Instruments Meters Gauges
192 42A13DCH2AA025 MKS Baratron Pressure Switch  1000 Torr-G
193 4501-0006-01 LC1-FF43-JV-24/60 AQO 105 AMP 3 Pole Contactor ID-AWM-D-5-001
194 4502-9041-01 VALVE, DIAPH, QTR TURN
195 4502-9042-01 VALVE, DIAPH
196 4502-9043-01 Aptach Valve
197 4855 Digital Beam Control Interface Fisons Kevex Instrumentals ID-AWM-D-1-007
198 48600136 4 88,42700383 4 88,42800891,48600133,427-00384 VERIFLO
199 48V Control Board Assy 06763-005 Rev3 For Gasonics Aura 2000-LL AWD-D-2-8-12-010
200 4Z(A)-PR4-VT-SS Parker Valve
201 5 Cassette Entegris X9125-0407 Wafer Cassette
202 5 Inch Teflon Cassette PFA FLUOROWARE AI82-50MB Wafer Cassette
203 5 Inch Teflon Cassette PFA FLUOROWARE AI82-50MBH PFA Wafer Cassette
204 5 Inch Teflon Cassette PFA FLUOROWARE AI82-50MU Wafer Cassette
205 500-B4 AM27C512-205 DC 039UADC AMD AG Associates Heatpulse Chips
206 50590-01 ISS 1 SN 18026 TEGAL PCB
207 5102-0023-01 Metron Technology AG Associates Heatpulse
208 5108-1059-01 flow switch, 1450 psi
209 538491-120 V GCAPRECISION SCIENTIFIC TEMPERATURE CONTROL P.C. ASSEM
210 5990-0147-0001 A 7188-0194-0001 Spacer PCB AWM-B-4-4-005
211 5990-0147-0001 A PCB AWM-B-4-4-006
212 5B16I2L5 AG Associates Heatpulse Chips
213 6 Inch Cassette And Box (1set)
214 6 Inch Si Wafer Carrier With 2 Inch Pocket
215 6 Inch Si Wafer Carrier With 4 Inch Pocket
216 6 Inch Teflon Cassette PFA EMPAK 3150-14  PFA Wafer Cassette
217 6 Inch Teflon Cassette PFA FLUOROWARE AI82-60MB PFA Wafer Cassette
218 6 Inch Teflon Cassette PFA FLUOROWARE AI82-60MBH PFA Wafer Cassette
219 6 Inch Teflon Cassette PFA FLUOROWARE AI82-60MU PFA Wafer Cassette
220 6.5 Inch Disk , Graphite With SiC Coating
221 6.75 Inch Disc, Cover, Silica With SiC Coated
222 60 Mm Chart ES-001 Made In Japan  5 Boxes In A Package ID-AWS-L-1-2-001
223 600-AKV-L ARAMID / FIBERGLASS BLEND 19 oz Aluminized Para Aramid Blend RN 104083
224 6149-2293-624 No 2293624 UM 45-D15SUB/S/ZFKDS Ch. No 3005804625 ID-DW-6-4-2-004
225 6149-2293-637 No 2293637 UM 45-D25SUB/S/ZFKDS Ch. No 3005815597  ID-DW-6-4-2-005
226 6338-2884-0 Cable DB15M/DB15F, 20FT For Thin Film Deposition Equipment
227 6338-2886-0 Cable DB25M/DB25F ,20FT For Thin Film Deposition Equipment
228 64-2560TRN20 30 PSIG Max Tescom Regulated Valve Tescom
229 680-0146-021 Perkin Elmer LH TM11-E1501/230CV Power Supply ID-AWM-D-2-5-004
230 680-0146-021 Perkin-Elmer Custom Rectifier LH Research Model TM11-E1501/230CV
231 6L-M1V2858-II NUPRO Valve
232 6LV-DLBW4 NUPRO Regulator Valve Gas Line SST Tube
233 7100-00874-11 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
234 7100-0474-01 Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
235 7100-0606-01 Rev A , 3 Fans Of ETRI 99 XM 99 XM 2182 99XM2182 AG Associates
236 7100-0874-03 Rev H AG Associates Heatpulse RTP ERP Pyrometer
237 7100-0874-05 Associates Heatpulse RTP ERP Pyrometer
238 7100-0874-09 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
239 7100-1091-01   ( FLUKE 1780A ) FLUKE, MOD (W/O FEET) AG Associates Heatpulse
240 7100-1134-03 Pyrometer AG Associates Heatpulse (7100-1282-03 7100-5628-01)
241 7100-1134-06 Pyrometer AG Associates Heatpulse 8108, 8800, 4108, 4100, 4100S
242 7100-1182-07 AG Associates Heatpulse 8108 ERP Pyrometer
243 7100-1213-04 DCP Pyrometer 7100-1134-059 Pyrometer AG Associates Heatpulse
244 7100-1282-03(7100-5622-02?)Pyrometer AG Associates Heatpulse 8108 8800 4108 8008
245 7100-1497-02 Robot Effector Robot ARM AG Associates Heatpulse + 7200-0982-03
246 7100-1885-01 Rev:   E Oven Assembly, 8108 Standard AG Associates Heatpulse
247 7100-1970-02 (7200-1010-02+7300-0173-03+7310-0568-01) Robot Effector
248 7100-2282-06 , Top Plate Assembly, AG Association Heatpulse 8108, Heatpulse 8800
249 7100-2751-01 Shell A1VANIA Grease 14 oZS Type L AG Associates AWR-BB-7-002
250 7100-3597-01 fork assy, dtc,ncluding: 7310-3049-02-C (Plate), 7310-3050-01-A
251 7100-4015-01 With B-47 273903-1 For AG Asscoiates Heatpulse
252 7100-4016-01 With 7310-2914-01 A / B-47 273903-1 For AG Asscoiates Heatpulse
253 7100-4016-02 With 7310-2913-01 A / B-47 273903-1 For AG Asscoiates Heatpulse
254 7100-4078-03 Rev:   E Oven Assembly, 8108 Standard AG Associates Heatpulse
255 7100-4304-01 BBU Battery Back-up Unit AG Associates 7100-4278-01 7100-4307-01
256 7100-5177-01 AG Associates Heatpulse Chips
257 7100-5622-02 AG Associates Heatpulse RTP DCP Pyrometer Dural Color Pyrometer
258 7100-5622-02 Pyrometer AG Associates Heatpulse 8108 8800 4108 8008
259 7100-5622-02 Pyrometer AG Associates Heatpulse 8108, 8800,4108, 4100, 8008,4100S
260 7100-5628-01 For 7100-5622-02 / 7100-1282-03 Pyrometer AG Associates Heatpulse
261 7100-5633-02 AG Associates Heatpulse PCB Zero Crossing Board 3-PH 60Hz AG RTP
262 7100-5705-04 Lamp PWR CNTL
263 7100-5746-01 RING, SLIP-FREE,  5IN  7450-0032-05
264 7100-5747-01B RING, SLIP-FREE 6 Inch
265 7100-5750-01 assy s-f/pkg 6in w/o flat, SLIP FREE RING (7450-0059-06J),A VERSION
266 7100-5759-01 ASM S-F/PKG 8IN N/FLT DTC,B VERSION, SLIP FREE RING
267 7100-5762-02 assy s-f/pkg notch 6 in. 7310-5737-02
268 7100-5999-01 RING, SLIP-FREE, 8IN, B Version
269 7100-6159-05 Lamp Driver
270 7100-7748-02 PENTIUM COMPUTER  AG Associates Heatpulse
271 7100-7911-02 Front Flange AG Associates Heatpulse 8108 Heatpulse 8800, 4108 8008
272 71268-02 Gasonics Power One Model HB5-3/OVP-A (HB530VPA)
273 7137 Rev E4, SST-8900 FCC ID HNG890CL-BBD1TIA1 AWW-10-2-6-011
274 715-28552-001 Rev 1 For Lam Research Lam Rainbow 4xxx ( 715-28552-001-1 )
275 7200-0067 SR5014590 ,For AG Associates Heatpulse
276 7200-0189 A For AG Asscoiates Heatpulse
277 7200-0213-B for AG Associates Heatpulse RTP
278 7200-0310-01 A For AG Asscoiates Heatpulse
279 7200-0365-01 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
280 7200-0382-01 For AG Asscoiates Heatpulse
281 7200-0383-01A For AG Associates Heatpulse
282 7200-0564-02 For AG Asscoiates Heatpulse
283 7200-0564-02 For AG Asscoiates Heatpulse
284 7200-0564-02 For AG Asscoiates Heatpulse
285 7200-0564-02A For AG Asscoiates Heatpulse
286 7200-0602-03 F Chamber Plate,For Heatpulse 8108 ,8800,4108,8008
287 7200-0603-01 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
288 7200-0604-02 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
289 7200-0607-03 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
290 7200-0649-02 E For AG Asscoiates Heatpulse
291 7200-0654-01 7310-3265-01,PLATE, WFR CARR, 8IN POPA
292 7200-0731-01 A For AG Asscoiates Heatpulse
293 7200-0746-02-P3 For AG Associates Heatpulse
294 7200-0810-01 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
295 7200-0811-02 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
296 7200-0849-01 Or 7200-0840-01 For AG Asscoiates Heatpulse
297 7200-0941-01 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
298 7200-0941-01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
299 7200-0941-01B Robot Effector Robot ARM AG Associates Heat-pulse 8108 8800 4108
300 7200-0941-01C + 7310-1729-02 C + 7310-2528-01 A Robot Arm Effector AG Associates
301 7200-0945-01 + 7200-0982-03 D Robot Effector Robot ARM AG Associates Heatpulse
302 7200-0945-01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
303 7200-0983-01 For AG Associates Heatpulse
304 7200-1092-01 AG Associates Heatpulse RTP
305 7200-1097-01 A For AG Asscoiates Heatpulse
306 7310-0400-010 Bracket For AG Asscoiates Heatpulse,with Fittings
307 7310-0487-02 B For AG Asscoiates Heatpulse
308 7310-0698-01 For AG Associates Heatpulse
309 7310-0699-01 For AG Associates Heatpulse
310 7310-0700-01 A Tube N2 Inlet For AG Associates Heatpulse
311 7310-0719-02C / 01-148-033 Crysta SiC Coated, 6 Inch Single Wafer SUSC
312 7310-1058-01 For AG Associates Heatpulse ID-AWS-016
313 7310-1059-01 For AG Associates Heatpulse ID-AWS-016
314 7310-1064-01 B For AG Associates Heatpulse
315 7310-1843-01 B + 7310-1844-01 +7310-1845-01 Robot Effector 7100-2906-01
316 7310-2224-01 Rev C Rear Flange With SST Tube AG Associates Heatpulse RTP
317 7310-2269-02 Rear Flange AG Associates Heatpulse RTP
318 7310-2883-01 A For AG Associates Heatpulse
319 7310-2886-01 A Rear Flange No SST Tube AG Associates Heatpulse RTP
320 7310-2886-01 Rear Flange, DTC, No SST Tube welded
321 7310-2887-02 A RING, SLIP-FREE
322 7310-2889-01(7310-2829-01?) B Robot Effector AG Associates
323 7310-2913-01 A For AG Asscoiates Heatpulse
324 7310-2914-01 A For AG Asscoiates Heatpulse
325 7310-3026-02  RING SLIP-FREE 6 IN NOTCH, OL
326 7310-3074-01 RING, SLIP-FREE, 6IN,A Version
327 7310-3445-01 A Chamber Plate,For Heatpulse 8108 ,8800,4108,8008
328 7310-3473-01 B For AG Associates Heatpulse
329 7310-3473-01 B For AG Associates Heatpulse
330 7310-3479-02 AG Associates Heatpulse Arm , Thin, Vestal Chuck
331 7310-3479-02 B Robot Effector Robot ARM AG Associates Heatpulse + 7310-1779-02 C
332 7310-3479-02 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
333 7310-3479-02 B+ 7310-1844-01 +7310-1845-01 Robot Effector AG Associates
334 7310-3479-02 B+ 7310-3480-04D Robot Effector AG Associates
335 7310-3526-01 ring, slip-free 3.0 in
336 7310-4146-01 tube n2/purge retro intel, A Version
337 7310-4576-02 TUBE,PURGE INLET,FLANGE EZ-DTC ASSY, C Version
338 7310-4606–01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
339 7310-4730-01 A For AG Associates Heatpulse
340 7310-4755-01 g, SLIP 6″,P2 VERSION, SLIP FREE RING
341 7310-4755-01 g, SLIP 6″,R2 VERSION, SLIP FREE RING
342 7310-5787-01 A Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
343 7310-5787-01 P4 + 7310-3480-04 D + 7310-2528-01 A Robot Effector AG Associates
344 7310-5874-01 A (7100-5156-02 + 7100-5155-01 )AG Associates Heatpulse RTP
345 7310-5874-01 A Gas Line AG Associates Heatpulse Rtp
346 7310-6210-01 Robot Effector long, Hot, Thin,AG Heatpulse 8800 ( 7310-5786-01)
347 7310-6210-01 Robot Effector long, Hot, Thin,AG Heatpulse 8800 ( 7310-5786-01)
348 7310-8278-01 TUBE,PURGE INLET,7100-6705-01,FLANGE EZ-DTC ASSY
349 7400-0082-01 E/F Quartz Tray for AG Associates Heatpulse 610 6 inch
350 7450-0032-03 RING, SLIP-FREE,  6IN , E VERSION
351 7450-0032-07 RING, SLIP-FREE,  6IN , 7100-5835-01A, L version
352 7450-0033-01 RING, SLIP-FREE,  5IN
353 7450-0036-04 S-R RINGS R/B 7100-5751-01, 8 INCH
354 7450-0059-02 RING, SLIP-FREE W/ NO FLAT,5 INCH
355 7450-0059-04 RING, SLIP-FREE W/ NO FLAT,4 INCH, H Version
356 7450-0059-06 RING, SLIP-FREE W/ NO FLAT,6,INCH, J version
357 7450-0090-01 RING, SLIP-FREE 6 INCH
358 7450-0091-01 RING, SLIP-FREE 8 INCH
359 74LS 160A  Or 74LS160A 5.22 , Original For TEGAL 901e Tegal 903e Tegal Equipm
360 7500-0096-01 TRAY ALIGNMENT ,4 INCH, D  Version
361 7500-0096-03 TRAY ALIGNMENT ,6 INCH, E  Version
362 7500-0155-06 TRAY ALIGNMENT ,8 INCH
363 7600-0216-01 AG Associates Heatpulse Chips
364 7600-0255-01 V611 OC32 S1 AG Associates Heatpulse Chips
365 7600-0256-01 V611 C555 S2 Interface AG Associates Heatpulse Chips
366 7600-0257-01 V611 E319 S3 AG Associates Heatpulse Chips
367 7600-0258 V611 GFB8 S4 AG Associates Heatpulse Chips
368 7600-0272-01 AG Associates Heatpulse Chips
369 7650-0100-01 AG Associates Heatpulse SST Tube Gas Line With Fittings-10
370 7650-0100-01 Tube Gas Line With Fittings AG Associates Heatpulse
371 7650-0157-01 SST Tube Gas Line With Fittings AG Associates Heatpulse
372 7650-0159-06 tube, purge exh
373 7650-0298-02 B (?)Tube Gas Line With Fittings AG Associates Heatpulse
374 7650-0298-02 B Tube Gas Line With Fittings AG Associates Heatpulse
375 76H4972 J127W036298 94-3015 PCB AWM-B-4-5-002
376 7EC4889-850 Pall Trinity Micro SST Gas Tube ID-AWS-021
377 8 Inch  Si Wafer Carrier With 16 Pieces Of 0.5 Inch Diameter Pocket
378 8 Inch  Si Wafer Carrier With 6.25/5.75 Inch Ring
379 8 Inch  Wafer Carrier With 6 Inch Pocket With 1.5 mm Holes
380 8 Inch Cassette With Box
381 8 Inch Si Wafer With 6 Inch Pocket With Flat
382 8 Inch Si Wafer With 6 Inch Pocket With Flat
383 80-095-256 Pin Lifter Fiber Optic Cable Tegal Spare Part
384 80-095-278 Rev 10 RW Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-001
385 80-095-278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-004
386 80-095-278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-005
387 80-095-278 RW B Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-003
388 80-095-278 RW Tegal 901e Tegal 903e Main Control Board PCB Tegal Spare Parts
389 80-095-278 RW Tegal 901e Tegal 903e Main Control Board PCB Tegal Spare Parts
390 80-095-278 Tegal  901e Tegal 903e Main Control Board PCB AWM-G-5-1-006
391 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-002
392 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-005
393 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM-G-5-3-001
394 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM-G-5-3-002
395 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM-G-5-3-003
396 80-202-291 Tegal Spare Part
397 80-202-327 Tegal Spare Part
398 81-007-138 Bellow  for Tegal equipment
399 8210 SOLENOID VALVE
400 88102K-RE Omega Replacement Element
401 882-99-000 sch 882-99-101
402 882-99-000 sch 882-99-101 MOD 8332
403 90-1061 A Watchdog Gasonics Aura 3010 3000 PCB AWD-3-1-7-007 2/2
404 90-1061 A Watchdog Gasonics Aura 3010 3000 PCB AWD-D-3-1-8-003
405 9030-0300-02 For 7100-0474-01 Robot Effector AG Associates
406 92-005346-0X Rev D-B-02 5930 034542 PCB AWM-B-4-5-001
407 921D-A1P The Foxboro Company Temperature Controller ID-AWM-D-3-1-002
408 94-287-002 Tegal 1513e Switch , Differential Spare Part
409 944SSFSFF VERIFLO Regulator Valve
410 944SSFSFF VERIFLO Regulator Valve With Plate 031-0097 Rev A
411 94C19C6R Valcor Engineering Corp Valve
412 95-3531 REV. B PCB Sea level Systems
413 95-3618 Gasonics Lamp Tray For Gasonics Aura 3010, 3000, 2000LL, L3510
414 959-100W-2P-FS-MF VERIFLO Regulated Valves
415 980-4825 ROBITECH INC SN36367 -8 VALVE MODULR 980-4800 SERIES
416 9830-0390 Station AG Associates Heatpulse, With9820-2020 SVPC-1
417 9830-0390 Station AG Associates Heatpulse, With9820-2020 SVPC-1
418 9830-2030 Sensor I/O AG Associates Heatpulse PCB
419 9830-2080 3 Phase Zero Crossing Detector AG Associates Heatpulse PCB 7100-5211-0
420 99-046-001  MEC-1 TEGAL PCB 98-046-001 REV A
421 99-046-602 REV C MEC-2 TEGAL PCB 98-046-001 REV C
422 99-103-001 REV E LMB-1 TEGAL PCB 98-103-001 REV A
423 99-104-001 LMC-1 TEGAL PCB 98-104-001
424 99-106-001 REV D ATS-1 TEGAL PCB 98-106-001 REV B
425 99-111-001, AST-1, TEGAL PCB
426 99-114-001 REV B MMC-1 TEGAL PCB 98-114-001 REV A
427 99-118-001 REV B RFL-1TEGAL PCB 98-118-001 REV 1 A
428 99-121-001 REV B TEGAL PCB 98-121-001 REV A
429 99-125-004 REV D FPI-4 TEGAL PCB 98-125-002 REV A
430 99-125-004 REV G FPI-4 TEGAL PCB 98-125-004 REV B
431 99-125-004 Tegal  901e Tegal 903e  PCB AWM-G-5-1-010
432 99-125-008 REV C FPI-8TEGAL PCB 98-125-008 REV B
433 99-126-005 PCB  Tegal 901e Tegal 903e AWM-G-5-3-008
434 99-126-006 PCB  Tegal 901e Tegal 903e AWM-G-5-3-009
435 99-128-002 TEGAL PCB 98-128-002 REV 4
436 99-128-003 REV E ISR-3 TEGAL PCB 98-128-003 REV 1
437 99-129-004 REV F SHI-4 Tegal PCB 98-129-004 REV A
438 99-138-001 Tegal  901e Tegal 903e  PCB AWM-G-5-1-008
439 99-138-001 TEGAL PCB 98-138-001 REV 2
440 99-138-004  Tegal PCB 98-138-004
441 99-142-001 Tegal PCB 98-142-001
442 99-172 Tegal PCB 98-172-001 REV A
443 99-172 Tegal PCB 98-172-001 REV2
444 99-172-001 REV S IMN-1 98-172-001 REV A, Tegal 901e, Tegal 903e
445 99-172-002 , IMN-3 ,Tegal PCB ,98-172-001
446 99-172-002 Tegal 901e Tegal 903e AWM-G-5-3-007
447 99-172-003 , IMN-3 ,Tegal PCB ,98-172-003
448 99-172-003 REV F IMN-3 98-172-003 REV B, Tegal 901e, Tegal 903e
449 99-172-003 Tegal 901e Tegal 903e AWM-G-5-3-006
450 99-173-003  Tegal PCB
451 99-173-004 REV D RFG-4 Tegal PCB 98-173-003 REV B
452 99-173-004 Tegal PCB 98-173-003
453 99-173-005 REV A RFG-5 Tegal PCB 98-173-005 REVA
454 99-173-008 Tegal  901e Tegal 903e  PCB AWM-G-5-1-007
455 99-173-008/c Tegal  901e Tegal 903e  PCB AWM-G-5-1-009
456 99-181-001 B EPD-1PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWM-G-5-3-005
457 99-181-002 D EPD-0 PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWM-G-5-3-004
458 99-181-002 REV L EPD-2 Tegal PCB ENDPOINT DETECTOR
459 99-186-001 REV 2A ATT-1 and 98-186-001 Tegal PCB
460 99-187-002 REV A ATO-2 and 98-187-002 REV 1 Tegal PCB
461 99-190-002 REV A PMF-2 and 98-190-002 REV A Tegal PCB
462 99-195-003  Tegal 1513e PCB, Switch Assembly Spare Part
463 99-196-001 6 ATI-2 and 98-196-001 REV 2 Tegal PCB
464 99-196-001 J and 98-196-001 REV C Tegal PCB
465 99-196-002 P ATI-2 and 98-196-001 REV C Tegal PCB
466 99-196-002 P ATI-2 and 98-196-001 REV E Tegal PCB
467 99-196-002 REV E ATI-2 and 98-196-001 REV B Tegal PCB
468 99-196-002 REV K ATI-2 and 98-196-001 REV C Tegal PCB
469 99-196-002 REV W ATI-2 and 98-196-001 REV C Tegal PCB
470 99-196-002 REV W ATI-2 and 98-196-001 REV F Tegal PCB
471 99-200-001 REV 4 SIS-1 PCB TEGAL 98-200-001 REV 2 (1).JPG
472 99-200-003 REV B SIS-3 PCB TEGAL 98-200-002 REV 1  Tegal
473 99-200-003 REV C SIS-3 PCB TEGAL 98-200-002 REV 1 Tegal
474 99-200-004 REV C SIS-4 PCB TEGAL 98-200-001 REV 2
475 99-200-005 REV B SIS-5 PCB TEGAL 98-200-002 REV 1
476 99-200-005 REV E SIS-5 PCB TEGAL 98-200-002 REV 1
477 99-200-005 REV G SIS-5 PCB TEGAL 98-200-002 REV 1
478 99-200-005 REV H SIS-5 PCB TEGAL 98-200-002 REV 1
479 99-200-005 REV H SIS-5 PCB TEGAL 98-200-002 REV A
480 99-200-005 REV J SIS-5 PCB TEGAL 98-200-002 REV A
481 99-207-004 REV A MBE-4 PCB TEGAL 98-207-004 REV A  Tegal
482 99-207-004 REV C MBE-4 PCB TEGAL 98-207-004 REV B Tegal
483 99-209-001 REV C PCB ADM-1 TEGAL 98-209-001 REV A   , Tegal 901e, Tegal 903e
484 99-209-001 REV E PCB ADM-1 TEGAL 98-209-001 REV A , Tegal 901e, Tegal 903e
485 99-209-001 REV F PCB ADM-1 TEGAL 98-209-001 REV 1 , Tegal 901e, Tegal 903e
486 99-209-001 REV F PCB ADM-1 TEGAL 98-209-001 REV A, Tegal 901e, Tegal 903e
487 99-214-002 REV 5 PCB TEGAL 98-214-002 REV 2  , Tegal 901e, Tegal 903e
488 99-214-003 REV A PCB TEGAL 98-214-002 REV A , Tegal 901e, Tegal 903e
489 99-214-003 REV C PCB TEGAL 98-214-002 REV A  , Tegal 901e, Tegal 903e
490 99-214-003 REV G PCB TEGAL 98-214-002 REV 2, Tegal 901e, Tegal 903e
491 99-214-003 REV H PCB TEGAL 98-214-002 REV C  , Tegal 901e, Tegal 903e
492 99-287-001 REV C SST 98-287-001 REV A, TEGAL CORP , Tegal 901e, Tegal 903e
493 99-309-001 Rev B OSI-1 98-309-001 Rev A 42-730-001 Rev B 93-318-001 Tegal
494 994750-0001 70 DG840600169 Assy 994752-000 /994751-000 AWM-B-4-5-004
495 9×2 Inch Susceptor, Silica With SiC Coating, One Side Only, Base And Cover
496 A&N Cooperation 2-way Flange SST New AWM-F-2-6
497 A&N Cooperation 4-way Flange SST New AWM-F-2-5
498 A.D.smith AC Motor Type 5 S# S48A16 SER 2C00 HP 1/4 RPM 1725 AWM-C-4-6
499 A01-132-01 / 01-2125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall
500 A01-132-01 / 01-2125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall
501 A111E1 And 111M4A For AG Asscoiates Heatpulse?
502 A1-9604 FCC ID B9482335 HP 3345 82335-60001 F 82235-8001 AWW-10-2-4-002
503 A196B PCB
504 A36-B10A-15T2-000 Globe Motors ,Fan, 115 VAC 50/60 Hz 7/6 W Impedance Protected
505 A4-000-01 QUARTZ TRAY 6 inch
506 A4-0120-00 QUARTZ Tube
507 A4-0121-00 QUARTZ Plate , Thick
508 A4100-4A 4 Inch Muffle SiC Coat , Susceptor Base
509 A5 ElectroGlas Theta Z Joystick Assy 250262-001 Rev E AWW-10-2-5-007
510 A7 424 Bar Code Reader 4 Port Serial I/O Assy II 246067-001 Rev L AWW-10-2-5-001
511 A8100-4B 4 Inch Muffle SiC Coat , Susceptor Disc
512 A93-038-01 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510
513 A93-038-01 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510
514 A95-060-01 Gasonics INTL With SST Tube AWD-D-3-1-5-006
515 A95-107-01 B Control Panel For Gasonics Aura 2000LL AWD-D-2-8-10
516 A95-107-01 B Control Panel For Gasonics Aura 2000LL AWD-D-2-8-10-003
517 A95-205-01  With A90-048-01 ,A90-029-02 Gasonics Aura 3010 AWD-D-3-1-14-005
518 A95-205-01 Rev A & A95-053-02 C Gasonics Lamp Assembly For Gasonics Aura
519 A95-205-01 Rev A & A95-053-02 C Gasonics Lamp Assembly For Gasonics Aura
520 AB Bulletin 1492-ERL35 A Terminal Items
521 AB Bulletin 1492-H6 Terminal Items
522 ABB AL30 AL30-30-10
523 AC DC Power Box For AG Associates Heatpulse
524 AC Remote Box For Lam Research Lam Rainbow 4428 4528 4420 4520 4500 AWD-D-3-3-1
525 AC Service CF 1004 For Tegal 901e 903e AWD-D-3-2-12
526 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP
527 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP
528 Accessories, Package Sale
529 Accurate Gas Control System No. 00746 Water Cooling Jacket OD 4.5”ID-AWM-D-5-002
530 Accurate Gas Control Systems Temperature Control AGT254200-1 PCB
531 ACE Glass 12530-17 Cylinder 2000ML SP Scienceware Cylinder, PP, Graduated , 2000
532 Acopian Model AC To DC Power Module DB15-30 AWM-F-2-4-004
533 Acopian U35Y500M Unregulated Power Supply 3A 250v ID-AWS-K-1-012
534 Acrylic Sheet Plate
535 AD Data Inc Model 5600 Switching Control Mainframe ID-AWM-F-1-009
536 AD2020 406 , Original For TEGAL 901e Tegal 903e Tegal Etcher
537 AD7541JN 8719, Original For TEGAL 901e Tegal 903e Tegal Etcher
538 Adaptec AHA-2940W/ 2940UW Assy 917306-52 FGT2940UW BD0B20105BE AWW-10-2-4-004
539 Adaptec AHA-2940W/2940UW Assy 917206-18 BF0A71403H9 FGT2940UW AWW-10-2-16-003
540 Adaptec AHA-2944UW Assy 991506-12 FGT-2944UW 05394C-C/O SG-A00 AWW-10-2-3-007
541 Adaptec AHA-2944W / 2944UW Assy 917306-00 FGT-2944UW BA0J7310G1F AWW-10-2-4-001
542 Adapter /flange For Thin Film Deposition Equipment
543 ADESSO EasyTouch Mini keyboard 12”, 87 Keys Layout 1.12 Lbs AWW-10-2-15
544 Advanced Energy 01990 Power Supply , DC Power Supply
545 Advanced Energy 2011-029-A Power Supply , DC Power Supply
546 Advanced Energy 2011-029-D Power Supply , DC Power Supply
547 Advanced Energy 2011-029-E Power Supply , DC Power Supply
548 Advanced Energy 2012-000-F Power Supply , DC Power Supply
549 Advanced Energy 2012-000-K Power Supply , DC Power Supply
550 Advanced Energy 2225-000-F Power Supply , DC Power Supply
551 Advanced Energy 2225-000-S Power Supply , DC Power Supply
552 Advanced Energy 2225-000-S Power Supply , DC Power Supply
553 Advanced Energy 3006-000-B / 0090-90279 High Voltage Unit Focus
554 Advanced Energy 3152012-037TPower Supply , DC Power Supply
555 Advanced Energy 3155017-000 RF Match Unit Matching Network Tuner
556 Advanced Energy 3155017-000 RF Match Unit Matching Network Tuner
557 Advanced Motion For Pre-aligner Ver.2 12403-0040 PC3XC-PM1 AWR-039-CC-1-004
558 Advanced Motion For Pre-aligner Ver.2 14191-0003 X02 PC3XD-PF1 AWR-039-CC-1-003
559 Advanced Radiation Corp CSA75-SPEC For Gasonics Aura 3010 AWD-D-3-1-15-003
560 AE Advanced Energy 4013-012-01 Plasma Technology Control ID-AWM-F-1-005
561 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-006
562 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-007
563 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-008
564 AG Asscoiates 7100-3689-01 Tool, Flange Pin Repl
565 AG Asscoiates Alarm Lamp With 7200-0514-03 C Plate
566 AG Asscoiates Bracket 7200-0973-02 B
567 AG Associates (Metron Technology) 7100-5995-02B, Tray, Ceramic Shield, 6 inch
568 AG Associates (Metron Technology) 7100-6360-01A Tray, Ceramic Shield, 4 inch
569 AG Associates (Steag RTP Systems) 7310-1644-01B
570 AG Associates 031-0096 050-0296 Gas Lines With Valves
571 AG Associates 0641-0796-02 C Kit, FlowMtr/Flowsw Retro
572 AG Associates 2100-0201-01 Rev 005 VGA/FLAT Panel Video Card AWM-B-4-5-018
573 AG Associates 2706-0004-01 T.E.M. Filter TEM-915 MFCPT ID-AWM-A-3-5-001
574 AG Associates 2706-0011-02 ? Pall GLFPF3000VFM4 4KB-M2000-1 ID-AWM-A-3-5-003
575 AG Associates 2900-0036-01 WIKA Type 111 10 2”  ID-AWM-A-3-7-001
576 AG Associates 4000-0005-01 LVS-44 E-5 HAL-01-386 R-1 AWM-F-2-4-002
577 AG Associates 4500-9020-01
578 AG Associates 4502-0035-02 Phd Inc ML-32178 D
579 AG Associates 4502-0035-02 Phd Inc ML-32178 D With 7210-0510-01 Bracket
580 AG Associates 4502-0035-02 Phd Inc ML-32178 D With 7310-0510-01 A Bracket
581 AG Associates 4502-0035-02 Phd Inc ML-32178 D With 7310-0532-01 A Bracket
582 AG Associates 7100-4033-01B Tray, 7310-2881-01 For 8 Inch
583 AG Associates 7200-0068 Rev F Globe Motors 409A6029-2 7310-3605-01
584 AG Associates 7200-0962-03 C
585 AG Associates 7310-2843-01A Quartz Tray With TC Station For Heatpulse 8108 8800
586 AG Associates 7310-2935-01A For Heatpulse 4100 6 Inch
587 AG Associates 7310-2956-01
588 AG Associates 7310-3575-01A (?) Quartz Door Inlay Long
589 AG Associates 7310-3575-01A Quartz Door Inlay Short
590 AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
591 AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
592 AG Associates 7400-0102-01C Quartz Inlay
593 AG Associates 7400-0109-06 quartz Tray For Heatpulse 8108 4108 8800
594 AG Associates 7400-0115B / 7310-4341-01B Quartz Tube For Heatpulse 8108 8800
595 AG Associates Door Control Panel With 7100-5126-01 Assembly ID-AWM-A-3-6-003
596 AG Associates Door Control Panel With 7100-5136-01 Assembly ID-AWM-A-3-6-004
597 AG Associates Heatpulse 210 Rapid Thermal Processing equipment
598 AG Associates Heatpulse 4100 Chamber Assembly
599 AG Associates Heatpulse 4108 Rapid Thermal Processing Equipment SN 1M93174
600 AG Associates Heatpulse 610 Rapid Thermal Processing equipment
601 AG Associates Heatpulse 610 Rapid Thermal Processing Rapid Thermal Annealing
602 AG Associates Heatpulse 610 Rapid Thermal Processing Rapid Thermal Annealing
603 AG Associates Heatpulse 7200-0428-01A Door
604 AG Associates Heatpulse 7200-1069-01B
605 AG Associates Heatpulse 8108 8800 7100-5897-01, Assembly,Quartz Tray,6″, EZ-DTC
606 AG Associates Heatpulse 8108 8800 7100-5898-01, Assembly,Quartz Tray,8″, EZ-DTC
607 AG Associates Heatpulse 8108 8800 Quartz Tray For 6 Inch Standard
608 AG Associates Heatpulse 8800, 8108, 4108 Isolated Quartz Tube 7400-0100-05J
609 AG Associates Heatpulse 8800, 8108, 4108 Isolated Quartz Tube 7400-0100-06K
610 AG Associates Heatpulse Ceramic Shield 6 Inch
611 AG Associates Heatpulse Ceramic Shield 8 Inch
612 AG Associates Heatpulse Pump 115 , GAST MOA-V113-AE
613 AG Associates Heatpulse Pump 115 , GAST MOA-V113AE With 7100-1270-05 Wire Cable
614 AG Associates Heatpulse Rapid Thermal Processor Model 610I , 4 Bank , AWD-1-13
615 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
616 AG Associates Heatpulse Susceptor 7310-0719-02 New 6” Starship W/Thermo Wells
617 AG Associates Heatpulse Susceptor 7310-1028-02 New
618 AG Associates Mini-pulse 310 Rapid Thermal Processing Rapid Thermal Annealing
619 AG Associates Plate With TRW Global Motor 409A6029-2 AWM-C-4-1-001
620 AG Associates PN 7310-4620-01
621 AG Associates Purge Interface 9830-2090 PCB
622 AG Associates Quartz Baffle
623 AG Associates Quartz Liner 7310-3010-01A For Heatpulse 4100 6 Inch
624 AG Associates Quartz Liner 7310-3010-02B For Heatpulse 4100 6 Inch
625 AG Associates Quartz Tray 7310-0692-01 For Heatpulse 4100 TC Station 6 Inch
626 AG Associates Quartz Tray 7310-0692-02 For Heatpulse 4100 M-tray Slip Free 6 Inc
627 AG Associates Quartz Tray 7400-0101-06
628 AG Associates Quartz Tray 7400-0106-06J
629 AG Associates Quartz Tray 7400-0109-03B 5 Inch
630 AG Associates Quartz Tray 7400-0109-06F 5 Inch
631 AG Associates Quartz Tray 7400-0109-06F 5 Inch
632 AG Associates Quartz Tray 7400-0109-06K 5 Inch
633 AG Associates Quartz Tray 8 Inch 7310-5111-01?
634 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
635 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
636 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
637 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
638 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
639 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
640 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
641 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
642 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
643 AG Associates Quartz Tray TC Station Front For Heatpulse 4100 3-6 “ 7400-0111-01
644 AG Associates Quartz Tray, 7400-0133-03 / 7100-1844-01C
645 AG Associates Quartz Tray, 7400-0133-03 / 7100-1844-01C
646 AG Associates Quartz Tray, Standard For 8 Inch
647 AG Associates Quartz Tube 7400-0023-01G 6 Inch
648 AG Associates Quartz Tube 7400-0100-05J
649 AG Associates RMS Analog Card PCA 7100-5209-01-A AWW-10-2-6-004
650 AG Associates Steag 2908-0044-01 Flower Meter Rate-Master Dwyer ID-AWM-A-3-5-008
651 AG Associates Steag 5108-008-01 Pressure Control FIO 3 Flow ID-AWM-A-3-5-010
652 AG Associates Steag 7100-0881-02 ? Kobold Type 41R57 Flow Meter ID-AWM-A-3-5-009
653 AG Associates Steag Ast 4000-0009-01 DC Power?  ID-AWM-A-3-5-007 /-7-002
654 AG Associates Steag Ast 5102-0025-01 Main Contactor ID-AWM-A-3-5-006
655 AG Associates Steag Ast 83300016 Siemens 3TK28 04-0BB4 ID-AWM-A-3-7-003
656 AG Associates Steag Ast Elektronik 5103-9036-01 Switch,pressure ID-AWM-A-3-5-005
657 AG Associates Steag Ast Elektronik 7310-9423-01 Pressure Meter  ID-AWM-A-3-5-007
658 AG Associates Steag Ast Elektronik Universal Adhesive 99300009 ID-AWM-A-3-5-004
659 AG Associates Steag Tric Plate Assembly ID-AWM-A-3-6-001
660 AG Associates Steag Tric Plate Assembly ID-AWM-A-3-6-002
661 AG Associates Steak RTP System 7310-9733-01 P1 Tube , Quartz , Steam Output
662 AG Associations 4502-0228-01 NUPRO 6L-MV2858-11 Valve
663 Agilent E5940B #300 /E5840B  TracePort Analyzer ID-AWW-8-2-3-002
664 Agilent HFBR 5903e 0105 Made In Malaysia AWM-B-4-5-017
665 Air Applitec Inc Model F236S ,AG Associates Mattson Blower AWD-D-1-5-001,-4-001
666 Air Products ANSI B40.1 Pressure Meter 2.5” 0-1400kpa Cajon N-70 AWM-F-2-4-001
667 AIR Velocity Meter Model 440 ID-AWM-F-1-002
668 Airco Temescal FC-1800 BOC Coating Technology Programmable Sweep ID-D-EV001-5
669 Airco Temescal FC-1800 Controller ID-D-EV001-2
670 Airco Temescal FC-1800 CV-8 High Voltage Control  ID-D-EV001-7
671 Airco Temescal FC-1800 CV-8 High Voltage Control ID-D-TRACK-2-001
672 Airco Temescal FC-1800 Evaporator
673 Airco Temescal FC-1800 Evaporator
674 Airco Temescal FC-1800 Gun Control 1   ID-D-EV001-8
675 Airco Temescal FC-1800 Gun Control 1 ID-D-TRACK-2-002
676 Airco Temescal FC-1800 Inficon IC 6000 ID-D-TRACK-2-003
677 Airco Temescal FC-1800 Inficon IC/5 Deposition Controller ID-D-EV001-3
678 Airco Temescal FC-1800 Leak Check Sensitivity Varian ID-D-EV001-12
679 Airco Temescal FC-1800 Manual Vale Control ID-D-EV001-10
680 Airco Temescal FC-1800 MKS HPS Products 937A Gauge Controller ID-D-TRACK-2-007
681 Airco Temescal FC-1800 Shutter Control Boc Coating Technology ID-D-EV001-9
682 Airco Temescal FC-1800 Substrate Heat ID-D-EV001-11
683 Airco Temescal FC-1800 Varian 843 Vacuum Ionization Gauge  ID-D-TRACK-2-006
684 Airco Temescal FC-1800 Varian Accessory Control ID-D-TRACK-2-005
685 Airco Temescal FC-1800 Varian Vacuum Process Control 980-6100 ID-D-TRACK-2-004
686 AIRPAX Cambridge MD USA Circuit Breaker 1 Point Unit  AIRPAX M 209 LR26229
687 Airproducts 809-451247704A SST Gas Tube ID-AWS-021
688 Airproducts 809-470172904A SST Gas Tube ID-AWS-021
689 Aixcon Surface GSNX No. 94-3075 Part No. 1700.2021 Date 04/2000
690 AJA International Model ST-4510 Sputter Cathode With Target 7x12x1.5 Inch
691 AJA International Sputter Cathode
692 AJA International Sputter Cathode Part
693 AJA Sputtering Cathode With Target . Not Sure Of The Material DZ-6-004
694 AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-001
695 AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-002
696 AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-003
697 Alarm Lamps Frame For AG Associates Heatpulse
698 Alcatel OME 40 C2
699 Alcatel-Annecy Ty 5402 C15 Turbo Pump ? ID-DZ-7-006
700 Alicat Mass Flow Meter, MS-10SLPM, Gas H2S
701 Allwin21 AW-ETCH-105-002 21-0009-002 /2100-0105-002 K PCB
702 ALPHA Systems Lab Inc MBA-WV1-F PCB-WV1-F FCC ID JSQASLWACJJMP1 AWW-10-2-7-020
703 ALPHA Systems Lab Inc MBA-WV1-F PCB-WV1-F FCC ID JSQASLWACJJMP1 AWW-10-2-7-021
704 ALPHA Systems Lab Inc MBA-WV1-F PCB-WV1-F FCC ID JSQASLWACJJMP1 AWW-10-2-7-022
705 Alpha? MBA-WV1-F FCC ID JSQASLWACJJMP1 JAX-8228 Clone Cirrus AWW-10-2-16-006
706 ALPHANUMERIC FLUORESCENT DISPLAY FLIP 03601-22-040, INDUSTRIAL ELECTRONI ENGINEE
707 Altech Corp ABLsursum  38-2826 3BU32 B32A Zone21  ID-AWM-D-3-1-001
708 Aluminum Chamber For AG Associates Heatpulse 4100 Heatpulse 610  RTP
709 Aluminum Chamber For AG Associates Heatpulse 8108, 8800, 4108 RTP
710 Aluminum Chamber For AG Associates Heatpulse 8108, 8800, 4108 RTP -2
711 Am27128DC 8411LPP AMD , Original For TEGAL 901e Tegal 903e Tegal Etcher
712 AMAT 0020-04018 Rev G NDM-176-041 Chuck ID-AWM-D-2-1
713 AMAT AMP-3300 PECVD
714 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-002
715 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-003
716 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-004
717 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-005
718 Amperex 4-400A 97-12  ID-AWS-011
719 Analog Board AG Associates Heatpulse PCB 9800-0310 REV E
720 Analog Board HP811204-01 REV 13 AG Associates Heatpulse PCB 9000-0290
721 Anelvi Vacuum Connector NW35 To 5/8 Inch Tube ID-AWS-026
722 Antec 1.6
723 AO American Optical  Model 572 2x W/ 2 Of Nikon CFWE 10xA/18 ID-AWW-7-2-4-001
724 AP1002S 2PW FV4 FV4 APTech Regulated Valves
725 AP1002S 2PW MV4 MV4 APTech Regulated Valves
726 AP1101SHM 2PW FV4 MV4 4.00 APtech Regulated Valve
727 AP1410SM 2PW FV4 MV4 APTech Regulated Valves
728 AP1510S 5PW SG Valve,HPI Valve SEMI 01-0241-B Pressure Meter
729 AP3625S 2PW FV4 FV4 APTech Valve
730 AP3625S 3PWD FV4 FV4 MV4 ASGT (5) ,AP1510S 5PW AL APTech
731 AP3625SM 2PW FV4 FV4 APTech Valve
732 AP3625SM 2PW FV4 FV4 APTech Valve
733 Applied Materials AMAT Automatic Temperature Control 600369 ID -AWM-B-4-2-004
734 Applied Materials Plasma II Plasma Etching
735 APS-B-2710-10 PCB Contactor Relay Driver Applied Materials AMAT PCB
736 APT Applied Process Technology Steamboat Semiconductor Developer Wet Process
737 APtech AP1506S 4PW MV4 FV4 FV4 BO Pressure Regulator Silane ID-AWS-20
738 APTech AP3625S 2PW FV4 FV4 AWM-C-4-7-001
739 APTech AP3625SM 2PW FV4 FV4 AWM-C-4-7-002
740 APTechAP 3550SM Or  AP3550S  2PW FV4 FV4 AWM-C-4-8-001
741 ARM Controller Board Assy 06764-002 For Gasonics Aura 2000-LL AWD-D-2-8-12-011
742 ARM Controller Board Assy 06764-002 For Gasonics Aura 2000-LL AWD-D-2-8-3-002
743 ARO BRYAN 127122-000 Valve, Gas Line With Pressure Meter Plastic Tube
744 ASCO Red-hat SCB262G91VH Valve Automatic Switch Co
745 ASCO Scientific AL374 VI30PSI 5102 24VDC
746 ASFH34x214 RE Compact Air Products For AG Asscoiates Heatpulse ,7200-1114-03 C
747 ASFH34x214 RE SRCE 18NPT And 7200-1224-03C For AG Asscoiates Heatpulse
748 ASGT American Speciality Gas Technology S/n 4104 Gas Controller AWM-D-3-2-003
749 ASM/A Plasma Enhanced Deposition System Control Cabinet Control Box, ASM AMERICA
750 Assembled In Mexico AIRPAX AG  Associates 5102-0021-01
751 Assembled In Mexico AIRPAX Line 9309 AG  Associates 5102-0038-01
752 Assembled In Mexico AIRPAX Line AG  Associates 5102-0034-01
753 Assembled In Mexico AIRPAX Line LR26229 AG  Associates 5102-0033-01
754 Associated Vacuum Technology Lab-Line 3625 With LAB-LINE   SQUAROID Duo-VAC Oven
755 ASSY 001-0084-01 IO BOARD 10083 REV B
756 Assy 00200-AD01 REV B ( 14907-01 / 14908-01 / 14909-01 PCB )
757 ASSY 03-0172-400 REV B 3C905B-TX-WOL
758 Assy 10090 Motor Driver 10089 Rev A
759 Assy 10092 Motor Driver 10091 Rev A
760 Assy 108811 D/C CCD 108810 D Z80 Processor For Lam AutoEtch AWD-D-1-1-7-011
761 Assy No.5000 REV C ELDEX P/N 4909 REV C. Gasonics 68-0131?
762 ASTECH Model Automatic Matching Controller RC-10U ID -AWM-B-4-1-003
763 Asyst Mini environment Cassette
764 Asyst Mini environment Cassette, 6 Inch
765 Asyst Mini environment Cassette, 6 Inch
766 ASYST SAM-4410 SAM 4410 Gasonics Aura 3010 ,3000, L3510 AWD-D-3-1-14-004
767 ASYST TECHNOLOGIES Minienvironment for Gasonics Aura 3010, Gasonics L3510
768 Asyst Technologies Polaron Film Thickness Monitor E5500 ID-AWS-K-1-007
769 Automation Unlimited PCB 25013-6
770 Autonics AC Ampere Meter M4W-A ID-AWM-A-3–4-018
771 AW-105-0019 Rev B Matrix TRANSPORT INTERFACE 21-0009-0105-019
772 B09755 Quartz, Gas Ball Quartz For Branson IPC 3000, 2000, 4000 Series Asher
773 B09757 Quartz TRANSUCER VACUUM FITTING For Branson IPC 3000, 2000, 4000 Series
774 B10465 Quartz CUP JOINT EXHAUST GAS For Branson IPC 3000 2000 4000 Series Asher
775 B5-3.0 OVP Condor Inc Power Supply
776 Banner Modulated Photoelectric Amplifier MA3 AWD-D-3-1-5-012
777 Barnstead Thermolyne pM-512 ID-AWS-K-1-004
778 Battery Backup Unit For AG Associates Heatpulse
779 Bausch & Lomb Transformer Cat No 31 35 28 AWM-F-2-1-001
780 Bausch&Lomb Microscope StereoZoom 7  1.0-7.0x With 2 Of 10x W.F. AWW-6-3-013
781 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  1-7x ID-AWW-7-2-1-001
782 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  1-7x ID-AWW-7-2-1-002
783 Bausch&Lomb Microscope W/ StereoZoom 4 0.7x-30x AWW-6-2-4-006
784 Bausch&Lomb Microscope W/ StereoZoom 4 Zoom 200M  0.7x – 3x ID-AWW-7-2-2-002
785 Bausch&Lomb Microscope W/ Zoom 200M  1-7x ID-AWW-7-2-2-001
786 Bausch&Lomb Microscope Zoom 1x-7x , 2 Of 10x W.F. Stered ID-AWW-7-2-4-004
787 Bay A.T. BES-4243 BES 4243 BES4243 AWM-B-4-5-011
788 Bay A.T. Gas Distributor PCB AWM-G-E-2-011
789 Bay Pneumatic Inc BES-530-8-PCB 80-095-255. (?) PWK52486 AWM-B-4-5-009
790 Bay Seal Co $32240-325-WS6$ MIO-MS-R-325-W-404 ID-DW-6-4-2-001
791 Bay Seal Co ? American Variseal R40-214-W99S ID-DW-6-4-2-012
792 Bay Seal Company Part Number 2-383V75 O-ring Viton 75d For STS ICP
793 Bay-Tec 80-055-437 For Tegal? ID-AWS-K-2-002
794 Beaker 1000ml 8900-752 Kimax Kimble No 14030
795 Beaker 2000ml VWR 10536-518
796 Beaker 200ml
797 Beaker 4000ml Kimax Kimble No 14005
798 Beaker 400ml
799 Beaker 600ml Pyrex No 1000 (3 PC) VWR 89000-224 (2 PC)
800 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates
801 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates
802 Belkin Pro Series PC Compatible CGAVGA/Serial Mouse F2N209-06 Cable AWW-10-2-2
803 Bellow  For  Branson/IPC  Asher (?) ID-AWS-k-5-1-004
804 BF-006 IHC24-2.4 11944 International Power DC Power Supplies
805 BIMBA D-17469-A-8 Plastic Filter? For Gasonics Aura 3010 3000 AWD-D-3-1-10-002
806 BIMBA D-17469-A-8 Stainless Filter? For Gasonics Aura 3010 3000  AWD-D-3-1-9-001
807 BIMBA D-17469-A-8 Stainless Filter? For Gasonics Aura 3010 3000 AWD-D-3-1-10-001
808 Black-Ray Longwave Ultraviolet Lamp ID-AWS-L-1-3-003
809 B-line LR 78446 Power Box
810 Blue M OV-472A-2 38C-260C
811 Blue-white F-400 Flow Meter , Pressure Meter
812 Boc Edwards Spectron 5000 Helium Leak Detector Vacuum Pump 18 1.5
813 Bodine Minarik Electric NSH-12RG Motor ID-AWS-20
814 Bold Technologies 625 DTS Model 625D Dump Rinse Control ID-AWM-D-2-2-001
815 BOLD Technologies INC Batch Develop Station
816 Boonton Electronics Model 72BD Capacitance Meter Tester ID-AWW-8-2-4-002
817 Bosch&Lomb Microscope Sterea Star Zoom 0.7x-4.2 570 2 Of 15x ID-AWW-6-2-1-001
818 Box Power
819 Box Power, RF
820 Bracket For AG Asscoiates Heatpulse 4100
821 Branson 3000 Barrel Asher
822 Branson IPC 2000C Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean
823 Branson IPC 4000 Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean
824 Branson IPC B3003 Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean
825 Branson/IPC 2000/2 Plasma Asher
826 Branson/IPC 3000 Barrel Asher Etcher With 2000C Controller
827 Branson/IPC 3000 Plasma Etch/Asher system
828 Branson/IPC 3000C Controller
829 Branson/IPC 3100S Plasma System  Plasma Asher Plasma descum Dry Asher Dry Clean
830 Branson/IPC 4000 Controller
831 Branson/IPC 4150 Branson/IPC 2000 Branson/IPC 3000 Branson/IPC 3000S asher
832 Branson/IPC 843601188 A /843-601188 A Made In Japan DP 1240 C1
833 Branson/IPC Automatch Board PWA 804-13284-01 REV B
834 Branson/IPC Automatch Board PWA804-13284-01 B ID-AWM-E-5-2-005
835 Branson/IPC Automatch Board PWA804-13284-01 LL ID-AWM-E-5-2-006
836 Branson/IPC D2000 Controller For Branson/IPC 3001, 2000, 4001,3000 AWD-D-3-3-2
837 BRanson/IPC Display Driver 16498-01 B AWD-D-3-1-7-004 2/2
838 BRanson/IPC Display Driver 16498-01 B AWD-D-3-1-7-005 2/2
839 Branson/IPC Display Driver 16498-01 PCB AWD-D-3-1-8-001
840 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
841 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
842 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
843 Branson/IPC Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–7
844 Branson/IPC L2101 Barrel Asher Etcher With New PM9110 Controller , 5 Gases Box
845 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWD-D-1-10
846 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWD-D-2-1
847 Branson/IPC L3200 Plasma Asher , Descum, 8” 2 chambers Equipment AWD-D-2-2
848 Branson/IPC L3200 Plasma Asher Plasma Stripper AD-AWO-1-13
849 Branson/IPC L3200 RF Match
850 Branson/IPC Model Reactor Center S2005-11020 Barrel Asher Etcher
851 Branson/IPC PM 119 Generator
852 Branson/IPC PM 119 RF Generator
853 Branson/IPC PM-921C-TEMP Controller And DIONEX GAS Plasma Systems PM119-500 RF
854 Branson/IPC Power Distribution  PN 12255-G Branson/IPC 3001, 3000 AWD-D-3-3-3
855 Branson/IPC Power Distribution LP P/N 12255-G AWD-D-3-2-1
856 Branson/IPC Reactor Center PM-11020 Plasma  Asher Plasma Cleaner Plasma Descum
857 Branson/IPC Reactor Center S3003-1813 With 3000C Controller Plasma Asher Descum
858 Branson/IPC S2100T-11220 Plasma Asher Plasma Cleaner Dry Asher Plasma Descum
859 Branson/IPC S3000 Controller 1 Gas
860 Bridgeport Machines Inc J259536 W/ ACU•RITE III Series I 2HP  ID-NMA-013
861 Bridgeport Machines Inc J-99441 Model No 100991 ID-NMA-012
862 Broadway Networks Inc 35-00001-01 /35-00002-01/ 35-00003-01 AWM-G-4-1-007
863 Broadway Networks Inc 701-00001-01 No Components On The PCB AWM-G-4-1-006
864 Broadway Networks Inc 701–00002 iST 94V-0 0624 IM-0  AWM-G-4-1-002
865 Broadway Networks Inc 701-00003 AWM-G-4-1-005
866 Broadway Networks Inc 701–00006 06-4640 AWM-G-4-1-003
867 Broadway Networks Inc 701-00009-01 /0098-90AWM-G-4-1-002
868 Brooks Automation ? Model 101-0650 Model Frog Robot  ID-AWM-D-5-004
869 Brooks Automation ESC-200  Firmware Version V4.24A Robot Controller
870 Brooks Automation Model 4,666,36 4-666-36 Series No F-0974 ID-AWM-D-5-003
871 Brooks Automation Model NO 6100-87 PN 1085-0007 For Matrix 105 Matrix 106
872 Brooks Equipe PRI ASYST ESC-200 ? 12919-002 Robot Controller AWR-040-AA-9-002
873 Brooks Equipe PRI ATM-104-1 ? ATM-4456 Robot 810-04306R 3-AXIS 4 5 Inch AWR-020
874 Brooks Equipe PRI ATM-104-1 ? ATM-5200 Robot AWR-035
875 Brooks Equipe PRI ATM-104-1 ? Brooks 6-0002-0048-S Robot 3-AXIS 4 5 Inch AWR-022
876 Brooks Equipe PRI ATM-104-1 Robot  3-AXIS 4 5 Inch AWR-021
877 Brooks Equipe PRI ATM-104-1 Robot AWR-011
878 Brooks Equipe PRI ATM-104-1 Robot AWR-019
879 Brooks Equipe PRI ATM-104-1-S Beooks 6-0001-0513-SP  Robot AWR-018
880 Brooks Equipe PRI ATM104-1-S-CE-S293 / ATM-104-1-S-CE-S293 Robot AWR-025
881 Brooks Equipe PRI ATM-105-1-CE  Robot AWR-034
882 Brooks Equipe PRI ATM-105-1-CE P/N 45-014824 Robot AWR-012
883 Brooks Equipe PRI ATM-105-1-S-CE / ATM105-1-S-CE ? ATM-4740 Robot AWR-027
884 Brooks Equipe PRI ATM-105-1-S-CE / ATM105-1-S-CE Robot AWR-026
885 Brooks Equipe PRI ATM-107-1-S-CE / ATM107-1-S-CE ?ATM-1965 Robot AWR-028
886 Brooks Equipe PRI ATM—204-1-S-CE ATM204-1-S-CE AWR-024
887 Brooks Equipe PRI ATM-204-1-S-CE Robot AWR-041
888 Brooks Equipe PRI ATM-5430 Robot 3-AXIS AWR-023
889 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  I AWR-036
890 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  I/II AWR-038
891 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  II AWR-037
892 Brooks Equipe PRI Robot Controller ECS-200 AWR-040-AA-10-001
893 Brooks Equipe PRI Robot Controller ECS-200 AWR-040-AA-10-002
894 Brooks Equipe PRI Robot Controller ECS-200 AWR-040-AA-10-004
895 Brooks Equipe PRI Robot Controller ECS-200 Rev 2.0 AWR-040-AA-9-001
896 Brooks Equipe PRI Robot Controller ECS-200 V4.24A AWR-040-AA-10-003
897 Brooks Equipe PRI Robot Controller ESC-212 For ATM-100 AWR-040-AA-8-001
898 Brooks Equipe PRI Robot Controller ESC-218BT-S293 AWR-040-AA-9-003
899 Brooks Equipe PRI Robot Controller ESC-218V Firmware V4.4913AV AWR-040-AA-8-002
900 Brooks PRI Equipe Technologies 2-08-1004 Layer 1 PN 2002-0004 ? AWR-039-CC-1-005
901 Brounley Engineering Mode BE1113 13 Mhz RF Generator AWD-D-1-5-6-001
902 Brounley Engineering Mode BE1113 13.56 Mhz RF Generator AWD-D-1-5-6-002
903 Brounley Engineering Mode BE1113 13.56 Mhz RF Generator AWD-D-1-5-6-003
904 Bryant Locking Male Base TOMA CON TRABA BASE MACHO 70615MB
905 Bryant Midget Locking Male Base ,2 Pole 3 Wire Grounding 7595MB
906 BS CSP 140X190 448 S/N:05 /04/06
907 Burr-Brown TM25-300HT Farco ID-AWM-D-2-2-003
908 Burr-Brown TM25-300HT Farco ID-AWM-D-2-2-003-2
909 C&C Power INC Battery Disconnect Panel , Custom Engineered Power Products
910 C&K(6)CR A Series 2.5 A 125VAC Key
911 C04476  Window 12″ Reactor Quartz Window For Branson IPC 3000, 2000, 4000 Series
912 Cable  For Thin Film Deposition Equipment
913 Cable  With Fitting For Thin Film Deposition Equipment
914 Cable , Temp,For Thin Film Deposition Equipment
915 Cable 0001-00288-00 For Thin Film Deposition Equipment
916 Cable 0150-09514 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
917 Cable 1070-0140 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
918 Cable 1070-0181 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
919 Cable 1070-0193 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
920 Cable 16879-02 C Branson/IPC ? AWD-3-1-8-004
921 Cable 1766-01 C Branson/IPC ? AWD-3-1-8-005
922 Cable 96-0181 Rev A Z-BOT For Gasonics Aura 3010 AWD-D-3-1-15-004
923 Cable Branson/IPC?
924 Cable For Matrix 105 Matrix 106 Matrix 303, Matrix 403
925 Cable For Thin Film Deposition Equipment
926 Cable For Thin Film Deposition Equipment
927 Cable For Thin Film Deposition Equipment
928 Cable For Thin Film Deposition Equipment
929 Cable For Thin Film Deposition Equipment
930 Cable For Thin Film Deposition Equipment
931 Cable For Thin Film Deposition Equipment
932 Cable For Thin Film Deposition Equipment
933 Cable For Thin Film Deposition Equipment
934 Cable For Thin Film Deposition Equipment
935 Cable For Thin Film Deposition Equipment
936 Cable With Fitting For Thin Film Deposition Equipment
937 Cable With XUP-J203135 For Thin Film Deposition Equipment
938 Cable With XUP-J203135 For Thin Film Deposition Equipment
939 Cable/wire For Thin Film Deposition Equipment
940 Cables For Gasonics Aura 2000-LL AWD-D-2-8-12-012
941 Cajon VCR Fitting 316L-4-HVCR-3AS6 Lot 4 ID-AWS-026
942 Cal 9900 Temperature Controller ID-AWM-A-3–4-002
943 Caleb CM1.12.500-115 Power Supply AWM-F-2-4-005
944 Canon  Neutronix PLA501 Front & Back Aligner, 3 Wafer
945 Canon BH4-0223-03 BG6-0123 PCB06M For Canon pLA-501 F Mask Aligner
946 Canon BH4-0224-03 BG6-0124 PCB07 For Canon pLA-501 F Mask Aligner
947 Canon BH4-0225-03 BG6-0125 PCB08 For Canon 501 F Mask Aligner
948 Canon BH4-0226-02 BG6-0126 PCB09 For Canon 501 F Mask Aligner
949 Canon BH4-0226-03 BG6-0126 PCB09 For Canon 501 F Mask Aligner
950 Canon BH4-0227-04 BG6-0127 PCB10 For Canon 501 F Mask Aligner
951 Canon BH4-0227-04 BG6-0127 PCB10 For Canon 501 F Mask Aligner
952 Canon BH4-0228-02 BG6-0128 PCB11 For Canon pLA-501 F Mask Aligner
953 Canon BH4-0228-03 BG6-0128 PCB11 For Canon pLA-501 F Mask Aligner
954 Canon BH4-0310-01 BG6-0197 PCB For Canon pLA-501 F Mask Aligner
955 Canon BH4-0605-02 BG6-0586 PCB06F For Canon PLA-501 F Mask Aligner
956 Canon Neutronix PLA-545 UV Mask Aligner
957 Canon PLA-501 F Parallel Light Mask Aligner
958 Canon PLA-501 F Parallel Light Mask Aligner Crated, Canon 501 F Mask Aligner
959 Canon PLA-501 F Parallel Light Mask Aligner Crated, Canon 501 F Mask Aligner
960 Cassette Holder For Gasonics Aura 2000 Lam Rainbow 4420 4428 4520 AWD-D-1-5-4
961 Cassette Station 2AG, Klk Inc For AG Asscoiates Heatpulse 8108 Intel 1set
962 CBP8-XT LE-1-0 2399 REV 1.2 912000187 DIVERSIFIED RECHNOLOGY 6512000159
963 CC1-B0-24-425-34R-D
964 CE 454-8 Rev D VECTOR 3690-16 PCB
965 CE 4896 IDEG21 FCC ID EUD SU9 BRI4632 61216 16 PN4632 E128381 KE AWW-10-2-6-003
966 CECOMP Electronics Model F16DA400INH2OVAC 0-400.0 INH2OVAC Digital Pressure Gaug
967 Cecomp Falcon WMPSK Wall-mount Power Supply Kit 115VAC/12VDC
968 Century Electronics PN DM74S473AN +B8504, in ST071 package
969 Ceramic Parts For Lam Researcher? Varian? AMAT ? ID-AWS-003
970 Ceramic Ring 10.4335”OD X 1.6” Thk UHV Clean For STS ICP STS PRO ICP
971 Ceramic Ring For Matrix 302 Matrix 303 Matrix 403 Plasma Etcher AWD-D-1-1-5-002
972 Ceramic Ring Shield PN 1C7367  UHV Clean For STS ICP STS PRO ICP
973 CF6.75 to CF3.375 Adaptor zero nipple
974 CHA 2KW QT&E 1627 60 Hz 87-21 Transformer ID-DZ-7-007
975 CHA Industries Panel With Cables / Wires  For Thin Film Deposition Equipment
976 CHA Industries Thermal Evaporator System
977 CHA ION Tube Type IG100N AWD-D-1-4-4-003
978 CHALLENGER Boyar-Schultz , An Esterline Company, H612 Handfeed ID-NMA-019
979 Chamber 9995-99629, AMU1A-20 Trazar , 0101-0724 For Matrix 10  Asher AWD-D-3-4-1
980 Chamber Door Assy W/ BIMBA Cylinder, A01-173-02 A , A05-043-02 AWD-D-2-8-14-002
981 Chamber For Gasonics Aura 3010 Plasma Asher Plasma Clean AWD-D-2-3-002
982 Chamber Parts AWM-C-4-1-002
983 Chamber Parts AWM-C-4-1-003
984 Chamber Plate,mount ERP Pyrometer For Heatpulse 8108 ,8800,4108,8008
985 chamber Station Assembly, AG Associates Heatpulse 4100, Heatpulse 4100S
986 Chamber Top With Home Design Elevator For Gasonics Aura 2000LL AWD-D-2-8-8
987 Chamber Top With Home Design Elevator For Gasonics Aura 2000LL AWD-D-3-1-3-001
988 CHARMILLES GENEVE Type 430 SN 42501 ,made In Switzerland, ID-NMA-004
989 Chuck  For Canon 501 F Mask Aligner?
990 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-1
991 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-2
992 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-3
993 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-4
994 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-5
995 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-6
996 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-7
997 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-8
998 Chuck 5” ? For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-3-1
999 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-4-2
1000 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-4-3
1001 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-5
1002 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-6-1
1003 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-6-2
1004 Chuck Bottom  AWM-C-5-6
1005 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-1
1006 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-2
1007 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-3
1008 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-4
1009 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-5
1010 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-6
1011 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-7
1012 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-8
1013 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-9
1014 Chuck, Part Number 42-0298 (?) AWD-D-1-1-5-001
1015 CHUO SEIKI Microscope Station Zoom 0.75x-4.5x AWW-6-3-012
1016 CIT-ALCATEL RSV250 For Alcatel 113 Fomblin Y25/5 ?, W/ BBC HEUCST 90 S2 AWD-1-14
1017 CIT-ALCATEL RSV250 For Alcatel 113 Fomblin Y25/5 ?, W/ BBC HEUCST 90 S2 AWD-1-15
1018 CKD DC-24v Model AG3X
1019 Clamp For Quartz Connection For Branson/IPC 3000, 2000, 3100,4000,3001s
1020 Clamp For Quartz Connection For Branson/IPC 3000, 2000, 3100,4000,3001s
1021 Clippard AVT 32 12 Wasco For AG Asscoiates Heatpulse, 7300-0599-01 ,7300-0420-01
1022 Clippard Minimatic Lock-in 4-way R431 ID-AWS-011
1023 Clippard Pneumatic Cylinder Model UDR 08 2 MB Lot 8 Pc ID-AWS-026
1024 CLTC-4242-SM 18108-01-R-A, STK-2503-HV
1025 CLTC-5975-HV 18108-01-R-A
1026 CM192
1027 CM430890 F549105F PRX Made In Japan
1028 COHU MOD 1322-1000/0000 Camera ID-AWW-6-2-3-003
1029 Com Card For AG Associates RTP
1030 Comdel Inc CPS-1000-M 13.56MHz RF Power Source For Matrix 10 Asher AWD-D-3-3-4
1031 Component Side A/N290102-400C AWM-B-4-5-006
1032 Component Side B/N A/N290103-200 Rev-A AWM-B-4-5-003
1033 Compumotor M57-40-DO ID-AWM-F-1-001
1034 Compumotor M57-51 ID-AWM-F-1-001
1035 Computer Dynamics ID-AWW-8-2-1-002
1036 Connector Station For Gasonics Aura 3010 3000 Aura 2000LL? AWD-D-3-1-7-009 2/2
1037 Control Box Signal Box
1038 Cooltech Coolant Recharge Machine Robinair Model 25200B ID -AWM-A-4-004
1039 Copper 3 Inch Elbow ID-AWS-026
1040 Coppy MHY Bath 24 Liter,2 Bathes, Coppy MHY Plating Solution
1041 Corning Laboratory Stirrer Model PC-210
1042 Corning PYREX 3160-100BQ Dish , Culture, Petri, Bottom Only 100x10mm 8Pieces Let
1043 Corning PYREX 9985-100 Watch Glass 7740 Glass 8 PC/ Pack
1044 Corning PYREX 9985-150 Watch Glass 7740 Glass 7 Pieces Left
1045 Corning PYREX 9985-65 Watch Glass 7740 Glass 5 PC/ Pack
1046 Corning PYREX Disposable Glass Pipettes 15253677
1047 Corning PYREX No 7103C TC/TD 20C  25+/-0.3mL, 12PC Package
1048 Cotton Tip SWABS
1049 Cotton Tip SWABS SW896WC
1050 Cover For Thin Film Deposition Equipment
1051 Cover For Thin Film Deposition Equipment
1052 Cover, Cable With Sensor For Thin Film Deposition Equipment
1053 C-PT 5WZ49 spec 169830A, 2″ P1559LG, 100PSI/700KPA,1/4NPT CBM
1054 CTI-Cryogenics 8200 Compressor AWD-D-3-2-2
1055 Cutler-Hammer DH361UGK Power Box
1056 Cutler-Hammer DH361UGK Power Box
1057 CVC Power Supply For Temescal FC-1800 Evaporator DP-4-2
1058 CVC Products AST-601 Vacuum Sputter Deposition System
1059 CYBEX PC-COMPANION Plus With/without Cable 7100-2390-06 AG Associates Heatpulse
1060 CYBEX PC-COMPANION Plus With/without Cable 7100-3389-03 AG Associates Heatpulse
1061 Cylinder 10 ML  SP Scienceware Cylinder, PP, Graduated , 10ml
1062 Cylinder 100 ML  SP Scienceware Cylinder, PP, Graduated , 100ML
1063 Cylinder 1000 ML SP Scienceware Cylinder, PP, Graduated , 1000ml
1064 Cylinder 25 ML  SP Scienceware Cylinder, PP, Graduated , 25ml
1065 Cylinder 250 ML  SP Scienceware Cylinder, PP, Graduated , 250ML
1066 Cylinder 50 ML  SP Scienceware Cylinder, PP, Graduated , 50ML
1067 Cylinder 599 ML  SP Scienceware Cylinder, PP, Graduated , 500ML
1068 Data Transfer Switch  , ATP Cal, Terminal, SECS, Robot, AG Associates Heatpulse
1069 Data Transfer Switch  , Run And Teach Model , AG Associates Heatpulse
1070 Data Transfer Switch  , Run And Teach Model , AG Associates Heatpulse
1071 Data Transfer Switch  , Run Model, Teach Model, AG Associates Heatpulse
1072 Data Transfer Switch  AG Associates Heatpulse
1073 DATA Transfer SWITCH A B Run Model Teach Model AG Associates
1074 DCA F-35-1-25-0 Simpson Electric ID -AWM-F-1-028
1075 DCA F-45-1-25-0 Simpson Electric ID -AWM-F-1-027
1076 DCA Simpson Electric ID -AWM-F-1-028
1077 DE10002A208V Softswitching Used With SST Same Model ID-AWM-D-2-2-002
1078 Digital Equipment Corp 50-19588-01 A01 T 11292 , 54-19589-01 AWW-10-2-6-005
1079 Disco DFL 7160 Laser Saw
1080 Display Item CD 1011 -00301 With 99-125-004 /008 Tegal PCB AWM-G-5-1-011
1081 Dodge / Scan Estimator 24 Mark V Projection ID-NMA-024
1082 Door With Cylinder For Gasonics Aura 2000-LL AWD-D-2-8-5-003
1083 DT326005 MOD3 Uniformity Shield 75mm UHV Clean For STS ICP STS PRO ICP
1084 DT326005 Uniformity Shield 50mm UHV Clean For STS ICP STS PRO ICP
1085 DUNIWAY KF16 Flange 1/8″ KF16-1/8
1086 DUNIWAY KF25 Flange KF25-EL90
1087 DUNIWAY KF25 Flange, 1.00″ KF25-100 Socket Weld
1088 DUNIWAY KF40 Flange 1.00″ KF40-100
1089 DUNIWAY KF40 Flange KF40-TE
1090 DUNIWAY KF40 Flange, Flex Coupling, 20″ Long, KF40-FX20
1091 Duniway Metal Clamp ID-AWS-022
1092 Duniway Metal Clamp LF160-DCC LF200-DCC ID-AWS-023
1093 Duniway Metal Clamp LF160-SCC LF200-SCC ID-AWS-023
1094 Duniway Stockroom Corp GD-IGH-10-843 Bakeable Ion Gauge Cable For GP 270,271,280
1095 Duniway Stockroom Corp I-100-K Tungsten, 1” Kovar, Glass Ion Gauge Tube, New
1096 Duniway Stockroom Corp KF40-BCP
1097 Duniway Stockroom Corp Terranova Model 809 Diaphragm Gauge Controller Terra-809
1098 Duniway Stockroom I-075-K Glass Ion Gauge AWD-D-1-4-4-007
1099 Duniway Stockroom T-075-P Glass Ion Tube AWD-D-1-4-4-005
1100 Duniway Stockroom Thermocouple Vacuum Gauge Type 0531 TC Vacuum Gauge
1101 Dwyer VFC Series 690 Kpa 100 Psi ID-AWS-025
1102 Dynatex International DX-III Scriber Breaker ID-AWS-7-5
1103 E 113283 SPST Kobold Type 41R57 , 52-62 And 60-65
1104 E Assy 113261 Rev C Fab 113260c Teltec 01V 1591 21010003
1105 E Chuck 2 Inch For STS ICP STS PRO ICP
1106 E_T.N M4032 Assy No 1519540 B 1419540 PCB AWM-B-4-4-007
1107 E2K-C25MF1 Capacitive Proximity Switch Made In Japan
1108 EATON 7196-0018-0001 SST Tube Connector
1109 Ebara Control Panel T496680 Rate 2 DC24v ID-AWS-K-2-003
1110 Edwards   QDP 40 ? 80? With Blower 1250? AWR-030
1111 EDWARDS A590-00-945 IH80-MK5 200-208V 50 -60HZ
1112 Edwards Dry-Star Controller S1400 D37201000 ID -AWM-A-5-005
1113 Edwards High Vacuum Pump E2M40FSPX SN 3804
1114 Edwards IQDP40 Dry Pump
1115 Edwards Mechanical Booster Model EH250 For Vacuum Pump AWD-D-1-2-002
1116 Edwards Model 655 AB 100 Millitorr Pressure Transducer W655-16-611 ID-AWS-019
1117 Edwards Type Code A528-06-000 QDP Exhaust Pressure Module ID-AWM-D-2-3-001
1118 EG 1034 Wafer Probe Option D AWW-9-2-6-6
1119 EG 2001 Probe Wafer Chuck
1120 EICO Job #1321 Cypress/Mospro Motherboard R3 W/ Adaptor For HP4085B? AWW-6-3-011
1121 EISPAN 5626 A Label 23-0560 SPT200 Series
1122 EISPAN 5626 A Label 23-0560 SPT200 Series
1123 ELB Grinders Corp Type SPA 2040-ND , No: 16847058 , SCHLIFF ID-NMA-005
1124 ELB Grinders Corp Type SWBE 010NPC-K , No: 209030489, SCHLIFF ID-NMA-006
1125 Electro Craft Servo Products E-652-0 9092-0004 AMPL ID-AWM-D-1-005
1126 Electro Powerpacs Model 1113  Vickers Instruments AWM-F-2-1-003
1127 Electro Powerpacs Model 1173 E.Leitz Model 05026 AWM-F-2-1-002
1128 Electro-craft Servo Products Model E-652-0 Part No 9092-0004 AMP AWM-F-2-2-001
1129 ElectroGlas 05024036 244273-001 H/ CRT Conteoller Assy 244274-001 AWW-10-2-6-020
1130 Electroglas 2001X Inker / Probe Station with Navitar- Motorized Zoom Control Box
1131 Electroglas 2001X Wafer Probe
1132 Electroglas 2001X Wafer Probe
1133 Electroglas 2001X Wafer Probe
1134 Electroglas 2001X Wafer Prober
1135 ElectroGlas 28V Solenoid Drivers Assy 114824-001 Rev A WP86041959 AWW-10-2-7-001
1136 Electroglas 4090u+ Wafer Probe
1137 ElectroGlas A1 AssY GMS6529-01-C Floppy/Winchester , Controller AWW-10-2-7-007
1138 ElectroGlas A1 CPU 020 Assy 251411-002 REV E FAB 251410-001 A AWW-10-2-7-008
1139 ElectroGlas A1 CPU 020 Assy 251411-002 Rev E FAB 251410-001 B AWW-10-2-7-016
1140 ElectroGlas A1 Memory BD Assy 244842-001 Rev B FAB 244841-001 A AWW-10-2-7-011
1141 ElectroGlas A1 Power DAR Assy 244425-001 Rev L AWW-10-2-16-001
1142 ElectroGlas A1 System Memory Assy 248981-001 C FAB 248980-001!A AWW-10-2-7-019
1143 ElectroGlas A1 System Memory Assy 248981-001 Rev A FAB 248980-001 AWW-10-2-7-012
1144 ElectroGlas A1 System Memory Assy 248981-002 C R? FAB 248980-001 AWW-10-2-7-010
1145 ElectroGlas A1 System Memory Assy 248981-002 Rev C FAB 248980-001 AWW-10-2-7-013
1146 ElectroGlas A2 X80112234 MET/ENG DAR 10220008, 100863B/100863J AWW-10-2-6-014
1147 ElectroGlas A3 CPU BD Assy 244888-001 C FAB 244889-001 A 21037001 AWW-10-2-7-009
1148 ElectroGlas A3 CPU BD Assy 244888-001 Rev K FAB 244889-001 Rev C AWW-10-2-7-014
1149 ElectroGlas A3 CPU BD Assy 244888-001 Rev L FAB 224889-001 C AWW-10-2-7-018
1150 ElectroGlas A3 X80101206 MET/ENG DAR RON1685 100863B/100863J AWW-10-2-6-013
1151 ElectroGlas A4 Assy 100254-E Teltec X80061992 FAB 100253 Rev C AWW-10-2-6-012
1152 ElectroGlas A4 Assy 244288-001 ,21031002, 244287 Rev D AWW-10-2-5-006
1153 ElectroGlas A4 Tester Interface 244288-001 Rev A A 21010039 AWW-10-2-6-018
1154 ElectroGlas A4 Tester Interface 244288-001 Rev A D 21009086 AWW-10-2-6-017
1155 ElectroGlas A4 Tester Interface 244288-001 Rev A D 21012056 AWW-10-2-6-016
1156 ElectroGlas A5 Theta Z Function II  Assy 245023-001 Rev F AWW-10-2-5-005
1157 ElectroGlas A5 Theta Z Function II Assy 245023-001 K AWW-10-2-13-008
1158 ElectroGlas A5 Theta Z Function II Assy 245023-001 k AWW-10-2-13-010
1159 ElectroGlas A5 Theta Z Function II Assy 245023-001 M AWW-10-2-13-009
1160 ElectroGlas A5 Theta Z Function II Assy 245023-001 R AWW-10-2-13-007
1161 ElectroGlas A5 Theta Z Function II Assy 245023-001 Rev D AWW-10-2-13-004
1162 ElectroGlas A5 Theta Z Function II Assy 245023-001 Rev H AWW-10-2-13-002
1163 ElectroGlas A5 Theta Z Function II Assy 250262 AWW-10-2-13-005
1164 ElectroGlas A5 Theta Z Function II Assy 250262-001 Rev D AWW-10-2-13-003
1165 ElectroGlas A5 Theta Z Joystick Assy 250262 AWW-10-2-13-011
1166 ElectroGlas A5 Theta Z Joystick Assy 250262 AWW-10-2-13-012
1167 ElectroGlas A5 Theta Z Joystick Assy 250262 H AWW-10-2-13-006
1168 ElectroGlas A6 Material Handling Logic Assy XA6A 244636-002 H AWW-10-2-13-001
1169 ElectroGlas A8 AssY GMS6529-01-C Floppy/Winchester , Controller AWW-10-2-7-006
1170 ElectroGlas A8 AssY GMS6529-01-C Floppy/Winchester , Controller AWW-10-2-7-017
1171 ElectroGlas Assy 100257 FAB 100256 X9121653 Extension Board ? AWW-10-2-16-002
1172 ElectroGlas Assy 250262, P95197 THETA Z JOYSTICK AWW-10-2-6-015
1173 ElectroGlas CRT Conteoller Assy 947271 TB008 FAB 947272 AWW-10-2-6-021
1174 ElectroGlas CRT Controller Lamp Driver Assy 250259-001 C AWW-10-2-4-006
1175 ElectroGlas CRT Controller Lamp Driver Assy 250259-001 C AWW-10-2-5-010
1176 ElectroGlas CRT Controller Lamp Driver Assy 250259-001 C AWW-10-2-5-011
1177 ElectroGlas EG 1034 PC Upgrade Board  AW-1034-001 AWW-10-2-14
1178 ElectroGlas EG 1034 PC Upgrade kit AWW-10-2-10
1179 Electroglas EG 1034 Prober
1180 ElectroGlas EG 1034 Upgrade Board 21-0004-1034-003’AW-1034-003 Ver E AWW-10-2-12
1181 ElectroGlas EG 1034 Upgrade Board S2100-1034-005 AW-1034-005 Ver 9.0 AWW-10-2-11
1182 ElectroGlas EG 1034 Upgrade kit S2100-1034-006 AW-1034-006 Ver 9.0 9AWW-10-2-8
1183 ElectroGlas EG 1034 Upgrade kit S2100-1034-006 AW-1034-006 Ver 9.0 9AWW-10-2-9-1
1184 ElectroGlas EG 1034 Upgrade kit S2100-1034-006 AW-1034-006 Ver 9.0 9AWW-10-2-9-2
1185 ElectroGlas EG 2001 EG2010 PSM-C Power Supply Module  AWW-10-4-4
1186 ElectroGlas EG 2001 Wager Probe Disk Driver AWW-10-3-7
1187 ElectroGlas EXTENDER Assy 100024  ,X603373, FAB 100023 Rev b AWW-10-2-6-027
1188 ElectroGlas EXTENDER Assy 100028 , X80041041 , FAB 100027 Rev b AWW-10-2-6-025
1189 ElectroGlas EXTENDER Assy 100028 , X80102172, FAB 100027 Rev b AWW-10-2-6-024
1190 ElectroGlas EXTENDER Assy 100028 , X82042939, FAB 100027 Rev b AWW-10-2-6-023
1191 ElectroGlas EXTENDER Assy 100257 , 11180471, FAB 100256 Rev b AWW-10-2-6-026
1192 ElectroGlas Keyboard Assy 244294-001 D , 21033016, WP9050620 AWW-10-2-6-022
1193 ElectroGlas Memory BD Assy 244842-xxx FAB 244841-001 A Teltec AWW-10-2-7-015
1194 Electroglas Model 3001X EG 3001X Wafer Probe
1195 Electroglas Model 3001X EG 3001X Wafer Probe
1196 ElectroGlas PL TEMP LOGIC MUX Assy 246713-001 Rev K  AWW-10-2-6-019
1197 ElectroGlas PRE-Align Driver Assy 244639-002 Rev K FAB 244638-001 AWW-10-2-7-003
1198 ElectroGlas Theta Z Inker Deivers Assy 244736-001 Rev F AWW-10-2-7-002
1199 ElectroGlas Theta Z Inker Drive Controller II Assy 948775 AWW-10-2-7-004
1200 ElectroGlas Theta Z Inker Drive Controller II Assy 948775-002 E AWW-10-2-7-005
1201 ElectroGlas Wafer Probe 100936 Assy C 100935 FAB, AWW-10-2-5-008
1202 ElectroGlas Wafer Probe X80092111 100936 Assy E 100935 FAB, AWW-10-2-5-009
1203 ElectroGlas Wafer Sense Interface Assy 247204-001 Rev E AWW-10-2-6-008
1204 Electroplating Engineers Of Japan LTD EEJA Manual Plater Model #4
1205 Electrothermal Cat No 228 Power Regulator AWM-F-2-1-005
1206 ELOGRAPHICS 170020 , ASSM 002201
1207 ELOGRAPHICS Inc E271-2201 PCB P/N 170020 Rev B ,Assm P/N 002201 A AWW-10-2-6-010
1208 ELOGRAPHICS INC PCB P/N 170020 REV B ASSM PN 002201
1209 ENI Model VL-400M1 Phase Shift Controller For STS ICP Equipment
1210 ENI OEM-25 RF Generator  Solid State Power Generator DP-4-1
1211 Enterprise Systems 10764-UMC PN 706015 A/W 900178 AWD-D-1-1-7-010
1212 Equipe Pre-Aligner I/O Control Board Ver 2C 2002-0066 2008-1026 AWR-039-CC-6-001
1213 Equipe PRI ATM-104 For AG Associates Heatpulse 4100 4108 8108 Robot AWR-013
1214 Equipe PRI ATM-104-1 ?ATM-4394 For AG  Associates Heatpulse 8108 Robot AWR-017
1215 Equipe PRI ATM-104-1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR-014
1216 Equipe PRI ATM-104-1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR-015
1217 Equipe PRI ATM-104-1 For AG Associates Heatpulse 4100 4108 8108 Robot AWR-016
1218 Equipe PRI Brooks Automation Robot ATM-105
1219 Equipe PRI Brooks Controller Module AWR-039-BB-3-2-001
1220 Equipe PRI Brooks Controller Module AWR-039-BB-3-2-002
1221 Equipe PRI Robot Controller ECS-100 4100-0068-019 AG Associates ID-HG-5-001/2/3
1222 Equipe PRI Robot Controller ESC-100 Frame For Heatpuls 4100 AWR-040-AA-5-001
1223 Equipe PRI Robot Controller ESC-100 Frame For Heatpuls 4100 AWR-040-AA-5-002
1224 Equipe PRI Robot Controller ESC-200 Frame And Components AWR-040-AA-7-003
1225 Equipe PRI Robot Controller ESC-210 Frame V4.24A And Components AWR-040-AA-6-002
1226 Equipe PRI Robot Controller ESC-210 Frame V4.24A And Components AWR-040-AA-6-003
1227 Equipe PRI Robot Controller ESC-212 AWR-040-AA-7-001
1228 Equipe PRI Robot Controller ESC-212B-S293 Firmware V5.011AI AWR-040-AA-8-003
1229 Equipe PRI Robot Controller ESC-212B-S293 Frame And Components AWR-040-AA-6-001
1230 Equipe PRI Robot Controller ESC-218BT-FWS V4.5513A1SF Firmware AWR-040-AA-7-002
1231 ERB Industries INC Safety
1232 ETI 4336K  Glass Ion Gauge AWD-D-1-4-4-009
1233 ETI 4336TN Glass Ion Tube AWD-D-1-4-4-004
1234 ETN 330002 Glass ION Gauge Tube AWD-D-1-4-4-001
1235 ETRI 133LP2182 115 VAC 13/12 W 170/150 mA 50/60 HzImpedance Protected
1236 ETRI Model 99XM 233-2662-57 Metron Technology AG Associates 2601-0030 Fan
1237 Eurotherm 101 Temperature Controller ID-AWS-019
1238 Eurotherm 810/ZCP/00/PL2/0-1200C/SPS/s  SS2F60P14 Platinell II ID-AWM-F-1-010
1239 Eurotherm 820/4-20MA/00/PL2/0-1200C ID -AWM-F-1-026
1240 Eurotherm 91e Temperature Control Control ID -AWM-F-1-031
1241 Eurotherm 91e Temperature Control Control ID -AWM-F-1-032
1242 Eurotherm EFit By Schneider Electric PN 70727224 3700095947 EFIT/50A/240V
1243 Evaporators Parts For Thin Film Deposition Equipment
1244 Evaporators Parts For Thin Film Deposition Equipment
1245 EWAL Valve
1246 EWAL Valve
1247 EWAL Valve (Red Covers)
1248 EWAL Valve Span Pressure Meter SST Tube Gas Line
1249 Extender Board Logic Assy 114473-001 Rev B Teltec 21010004 Fab 114472-001
1250 Extender Memory 62-0002-00 5-10930 PCB AWM-B-4-4-009
1251 Fan For AG Associates Heatpulse , ETRI Model 99XU 99XU2181000 208/240V 50/60Hz
1252 Farco S.A. CH2400 LE Locle  Thermode ID-AWM-D-1-006
1253 Farnell AF350M2S3H3S2X AF350 DC Power? ID-AWM-D-2-4-001
1254 FC-1800  CTI-CRYOGENICS Cryopump Relief Valve Filter PN 8044112 . ID-DQ-1-1-007
1255 FC-1800 Evaporator 6 Inch Wafer Holder Station. ID-DQ-1-1-004
1256 FC-1800 Evaporator Parts In A Lot . ID-DQ-1-1-006
1257 FC-1800 Evaporator Shuttle ? Shields? Shielding . ID-DQ-1-1-005
1258 FC-1800 Evaporator Wafer Holder Station. ID-DQ-1-1-003
1259 FC-2900MEP HE 200 SCCM MFC Tylan 2900 Series
1260 FC-2900V SF6 50 SCCM MFC Tylan 2900 Series
1261 FC-2950MEP5 N2 200 SCCM MFC Tylan 2900 Series
1262 FC-7800CD 29A1308 HE 1000 SCCM MFC Aera
1263 FCC ID B9482335 A1-9424 HP 82335-60001 Rev B 82335-80001 3345 AWW-10-2-4-008
1264 FCC ID EJMNpDBACH4 E139761 668061-005 668062-005 689661-003 AWW-10-2-4-007
1265 FCC ID IDW87082034 1580 Rev E PCB REV D Paradise 61-603646-000 AWW-10-2-7-023
1266 FCC ID J59453-2S1P1G 50090410 AWW-10-2-5-004
1267 Feedthrough Parts For Thin Film Deposition Equipment
1268 Feedthrough Parts For Thin Film Deposition Equipment
1269 Feedthrough Parts For Thin Film Deposition Equipment
1270 Feedthrough Parts For Thin Film Deposition Equipment
1271 Feedthrough Parts For Thin Film Deposition Equipment
1272 Feedthrough Parts For Thin Film Deposition Equipment
1273 Feedthrough Parts For Thin Film Deposition Equipment
1274 Feedthrough Parts For Thin Film Deposition Equipment
1275 Feedthrough Parts For Thin Film Deposition Equipment
1276 Felker USA 6×4 OD 12GA 304L A774 HT #460350 HT-0
1277 Ferraz Shawmut 67662 PDB 2 Pole PRI 350-#6 TORQUE 275
1278 Filter Concepts 1146 3x40A 250 VAC 50/60 HZ
1279 Filter Concepts 2065 3X100A 250 VAC 50/60 HZ
1280 Filter Concepts 3H60 F 3X60A 277/480 VAC 50/60 Hz
1281 Filterchem Plastic Valve PR-75-2 ID-AWS-20
1282 Finite 500 Psi Filter 3/8 NPT Coalescing HSG HN15L-6CN ID-AWS-018
1283 Fire Sentry Corp SS2-1 Model ID-AWM-D-2-6-001 And AWM-F-2-2-004
1284 Fisher Scientific Chiller
1285 Fitting
1286 Fittings
1287 Fixtures For Thin Film Deposition Equipment
1288 Fixtures For Thin Film Deposition Equipment
1289 Fixtures For Thin Film Deposition Equipment
1290 Flange 01-4723 for Branson/IPC  Asher (?) ID-AWS-k-5-1-001
1291 Flat Aligner ,Manually
1292 Flat Aligner ,Manually
1293 Flexilux 90 HLU 2987  ID-AWM-D-2-6-002
1294 Flotect Flower Switch V6EPBSSLF B2DA1026 Honeywell Skinner Valve GE CR104PXG48
1295 Fluke 2176A Multi-point Digital Thermometer ID-AWW-8-2-3-005
1296 Fluke 8024B Multimeter AWW-10-2-1-002
1297 FLUKE 8050A Digital Multimeter AWW-10-2-1-008
1298 FLW 203A-3 , SN Plasma-therm Inc ID-AWM-F-1-015
1299 For Tegal 901e Tegal 903e ? Gas Line ID-AWS-032-002
1300 For Tegal 901e Tegal 903e ? Gas Line ID-AWS-032-003
1301 For Tegal Equipment PN 80-041-000 Spring
1302 For Tegal Equipment PN 80-041-001 Graphite Control 10548338
1303 For Tegal Equipment PN 80-041-003 Graphite Controls 10548312
1304 For Tegal Equipment PN TGL-42-100-001
1305 For Tegal Equipment PN TGL-42-185-003
1306 For Tegal Equipment PN TGL-42-271-005
1307 For Tegal Equipment PN TGL-42-281-001
1308 For Tegal Equipment PN TGL-42-282-002
1309 For Tegal Equipment PN TGL-42-282-003
1310 For Tegal Equipment PN TGL-42-285-002
1311 For Tegal Equipment PN TGL-42-293-001
1312 For Tegal Equipment PN TGL-42-307-001
1313 For Tegal Equipment PN TGL-42-328-002
1314 For Tegal Equipment PN TGL-80-055-048
1315 For Tegal Equipment PN TGL-80-080-028
1316 For Tegal Equipment PN TGL-80-080-029
1317 For Tegal Equipment PN TGL-80-080-031
1318 For Tegal Equipment PN TGL-80-080-036
1319 For Tegal Equipment PN TGL-80-080-038
1320 For Tegal Equipment PN TGL-80-080-041
1321 For Tegal Equipment PN TGL-80-080-054
1322 For Tegal Equipment PN TGL-80-080-085
1323 For Tegal Equipment PN TGL-80-080-093
1324 For Tegal Equipment PN TGL-80-127-167
1325 For Tegal Equipment PN TGL-80-127-183
1326 For Tegal Equipment PN TGL-80-127-262
1327 For Tegal Equipment PN TGL-80-127-273
1328 For Tegal Equipment PN TGL-80-127-321
1329 For Tegal Equipment PN TGL-80-127-325
1330 For Tegal Equipment PN TGL-80-127-343
1331 For Tegal Equipment PN TGL-80-127-350
1332 For Tegal Equipment PN TGL-80-127-361
1333 For Tegal Equipment PN TGL-80-127-362
1334 For Tegal Equipment PN TGL-80-127-363
1335 For Tegal Equipment PN TGL-80-127-364
1336 For Tegal Equipment PN TGL-80-127-365
1337 For Tegal Equipment PN TGL-80-127-366
1338 For Tegal Equipment PN TGL-80-163-004
1339 For Tegal Equipment PN TGL-80-163-005
1340 For Tegal Equipment PN TGL-80-163-006
1341 For Tegal Equipment PN TGL-80-165-002
1342 For Tegal Equipment PN TGL-80-165-003
1343 For Tegal Equipment PN TGL-80-165-004
1344 For Tegal Equipment PN TGL-80-165-005
1345 For Tegal Equipment PN TGL-80-165-008
1346 For Tegal Equipment PN TGL-80-165-014
1347 For Tegal Equipment PN TGL-80-165-025
1348 For Tegal Equipment PN TGL-80-165-026
1349 For Tegal Plasma Equipment PN TGL-40-003-002
1350 For Tegal Plasma Equipment PN TGL-40-005-001 O Ring
1351 For Tegal Plasma Equipment PN TGL-40-007-001
1352 For Tegal Plasma Equipment PN TGL-40-011-001
1353 For Tegal Plasma Equipment PN TGL-40-012-001
1354 For Tegal Plasma Equipment PN TGL-40-017-002
1355 For Tegal Plasma Equipment PN TGL-40-044-001
1356 For Tegal Plasma Equipment PN TGL-40-053-001
1357 For Tegal Plasma Equipment PN TGL-40-065-001
1358 For Tegal Plasma Equipment PN TGL-40-102-002
1359 For Tegal Plasma Equipment PN TGL-40-169-001
1360 For Tegal Plasma Equipment PN TGL-40-177-001
1361 For Tegal Plasma Equipment PN TGL-40-178-001
1362 For Tegal Plasma Equipment PN TGL-40-230-001
1363 For Tegal Plasma Equipment PN TGL-50-014-001
1364 For Tegal Plasma Equipment PN TGL-50-016-001
1365 For Tegal Plasma Equipment PN TGL-50-022-001
1366 For Tegal Plasma Equipment PN TGL-50-039-001
1367 For Tegal Plasma Equipment PN TGL-51-084-001
1368 For Tegal Plasma Equipment PN TGL-51-092-001
1369 For Tegal Plasma Equipment PN TGL-51-106-001
1370 For Tegal Plasma Equipment PN TGL-51-114-001
1371 Front Control Panel Display CD 1011 For Tegal 901e 903e AWD-D-3-2-4
1372 FSI B/N290091-200A 815035 815-035? A/N290091-400 B  AWM-B-4-5-015
1373 FSI B/N290097-200 Rev A 290097-400 Solder Side ESI 838040 PCB
1374 FSI B/N290102-200 Rev A 290102-400 Rev B Solder Side ESI 752017 PCB
1375 FSI Component Side A/N290020-400 E AWM-B-4-5-007
1376 FSM Frontier Semiconductor Measurements FSM8800 Complies W/ 21 CFR AWW-8-3-001
1377 FTS System MAXI COOL  RC75C0011HH
1378 FTS Systems RC75C0011HH 208v 10 Amps  Maxi Cool AWR-010
1379 FutureStar 158-060 ID-AWM-D-5-003
1380 G&M Tech Inc Model 10RNS Flow Rate 10 LPM Oil-less Rocking Piston Pump Used
1381 Gaertner L116 S Ellipsometer
1382 Gaertner Scientific Corp Programmable Stepper Motor Controller CC1.2 AWW-6-4-002
1383 Gaertner Scientific L106A Laser Ellipsometer 05-LHP-321 Laser Head ID-AWW-9-4-2
1384 Gaertner Scientific L115B Ellipsometer With 3222H-PC-60  Laser Head AWW-7-5-3
1385 Gaertner Scientific L116 A  Ellipsometer With 50-LHP-321 Laser Head AWW-8-5-1
1386 Gaertner Scientific L116 A Ellipsometer With Hughes HAC Laser Head AWW-6-5-5
1387 Gaertner Scientific L116 B Ellipsometer With 50-LHP-321 Laser Head AWW-8-5-2
1388 Gaertner Scientific L116-A Ellipsometer No Laser Head AWW-8-5-4
1389 Gaertner Scientific L116A Laser Ellipsometer 05-LHP-321 Laser Head AWW-7-5-1
1390 Gaertner Scientific L116-C Ellipsometer With 50-LHP-321 Laser Head AWW-8-5-3
1391 Gaertner Scientific L117 Ellipsometer No Laser Head , 3-3.5 Inch, AWW-10-3-5
1392 Gaertner Scientific L125 B Laser Ellipsometer 05-LHP-321 Laser Head ID-AWW-6-5-1
1393 Gaertner Scientific L2W25C.488  Ellipsometer 3222H-PC Laser Head AWW-7-5-2
1394 Gaertner Scientific L3W25C.488.830 Ellipsometer 3222H-PC Laser Head AWW-6-5-2
1395 Gail Motion Control DMC-630 3 Axis PC/CT/AT Bus Equipe/ PRI AWR-039-BB-3-1-001
1396 Gail Motion Control DMC-630 3 Axis PC/CT/AT Bus PN 2100-0302-01 PRI AWR-039-CC-2
1397 Gail Motion Control DMC-8230 For Equipe/ PRI AWR-039-CC-1-001
1398 Gail Motion Control DMC-8240  For Equipe/ PRI AWR-039-CC-1-002
1399 Gas Bottle Cylinder EMO Shut Off Device Used In Gas Cabinet Unknown PN
1400 Gas Box Assembly For Gasonics Aura 2000LL AWD-D-2-8-8
1401 Gas Box For Matrix 10 Plasma Asher, No MFC Inside?  AWD-D-2-9-006
1402 Gas Line APTech 95930W2PFSMF,EWAL Valve, Millipore Pressure Meter
1403 Gas Line APTech AP1510SHM 2PM MV4 MV4 3.38 ,6L-FV4A-T4A Millipore Pressure Meter
1404 Gas Line APTech AP3625SM 2PW MV4 TW4 PRX/7 ,AP3625SM 3PWG MV4 FV4TW4 PRX/8
1405 Gas Line APTech AP3657S 2PW TW4 MV4 1.5 ,AP5008SZ 4 MT SC MK Wika Pressure Meter
1406 Gas Line NUPRO 6L-M1V2858-II Pressure Meter Valve
1407 Gas Line NUPRO SS-4BK TW-10 (2) SS-4TF-TW Filter UPC-1000 N2 100 SCM
1408 Gas Line Valves And APTech AP3625SM 2PW FV4 FV4 ,AP3550S DUAL V Fv4Fv4Fv4 HS/2
1409 Gas Line Valves Pressure Meter APTech AP3625SM 2PW FV4 FV4
1410 Gas Line With NUPRO SS-4BK V51-1C (3) , SS-4D4L V51(2) ,Pall 4HS-F2075-1
1411 Gas Line With NUPRO SS-4BK V51-1C (3pieces) , SS-4D4L V51, Pall 4HS-R7608-1
1412 Gas Line With NUPRO SS-4BK V51-1C (4) SS-4D4L V51(4),Filter ,MFC Unit UFC-1100
1413 Gas Line With NUPRO SS-4BK V51-1C (8), SS-4D4L V51(4),Pall 4HS-F2075-1 4HS-9889-
1414 Gas Line With Valves SS-DSV51
1415 Gas Lines With Valves And Meters
1416 Gas Lines With Valves And Meters
1417 Gasonics 17547-01 Rear Control Assy For Gasonics Aura 3010 3000 AWD-D-3-1-10-003
1418 Gasonics 95-0320-01 Rev C Seagate ST3660A Driver AWM-G-E-2-013
1419 Gasonics 95-2872  SST Tube ,Millipore WG2FT1RR2/ C7PM3221 Filter AWD-D-3-1-6-001
1420 Gasonics 95-3153 Gas Box Controller?DOP Has/RF Controller AWD-D-1-5-2-003
1421 Gasonics 95-3154 Microwave Controller ? AWD-D-1-5-2-001
1422 Gasonics 97042-01 Power Conditioner For Gasonics Aura 3010 3000 AWD-D-3-1-11
1423 Gasonics 97042-01 Power Conditioner For Gasonics Aura 3010 3000 AWD-D-3-1-12
1424 Gasonics A01-008-03 Rev A For Gasonics AE 2001 Or Gasonics Aura 1000
1425 Gasonics A89-005-01 7 A90-005-02 D Controller Board AWD-D-1-1-7-004
1426 Gasonics A89-005-01 7 A90-005-02 J Controller Board AWD-D-1-1-7-005
1427 Gasonics A89-005-01 B A90-005-02 N? P? Controller Board AWD-D-1-1-7-006
1428 Gasonics A89-005-01 B A90-005-02 R Controller Board AWD-D-1-1-7-002
1429 GASONICS A89-030-01 REV C 90-2608 REV B
1430 GASONICS A90-003-01 A89-003-01 REV H
1431 Gasonics A95-134-01 SST Tube AWD-D-3-1-6-002
1432 Gasonics AE 2001 Plasma Etcher Dry Etcher AWO-1-14
1433 Gasonics Aura 1000 Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-16
1434 Gasonics Aura 2000 Plastic Cover , Loadlock?AWD-D-3-1-6-003
1435 Gasonics Aura 2000LL Plasma Asher Plasma descum Dry Asher
1436 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-1
1437 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-10
1438 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-11
1439 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-12
1440 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-2
1441 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-3
1442 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-4
1443 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-5
1444 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-6
1445 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-7
1446 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-8
1447 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-9
1448 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-1
1449 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-2
1450 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-3
1451 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-4
1452 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-5
1453 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-6
1454 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-7
1455 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-8
1456 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-8
1457 Gasonics Aura 3010 L3510 Plasma Asher Display Assembly Monitor 95-0296 AWM-B-4-6
1458 Gasonics Aura 3010 L3510 Plasma Asher Display Assembly Monitor 95-0296 AWM-B-4-7
1459 Gasonics Aura 3010 Plasma Asher Plasma Clean AWD-D-2-3
1460 Gasonics BRanson/IPC Display Driver 16498-01 B AWD-D-3-1-8-002
1461 Gasonics Controller ? Gas Panel ? With Dayton Electronic For 6X543 AWD-D-1-3-4
1462 Gasonics Cooling Station 17387-01 ? For Gasonics Aura 3010 AWD-D-1-1-5-003
1463 Gasonics Cooling Station 17387-01 ? For Gasonics Aura 3010 AWD-D-1-1-5-004
1464 Gasonics Cooling Station 17387-01 E  For Gasonics Aura 3010 AWD-D-1-1-6-001
1465 Gasonics Distribution 95-0293 B For Aura 3000 3010 Plasma Asher AWD-D-3-4-3
1466 Gasonics Distribution 95-0552  A For Aura 3000 3010 Plasma Asher AWD-D-3-3-5
1467 Gasonics Distribution 95-0552  A For Aura 3000 3010 Plasma Asher AWD-D-3-4-4
1468 Gasonics Gasonics/IPC Display Decoder A98-014-01 Rev D
1469 Gasonics INTL PCA ,EOP INTFC, MINI-controller A90-2565 Rev A
1470 Gasonics L3500 Plasma Asher Plasma descum Dry Asher Dry Etch
1471 Gasonics L3510 Plasma Asher Plasma descum Dry Asher Dry Etch
1472 Gasonics L3510? L3500? Branson/IPC L3300? Plasma Asher Plasma Clean AWD-D-1-6
1473 Gasonics Lamp A95-214-01 USHIO EHG 120V 750W For Aura 3010 3000 AWD-D-3-1-13-001
1474 Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–5-001
1475 Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–6-001
1476 Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–6-002
1477 Gasonics Lamptray Assy For Gasonics Aura 3010 3000 2000LL? AWD-D-1-4–5-001
1478 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-2-001
1479 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-2-002
1480 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-3-001
1481 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-3-002
1482 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–10-001
1483 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–10-002
1484 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–11
1485 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–8
1486 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–9
1487 Gasonics Lamptray PN 17327-01 D For Gasonics Aura 3010 3000  AWD-D-1-3-3-003
1488 Gasonics Model Robotload PTL 129-06833 ID -AWM-B-4-2-001
1489 Gasonics PCA Display Panel 90-2678 B W/ 90-2679 , 89-2637? 4004 AWD-D-1-1-7-001
1490 Gasonics PCA Display Panel 90-2678 B W/ 90-2679 , 89-2637? 4004 AWD-D-1-1-7-003
1491 GASONICS PCA LOADLOCK INTERFACE BD 90-2608 REV B A89-030-01 REV C
1492 Gasonics PEP 3510 Frame ? Plasma Asher Plasma Clean AWD-1-9
1493 Gasonics PEP 95-3564 MISC Controller AWD-D-1-5-2-002
1494 Gasonics Plate For Microwave Guide For Gasonics Aura 3010 3000 AWD-D-3-1-12-002
1495 Gasonics PWM Motor Driver 810-2850-001 AWD-D-3-1-5-011
1496 Gasonics Ring ? For Gasonics Aura 3010 AWD-D-1-1-5-005
1497 Gasonics Thermocouple For Gasonics Aura 3010 3000 AWD-D-3-1-12-003
1498 GASONICS CONTROLLER BOARD A90-005-06 REV K
1499 Gasonics/IPC AC CNTRL PWR ASSY 16563-01? 1656B-01? 16568-01? AWD-D-3-1-6-005
1500 Gasonics? GES PT-9012-286 370704 AWR-039-CC-3-002
1501 Gasonics? GES PT-9012-286 397226 AWR-039-CC-3-001
1502 Gast DOA-P704-AA High Capacity Vacuum Pump
1503 Gast DOA-P704-AA High Capacity Vacuum Pump
1504 Gast DOA-P704-AA High Capacity Vacuum Pump
1505 Gasverteilerplatte 5 Vac Part Number: AST 57600010 STEAG-MATTSON
1506 GE Safety Switch TH4322 Model 10 Amps 60A
1507 General Purpose Transformer Type 2 Enclosure Catalog No T-3-53044-S SE ID-DY-5
1508 Genetix ClonePix FL Automated Clone Screening Colony Picker w/ Jun-Air OF302-10S
1509 GENMARK Automation M0352 Robot AWR-029
1510 Genmark Automation PA3H Rev. 1 GPN D2-01-2-00050 /2100-0302-01 AWR-039-CC-1-006
1511 Genmark Automation Robot Controller AWR-040-AA-4-001
1512 Genmark Automation Robot Controller AWR-040-AA-4-002
1513 Genmark Automation Robot Controller AWR-040-AA-5-003
1514 Genuine Sloan A-36-A Closet Kit ID-AWM-A-3–4-003
1515 Glass Bottle With Lid . New. All are Shown In The pictures.
1516 Gold Techni TG25T Bath Rhodium 225 Bath Complete Working
1517 Gordos AC Input AC Output DC Input DC Output 6200-0276-02 Rev J?2100-0005-01?
1518 GP 275 Mini-convectron Granville-phillipg ID-AWS-019
1519 GP 303 Vacuum Process Controller Granville-Phillips Used
1520 GP270 Gauge Controller Granville-Phillips Airco Temescal FC-1800. ID-D-EV001-1
1521 GP-ISRY Ulvac Japan ID-AWM-D-2-3
1522 GPM130D Condor Power Supply
1523 Granville-Phillips Brooks Automation 385007-GO-T Convectron ATM
1524 Granville-Phillips Co Series 203 4-00-203019 ID-AWS-L-1-1-008
1525 Grobet Model 3.5 QUART
1526 GTC Products L112-DV2-3E-FXFF
1527 H2 Reg. & Gauge 44-2261-242-019 ID-AWS-017
1528 Halmar Electronics Inc Power Controller Model 1P-2060
1529 HAMAMATSU PHOTONICS K.K. C956-04 Made In Japan
1530 HARIG Products, Inc Super 612 ID-NMA-020
1531 Has Box For Matrix 10 Plasma Asher, No MFC Inside?  AWD-D-2-9-005
1532 Hastings Vacuum Gauge Meter For DV-6 Gauge Tube ID-AWS-027
1533 HEC HT57 100PF+/- 10% 883115KVDC N750 1503-0004-00 ID-DW-6-4-2-011
1534 HEC HT57 50PF+/- 10% 9130 /9440/ 9210 15KVDC NP0 1503-0004-00 ID-DW-6-4-2-010
1535 Hewlett Packard 4061A Semiconductor Test System
1536 Hewlett Packard device Set To SCSI ID 2 C2944D ID-AWW-8-2-1-001
1537 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-1
1538 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-2
1539 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-3
1540 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-4
1541 Hewlett Packard HP 16067A Low Leakage Fixture AWW-9-2-8-1-012
1542 Hewlett Packard HP 16067A Low Leakage Fixture AWW-9-2-8-1-013
1543 Hewlett Packard HP 16072A 16072-26551?B-3422 W/ Adaptor For HP4085B AWW-6-3-007
1544 Hewlett Packard HP 16072A 16072-26551?B-3422 W/ Adaptor For HP4085B AWW-6-3-008
1545 Hewlett Packard HP 16072A 16072-26561 A-3030 W/ Adaptor For HP4085B AWW-6-3-009
1546 Hewlett Packard HP 16072A 16072-26561 A-3030 W/ Adaptor For HP4085B AWW-6-3-010
1547 Hewlett Packard HP 16072A 16072-26561?A-3030  W/ Adaptor For HP4085B AWW-6-3-006
1548 Hewlett Packard HP 16072A 16072-26561A-3030 W/ Adaptor For HP4085B AWW-6-3-005
1549 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-001
1550 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-002
1551 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-003
1552 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-004
1553 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-005
1554 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-006
1555 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-007
1556 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-009
1557 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-010
1558 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-011
1559 Hewlett Packard HP 16078A Adapter 4145A-4085A AWW-9-2-6-4
1560 Hewlett Packard HP 16078A Adapter 4145A-4085A AWW-9-2-6-5
1561 Hewlett Packard HP 16345A Probe Type Cal. Box AWW-9-2-6-3
1562 Hewlett Packard HP 82937A HP-IB Interface AWW-9-2-6-7
1563 Hewlett Packard HP 85021 B Calibration Kit AWW-9-2-6-2
1564 Hewlett Packard HP 85029 B Verification Kit AWW-9-2-6-1
1565 Hewlett Packard HP-IB 98624A 98624-66501 B-2244 217230 ,52-2509 AWW-10-2-3-002
1566 Hewlett Packard HP-IB 98624A 98624-66501 B-2244 217230 ,52-2509 AWW-10-2-3-003
1567 Hewlett Packard HP-IB 98624A 98624-66501 B-2933 3415 DIV 53F AWW-10-2-3-004
1568 HF 74032-89-43 REV H ASST NO 125280-004
1569 High Yield Technology Model 100 ID -AWM-B-4-1-004
1570 HINE DESIGN HINE ARM REV 303 SER.# 005228 ASSY 778-2H
1571 Hine Design Motor Station For Gasonics Aura 2000-LL AWD-D-3-1-2-001
1572 Hine Design Ser 006413 With DC Motor 2326.939-13.216-200 Robot AWM-C-4-2-001
1573 HIR2000SK43PV1FSMMM Parker VERIFLO Regulated Valves With Millipore Pressure G
1574 HNL Inc A93-021-04(11540) Microwave Guide For Gasonics Aura 2000LL AWD-D-2-8-9
1575 Home Design Cassette Station For Gasonics Aura 2000-LL AWD-D-2-8-4-002
1576 Home Design Cassette Station For Gasonics Aura 2000-LL AWD-D-3-1–1-002
1577 Home Design Robot Assembly For Gasonics Aura 2000-LL AWD-D-2-8-4-001
1578 Home Design Robot Assembly For Gasonics Aura 2000-LL AWD-D-3-4-001
1579 Honeywell 612X9-HT-00-00-7E16 Chart:1571T 120 Volts Hz 60 VA 4.5 0-100 F Purple
1580 Honeywell GKMB13W2 For Aura 3010 3000 AWD-D-3-1-13-003
1581 HP 0-2306-40 2415-5963 98256A T35 8C 0591285 AWW-10-2-4-010
1582 HP 1651A Logic Analyzer Hewlett Packard ID-AWW-AWW-9-3-12
1583 HP 1660A Logic Analyzer Hewlett Packard ID-AWW-AWW-10-3-4
1584 HP 24541-660031 B-2732-63 041-00540 AWW-10-2-16-005
1585 HP 3466A Digital Multimeter  Hewlett Packard ID-AWW-8-2-4-004
1586 HP 3499A Switch/Control System Hewlett Packard ID-AWW-AWW-9-3-6
1587 HP 3499A Switch/Control System Hewlett Packard ID-AWW-AWW-9-3-7
1588 HP 3499A Switch/Control System Hewlett Packard ID-AWW-AWW-9-3-8
1589 HP 4062 Process Control System With 4x HP 4142B And 1X Keithley 7002 Switch Sys
1590 HP 4062UX Process Control System
1591 HP 4062UX Process Control System,  With 4x 4142B Modular DC Source / Monitor
1592 HP 4062UX Process Control System, Complete,working
1593 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-005
1594 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-006
1595 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-007
1596 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-008
1597 HP 4085B Switching Matrix
1598 HP 4085B Switching Matrix
1599 HP 4085B Switching Matrix Frame Hewlett Packard ID-AWW-AWW-9-3-1
1600 HP 4140B pA Meter / DC Voltage Source Hewlett Packard ID-AWW-8-4-002
1601 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-10-3-6
1602 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-7-4-002
1603 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-7-4-003
1604 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-7-4-004
1605 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-10-3-2
1606 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-10-3-3
1607 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-10
1608 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-11
1609 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-3
1610 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-5
1611 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-9
1612 HP 4145B Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-10-3-1
1613 HP 4145B Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-4
1614 HP 436A Power Meter Hewlett Packard ID-AWW-8-2-2-003
1615 HP 437B Power Meter Hewlett Packard ID-AWW-8-2-4-001
1616 HP 645A C9730A EP-86  6830A004AA Black  Color Laser JEt 5500 C3500 And More
1617 HP 8443 Tracking Generator . Counter Hewlett Packard ID-AWW-AWW-9-3-2
1618 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-003
1619 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-004
1620 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-005
1621 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-007
1622 HP Controller 382 Hewlett Packard ID-AWW-6-4-006
1623 HP Controller 382 HP-B98624A Hewlett Packard  28685B ID-AWW-6-4-003
1624 HP Controller 382 HP-B98624A Hewlett Packard  28685B ID-AWW-6-4-004
1625 HP CW-4-94 Rev B 98257-66524 7267721-56 B-2622-56 98257A 56-2730 AWW-10-2-4-012
1626 HP CW-4-94 Rev B 98257-66524 7268005-56 B-2622-56 98257A 56-2730 AWW-10-2-4-011
1627 HP E5255A 24(8×3)CH Multiplexer Hewlett Packard ID-AWW-6-4-005
1628 HP HDSP-2470 SN 10036 HDSP-2424
1629 HP Hewlett Packard HP E3171A3 Option U62  For HP 4062UX ID-AWW-9-5-3
1630 HP Hewlett Packard HP E3171AJ Option U62  For HP 4062UX ID-AWW-9-5-4
1631 HP Hewlett Packard HP E3171AJ Option U62  For HP 4062UX ID-AWW-9-5-5
1632 HP Hewlett Packard HP Visualize Workstations B2000 For HP 4062UX ID-AWW-9-5-1
1633 HP4062 Semiconductor Process Control System
1634 HP4062UX Semiconductor Process Control System
1635 HP4062UX Semiconductor Process Control System
1636 HP4062UX Semiconductor Process Control System , 10 PC Of HP 4084B
1637 HP741-050-580-C HARRIS Specialty Gas Wika Pressure Gauges Nova B 44MF ASG
1638 HP-Agilent 8110A 72 Vio ID-AWW-8-4-007
1639 HP-Agilent E5250A +E5252Ax4 ID-AWW-6-4-005
1640 HPS 88-9167 / 100889167 Seal , CF,CU/NI Plt, 8 ID-AWM-F-1-005
1641 HPS DIV Of MKS SST Cover ID-AWS-023
1642 HTC 1200 Heating Recirculator NESLAB Instrumentals BOM 353005310208 TU-3
1643 Humphrey HA111E1-PLL /H1114A For AG Asscoiates Heatpulse?
1644 Humphrey Products Air Valves Air Cylinders 0624E11205060
1645 Huntington Flange AWM-C-4-7-003
1646 Huntington IK-100 Glass Ion Tube AWD-D-1-4-4-006
1647 Huntington Labs PN 4629219 MFR-132-50-MOD For Thin Film Deposition Equipment
1648 HV Inline Valve VAT PN 26524-KA21-0001/0692 For STS ICP
1649 Hydrogen Leak Detector For 5000 Ppm ID-AWS-017
1650 IBM CORP 4163901 01 IBM FRV NO. 10G3966 SIV EMBED LEVEL 9-2-92 PC MAIN CONTROL B
1651 IBM Travel star Harder Driver Model DARA-20600 With Motorola Disk Mounting Card
1652 IBMEJNF3 TMS 27C512-2JL A2EE 9136 AG Associates Heatpulse Chips
1653 icon STD A 302-030-03 SN 21014-20
1654 ICS-716-011540-001 Ring Face Upper For Lam Research Lam Rainbow 4xxx
1655 Ideal P103819 Adaptor Conical KF-40 To CF 1-1/3 In., ISO-KF NW-40 To Conflat
1656 Idealvac KF Clamps KF25CLA KF25 Clamp AL PN P101199 New Made In China
1657 IE IEMIERSON AcDC RBT 61 1730-7005 Power Supply ID-AWM-D-2-5-003
1658 Industrial Control Transformer Sola HEVI-duty E150 FS1002 AWD-D-1-5-5
1659 Inficon 901-200-04 Quadrex-200 SCU Mass Filter Control ID-AWM-D-2-1-002
1660 Inficon AG LI-9496 Blazers Model PSG500 PN 350-060 SN 4506
1661 Inficon AG Type VGC 401 No. 390-010 F-No: 1262
1662 Inficon IC 6000 Controller Model 013-093
1663 Inficon Leybold-heraeus 013-093 ID-AWM-F-1-007
1664 INJ. Valve Controller With Fuji Temperature Controller ID-AWM-D-1-010
1665 inmac Clear Signal T-Switch A B
1666 Innotech TA-N2-1000 New
1667 Inspection Syncotec Power Supply Type 6 I-700? ID-AWM-A-3-002
1668 Interfan PM018-115-3B Fan
1669 intralux 4000 Volpi AG  ID-AWW-8-2-2-001
1670 intralux 4000 Volpi AG  ID-AWW-8-2-2-002
1671 intralux 4000 Volpi AG  ID-AWW-8-2-3-001
1672 intralux 4000 Volpi AG CH-8952 Schlieren ID-AWW-8-2-1-003
1673 intralux 4000 Volpi AG ID-AWW-8-2-1-005
1674 IR401W 28 FS MF 43800628 132389 Regulated Valve VERIFLO Corporation
1675 Isolated Quartz Tube for AG Associates Heatpulse 210 RTP
1676 ITT McDonnel & Miller Series FS1 Flower Switch ID-AWS-L-1-2-002
1677 j50-24 V.ADJ  ID-AWM-D-2-3
1678 J60100-3CR Fuse Buss Bussmann Cooper Industries
1679 Japan SANWA LC361C Wafer Probe With MU-6 Olympus Microscope SZ40 SZ CHI , 5000V
1680 Japan Storage Battery Co., Ltd.  L500TS ID-AWS-7-1-001
1681 Jay D. SPROUT Company Inc 225 HTMP-1000 ID-NMA-001
1682 JDS Uniphase 2214-25MLUP Laser Part
1683 JDS Uniphase 2214-25MLUP Laser Part With Laser Power Controller 000327 Rev A
1684 Jennings RJ2B-26S Relays, Non-modified  ID-DW-6-4-2-009
1685 JETINTELLIGENCE Print Cartridge 410A Magenta HPLaserJET pro M452 M477
1686 Jofra D50RC D 50 RC ID-AWM-D-1-004
1687 Kacon Signal Phone KSP-42T ID-AWM-A-3–4-011
1688 Karl Suss MA 200 Mask Aligner
1689 Karl Suss MA6 Mask Aligner
1690 KB Model KBIC-120 9429A ID-AWM-D-2-5-006
1691 Keithley 7001 Switch System  SDL 103-0902 AWW-8-1-1-001
1692 Keithley Instruments Inc 55478 A 225 Current Source ID-AWW-8-2-4-003
1693 Keller Technology Corp KTC Bondtest-30 BT-30 W/TD 110v 3A 60Hz ID-AT-012
1694 KENT Industrial Co., LTD Model KGS-250AHD ID-NMA-015
1695 Keyboard Panel For Gasonics Aura 3010 3000 AWD-D-3-2-7-003
1696 King Instrument Company 7510217A08 10”/pvc/EPR/10 GPM ID-AWS-025
1697 KLA Tencor Surfscan 4500
1698 Kla-tencor 056529 D , 056S29 D ? 003840 Teltecolv 1185 AWW-10-2-4-013
1699 KLA-Tencor 1530A-8-0005_SA SN 200569
1700 KLA-Tencor 20-03030 Digital Data Converter 20 03030 AWW-10-2-1-005
1701 Kla-tencor 6470-22732 Digitec Cooperation Printer AWW-10-2-1-003
1702 Kla-tencor 6470-23004 Digitec Cooperation Printer AWW-10-2-1-007
1703 KLA-Tencor Alpha-step MDL No 10-00020 AWW-9-2-5-001
1704 KLA-Tencor B-22 AWW-10-2-17-002
1705 KLA-Tencor Daytron Inc DI-5049!B AWW-10-2-17-001
1706 KLA-Tencor Digital Data Converter Model No 20 03030 /20-02030 AWW-10-2-17-005
1707 KLA-Tencor Digital Interface Model 10-0?? AWW-10-2-1-004
1708 KLA-Tencor Digitec Corporation 6470-23570  Printer AWW-10-2-1-006
1709 KLA-Tencor Mgage 200 Mgage 300 Parts W/M77744 V7169 AWW-10-2-17-006
1710 KLA-Tencor PCB AWW-10-2-17-003
1711 KLA-Tencor PCB AWW-10-2-17-004
1712 Klinger Motor Controller ? AWD-D-1-4-3-001
1713 Klinger Motor Controller ? AWD-D-1-4-3-002
1714 Klinger Motor UE71 Current 1 AMP AWD-D-1-4-2-001
1715 Kooltronic KBB36-XX09, Capacitor Z97F5704 A95-125-02 A AWD-D-2-8-14-001
1716 Kooltronic KBB36-XX09, Capacitor Z97F5704 A95-125-02 A AWD-D-2-8-6-001
1717 Kouwell KW-524H FCC ID FSS KW-524H AWW-10-2-16-010
1718 Kulicke & Soffa K&S 8028 Auto Gold Ball Bonder
1719 Kulicke & Soffa K(&S) Triton RDA Auto Wedge Bonder
1720 Kurt J Lesker Thin Film Dual Thermal Evaporator Used Complete Working
1721 Kurt J. Leaker Co. Multi-Gauge LR88590 120/240 VAC 50/60 Hz 5 A
1722 KURT J. Leaker Co.,  Glass ION Gauge Tube I-075-K IRIDIUM 3/4” ? AWD-D-1-4-4-002
1723 KW-509S FCC ID IJKW-509S PCB CMD LL CM16C550P 9748
1724 L-8:  Door Seal, 8″, for Branson IPC Plasma asher
1725 Lab-line Instruments Imperial IV Ultra-clean “100” Oven  AWR-033
1726 Lam Research 2300632 Has Line For Drytek 384 ID-AWS-024
1727 Lam Research 392A 392-1-B-H23-585 For Lam AutoEtch AWD-D-1-1-7-012
1728 LAm Research 839-014577-101 Chuck ID-AWS-L-1-2-006
1729 Lam Research 840-009865-100 766-9866-100 ID-AWS-024
1730 Lam Research Lam 490B Plasma Etcher Equipment AWD-D-1-8
1731 Lam Research Lam 590 Plasma Etcher 4/6 Inch Without Change Equipment AWD-D-1-9
1732 Lam Research Lam AutoEtch 490 590 690 790 Monitor. Used
1733 Lam Research Lam AutoEtch 490 Plasma Etch Plasma Etcher Dry Etch
1734 Lam Research Lam AutoEtch 590 Plasma Etch Plasma Etcher Dry Etch
1735 Lam Research Lam Rainbow 4420 Plasma Etch Plasma Etcher Dry Etch
1736 LAMBDA Alpha 600W Power Supply
1737 LAMBDA Electronic Inc Model LFS-42-12-K Regulated Power Supply
1738 LAMBDA Electronic Inc Model VEGA 650 Regulated Power Supply V608LJR
1739 LAMBDA Model LFS-41-15
1740 LAMBDA Model LVS-42E-5 For AG Asscoiates 4000-0010-01 SRV
1741 Lamp Control Assembly For AG Associates Heatpulse 8800
1742 Lamp Control Module For AG Associates Heatpulse 8108 , Heatpulse 8800
1743 Lamp Control Module For AG Associates Heatpulse 8108 , Heatpulse 8800
1744 Lamp Heat Connected Station ? For Gasonics Aura 3010 AWD-D-3-1-15-001
1745 Lamp Heat Connected Station ? For Gasonics Aura 3010 AWD-D-3-1-15-002
1746 Lamp Reflect Assy ID-AWS-013
1747 Lamptray Assembly Gasonics Aura 2000LL AWD-D-3-1-4-002
1748 Lamptray Assembly W/ A95-053-02, A95-205-01, Gasonics Aura 2000LL AWD-D-2-8-11
1749 LASCO SCH 40 PVC D2466 And Other Plastic Component.
1750 LASELCO 2201745 Transformer For Gasonics Aura 3010, 3000, 2000-LL AWD-D-3-2-3
1751 Leblond Makino EDNC64 With MGC 3 LS 42 Float, LS44 Temp Sensor ID-NMA-002
1752 Leblond Makino EDNC64 With MGC 3 LS 42 Float, LS44 Temp Sensor ID-NMA-003
1753 LEBLOND MAKINO FNC 74-A30 ID-NMA-007
1754 Leecraft VCC 26-07 2000W 600V 10A , AG Associates 2109-0050 Lamp Holder
1755 Lehighton 1510 EC Sheet Resistance Measurement
1756 LEHIGHTON Electronics Inc Model 1310 Metrology Resistivity ID -AWM-A-5-002
1757 LEYBOLD INFICON Model 758-500-G1 Deposition Monitor
1758 Leybold Inficon Part Model 904-432-G1 ID-AWM-A-4-009
1759 Leybold Pump Control Box 16x12x9 Inch
1760 Leybold Pump Control Box 16x12x9 Inch
1761 LEYBOLD Trivac Type D65BCSPFPE B8111397 Vacuum Pump AWD-D-1-2-001
1762 Leybold Turbotronic NT 150/360 Part No. 85472-3 Made In USA
1763 LEYBOLD Turbotronik NT340M PN 899242 For STS ICP AWD-D-1-5-2-006
1764 Leybold TurboVac 50 85402 A941100126 LUFTKUEHLUNG 854-06-B1 ID -AWM-A-5-006
1765 Leybold Vacuum GMBH Turbovac 1000C For STS ICP Spare AWD-D-1-5-8
1766 Leybold Vacuum GMBH Turbovac 1000C For STS ICP Spare AWD-D-1-5-9
1767 Leybold-heraeus Turbovac 360 Made In Germany
1768 LFE Plasma System PD-152-14 4128 A-PD-1201-0002 RF Marching? ID-AWM-D-2-1
1769 LFE Plasma Systems PP-151-9 Plasma Asher Plasma descum Dry Asher Dry Clean
1770 Light Station 15” High ID-AWW-6-2-2-002
1771 Light Station 28” High ID-AWW-6-2-2-001
1772 Lindberg 919/ZCP/KP2/200-1199C/PIO/A/X NS2C184X30 7014-1003-00A ID-AWM-F-1-013
1773 Linear Instruments 0156-0000 PMS 4,146,828 AWM-F-2-2-005
1774 Liquid Nitrogen LN2 Bottle 531-13 00004986-000 Was For Hitachi CD-SEM ID-DW-6-5
1775 LISK S-1997 ID-AWS-011
1776 Little Giant 00167456 SNC200F2 Z-world Davis CA USA AWM-B-4-5-016
1777 Load lock Cover For Lam AutoEtch 490 590 690 790 AWD-D-1-1-7-014
1778 Lock And Key For AG Asscoiates Heatpulse
1779 Loctite Electronics Division 1600-0005-01 For AG Associates Heatpulse ID-AWS-016
1780 Lot Of M714 M717 Taper Tip Manual Syring. New. All are Shown In The pictures.
1781 Lot Of M718 M717 Taper Tip Manual Syring. New. All are Shown In The pictures.
1782 Lot Of M718 M717 Taper Tip Manual Syring. New. All are Shown In The pictures.
1783 Lot Of Plastic Fittings All In The Picture Package Sale Only Most Of Them New
1784 Lot Of Plastic Fittings All In The Picture Package Sale Only Most Of Them New
1785 Lot Of Plastic Fittings All In The Picture Package Sale Only Most Of Them New
1786 Lot Of Smooth-ON PC-3 PC-B, Weld-On 711 PVC P-70 705 PVC Oatey Clear Etc
1787 Lot: Vacuum Parts For Gasonics Aura 2000-LL AWD-D-2-8-7
1788 Lot: Varian L6281-703 NW40 A/O, Sigmameltec PS-10N , Tele Byte, AWD-D-2-8-13-002
1789 Loyola Controls Inc Model EPAC-1-240-7.2 Part No. 01-5262 240v 50/60 Hz 30 Amp
1790 LOYOLA CONTROLS INC OB 5090 35-0106 SN 57834
1791 LSL-024R Light Module ID-AWS-013
1792 Lucas Pressure Transducer P3061-2WD 0-5 VDC 24241 Schaevitz Sensors
1793 Lucas/Signatone Corp. Quad Pro Four-Point Probes QuadPro Resistivity System
1794 Luxtron Model 1104 Options Rev 9027.02 ID-AWS-K-1-005
1795 M & W Systems RPC2/28W-RND
1796 M 167A M 167 PCB FOR RESET, MADE IN GERMANY
1797 M And W Systems Flowrite Cooling RPCX17-A-D-D12x10”-LI-CMII-HE AWR-009
1798 M And W Systems M&W RPCX17A-D-D12x10”-LI-CMII-HE Chiller AWD-D-2-10-024
1799 Maaway Products MPD 41620 Power Supply 120 VAC 30 AMP 50/60 Hz AWW-10-3-8
1800 Machine Parts For Thin Film Deposition Equipment
1801 Machine Parts For Thin Film Deposition Equipment
1802 Machine Technology , HI TEMP STEPPER HOT PLATE, 2217605220, 2217603220
1803 MACHINE TECHNOLOGY INC MOTOR CONTROL BOARD III 2217605501
1804 Machine Technology Inc Temp CTRL 2217605210 Made In USA
1805 Machine Working Station W/ Enco Sander-Grinder 163-4650(SN-160) ,Toyang 301 Saw
1806 Magnehelic 2000-0C Max 15 Psi ID-AWS-K-3-010
1807 Magnehelic 2002c Max 15 Psi ID-AWS-K-3-009
1808 Magnehelic Dwyer Instruments Inc Pressure Meter
1809 Magnetic Blower Model JB1R084N ID-AWS-024
1810 MakeAclamp Make-A-Clamp Kit Stainless Steel Stock Number 4005
1811 Manhattan Data Transfer Switch  , ATP Cal, Terminal, Robot, Spare AG Associates
1812 Marathon 1432955
1813 Marathon 1433955
1814 Mask Aligner Adaptor Plate 2.5×2.5×0.6 To 4×4 AWW-9-2-1-005
1815 Mask Aligner Adaptor Plate AWW-9-2-1-006
1816 Mask Aligner Adaptor Plate AWW-9-2-1-007
1817 Materials Research Corporation MRC 603 MRC 693 TES-600 sputtering system
1818 Materials Research Corporation MRC 603 Sputtering System
1819 Materials Research Corporation MRC 603 Sputtering System Sputter System
1820 Materials Research Corporation MRC 643 Sputtering System
1821 Materials Research MRC K46-0378 Al/Si1/Cu 0.5 Sputter Target  ID -AWM-A-4-001
1822 Matheson Totalizer Model 8124 0-100 Counts Per Minute And Newport P6000
1823 Matrix  209S Microwave Plasma Asher , Stripper Plasma Descum , 8 Inch ID-AWO-2-2
1824 Matrix 10 Plasma Asher Plasma Clean , Up To 8 Inch Wafer AWO-1-15
1825 Matrix 10 Plasma Asher Plasma Descum Equipment
1826 Matrix 10 Plasma Asher Plasma Descum Equipment , 8 Inch AWD-D-1-7
1827 Matrix 101 103 102 104 105 205 106 Plasma Asher 992-60094 Ring AWM-C-4-2-003
1828 Matrix 101 103 102 104 105 205 106 Plasma Asher 992-60126 Ring AWM-C-4-2-003
1829 Matrix 101 103 102 104 105 205 106 Plasma Asher 992-60126 Ring AWM-C-4-2-004
1830 Matrix 101 103 102 104 Plasma Asher Chuck AWM-C-4-2-002
1831 Matrix 103 Temperature Controller Interface 1000-0040 PCB
1832 Matrix 105 (?) RF Matching Network ID-AWS-013
1833 Matrix 105 Matrix 106 Matrix 302 /303 PCB PN 1000-0032
1834 Matrix 105 Matrix 106 Matrix 302 /303 Process Controller PN 1000-0050/ 9000-0050
1835 Matrix 105 Matrix 106 Matrix 302 Matrix 303 Display Screen
1836 Matrix 105 Matrix 106 Matrix 302 Matrix 303 Transport Controller PN 1000-003
1837 Matrix 105 Matrix 205  Plasma Asher Chamber Parts AWM-C-5-4-001
1838 Matrix 105 Matrix 205 Matrix 106 Matrix 303 Matrix 403 Matrix Monitor Display
1839 Matrix 105 Matrix 205 Matrix 303 Matrix 403 Monitor Front Frame ID-AWM-D-1-001
1840 Matrix 105 Plasma Asher Plasma Descum for GaAs GaN InP GaInP SiC wafer
1841 Matrix 105 System One Stripper Plasma Asher Plasma descum Dry Asher Dry Etch
1842 Matrix 106 Asher  Chuck & Chamber Bottom Plater With TC Sensor ID-AWS-k-6-1-001
1843 Matrix 106 Asher  Chuck & Chamber Bottom Plater With TC Sensor ID-AWS-k-6-1-002
1844 Matrix 106 Asher Heater ID-AWS-L-1-1-001
1845 Matrix 205 Plasma Asher Descum (Through The Wall Matrix 105 model) Dry Clean
1846 Matrix 209S Matrix BobCat 209S  Microwave Plasma Asher,Plasma Descum ID-AWO-2-1
1847 Matrix 303 Matrix 105 (?) Plasma Etcher Plasma Asher Bottom Of Chamber
1848 Matrix 303 Matrix 403 Chamber AWM-C-5-1-001
1849 Matrix 303 Matrix 403 Plasma Etch Chuck Top Assembly ID-AWS-k-6-2-001
1850 Matrix 303 Matrix 403 Plasma Etch Chuck Top Assembly ID-AWS-k-6-2-002
1851 Matrix 303 Matrix 403 Plasma Etcher Chamber Bottom Plate BAWM-C-5-2-001
1852 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer 6-97103-07  AWM-C-5-4-006
1853 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer 6-97103-07  AWM-C-5-4-007
1854 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer AWM-C-5-4-005
1855 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer AWM-C-5-4-008
1856 Matrix 303 Matrix 403 Plasma Etcher Chamber Ceramic Ring  AWM-C-5-4-011
1857 Matrix 303 Matrix 403 Plasma Etcher Chamber Parts AWM-C-5-2-002
1858 Matrix 303 Matrix 403 Plasma Etcher Chamber Parts AWM-C-5-3-001
1859 Matrix 303 Matrix 403 Plasma Etcher Chamber Pump Ring  AWM-C-5-4-009
1860 Matrix 303 Matrix 403 Plasma Etcher Chamber Pump Ring  AWM-C-5-4-010
1861 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring  AWM-C-5-4-012
1862 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring AWM-C-5-4-002
1863 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring AWM-C-5-4-003
1864 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring AWM-C-5-4-004
1865 Matrix 303 Plasma Etcher Ceramic Ring
1866 Matrix 303 Plasma Etcher Chuck
1867 Matrix 303 Plasma Etcher Chuck
1868 Matrix 303 Plasma Etcher Top Chamber
1869 Matrix 303 System One Etcher  Plasma Etch Plasma Etcher Dry Etch
1870 Matrix 990-95010
1871 Matrix Chamber Bottom Plate  ID-AWS-k-6-3
1872 Matrix Chamber Bottom Plate With Chuck And Vacuum Accessories ID-AWS-k-6-4
1873 Matrix Integrated Sys 1000-0027  9000-0027? Process Controller AWD-D-1-1-7-008
1874 Matrix Integrated System  RFS500W Controller Assy 9200-04- AWM-G-5-3-002
1875 Matrix Integrated System 1000-0005
1876 Matrix Integrated System 1000-0005 (1010-0005 R) Operator Interface PCB
1877 Matrix Integrated System 1000-0005 (1010-0005 R) Operator Interface PCB
1878 Matrix Integrated System 1000-0005 (1010-0005 R) Operator Interface PCB (1)
1879 Matrix Integrated System 1000-0042
1880 Matrix Integrated System 1000-0042 (1010-0005 B) Operator Interface PCB
1881 Matrix Integrated System 1000-0082 1010-0082 AWM-G-5-3-001
1882 Matrix Integrated System 9000-0042 Operator Interface PCB
1883 Matrix Integrated System 9000-0042 Operator Interface PCB
1884 Matrix Integrated System 9000-0042 Operator Interface PCB
1885 Matrix Integrated Systems 1000-0007
1886 Matrix Integrated Systems 1000-0007
1887 Matrix Integrated Systems 1000-0007
1888 Matrix Integrated Systems 1000-004 F 103 Temperature Controller Interface
1889 Matrix Integrated Systems 1000-0040 REV I, 1000-0041, 103 Temperature Controller
1890 Matrix Integrated Systems 1000-0082 PCB
1891 Matrix Integrated Systems 1000-200-4 Monochrometer Endpoint PCB AWD-D-1-1-7-007
1892 Matrix Integrated Systems MONOCHROMETER ENDPOINT PCB 1000-0032
1893 Matrix Integrated Systems MONOCHROMETER ENDPOINT PCB 1000-0032, 1010-0032 REV A
1894 Matrix Integrated Systems MONOCHROMETER ENDPOINT PCB 1000-0032, 1010-0032 REV A
1895 Matrix Integrated Systems Process Controller 1000-0050
1896 Matrix Integrated Systems Process Controller 1000-050
1897 Matrix Integrated Systems Process Controller 1000-050
1898 Matrix Integrated Systems Process Controller 1000-050
1899 Matrix Integrated Systems TRANSPORT INTERFACE 1000-0019 REV B 1010-0019 REV R
1900 Matrix Integrated Systems TRANSPORT INTERFACE 9000-0019 REV B 1010-0019 REV R
1901 Matrix Integrated Systems TRANSPORT INTERFACE 9000-0019 REV B 1010-0019 REV R (
1902 Matrix Operator Interface PCB PN 1000-0042 For Matrix 105 Matrix 303 Matrix 106
1903 Matrix PCB Brooks Automation Component Side Orbitran Rev C (0999)And B (SN 0788)
1904 Matrix Process Controller  Interface PN 1000-0027 For Matrix 10x X03 Xxx
1905 Matrix RF Service RFS500M ControllEr 03-00011- Rev 4 17-0005-00 2 AWM-G-5-3-003
1906 Matrix RF Service RFS500M Controller 17-0005-00 2 03-00011-00 4 AWM-G-5-3-005
1907 Matrix RF Service RFS500M ControllEr 17-0006-00 2 03-00012- AWM-G-5-3-008
1908 Matrix RF Service RFS500M ControllEr 9200-0004-02 AWM-G-5-3-004
1909 Matrix RF Service RFS500M ControllEr A17-0006-00 A03-00012-2 Motor AWM-E-5-2-010
1910 Matrix RF Service RFS500M ControllEr AW-105-500 AWM-E-5-2-009
1911 Matrix System One Etcher Matrix 302? Matrix 303 ?AWD-D-2-7
1912 Matrix System One Etcher Style 302 Matrix 302 Plasma Etch, Dry Etcher AWD-1-12
1913 Matrix System One Etcher Style 402 Matrix 403 Plasma Etch, Dry Etcher AWD-D-2-4
1914 Matrix System One Stripper Matrix 103
1915 Matrix System One Stripper Matrix 10X
1916 Matrix System One Stripper Matrix 205 AWD-D-2-6
1917 Matrix System One Stripper Matrix 20x  AWD-D-2-5
1918 Matrix System One Stripper Style 10X Plasma Asher Plasma Clean AWD-1-10
1919 Matrix System One Stripper Style 10X, Matrix 103 Plasma Asher Descum AWD-1-11
1920 Matrox 590-00 REV B 16551-74L-1827 00059264 Rev. A00 MGA-MIL/4/ AWW-10-2-16-004
1921 Matrox Electronic Systems STD 2480 136-C06-3BCDE AWD-D-1-1-7-009
1922 Matsushita Electronic Opt. Model 3N4993B122 ID-AWS-K-3-001
1923 MC6821P For Tegal PCB
1924 MCS ATN-1010D 101-0478 Model-10 RF Power Control ID-AWS-K-1-002
1925 MCS ATN-1010D 101-0478 Model-10 RF Power Control ID-AWS-K-1-002
1926 MCSI Assy No 71300901 PCB 71300301 Rev C ESC 200 Old CTL-6411 AWR-039-CC-4-001
1927 MCSI IND-88-4 CPU Rev A  AWR-039-CC-5-001
1928 MDC 150008 450×275 Flange ID-AWS-023
1929 MDC LAV-300 310077 Angle And Inline Valve ID-AWS-026
1930 MDC Ultra High Vacuum 3 Inch Angle And Inline Manual Valve LAV-300 ID-AWS-017
1931 MDV-018 MDV018 High Vacuum Valve ID-AWM-D-1-011
1932 MDV-018 MDV018 High Vacuum Valve ID-AWM-D-1-012
1933 Mercury Probe HG-512-4 MSI Electronics ID-AWW-8-2-2-004
1934 Metal Carrier 1mm Thickness Total ,300um About Depth 4 Inch Wafer Carrier
1935 Metal Carrier Aluminum Carrier , 2×4 Inch 2mm Thickness 1mm Pocket
1936 Metal Cassette 4 Inch
1937 Metal Cassette 4.5 Inch
1938 Metal Cassette,square,6 Inch
1939 Meter Signal Box
1940 MGP-SD AWW-10-2-16-007
1941 MHT Mitsui High-tec, Inc MITSUI MFG Co., Surface Grinder MSG-200MH ID-NMA-022
1942 MHT Mitsui High-tec, Inc MITSUI MFG Co., Surface Grinder MSG-250SE  ID-NMA-023
1943 MHT Mitsui High-tec, Inc Surface Grinder MSG-200MH ID-NMA-021
1944 Micro Memory Inc MM-8500C /16 8200-0253  2 E / 91251 AWM-B-4-5-005
1945 Micro Technical Industries Thermo-probe Model 810 ID-AWM-A-4-008
1946 Micropump 000-605 20070 113 020-000 81851 073 ID-AWM-D-2-5-001
1947 Microscope Holder/ Adaptor AWW-6-1-6-002
1948 Microscope Holder/ Adaptor AWW-6-1-6-003
1949 Microscope Holder/ Adaptor AWW-6-1-6-004
1950 Microscope Holder/ Adaptor AWW-6-1-6-005
1951 Microscope Holder/ Adaptor AWW-9-2-1-001
1952 Microscope Holder/ Adaptor AWW-9-2-1-002
1953 Microscope Nikon 022936 AWW-9-2-1-003
1954 Microscope Station 10” High ID-AWW-6-2-1-003
1955 Microscope Station 15” High With Olympus ID-AWW-6-2-2-003
1956 Microtek AVON LTD Utek CB3121 ISS3 CB 3121 ISS 3 PCB  AWD-D-1–1-4-010
1957 Microtek AVON LTD Utek CB3124 ISS5 CB 3124 ISS 5 PCB  AWD-D-1–1-4-009
1958 Microtek CB2021 ISSU 5 CB2022 ?PCB Temperature Controller AWD-D-1–1-3-005
1959 Microtek CB2055 ISSU 2 CB2056 ? PCB AWD-D-1–1-3-004
1960 Microtek CB3121 ISS 3 PCB AWD-D-1–1-3-001
1961 Microtek CB3121 ISS 3 PCB AWD-D-1–1-3-002
1962 Microtek Utek 187Khz Matching Unit Controller Board SRE 134048 AWD-D-1–1-4-003
1963 Microtek Utek Avonlid CB3082 ISS 4 PCB AWD-D-1–1-4-002
1964 Microtek Utek CB1503-1 ISS6 CB 1503-1 ISS 6 PCB  AWD-D-1–1-4-007
1965 Microtek Utek CB3012 CB 3012 ISS 4 PCB  AWD-D-1–1-4-004
1966 Microtek Utek CB3017 ISS3 CB 3017 ISS 3 PCB  AWD-D-1–1-4-006
1967 Microtek Utek CB3017 ISS4 CB 3017 ISS 4 PCB  AWD-D-1–1-4-008
1968 Microtek Utek CB3121 ISS3 CB 3121 ISS 3 PCB  AWD-D-1–1-4-005
1969 Microwave Quartz Tube For Gasonics Aura 3010 Plasma Asher AWD-D-1–1-4-012
1970 MIL-B-81705C TYPE I Gallium Phosphide Wafer 2 Inch
1971 Miller Design And Equipment ,Interface , CPU Prober Controller AWW-10-2-4-005
1972 Millipore 300894-001 / 1ZR9745J Spare Parts
1973 Minertia  RM Motor UGRMEM-02SNQ33 TG-7SVE For AG Asscoiates Heatpulse?
1974 MITUTOYO Coordinate Measuring Machine Model B-231, Code No. 806M-3 ID-NMA-017
1975 MKS 2 Channel T.C. Controller Type 286 ID-AWS-K-1-010
1976 MKS 253A-11165 253A-1-40-1/ S045-90 ?Throttle Control Valve AWW-10-1-1-002
1977 MKS 253A-11165 253A-1-40-1/ S045-90 Throttle Control Valve AWW-10-1-1-001
1978 MKS 253A-11165 253A-1-40-1/ S45-90 Pressure Flow Throttle Valve , AWW-10-1-3-006
1979 MKS 253A-11165 253A-1-40-1/S045-90 ? Pressure Flow Throttle Valve AWW-10-1-3-001
1980 MKS 253A-11165 253A-1-40-1/S045-90 ? Pressure Flow Throttle Valve AWW-10-1-3-002
1981 MKS 253A-11165 253A-1-40-1/S045-90 ? Pressure Flow Throttle Valve AWW-10-1-3-007
1982 MKS 253A-11165 253A-1-40-1/S045-90 ?Pressure Flow Throttle Valve AWW-10-1-2-002
1983 MKS 253A-11165 253A-1-40-1/S045-90 Pressure Flow Throttle Valve AWW-10-1-2-001
1984 MKS 253A-11165 253A-1-40-1/S045-90 Pressure Flow Throttle Valve AWW-10-1-2-003
1985 MKS 253A-11165 253A-1-40-1-S Throttle Valve PN 03-01705-2-901 AWW-10-1-1-003
1986 MKS 253A-11165 Pressure Flow Throttle Valve , AWW-10-1-3-004
1987 MKS 253A-11165 Pressure Flow Throttle Valve , AWW-10-1-3-005
1988 MKS 253A-1-40-1-SP Pressure Flow Throttle Valve AWW-10-1-3-008
1989 MKS 253B-11165 Pressure Flow Throttle Valve , 03-01705-2-901 A AWW-10-1-3-003
1990 MKS 722A12TCE2FA 100 Torr AWD-D-1–1-2-001
1991 MKS 722A21TCB3FJ  20 Torr AWD-D-1–1-2-002
1992 MKS Barathon 142A-13243 10 Torr ID-AWS-20
1993 MKS Baratron 1torr 227AHS-A-1 ID-AWS-018
1994 MKS Baratron 370A-00001 1 Torr ID-AWS-K-3-009
1995 MKS Baratron 390HA-00001 Range 1 Torr
1996 MKS Baratron 627A 1TAD S 1torr ID-AWS-019
1997 MKS Baratron Pressure Meter Controller 250A For Matrix 105, 106,303, AWM-F-2-8
1998 MKS Baratron Pressure Meter PDR-C-1B PS/DVM ID-AWS-K-1-006
1999 MKS Electronic 170M-6C ID-AWM-D-2-1-003
2000 MKS ENI OEM-6 RF Generator For Matrix 105 Asher AWD-D-2-8-002
2001 MKS Exhaust Throttle Valve 253B-11020 / 253B-1-40-2 SPO21-84 AWD-D-3-1-5-007
2002 MKS HPS   Glass Ion Gauge AWD-D-1-4-4-010
2003 MKS ION Gauge CONT Type 290-01 ID-AWS-K-1-009
2004 MKS ION Gauge Controller 290B-01 Type 290 ID-AWS-015
2005 MKS MFC Model GE50A019501RBK020 Has CL2 Range 50 Sccm
2006 MKS Model 852B 12PCA2GC Range 100 PSIA Baratron Pressure Transducer
2007 MKS N2 MFC Type 2159B-00100RV 100 SCCM With SS-BN496 NUPRO Valve
2008 MKS Pressure/flow Type 270A Display ID-AWS-K-1-007
2009 MKS Pressure/flow Type 270A Display ID-AWS-K-1-008
2010 MKS PWR SUP /readout Pressure Readout Type 110A ID-AWM-F-1-003
2011 MKS Signal Conditioner / Display Model Type 270D-0 ID-AWS-K-1-011
2012 MKS Type 152 Automatic Pressure Controller Gasonics Aura 2000-LL AWD-D-2-8-5-002
2013 MKS Type 152 Automatic Pressure Controller Gasonics Aura 2000-LL AWD-D-3-1-5-003
2014 MKS Type 152 Pressure Controller Gasonics Aura 3010 AWD-D-3-1-14-006
2015 MKS Type 250 Controller Model 250E-4-D
2016 MLT-1 94V-0 0234 WEC 1001-01 Rev-A For Agilent HP 4062 Probe Card  ID-AWW-7-1-6
2017 MO 015 042 FSI 301202-001 ID-AWM-D-2-5-008
2018 Model 3502 Mathewson Valve And Meters
2019 Model ACG-10 ENI Power Systems RF Generator For Tegal 901e Tegal 903e
2020 Model ACG-10B ENI Power Systems RF Generator For Tegal 901e Tegal 903e
2021 Model F-IM2 Newport Optical Fiber Microinterferometer ID-AWW-6-2-4-001
2022 Model No 2100-4 SN 9303 PCB 61-000817-03 PCA 71-000818-03 ID-AWM-D-1-008
2023 Model PK243M-01AA Vexta 2-phase 0.9 DC 0.95A 4.2 Omega Motor ID -AWM-B-4-3
2024 Model PK264-01A Vexta 2-phase Motor ID -AWM-B-4-2-005
2025 Model TL2 Olympus Optical Co ID-AWW-8-2-3-003
2026 Modular Process Technology RTP-600S Rapid Thermal Processing System-Sol
2027 Module Control Siltec Assy 0122-0653 Rev H  64288 PCB AWM-G-E-2-012
2028 Monitor 995-10532 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
2029 Moore Special Tool Co., INC JIG Grinder Model No G18 ID-NMA-018
2030 Mori Seiki MV-65 MV 65/40 Machining Center ID-NMA-010
2031 Mori Seiki SK System Inc Machining Center MV-45/40 ID-NMA-008
2032 Motor Station For Gasonics Aura 2000-LL AWD-D-2-8-5-001
2033 MotorSTP Controller BSH-250 ID-AWM-D-2-2-005
2034 Mouse-trak Industrial PS/2 Switch ID -AWM-B-4-1-002
2035 MP2020 Wentworth Labs Wafer Probe , Up To 8 Inch W/ Temptronic TP0315B-1 Chiller
2036 MRC  Al59/Si1/Cu 0.5 M3-007-00 Sputter Target  ID -AWM-A-4-002
2037 MRC  L61-0577 Ti/W 30-4800-OW101-6000 Sputter Target  ID -AWM-A-4-003
2038 MRC 8671 MRC 8671 MRC 8? Sputtering System, Type 8671
2039 MRC ASA-2250 REV B 4104137, 883-45-101, MOD A, 8419
2040 MTI OTF-1200X Open Tube Furnace
2041 MUEGGE MW2101A-260EC National Electronics 2722-162-10471 AWD-D-1-1-001
2042 MW Mean Well DR-120-24 MW02 ID-DW-6-4-2-007
2043 MW200 Cassette And Cassette Box , 8 Inch
2044 N Newport P6000 Gate ID-AWM-F-1-016
2045 NAF2000-N01-C SMC ID-AWS-019
2046 Nalgene 1 Galon/4l
2047 Nanoline CD Measurement Nanometrics Critical Dimension Computer
2048 Nanometrics 210 Nanospec AFT Film Thickness Measurement
2049 Nanometrics 50/51 NanoLine IV Critical Dimension Measurement
2050 Nanometrics 62-0004-00 PMT Pre-Amp Board 010-8000 AWM-B-4-5-012
2051 Nanometrics NanoSpec/AFT 2100 Film Thickness Measurement
2052 Nanometrics NanoSpec/AFT Microarea gauge Film Thickness Measurement
2053 National Instruments 180212-01 A Assy 180210-01 Rev A 46412 AWW-10-2-4-009
2054 NEMIC-LAMBDA 94v-0 PCL-12 SCB028C Power Supply ? AWM-B-4-4-003
2055 Neslab (?) Chiller Heater ID-AWS-K-3-007
2056 NESLAB CFT-33 Refrigerated Recirculator
2057 Neslab Chiller 134a (?) Head / Hester Controller ID-AWS-K-3-005
2058 Neslab Chiller 134a (?) Head / Hester Controller ID-AWS-K-3-006
2059 Neslab Coolflow CFT-25 Refrigerated Recirculator BOM 393103031602 AWR-007
2060 Neslab Coolflow CFT-25 Refrigerated Recirculator BOM 39310603162 AWR-008
2061 Neslab Coolflow CFT-75  Refrigerated Recirculator BOM 349104040116 AWR-006
2062 NESLAB Instruments Inc 76mm 1mm PN 4100-005-02 ID-AWS-013
2063 Neslab RTE-110 Chiller
2064 NESLAB SYSTEM I Liquid/liquid Recirculator Coolflow
2065 NESLAB SYSTEM II
2066 Neslab System III Coolflow Liquid Recirculator 23x27x36 “ AWD-D-1-3-001
2067 NEST Petri Dish 90mmx15mm Base And Cover. 20 Sets Per Pack
2068 Neutronix NV2 Canon PLA 500/501 Wafer Mask Aligner
2069 New Stainless Steel Adaptor ISO-100-CF 4-5/8 PN P103833 ID-DZ-7-004
2070 New Stainless Steel Flange 4”/6” ? ID-DZ-7-005
2071 New Stainless Steel Flange 5.5” /7” ID-DZ-7-002
2072 New Stainless Steel Flange 6” /11”-7” ? ID-DZ-7-003
2073 New Stainless Steel Flange 8”/10” ? ID-DZ-7-001
2074 Newport Bias Meter DC Meter (?) AWM-F-2-2-006
2075 Newport Electronics Inc Model ICN77333  -2.5
2076 Newport Quanta Q2005-S  ID-AWM-F-1-020
2077 Newport Quanta Q902/S ID-AWM-F-1-021
2078 Newport Quanta Q902/S ID-AWM-F-1-022
2079 Nikon 200493 Camera-2 Camera Control Unit ID-AWM-D-1-003
2080 Nikon 216986 Motor Control AWM-F-2-1-004
2081 NilStat 3210 FlowBar Controller Quartz Timing ION Systems 91-3210A AG Associates
2082 NilStat 5024e Controller Static Control System ION Systems AG Associates
2083 NITTO MA2008IIV Full-auto Vacuum Wafer Mounter for 200mm wafer
2084 Noah Precision Inc Model 2002 Tegal 901e Tegal 903e Chiller Circulator AWR-003
2085 Noah Precision Inc Model 2002 Tegal 901e Tegal 903e Chiller Circulator AWR-004
2086 Noah Precision Model 2002 Chiller AWD-D-2-10-023
2087 Norgren F08-200-M3DA @ R08-200-RGMA ID-AWS-L-1-2-004
2088 Novellus Gasonics BA95-121-01 Chamber Door For Plasma Asher Equip AWM-C-5–7-004
2089 Novellus Systems Sense Board Assy P/N 02-00315-xx AWM-B-4-5-013
2090 NUPRO Magnetized Material UN 2807 PN A-5K-E4-A
2091 NUPRO Magnetized Material UN 2807 PN A-5K-E4-L
2092 NVZ110 (17 Pieces In One Set) SMC Solenoid Valve, Gas Line
2093 NW63 Elbow Clamp Flange OD 95mm /3.74 Inch ID-AWS-026
2094 O Ring Red
2095 Olympus 200499 Microscope Zoom 0.75x-3x AWW-6-1-5-003
2096 Olympus 214464  Microscope Zoom 0.7x-4.0x AWW-6-1-6-001
2097 Olympus 242569 BLI.082 Microscope Zoom 0.7-4.0 , 2 Of G20x AWW-7-2-4-003
2098 Olympus 252549 Microscope Zoom 0.7x-4.0x AWW-6-1-5-004
2099 Olympus 280856 Zoom 0.7 -4.0 , 2 Of  20x W.F. / Olympus 122 AWW-6-2-4-001
2100 Olympus 291731 Zoom 0.7 -4.0 , H01104 S.K AWW-6-1-5-002
2101 Olympus 298519 + Olympus U-PMTVC 5F00599 + COHU 2122-1000/0000 ID-AWW-6-2-1-002
2102 Olympus 307678 Zoom 0.7-4.0,H62107M AWW-6-1-5-001
2103 Olympus AL100-L6 Olympus Optical Co., LTD
2104 Olympus Microscope 808-627  Zoom 0.7 -4.0 , AWW-9-2-1-008
2105 Olympus Microscope W/ VM VMZ 1x-4x  ID-AWW-7-2-2-003
2106 Olympus MX50A-F With Nikon DN 100, Digital Net Camera
2107 Olympus Optical Co Model TGHM  ID-AWS-L-3-5-002 ID-AWS-F-2-1 (1 Pc)
2108 Olympus Optical Co PM-CBAD PM-PBS No 009249 AD System Exposure ID-AWM-D-3-2-001
2109 Olympus W/ 110AL0.62x WD160 SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-4-001
2110 Olympus W/ 110AL0.62x WD160 SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-4-002
2111 Olympus W/ 110AL0.62X WD160, SZ30 SZ 3060 WF20x12/GSWH20x12.5 ID-AWW-7-2-3-001
2112 Olympus W/ 110AL0.75x WD130 SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-3-002
2113 Olympus W/ 110AL0.75x WD130, SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-7-2-3-002
2114 Olympus W/ 110AL0.75x WD130, SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-7-2-3-003
2115 Olympus W/ 110AL0.75x WD130, SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-2-001
2116 Olympus W/ 110AL0.75x WD130? SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-2-002
2117 Olympus W/ 110AL0.75x WD130? SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-3-001
2118 Omega DP-116-JC1-DS Calibration J
2119 Omega Engineering DP25-TC 115V 6 Watts Meters
2120 Omega Engineering Inc CN5052K Temperature Controller ID-AWM-F-1-018
2121 Omega Engineering Inc DP25-TC-A Temperature Controller ID-AWM-F-1-017
2122 Omega Engineering Inc Trendicator ID-AWM-F-1-019
2123 Omega Flow Sensor With Inline And Remote Flow Rate Indication SL9601 600 PSI MAX
2124 Omega Flow Sensor With Inline And Remote Flow Rate Indication SL9602 600 PSI MAX
2125 Omega MML 400 Coutant MML 400-B2 Power Supply ID-AWM-D-2-5-002
2126 Omega One Omega Drive Model CN79033-C4 Process Control Equipment CN790000 Seri
2127 Omeon E5AX Temperature Controller ID-AWM-A-3–4-012
2128 Omron 3G2A3-0C221  Programmable Controller ID-AWM-A-3–4-008
2129 Omron 3G2A3–A221 Programmable Controller ID-AWM-A-3–4-006
2130 Omron 3G2A3-PS221 Programmable Controller ID-AWM-A-3–4-005
2131 Omron 3G2S6-CPU15 Sys .Mac S6 3G2A3-PS221 3G2A3-OC221 Assembly AWM-F-2-2-002
2132 Omron E2k-X4MF1 Capacitive Proximity Switch ID-AWM-A-3–4-004
2133 Omron E5C2-R20J Temperature Controller ID-AWM-A-3–4-009
2134 Omron H3CA-A Type Timer ID-AWM-A-3–4-010
2135 Omron H7EC-BVL Counts ID-AWM-A-3–4-016
2136 Omron S8VS-06024B Power Supply ID-DW-6-4-2-008
2137 Omron Type H5AN-4D Timer ID-AWM-A-3–4-007
2138 Oneac CP1107 Power Supply ID-AWW-8-2-1-004
2139 Operator Interface PCB 1000-0042 For Matrix 105 Matrix 106 Matrix 303, Matrix403
2140 Optem International 25-81-01 Zoom W/  iAi CV-M1250K Power ID-AWW-7-1-3
2141 Optem International 25-81-01 Zoom W/  iAi CV-M2250 Power ID-AWW-7-1-1
2142 Optem International 25-81-01 Zoom W/  iAi CV-M2250 Power ID-AWW-7-1-2
2143 Optem International 25-81-01 Zoom W/  iAi CV-M2250 Power ID-AWW-8-1-6
2144 Optem International 25-81-01 Zoom W/ Panasonic Power GP-KS102 /29-60-02 AWW-6-2
2145 Optem International 25-81-01 Zoom W/ Panasonic Power GP-KS102-2F ID-AWW-8-1-5
2146 Optem International 25-81-01 Zoom W/ Panasonic Power GP-KS102C ID-AWW-7-1-4
2147 Optem International HF-65 Microscope W/ 28-90-39 Zoom , 28-90-08 ID-AWW-6-1-3
2148 Optem International Zoom ID-AWW-6-1-4
2149 Opto 22 PB4 For Branson/IPC ? Gasonics? AWD-D-3-1-7-006 2/2
2150 Original Phd AUK 1 3/8 X 2 -B-M-P For AG Associates 4502-0035-02
2151 Orion Research Model 211 Digital PH Meter ID-AWW-8-2-3-004
2152 OTI ? UTI 2221 RF Generator 04020 ID-AWM-D-2-2-006
2153 Oven Accessories
2154 Oven Accessories
2155 Oven Technology Inc  Oven Technology 4400 Oven Technology 4600 OTI Bake-Oven
2156 Oxford Plasmalab 80 Plus RIE PECVD (?)Plasma Etch System
2157 Oxford Plasmalab System 100 PECVD
2158 Oxford Plasmalab System 133
2159 Oxford Plasmalab System 133
2160 Oxford Plasmalab System 133
2161 Oxford Plasmalab System 133
2162 P.S.U.1 Controller For Gasonics? Matrix ? Lam Research? STS AWD-D-1-5-2-004
2163 P/N V20397 ORIF 1/4. V 120/60 FSI 40 Watts 11.0 SNAP-TITE / ALLIED Valve
2164 P/N: 221-386-000 Perkin-Elmer 44XX  Series Table Shaft
2165 PACIFIC PRECISION LABORATORIES INC 200-0009 202-1002
2166 PACIFIC PRECISION LABORATORIES INC 200-0009 202-1002
2167 Pacific Scientific SC323A032 115/230 1/3 Ph ID-AWM-D-1-002
2168 Pallet For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-3/4/5-001
2169 Panel For Thin Film Deposition Equipment
2170 Panel For Thin Film Deposition Equipment
2171 Panel For Thin Film Deposition Equipment
2172 Panel For Thin Film Deposition Equipment
2173 Panel For Thin Film Deposition Equipment
2174 Panel For Thin Film Deposition Equipment
2175 Panel With Omega CN79022 For Thin Film Deposition Equipment
2176 Parker HIR2000SK43PV1FSMMM  ID-AWS-017
2177 Parker Liquid Line Filter Dryer Model 082 ID-AWM-D-5-004
2178 Parker Water Quick Connecter BH2-60 Lot 7 ID-AWS-019
2179 Part Number: B90820.0091  STEAG-MATTSON
2180 Parts ComIng With  Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-009
2181 Parts Coming With 7200-0731-01 A For AG Asscoiates Heatpulse
2182 Parts for Tegal 901e Tegal 903e etc.Sell as 1 lot.
2183 Parts For Thin Film Deposition Equipment
2184 PC6062 Rev E Parallel I/O MBC Circuit Side
2185 PCA 71-006996-01 A
2186 PCA 71-006996-01 A, PACIFIC PRECISION LABS, 200-0005
2187 PCB 9214-97-3 SIDA 294
2188 PCB BA #001-0009-01 Rev 1.6 AWM-G-4-1-001
2189 PCB Cage For AG Associates Heatpulse
2190 PCB Cage For AG Associates Heatpulse-2
2191 PCB For AG Assoicates RTP
2192 PCB OPTO 22 PB 24 AC Input AC Output DC Input DC Output AG 2100-0005-01
2193 PCBA 09-00169-01 A PCB AWW-10-2-3-006
2194 PD-1653-0005 A 220-978-4?? PD-1653-5 PCB AWM-B-4-4-004
2195 Perkin Elmer backing plate DC Magnetron 8″ diameter # 221-249-400
2196 Perkin Elmer High Vacuum Division Ultek UHV Manually Operated Valve
2197 Perkin Elmer Ultek High Throughput Ion Pump 221-377-800
2198 Perkin-Elmer 4400 sputtering system
2199 Perkin-Elmer 4400 sputtering system, and Perkin-Elmer 4410 Perkin-ELmer 4450
2200 PFC0300-3AH-Z 300 W Max Output Power Supply Lambda
2201 PFEIFFER 3m PM 051103-T Cable AWD-D-1-1-7-013
2202 PFEIFFER Balzers Vent Control TCF 102 PM C01 350 A/F 420 ID-AWM-D-2-1-001
2203 PFEIFFER Vacuum 106225  For Thin Film Deposition Equipment
2204 PFEIFFER Vacuum B108 JTS ID -AWM-A-5-003
2205 PFEIFFER Vacuum B108 JTS ID -AWM-A-5-004
2206 PFEIFFER Vacuum Controller TCP 380 AWD-D-1-5-2-005
2207 Phcenix Contact PLC-BsP-24DC/21 6149-2966-472 Relay PLC 24 DC ID-DW-6-4-2-006
2208 Phd Tom Thumb Piston NPGMF113/8×10-B-72k ID-AWS-027
2209 Philips Type PM 3212 Q NC 9444 022 12007 No DQ/04 04558 0-25 MHz ID-AWW-6-3-003
2210 PIO-12 PC6062 1-4005 Rev J PIO-12 9647/J 102245 25-96 1-94V0 PCB AWW-10-2-3-005
2211 PIO-24 PC6422-14075 Rev C PC6422 14075 C AWR-039-CC-7-001
2212 Pipe Heat WCSH-0120-0000 105.0 0920c37-50144 E43684 HPS 4525-0017 A ID-DQ-2
2213 Pixesmart PCI Bis Ver 3.1 XC 2499 MA07H14 E199143 94v-0 0728 AWW-10-2-6-002
2214 PL 7901 Extender Card Assy 100108 9331459
2215 PL Breakout board, model 120 RS-422, REV 004, Made in USA
2216 PL Model 120 W/ RS-422 Breakout Board SBC-2A 94v-0 99-17
2217 Plasma Etch BT1
2218 Plasma Etch PE-100 Series Plasma Etch
2219 Plasma Technology DP 80 PECVD Plasmalab
2220 Plasma Therm 700  Series Wafer Batch Plasma Etcher PECVD Deposition
2221 Plasmalab CVD-2 Oxford Plasma Technology Model DP80
2222 Plasmatherm SLR 720 RIE Etcher
2223 Plastic Capacitors Inc HV25-103M 118v/2.5 Kvdc 10 Ma ID-AWS-K-3-008
2224 Plastic Courrugated Pipe  ID 2 “ ,OD 2 1/4 “ , 130-134” Length For Vacuum Pipe
2225 Plastic Courrugated Pipe  ID 4“ ,OD 41/4 “ , 110-120” Length For Vacuum Pipe
2226 Plastic Cylinder For High Vacuum Component For Thinfilm Deposition Equip Part
2227 Plastic Tray With Holes
2228 Plate For AG Associates Heatpulse -6
2229 Plate With 7200-0459-04 F ,PCB Door Reversal  7100-5136- AG Associates Heatpulse
2230 Plate With 7200-0459-04 F ,PCB Door Reversal  7100-5136- AG Associates Heatpulse
2231 Plate With 7200-0459-04 F ,PCB Door Reversal  7100-5136- AG Associates Heatpulse
2232 Plate With 7200-0714-01 , PCB Door Reversal  7100-5136- AG Associates Heatpulse
2233 Plate With 7200-0714-01 , PCB Door Reversal  7100-5136- AG Associates Heatpulse
2234 Plates For  Branson/IPC  (?) With 14721-01 Rev B-1 / 16160-01 A ID-AWS-k-5-1-003
2235 Plates For  Branson/IPC  Asher (?) ID-AWS-k-5-1-002
2236 PMC/BETA Model 3209 ID-AWSK-3-012
2237 PN 101-0575 Robot Effector Robot Arm
2238 PN 12S1038A Spare Parts, Varian?
2239 PN 13840-01 MICRO-AIDE INC. OPTO-INPUT 80-0023
2240 PN 13843-01 ROBOTROL CORP ANALOG OUTPUT BOARD
2241 PN 14909-01 MITCHELL ELECTRONICS ASSY 00200-AD01 REV A FAB 00200-0001 REV B
2242 PN 2100-0001-4100-006 AG Associates Heatpulse PCB
2243 PN 2100-0080 AG Associates Heatpulse PCB
2244 PN 21-0001-4100-005 AG Associates Heatpulse PCB
2245 PN 21-0001-4100-012 AG Associates Heatpulse PCB
2246 PN 21-0001-4100-024 AG Associates Heatpulse PCB
2247 PN 21-0001-4100-15 AG Associates Heatpulse PCB
2248 PN 21-0001-4100-16 AG Associates Heatpulse PCB
2249 PN 21-0001-4100-18 AG Associates Heatpulse PCB
2250 PN 2100-0305-03 AG Associates Heatpulse PCB
2251 PN 2100-0307-02 AG Associates Heatpulse PCB
2252 PN 2100-0308-02 AG Associates Heatpulse PCB
2253 PN 2100-0308-03 AG Associates Heatpulse PCB
2254 PN 2100-4100-009 AG Associates Heatpulse PCB
2255 PN 2100-4100-066 C AG Associates Heatpulse PCB
2256 PN 221-313-000 DC Delta Magnetron Assembly,Perkin-Elmer 4410 4450, 4480
2257 PN 233-1722-63 Magnetron , Delta For Perkin-Elmer 4410 4450 sputtering system
2258 PN 233-1728-41 Shutter, Full Circle For Perkin-Elmer 4400 4410 4450 Spu
2259 PN 233-1729-65 Pallet, 4 Inch, 22 Wafers For Perkin-Elmer 4400 4410 4450 Spu
2260 PN 2908-0041-01 AG Associates Heatpulse H605-004 HL50501 Meter 1/2” Brass
2261 PN 36-2019 Novellus A3292 Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-004
2262 PN 36-2019 Novellus A3292 Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-005
2263 PN 38-232-001 A For 6 Inch Tegal 901e 903e AWD-D-3-2-6-002
2264 PN 46-273-001 A For 4 Inch Tegal 901e 903e AWD-D-3-2-7-001
2265 PN 46-274-001 For Tegal 901e 903e AWD-D-3-2-5
2266 PN 46-275-001 For 6 Inch Tegal 901e 903e AWD-D-3-2-6
2267 PN 46-383-001 For 3 Inch Tegal 901e 903e AWD-D-3-2-7-002
2268 PN 7100-0168-01 AG Associates Heatpulse PCB ZiaTech ZT8830
2269 PN 7100-1258-07 AG Associates Heatpulse Susceptor?
2270 PN 7100-1258-08 AG Associates Heatpulse Susceptor?
2271 PN 7100-1258-09 AG Associates Heatpulse Susceptor?
2272 PN 7100-1596-15 AG Associates Heatpulse Susceptor?
2273 PN 7100-2181-01 AG Associates Heatpulse PCB Timer 2100-0090
2274 PN 7100-2181-02 AG Associates Heatpulse PCB Timer 2100-0090
2275 PN 7100-2181-03 AG Associates Heatpulse PCB Timer 2100-0090
2276 PN 7100-2181-04 AG Associates Heatpulse PCB Timer 2100-0090
2277 PN 7100-2586-02 AG Associates Heatpulse PCB ATP Board Assy
2278 PN 7100-2586-03 AG Associates Heatpulse PCB
2279 PN 7100-3128-01 AG Associates Heatpulse PCB
2280 PN 7100-3181-03 AG Associates Heatpulse Susceptor?
2281 PN 7100-3387-01 AG Associates Heatpulse PCB ZiaTech 8950
2282 PN 7100-3395-01 AG Associates Heatpulse PCB Elographics E271-2201 PN 170020 B
2283 PN 7100-3397-02 AG Associates Heatpulse PCB
2284 PN 7100-3604-02 AG Associates Heatpulse PCB 7310-1055-02?
2285 PN 7100-3613-01 AG Associates Heatpulse Breakout Board
2286 PN 7100-3617-02 AG Associates Heatpulse PCB
2287 PN 7100-3639-01 AG Associates Heatpulse PCB
2288 PN 7100-3639-02 AG Associates Heatpulse PCB
2289 PN 7100-4023-01 AG Associates Heatpulse PCB
2290 PN 7100-4040-01 AG Associates Heatpulse PCB
2291 PN 7100-4040-02 AG Associates Heatpulse PCB
2292 PN 7100-4059-01 AG Associates Heatpulse PCB
2293 PN 7100-4059-02 AG Associates Heatpulse PCB
2294 PN 7100-4066-01 AG Associates Heatpulse PCB
2295 PN 7100-4148-02 AG Associates Heatpulse PCB
2296 PN 7100-4213-01 AG Associates Heatpulse PCB
2297 PN 7100-4277-01 AG Associates Heatpulse PCB
2298 PN 7100-5040 AG Associates Heatpulse PCB 7100-5040-02
2299 PN 7100-5080-02 AG Associates Heatpulse PCB
2300 PN 7100-5080-02 AG Associates Heatpulse PCB
2301 PN 7100-5111 AG Associates Heatpulse PCB 7100-5111-01?
2302 PN 7100-5112 AG Associates Heatpulse PCB
2303 PN 7100-5117 AG Associates Heatpulse PCB 7100-5117-01?
2304 PN 7100-5119 AG Associates Heatpulse PCB
2305 PN 7100-5120-10 AG Associates Heatpulse PCB ZiaTech ZT8820B
2306 PN 7100-5120-12 AG Associates Heatpulse PCB ZiaTech ZT8820B
2307 PN 7100-5123-02 AG Associates Heatpulse PCB
2308 PN 7100-5123-03 AG Associates Heatpulse PCB
2309 PN 7100-5124 AG Associates Heatpulse PCB ZiaTech ZT8830 (ZT 8830) 7100-4124-01?
2310 PN 7100-5128-03 AG Associates Heatpulse PCB
2311 PN 7100-5128-03 AG Associates Heatpulse PCB
2312 PN 7100-5129-02 AG Associates Heatpulse PCB
2313 PN 7100-5133-01 AG Associates Heatpulse PCB
2314 PN 7100-5133-02 AG Associates Heatpulse PCB ZiaTech ZT8812
2315 PN 7100-5133-03 AG Associates Heatpulse PCB ZiaTech ZT8812
2316 PN 7100-5133-04 AG Associates Heatpulse PCB ZiaTech ZT8812
2317 PN 7100-5146-01 AG Associates Heatpulse PCB
2318 PN 7100-5146-02 AG Associates Heatpulse PCB
2319 PN 7100-5146-04 AG Associates Heatpulse PCB
2320 PN 7100-5148-01 AG Associates Heatpulse PCB
2321 PN 7100-5159-06 J AG Associates Heatpulse PCB
2322 PN 7100-5160-03 AG Associates Heatpulse PCB
2323 PN 7100-5168-02 AG Associates Heatpulse PCB
2324 PN 7100-5168-04 AG Associates Heatpulse PCB
2325 PN 7100-5168-07 AG Associates Heatpulse PCB
2326 PN 7100-5168-08 AG Associates Heatpulse PCB
2327 PN 7100-5171-01 AG Associates Heatpulse PCB
2328 PN 7100-5172-02 AG Associates Heatpulse PCB
2329 PN 7100-5174-01 AG Associates Heatpulse PCB
2330 PN 7100-5174-02 AG Associates Heatpulse PCB
2331 PN 7100-5175-02 AG Associates Heatpulse PCB
2332 PN 7100-5176-03 AG Associates Heatpulse PCB
2333 PN 7100-5177-04 AG Associates Heatpulse PCB
2334 PN 7100-5177-08 AG Associates Heatpulse PCB
2335 PN 7100-5177-089 AG Associates Heatpulse PCB
2336 PN 7100-5181-02 Rev C AG Associates Heatpulse PCB ZiaTech 8820B E.3
2337 PN 7100-5188-01 AG Associates Heatpulse PCB
2338 PN 7100-5188-02 AG Associates Heatpulse PCB
2339 PN 7100-5188-02 AG Associates Heatpulse PCB ZiaTech 8820B E.2
2340 PN 7100-5188-02 AG Associates Heatpulse PCB ZiaTech 8820B E.4
2341 PN 7100-5188-19 AG Associates Heatpulse PCB
2342 PN 7100-5189-01 AG Associates Heatpulse PCB
2343 PN 7100-5190-02 AG Associates Heatpulse PCB
2344 PN 7100-5190-03 AG Associates Heatpulse PCB 2100-0085 REV D
2345 PN 7100-5191-01 ? AG Associates Heatpulse PCB ZiaTech ZT 8850 REV A.2
2346 PN 7100-5191-01 Rev A AG Associates Heatpulse PCB ZiaTech ZT 8850 REV A.5
2347 PN 7100-5192-01 AG Associates Heatpulse PCB
2348 PN 7100-5193-01 AG Associates Heatpulse PCB
2349 PN 7100-5193-02 AG Associates Heatpulse PCB
2350 PN 7100-5194-01 AG Associates Heatpulse PCB 2100-0090 7100-2181-01 /02/03
2351 PN 7100-5209-01 AG Associates Heatpulse PCB
2352 PN 7100-5209-02 AG Associates Heatpulse PCB
2353 PN 7100-5210-01 AG Associates Heatpulse PCB
2354 PN 7100-5210-03 AG Associates Heatpulse PCB
2355 PN 7100-5210-04 AG Associates Heatpulse PCB
2356 PN 7100-5221-01 AG Associates Heatpulse PCB
2357 PN 7100-5222-01 AG Associates Heatpulse PCB
2358 PN 7100-5222-02 AG Associates Heatpulse PCB
2359 PN 7100-5222-03 AG Associates Heatpulse PCB
2360 PN 7100-5222-04 AG Associates Heatpulse PCB
2361 PN 7100-5222-05 AG Associates Heatpulse PCB
2362 PN 7100-5256-01 ZiaTech ZT8820B AG Associates Heatpulse PCB
2363 PN 7100-5259-01 AG Associates Heatpulse PCB
2364 PN 7100-5259-02 AG Associates Heatpulse PCB
2365 PN 7100-5425-03 AG Associates Heatpulse PCB
2366 PN 7100-5447-02 AG Associates Heatpulse Susceptor?
2367 PN 7100-5633-02 AG Associates Heatpulse PCB
2368 PN 7100-5667-01 AG Associates Heatpulse PCB
2369 PN 7100-6270-01 AG Associates Heatpulse PCB
2370 PN 715-011640-006 Ring Focus Anodized 6 Inch  For Lam Research Lam Rainbow 4xxx
2371 PN 715-18611-117 REV 1 Chuck For Lam Research Lam Rainbow 4xxx
2372 PN 72-0043-002 Ring For STS ICP
2373 PN 7310-2841-02N Quartz Tube for AG Associates Heatpulse 8800 8108 4108
2374 PN 7310-4213-02 AG Associates Heatpulse PCB 7100-4213-01?
2375 PN 734-000229-001 O Ring For Lam Research Lam Rainbow 4xxx
2376 PN 734-001081-001 O Ring For Lam Research Lam Rainbow 4xxx
2377 PN 734-007293-001 O Ring For Lam Research Lam Rainbow 4xxx
2378 PN 734-091228-001 O Ring For Lam Research Lam Rainbow 4xxx
2379 PN 7400-0009 Quartz Tube 6 Inch Used AG Associates No Window
2380 PN 7400-0062-01 M-tray 6 Inch Broken AG Associates TC Station Rear
2381 PN 7400-0062-01 M-tray 6 Inch New AG Associates Heatpulse 4100 TC Station Rear
2382 PN 7400-0068-02 Quartz Tube ,Used, For Heatpulse 4100 AG Associates Metron
2383 PN 7400-0085-09 M 6 Inch New AG Associates Heatpulse 4100
2384 PN 7400-0104-02 Quartz Tray 6 Inch AG Associates
2385 PN 7400-0107-01A Quartz tray for AG Associates Heatpulse 4100 TC Station TC Pin
2386 PN 7400-0111-01B Quartz Tray 6 Inch Broken AG Associates TC Station Rear
2387 PN 7400-0132-01C / 7400-0036F Quartz Inlay FLTG Door AG Associates Metron
2388 PN 7600-0039 AG Associates Heatpulse Chip
2389 PN 80-055-140 Tegal RF Power T-502S RF Products
2390 PN 9000-0240 REV 11 AG Associates Heatpulse PCB, Oven Control Board
2391 PN A4-0034B Liner
2392 PN CC1012-00101 Chamber Assembly Tegal 901e SN 11531
2393 PN CC1106-00204 Chamber Assembly Tegal 901e SN 10903
2394 PN CC1106-01101 Chamber Assembly Tegal 901e SN 11606
2395 PN TGL-39-292-003   Tegal Spare Parts
2396 PN TGL-39-292-003   Tegal Spare Parts
2397 PN TGL-39-336-018   Tegal Spare Parts
2398 PN TGL-39-341-002  Tegal Spare Parts
2399 PN TGL-39-344-001 Tegal Spare Parts
2400 PN TGL-39-604-001 Tegal Spare Parts
2401 PN TGL-39-622-001 Tegal Spare Parts
2402 PN TGL-39-628-001 Tegal Spare Parts
2403 PN TGL-39-633-001 Tegal Spare Parts
2404 PN TGL-39-636-001 Tegal Spare Parts
2405 PN TGL-39-637-001 Tegal Spare Parts
2406 PN TGL-39-639-001 Tegal Spare Parts
2407 PN TGL-39-654-001 Tegal Spare Parts
2408 PN TGL-39-655-001 Tegal Spare Parts
2409 PN TGL-39-659-001 Tegal Spare Parts
2410 PN TGL-39-662-001 Tegal Spare Parts For Tegal 1500 Plasma Etcher
2411 PN TGL-39-675-001 Tegal Spare Parts For Tegal 1500 Plasma Etcher
2412 PN TGL-39-676-001 Tegal Spare Parts For Tegal 1500 Series Plasma Etcher
2413 PN TGL-39-680-003 Tegal Spare Parts For Tegal Plasma Etcher
2414 PN TGL-39-682-001 Tegal Spare Parts For Tegal Plasma Etcher
2415 PN TGL-39-682-001 Tegal Spare Parts For Tegal Plasma Etcher
2416 PN TGL-39-683-001 Tegal Spare Parts For Tegal Plasma Etcher
2417 PN TGL-39-687-002 (?)Tegal Spare Parts For Tegal Plasma Etcher
2418 PN TGL-39-689-001 Tegal Spare Parts For Tegal Plasma Etcher
2419 PN TGL-39-694-001 Tegal Spare Parts For Tegal Plasma Etcher
2420 PN TGL-39-696-001 Tegal Spare Parts For Tegal Plasma Etcher
2421 PN TGL-39-699-001 Tegal Spare Parts For Tegal Plasma Etcher
2422 PN TGL-39-700-007 Tegal Spare Parts For Tegal Plasma Etcher
2423 PN TGL-39-735-003 Low Electrode Chuck 5 Inch Tegal Spare Parts
2424 PN TGL-39-735-008 Low Electrode Chuck Tegal Spare Parts
2425 PN TGL-39-750-001 Tegal Spare Parts
2426 PN TGL-39-751-001 Tegal Spare Parts
2427 PN TGL-39-757-003 Tegal Spare Parts
2428 PN TGL-39-757-003 Tegal Spare Parts
2429 PN TGL-39-758-001 Tegal Spare Parts
2430 PN TGL-39-776-001 Tegal Spare Parts
2431 PN TGL-39-804-001 Tegal Spare Parts
2432 PN TGL-39-815-001 Tegal Spare Parts
2433 PN TGL-39-815-003 Tegal Spare Parts
2434 PN TGL-39-817-001 Tegal Spare Parts
2435 PN TGL-39-819-001 Tegal Spare Parts
2436 PN TGL-39-833-001 Tegal Spare Parts
2437 PN TGL-39-847-002 Tegal Spare Parts
2438 PN TGL-39-847-004 Tegal Spare Parts
2439 PN TGL-39-848-003 Tegal Spare Parts 37-848-003 (?)
2440 PN TGL-39-848-004 Tegal Spare Parts
2441 PN TGL-39-848-005 Tegal Spare Parts
2442 PN TGL-39-852-001 Tegal Spare Parts
2443 PN TGL-39-852-002 RW Tegal Spare Parts
2444 PN TGL-39-852-004 Tegal Spare Parts
2445 PN TGL-39-853-002 Tegal Spare Parts
2446 PN TGL-39-853-004 Tegal Spare Parts
2447 PN TGL-39-854-001A Tegal Spare Parts
2448 PN TGL-39-854-001B Tegal Spare Parts
2449 PN TGL-39-854-001C Tegal Spare Parts
2450 PN TGL-39-855-001 Tegal Spare Parts
2451 PN TGL-39-856-001 Tegal Spare Parts
2452 PN TGL-39-857-001 Tegal Spare Parts
2453 PN TGL-39-858-001 ATegal Spare Parts
2454 PN TGL-39-858-001 Tegal Spare Parts
2455 PN TGL-39-860-001 ATegal Spare Parts
2456 PN TGL-39-868-001 Tegal Spare Parts
2457 PN TGL-39-869-001 Tegal Spare Parts
2458 PN TGL-39-870-001 Tegal Spare Parts
2459 PN TGL-39-872-001 Tegal Spare Parts
2460 PN: 221-416-750A  Perkin Elmer, Ultek Vacuum Parts, Inserts, Nipple Tube
2461 PN: 2451-0010-02 Lamp J208V-1200WB1 IR Lamps For AG Associates Heatpulse RTP
2462 PN: 2451-0010-03 Lamp J208V-1200WB1 IR Lamps For AG Associates Heatpulse RTP
2463 PN: 2451-0013-01 QIR208-1500SS IR Lamps For AG Associates Heatpulse RTP
2464 PN: 2451-0015-01 QIR208-2000SS  IR Lamps For AG Associates Heatpulse RTP
2465 PN: 7100-5727-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
2466 PN: 7100-5727-01C For AG Associates Heatpulse 8108, 8800, 4108 RTP
2467 PN: 7100-5898-01 For AG Associates Heatpulse 8108, 8800, 4108 RTP
2468 PN: 7310-3008-02 For AG Associates Heatpulse 8108, 8800, 4108 RTP
2469 PN: 7310-4781-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
2470 PN: 7310-5195-02 (7100-5995-02) For AG Associates Heatpulse 8108, 8800, 4108 RTP
2471 PN: 7310-6046-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
2472 PN:33-085-002 Tegal 903e Tegal 901e Bellows Lower electode
2473 PN:40-750-601  Tegal 6″ Focus Ring
2474 Pneumatic Valves ET-3M-12VDC With Station Base 10pc Of Valves Per Each
2475 PolyScience 9102A Chiller
2476 PolyScience 9105 Chiller
2477 Pomona 4243-0 Double Binding Post For 0.063” To 0.250” Panel
2478 Power Box
2479 Power DAR Extender Assy 102971-001 Rev A  21080057 FAB 102972-001 Rev B
2480 Power General Unitrode Model 244CM AWM-F-2-4-003
2481 Power One Power Supplies MAP130-4000 Assy D.R. 081-64013-101J
2482 Power One Power Supply HDD15-5-A , HN12-5.1-A, SWITCHING POWER INC OFSX-225IECF
2483 Power Supply
2484 Power Supply
2485 Power-0ne Power Supply HB200-0. 12-A
2486 Power-one HD28-4-A
2487 Power-one HTAA-16w-A Power Supply ID-AWM-D-2-5-005
2488 Practical Peripherals Microbuffer In-line Serial AWW-10-2-1-009
2489 Premier 75x25x1mm Premium Fine Ground Edge Microscope Slides 1/2 Gross
2490 Printer Driver Board 62-0003-00 5-1064 PCB AWM-B-4-4-008
2491 pRO-1010 High Capacity Two Bed 248-7-2374W 09/2009 ID-AWS-014
2492 Process Controller PCB 1000-0080 For Matrix 105 106 103 303 404..
2493 Profacture Upgrade Kit 90x Windows PC Interface Tegal PCB AWM-G-5-1-012
2494 Prometrix StatTrax SP9514 SP9516 20 megabytes FT-500 Spectramap ID-AWW-6-1-1
2495 Proteus Ind FSDC REVD 200077 062999 PCB AWD-D-3-1-7-008 2/2
2496 Proteus Industries Inc Model 0200C24 Valve For Aura 3010 3000 AWD-D-3-1-13-002
2497 PROTEUS Pyro Cooling Oven Cooling Valve
2498 PS-10N LOT 99Y P.R.S.-/+100 kPa Pressure Switch SigmaEltec, DV-4D-VCCR Teledyne
2499 PSI 480-01 Pressure Meter PSI 300562-001
2500 PVA Tepla M4L Plasma Asher, Model M4L Serial Number 4136
2501 PWA00021-EV-170 FCC ID E3E5UVEV-170 7635 AWW-10-2-5-003
2502 Pylon Inc 500SD Version 5.3 PRT 131 Rev 3 PCB CC0101
2503 QJM94-2306 Compact Air Products For AG Asscoiates Heatpulse
2504 QJM94-2306 Compact Air Products For AG Asscoiates Heatpulse With 7200-1114-03 C
2505 Qua Tech Inc PXB-721 Rev C PX 4936 AWW-10-2-6-001
2506 Quality Transformer 6300 For Gasonics Aura 2000LL AWD-D-2-8-12-001
2507 Quality Transformer 6300 For Gasonics Aura 2000LL AWD-D-2-8-12-002
2508 Quality Transformer 6300 For Gasonics Aura 2000LL AWD-D-2-8-12-003
2509 Quantum 3.5 series Hard Disk
2510 Quantum Fireball 3.5 Series Hard Disk With Disk Mounting Card
2511 Quantum Fireball 3.5 Series Hard Disk With PL Disk Mounting Card 7100-5899-08
2512 Quantum Fireball ST 3.5 series
2513 Quantum Fireball ST 3.5 Series Harder disk With Motorola Disk Mounting Card
2514 Quantum Fireball ST 3.5 Series Harder disk With Motorola Disk Mounting Card
2515 Quantum Lightning ProDrive Harder disk With PL Disk Mounting Card 2000-0013-02
2516 Quantum ProDrive LPS Hard Disk
2517 Quantum Storage Systems DSS401 , 6 Pieces Per Pack
2518 Quantum Storage Systems DSS403, 6 Pieces Per Pack
2519 Quantum Storage Systems DSS405, 6 Pieces Per Pack
2520 Quartz Baffle For Heatpulse 8108 AG Associates Metron
2521 Quartz Boat  For Branson/IPC Asher And Ovens ID-AWS-L-1-7
2522 Quartz Boat 4 Inch 25 Pieces Wafers
2523 Quartz Boat 6 inch
2524 Quartz Boat 8 Inch
2525 Quartz Boat Handle, Up To 6 Inch
2526 Quartz Chamber For Branson/IPC L3200 Asher New And Used
2527 Quartz Chamber For Branson/IPC Plasma Asher
2528 Quartz Chamber For Branson/IPC Plasma Asher Diameter 10 Inch
2529 Quartz Cover Quartz Cap For Branson/IPC 3000, 2000, 3100,4000,3001s
2530 Quartz Liner for AG Associates Heatpulse 8800 8108 4108
2531 Quartz Plate , New BL-06
2532 Quartz Plate 06-2822 ID-AWS-003
2533 Quartz Plate For Branson/IPC Plasma Asher Door
2534 Quartz rod Diameter 3/4” Length About 47” PN 902-0089
2535 Quartz Tray for AG Associates Heatpulse 210T RTP
2536 Quartz Tray for AG Associates Heatpulse 610 3-6 inch
2537 Quartz Tray For Branson/IPC Asher ID-AWS-L-1-4-001
2538 Quartz Tray For Branson/IPC Asher ID-AWS-L-1-5
2539 Quartz Tray For Branson/IPC Plasma Asher
2540 Quartz Tray For Branson/IPC Plasma Asher
2541 Quartz Tray Fork
2542 Quartz Tray Quartz Shelves For Branson/IPC Asher ID-AWS-L-1-6
2543 Quartz Tray Quartz Shelves For Branson/IPC Asher ID-AWS-L-1-6-2
2544 Quartz Tray Quartz Shelves For Branson/IPC Asher ID-AWS-L-1-6-3
2545 Quartz Tray Quartz Shelves For Branson/IPC Asher ID-AWS-L-1-6-4
2546 Quartz Tube
2547 Quartz Tube
2548 Quartz Tube
2549 Quartz Tube
2550 Quartz Tube For Branson/IPC 3000, 2000, 3100, 3001s, 4000
2551 Quartz Tube Quartz Adaptor For Branson/IPC 3000, 2000, 3100, 3001s, 4000
2552 Quartz Tube Quartz Adaptor Quartz Connector For Branson/IPC 3000, 2000, 3100,
2553 Quartzware Quartz Part
2554 Quick-loader Interface Assy 247155-001 Rev D Teltec OIV 4089 AWW-10-2-6-007
2555 Quintel Mask Aligner
2556 R501/2 67507-1-006 Air Products Regulated Valve
2557 R95-3009 Rev D Gasonics Lamp Assembly For Gasonics Aura 3010
2558 Radiation Power Systems 2130-C2 1KW DUV  Illumination Controller AWR-BB-4-001
2559 Raytek MI Sensor With Cable / Wire For Thin Film Deposition Equipment
2560 Rectanglar Conflat Adaptor-4.5 to 2.75 45 ( PN 400767000), Perkin-Elmer
2561 Rectanglar Conflat Adaptor-6 to 2.75
2562 Refurbished AG Associates Heatpulse 4100 Rapid Thermal Processing Equipment
2563 Refurbished AG Associates Heatpulse 4100S Rapid Thermal Annealing Equipment
2564 Refurbished AG Associates Heatpulse 4108 Rapid Thermal Processing Equipment
2565 Refurbished AG Associates Heatpulse 8108 Rapid Thermal Processing Equipment
2566 Relay Tester With APC Smart-UPS , HP Compaq Pickering Interfaces 40-914-001
2567 Relay Tester With HP Compaq ,TDK Lambda UP-10-20 , TERAVICTA SC_4x24_SKT_C
2568 Relay Tester With HP Compaq Pickering Interfaces 40-914-001
2569 Remote AC Box For Lam Rainbow LRC 4428 4528 4628 4728 4420 4520 4620 4720
2570 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-10
2571 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-11
2572 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-8
2573 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-9
2574 RF Plasma Products AG 300 AG-300 Auto Gain Endpoint Detector ID-AWM-F-1-006
2575 RF Plasma Products Model T-502S RF Generator CR1087-20101 Tegal ID-AWM-A-3-001
2576 RF Power Control MCS Inc Model-10 ATN1010D PN 101-0478 A ID-AWM-A-4-007
2577 RF Power Products RFPP RF25M 7521968010
2578 RF Service RFS500M Controller 17-0006-00 2 03-00012- 9200-0005-02 AWM-G-5-3-006
2579 RH4B-U AC24v ,1N-NW-25B-316L Half Nipple NW-25-316L
2580 RH4B-ULDC12V Relay, Plug In, Coil Voltage, 12V, 10Amp
2581 RING, SLIP-FREE 6 Inch
2582 RING, SLIP-FREE 6 Inch
2583 RJ GUARIAN 1310H-4C-24VAC 3A 28VDC/220VAC
2584 RJ idec SH4B-05 97801 10A 300v SA 84913
2585 Robot Arm PLATE Robot Accessories ITEM14
2586 Robot Arm PLATE Robot Accessories ITEM15
2587 Robot Arm Robot Effector Adaptor  Robot Accessories ITEM17
2588 Robot Arm Robot Effector Robot Accessories ITEM 10
2589 Robot Arm Robot Effector Robot Accessories ITEM 11
2590 Robot Arm Robot Effector Robot Accessories ITEM 12
2591 Robot Arm Robot Effector Robot Accessories ITEM 13
2592 Robot Arm Robot Effector Robot Accessories ITEM 5
2593 Robot Arm Robot Effector Robot Accessories ITEM 6
2594 Robot Arm Robot Effector Robot Accessories ITEM 7
2595 Robot Arm Robot Effector Robot Accessories ITEM 8
2596 Robot Arm Robot Effector Robot Accessories ITEM 9
2597 Robot Arm Robot Effector with adaptor Robot Accessories ITEM 2
2598 Robot Arm Robot Effector with optical sensor Robot Accessories
2599 Robot Arm Robot Effector with optical sensor Robot Accessories  ITEM 3
2600 Robot Arm Robot Effector with optical sensor Robot Accessories 4
2601 Robot Arm SCANNER OPTICAL SENSOR Robot Accessories ITEM16
2602 Robot AWM-C-4-3-001
2603 Robot Belt 112T80 D5 Or SDP 6B16-112037 PN 4100-0044-19AWR-BB-6-002
2604 Robot Belt 120XL LO Or 120XL U1 Or SDP 6R3-060037 PN 4100-0044-23 AWR-BB-6-003
2605 Robot Belt 150T80 Z2 PN 4100-0044-24 AWR-BB-6-001
2606 Robot Belt 155T80 AWR-BB-6-012
2607 Robot Belt 165T80 Z5 AWR-BB-6-010
2608 Robot Belt 185T80 B3 AWR-BB-6-011
2609 Robot Belt 185T80 Z5 AWR-BB-6-008
2610 Robot Belt 185T80 Z5 AWR-BB-6-009
2611 Robot Belt 212T80 Z3 E3 PN 4100-0044-22 AWR-BB-6-004 and OTHERS
2612 Robot Belt 215T80 A5 /215T80 E5 / 215T80 B5 PN 4100-0320-01 AWR-BB-6-007
2613 Robot Belt 215T80 L1 PN 4100-0044-25 AWR-BB-6-005
2614 Robot Belt 215T80 Z3 PN 4100-0044-25 AWR-BB-6-006
2615 Robot Belt AWR-BB-6-018
2616 Robot Belt D5 AWR-BB-6-017
2617 Robot Belt Gates Powergrip 170×037 066MC PN 2400-0012-01 AWR-BB-6-015
2618 Robot Belt Uniroyal 283827-2 120xL037 AWR-BB-6-013
2619 Robot Belt Uniroyal 90XL037 283827-1 AWR-BB-6-014
2620 Robot Effector Robot ARM
2621 Robot Effector Robot Arm
2622 Robot Effector Robot ARM AWR-BB-3-001
2623 Robot Effector Robot ARM AWR-BB-4-001
2624 Robot Effector Robot ARM AWR-BB-4-003
2625 Robot Effector Robot ARM AWR-BB-5-001
2626 Robot Effector Robot ARM AWR-BB-5-002
2627 Robot Effector Robot ARM AWR-BB-5-003
2628 Robot Effector Robot ARM B&C 56-010559 B AWR-BB-4-002
2629 Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-004
2630 Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-005
2631 Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-006
2632 Robot Remote Controller 8045R2-2 Equipe Technologies Inc AWR-BB-7-001
2633 Robot Teach Switch , AG Associates Heatpulse 8108 8800 4108 4100
2634 Robot Teach Switch , AG Associates Heatpulse 8108 8800 4108 4100
2635 Rorzeco RD-323M10, Sysmac c40H , 12N-010-13, Acopian U24Y350 AWD-D-2-8-13-001
2636 Rota Flow Meter F.M.61079-15 ID-AWS-025
2637 RS-232 Board 62-0006-00 5-1078 PCB AWM-B-4-4-010
2638 RTP-3000 Rapid Thermal Processing Equipment
2639 Rudolph Research AutoEL Automatic Ellipsometer Laser Model 2,4C ID-AWW-9-4-3
2640 S-002 DX-3 Temp DX3-KCWNR Temperature Meter Controller ID-AWM-F-1-012
2641 S35 1/4 CW617N 06 450-CWP PN30 26 Valve
2642 S4-0001-1 Disk, 6.75”, Silica With SiC Coating, One Side Only
2643 S4-0001-1-A Susceptor,6 Inch, Silica With SiC Coated, Base
2644 S—4D4L NUPRO  Valve
2645 S7061601403 V5C071670-9 , BTC1670 1545700010 AWW-10-2-6-009
2646 Sanyo VM5509 B/W Monitor With Cables AWW-10-2-1-001
2647 SAS Air Flow HEPA FILTER Part # SS-100-HF
2648 SC Technology Delta Laser Head DES-200LM Complies With 21 CFR ID-AWW-6-2-3-002
2649 SC Technology Delta Laser Head DES-200LPT Complies With 21 CFR ID-AWW-6-2-3-001
2650 SC Technology Inc Delta Laser Controller DES-200LM AWM-F-2-7
2651 Scanning Monochromator 1104B X XINIX ? XNX? ID-AWM-D-2-2-004
2652 SCHLIFF ELB Grinders Corp Type Star 1426 VAII Nr 16446117g  ID-NMA-016
2653 Schroff Switchpac 30w Typ TPS 15/2 Power Supply ? ID-AWM-D-2-5-005
2654 Schroff Switchpac Typ TPS 15/3.5 1100s-059 Farco Power Supply ? ID-AWM-D-2-6-005
2655 Schroff Switchpac Typ TPS 5/10 1100s-057 Farco Power Supply ? ID-AWM-D-2-6-004
2656 Schroff Switchpac Typ TPS 5/5 T-12 Power Supply ? ID-AWM-D-2-6-003
2657 SCP 3150 Process Controller 583-054-1A ID -AWM-F-1-034
2658 SCP 3152 Subambient Controller 583-055-1A ID -AWM-F-1-033
2659 SEIKO 7525-PDR 005858 MEC-40V-0
2660 Semco Chucks Semco Technologies Feedthrough NR PN STS 286160 PN Semco G062099-2
2661 SEMCO Level Sensor Model XLSM ID -AWM-B-4-2-003
2662 Semi Gas 56343 With APtech 033-0174-100 / ENAL 70-125 Psi ID-AWS-010
2663 Semiconductor  Equipment Corp Expander Model 2625B  ID -AWM-A-5-001
2664 Semitool SD250 Spin Dryer
2665 SEMTRoNICS Analyzer EN425 ID-AWM-D-2-5-007
2666 SensArray 1501A-4-0010 TC Wafer, 4 Inch , Single Point 7310-0996-01
2667 SensArray 1501A-6-0058 TC Wafer, 6 Inch, 5 Points
2668 SensArray 1501A-6-1001 TC Wafer, 6 Inch, 1 Point
2669 SensArray Corporation Fiber Optic ProcessProbe Instrumented Wafers 2130A-8-5014
2670 SensArray Corporation Fiber Optic ProcessProbe Instrumented Wafers 2130A-8-5020
2671 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-6-0523
2672 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-0244
2673 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-0431 7310-3516-03
2674 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-4108
2675 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-5057
2676 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-5057
2677 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-6-0123
2678 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-6-0191
2679 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-0033
2680 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-005 7310-4368-01
2681 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-0213
2682 SensArray Corporation ProcessProbe Instrumented Wafers 1530B-8-0010
2683 SensArray Corporation ProcessProbe Instrumented Wafers 1530B-8-0137
2684 SensArray Corporation ProcessProbe Instrumented Wafers 1730A-6-007
2685 SensArray Corporation ProcessProbe Instrumented Wafers 1819A-8-1022
2686 SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5005
2687 SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5009
2688 SensArray Corporation ProcessProbe Instrumented Wafers 2140A-8-5002
2689 SensArray ProcessProbe Instrumented Wafer 6 Inch 5 Points TC Wafer 1501A-6-0258
2690 SensArray ProcessProbe Instrumented Wafer 6 Inch TC Wafer 1501A-6-0525
2691 SensArray ProcessProbe Instrumented Wafer 6” Single Point TC Wafer 1501B-6-0373
2692 Servopack Digital Operator JUSP-OPO2A ID-AWM-A-3–4-015
2693 Sexauer Plumbing Repair Products GTY 032540 Sloan Royal Closet Kit ID-AWM-A-3–4
2694 Sheldon  Bellco 1927 Laboratory Oven
2695 Shield, Cover,  Shutter For Perkin-Elmer? Sputtering Equip AWW-9-2-3/4/5-002
2696 Shield, Cover,  Shutter For Perkin-Elmer? Sputtering Equip AWW-9-2-3/4/5-004
2697 Shorter Than 13952-01 A Stand-off For  Branson/IPC  Asher (?) ID-AWS-k-5-1-007
2698 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-001
2699 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-002
2700 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-003
2701 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-004
2702 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-005
2703 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-010
2704 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-011
2705 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-006
2706 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-007
2707 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-008
2708 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-009
2709 SiC Probe Silicon Carbon Probe
2710 Signal 241-6-24 Class B-3 Transformer Branson/IPC ? AWD-3-1-8-007
2711 Signal DP-241-8-28 Transformer Branson/IPC ? AWD-3-1-8-006
2712 SIIG Inc IO1866 V1.0 P014 61 J6M000001059 JJ-P04212 AWW-10-2-16-008
2713 Siltec Module Control Assy No 0122-0653 Rev M AWM-B-4-5-010
2714 Simpson DCA F-45-1-25-0 ID-AWM-A-3–4-017
2715 Simpson Temperature Meter Controller ID-AWM-F-1-011
2716 Singal Convert Transfer Station ID-AWS-K-3-002
2717 Single Claw ISO63-100 Metric, AL PN P1001786 Made In Taiwan
2718 Single Track Coater And Bake SVG-8136 HPO SVG Spin Track
2719 SiSPAN Model GCS-314 Serial 0557
2720 SMC Air Filter Model NAF3000 & NAR3000-N03 ID-AWS-L-1-2-003
2721 SMC NCD-G03, 2509-0133-01 For Gasonics Aura 3010 AWD-D-3-1-15-005
2722 SMC XLA40-H5Q1A-CFR10120 SPTS PN 3003266
2723 SMIF Cassette Station Box, 8 Inch
2724 SN 800533641 94v-0 9119 , B1010A , AWW-10-2-6-006
2725 SNB-C032 2SIPIG Card WP93024747 AWW-10-2-16-009
2726 Sola , Unit Of General Signal SLS-24-012 9602 Power Supply ID-DW-6-4-2-003
2727 SOLAR WAFER 156X156MM 125X125MM
2728 Solid Tek ACK-260A FCC: L2BACEKEY260 Keyboard
2729 Solitec Model 820-ACB Automatic Coat Bake System With Aotocoat Dispense 4 Inch
2730 Sonalert Mallory SC628 6-28 VDC 3-14ma With Panel Station
2731 Sonalert Mallory SC628 6-28 VDC 3-18mA
2732 Sony Camera With Adaptor CMA-D1CE ,DXC-101P CCD Olympus 268986 ID-AWW-6-2-1-004
2733 Southco 03-180055-000 E31021550 PN 1409-0090-02
2734 SP16-DR-A OMRON
2735 SP16-DR-A OMRON
2736 SP16-DR-A SYSMAC mini OMRON
2737 SPAN Gas Minder Display Assembly 1083 For Aura 3010 3000 AWD-D-3-1-13-004
2738 Span Instruments Si Pressure Meter
2739 SPAN LR100 Digital Display Systems ID-AWM-A-3-003
2740 SPAN LR300 ID-AWM-D-2-1-004
2741 Span Pressure Meter 1504383
2742 Span Pressure Meter With 316L Fitting
2743 Span Pressure Meter With 316L Fitting
2744 Special ISO  ID-AWS-L-1-1-006
2745 Special ISO 250-CR ID-AWS-L-1-1-004
2746 Special ISO 88-9167 ID-AWS-L-1-1-005
2747 SpectraMap SM200/e ID-AWM-10-3-1
2748 Springville MFG Co Inc I 125x4M 5/80 Max 250 Psi ID-AWS-018
2749 Springville MFG Co Inc I 125x7m 5/80 Max 250 Psi ID-AWS-018
2750 SPTS 206221 O-Ring 12mmx1.5
2751 SPTS Antec Switching Power Supply Model EA-430D For STS ICP.
2752 SPTS Double Acting Cylinder PN 256459 For SPTS ICP STS ICP
2753 SPTS E01714 O-Ring 280V Lower ICP CAB
2754 SPTS E06874 O-Ring 383V
2755 SPTS FB319368 Z12575D Coolant Pipe & Block
2756 SPTS FIN-ICP-001 Ceramic Shield , ICP For STS ICP Clean By Clean Sciences Tech
2757 SPTS Inc 110867 Gasket DN40KF  For STS ICP
2758 SPTS Inc 206078 O-ring  231 RS For STS ICP
2759 SPTS Inc 206095 O-ring  157×2.5mm Silicone, For STS ICP
2760 SPTS Inc 206096 O-ring  200.00×2.50mm For STS ICP
2761 SPTS Inc 206218 O-ring  253mmx4mm V For STS ICP
2762 SPTS Inc 206994 O-ring  BS386 V For STS ICP
2763 SPTS Inc 206994 O-ring  BS386 V For STS ICP
2764 SPTS Inc 206996  O-ring  Seal Dowty No.625 For STS ICP
2765 SPTS Inc 206997 O-ring  BS381V  For STS ICP
2766 SPTS Inc 236852 Index Laser Head For STS ICP
2767 SPTS Inc 239163 Wiper XGT0402-9S For STS ICP, Made By SMC
2768 SPTS Inc AS311050 P.I.C. ASSY (loadlock) For STS ICP
2769 SPTS Inc CL311403 LID SHUT SWITCH CABLE  For STS ICP
2770 SPTS Inc DT304896 For STS ICP, Screw Vented CSK M4x6mm
2771 SPTS Inc DT307646 RF Shielding 1.5 Meters For STS ICP
2772 SPTS Inc DT309770 PTFE NW 16 O-Ring CARR For STS ICP
2773 SPTS Inc DT309771 PTFE NW25 O-ring  Carier  For STS ICP
2774 SPTS Inc DT313565  PTFE WASHER For STS ICP
2775 SPTS Inc E000017 O-ring 326V For STS ICP
2776 SPTS Inc E00006 O-ring  382V Upper  For STS ICP
2777 SPTS Inc E00017 O-ring  326V  For STS ICP
2778 SPTS Inc E00035 O-ring  035 V  For STS ICP
2779 SPTS Inc E00163 O-ring 163V  For STS ICP
2780 SPTS Inc E00276 O-ring  276V  For STS ICP
2781 SPTS Inc E00278 O-ring  278V  For STS ICP
2782 SPTS Inc E00313 O-ring  313V Used With 212002 For STS ICP
2783 SPTS Inc E00392 O-ring 392V  For STS ICP
2784 SPTS Inc E00618 O-ring  18mmx5mm V For STS ICP
2785 SPTS Inc E01410 O-ring  112V  For STS ICP
2786 SPTS Inc E01411 O-ring  011V  For STS ICP
2787 SPTS Inc E01422 O-ring  272V For STS ICP
2788 SPTS Inc E01483 O-ring 250V For STS ICP
2789 SPTS Inc E01485 O-ring  274V  For STS ICP
2790 SPTS Inc E01493 O-ring  109 V  For STS ICP
2791 SPTS Inc E01494 O-ring  261V  For STS ICP
2792 SPTS Inc E01677 O-ring  212V  For STS ICP
2793 SPTS Inc E02200 O-ring  263V  For STS ICP
2794 SPTS Inc E06015 O-ring  154V  For STS ICP
2795 SPTS Inc E06016 O-ring 333V For STS ICP
2796 SPTS Inc E06060 O-ring  223V For STS ICP
2797 SPTS Inc E06873 O-ring  377V For STS ICP
2798 SPTS Inc E06873 O-ring  377V For STS ICP
2799 SPTS Inc E06922 O-ring  320V For STS ICP
2800 SPTS Inc E08012 O-ring  127V  For STS ICP
2801 SPTS Inc FB303040 RF Connector Assembly   For STS ICP
2802 SPTS Inc KVM SWITCH Box Set PN 550031 For SPTS ICP STS ICP
2803 SPTS Inc N-5100-266 O-ring For STS ICP
2804 SPTS Inc O-Ring 010V PN E01482
2805 SPTS Inc Part No 260024 Flow Controller Elbow For STS Pro ICP
2806 SPTS Inc PB310170.02 CS310170.02  For STS ICP
2807 SPTS Inc PN 112021 RF Connector Spacer For STS ICP
2808 SPTS INC PN 237824 Flow Switch FS380 1 SLPM For STS ICP, GEMS FS-380 177592
2809 SPTS INC PN 561189 Centering Ring ISO 63 For STS ICP
2810 SPTS INC Quartz Discs PN 110865 For STS ICP
2811 SPTS INC Quartz Discs PN 110866 SODA LIME GLASS Discs UV For STS ICP
2812 SPTS INC VAT 241783 For STS ICP
2813 SPTS Silicone Insulated Wire Hook PN 541278 Quantity 2 MTs
2814 SPTS Spare Parts Kit CO/N 222386 PN 543417 O/N 1915648/2
2815 SPTS Technologies Part No E00163 JW Part No OB002965 O Ring
2816 SPTS UPS 625VA 50/60Hz PN 256739 For STS ICP. CS13250 Powercom UPS
2817 SQ60330W2PFSMM And 944SSFSFF Regulated Valve
2818 SQ60330W2PFSMM And 944SSFSFF VERIFLO Regulated Valve
2819 SRS Stanford Research Systems Inc Residual Gas Analyzer High Vacuum Component
2820 SS Ring For STS ICP Fin-082916
2821 SS-1RS4 Whitey Valves-4
2822 SS-42S4 Whitey , Gas Lines
2823 SS-42S4 Whitey Valve , Gas Line
2824 SS-43GXVCR4 Swagelok Valve
2825 SS-43VCR4 Swagelock
2826 SS-4-BK TW-10 And SS-4TF TWPM-2 Filter NUPRO
2827 SS-4BK V51-10 NUPRO Valve
2828 SS-4BK V51-C NUPRO Valve
2829 SS-4BK-1C 2 Pieces And SST Tube NUPRO Valves
2830 SS-4BK-1C NUPRO Valve
2831 SS-4BK-1C NUPRO Valve
2832 SS-4BK-1C NUPRO Valve
2833 SS-4BK-1C NUPRO Valve,part Only
2834 SS-4D4L V51 NUPRO Valve
2835 SS-4TF TWPM  NUPRO Valve/filter
2836 SS-8BK-TW-1D NUPROValve
2837 SS-BNV51 C NUPRON Valve 4HS-A9881 PALL Filter 7310-0229-01 A AG Associates
2838 SS-BNV51 C NUPRON Valve 7310-0229-01 A AG Associates
2839 SS-DLV51 NUPRO Valve
2840 SS-DLV51 NUPRO Valve
2841 SS-DLV51 VCR4 NUPRO Valve
2842 SSI S-1095A IO Board 62-007-00
2843 SST Adaptor ? Chuck ? Lam Research?ID-AWS-024
2844 SST Bracket With Connector- 8
2845 SST Bracket-9
2846 SST Station Cassette Station? ID-AWS-010
2847 SST Tube   ID-AWS-011
2848 SST Tube  Gas  Line With Fittings-9
2849 SST Tube  Line With Fittings , SS-4BK TW-10, SS-4TF-TW NUPRO -32
2850 SST Tube  Line With Fittings -22
2851 SST Tube  Line With Fittings -23
2852 SST Tube  Line With Fittings -24
2853 SST Tube  Line With Fittings -25
2854 SST Tube  Line With Fittings -26
2855 SST Tube  Line With Fittings -27
2856 SST Tube  Line With Fittings -28
2857 SST Tube  Line With Fittings -30
2858 SST Tube  Line With Fittings -31
2859 SST Tube  Line With Fittings-1
2860 SST Tube  Line With Fittings-2
2861 SST Tube  Line With Fittings-3
2862 SST Tube  Line With Fittings-33
2863 SST Tube  Line With Fittings-34
2864 SST Tube  Line With Fittings-35
2865 SST Tube  Line With Fittings-4
2866 SST Tube  Line With Fittings-5
2867 SST Tube  Line With Fittings-6
2868 SST Tube  Line With Fittings-7
2869 SST Tube  Plastic Tube Line With Fittings-13
2870 SST Tube For  Gasonics Aura 2000LL AWD-D-3-1-5-001
2871 SST Tube Gas Line With Fittings
2872 SST Tube Gas Line With Fittings
2873 SST Tube Gas Line With Fittings
2874 SST Tube Gas Line With Fittings
2875 SST Tube Gas Line With Fittings
2876 SST Tube Gas Line With Fittings
2877 SST Tube Gas Line With Fittings 20
2878 SST Tube Gas Line With Fittings -6
2879 SST Tube Gas Line With Fittings -7
2880 SST Tube Gas Line With Fittings, 2 Of SS-4BK TW-1C, 3 Of SS-4TF TWPM 0.5 Micron
2881 SST Tube Gas Line With Fittings, Adapter 839-338388-1 Rev E1
2882 SST Tube Gas Line With Fittings, Gasonics PN  07-0004
2883 SST Tube Gas Line With Fittings-11
2884 SST Tube Gas Line With Fittings-12
2885 SST Tube Gas Line With Fittings-8
2886 SST Tube Line With Fittings -29
2887 SST Tube Plastic Components For Thin Film Deposition Equipment
2888 ST 001 Printer Paper P/N#2920-000008
2889 ST 004 RSI Power C98B07926 MW Mean Well SP-300-24
2890 ST 029 Norgren 11-018-146 REG Max Set 28PSI
2891 ST 032 Rechner Sensors KAS-70-20-S ,711800
2892 ST 034 Parker B2D X271DC2AC2 24 VDC
2893 ST 052 Norgren 11-018-100 Precision Pressure Regulator
2894 ST 055 T.E.M. Filter Company Gas Filter No. TEM-811-P Max Press 750 PSI 0.003um
2895 ST005
2896 ST012
2897 ST015
2898 ST017 C 501920 1033.20
2899 ST020 PN 2504-110045 O-ring ,black VITON
2900 ST021 O-ring, Black VITON PN 2504-110033
2901 ST022 O-ring Black VITON PN 2504-106030
2902 ST024  API Gettys 23D-6112M Model 20 VDC Voltage
2903 ST025 J54S 126 Option 9043 E/R 15A 480 VAC United Electronic Controls
2904 ST027 Photomultiplier Tube R928 Type, No. VF1041 Made In Japan
2905 ST033 Parker B14Dx35 Valve 24V DC
2906 ST037 Mirror ,Detector 2% Transmitted
2907 ST042 Part Number 1N6282 Century Electronics
2908 ST045 Mask Change Switch Assy P/N 681-0065-011 SGS99347 TIP127 Italy
2909 ST046 VN10KM Transistor MOSFET
2910 ST053 Output Wafer Sensor Board 0513-491400
2911 ST060 PN 2510-000001 Focus Motor Timing Belt
2912 ST061 O-ring, Black VITON PN 2504-110033
2913 ST063 HP Hewlett-Packard 10780A Receiver 2204A06514
2914 ST069, 201SSTX86DBLC44 Braden Precision Bearings
2915 ST070 263-790 LT1013CN8
2916 ST073 LT1012 CN8 Or LT1012CN8?
2917 ST085 Inalnd Servo Brush 50-02-00334
2918 ST087 Theta Stage Rolling Diaphragms 52-02-00170
2919 ST090 PN 7001-000067 Timing Belt For Elevator Motor
2920 ST151 200 Micron Cross Mask 0606-446200 500210 KS Equipment Inc
2921 Stainless SST 304L DN40 CF,half  Nipple ID-AWS-026
2922 Stainless SST DN40 CF, NW35 Rotatable Nipple ID-AWS-026
2923 Stainless SST KF50 Flange ,Tee ID-AWS-026
2924 Stainless Steal Carrier 5inchx5inchx0.5inch
2925 Stainless Steel KF-50  Flange Bellow ID-AWS-L-1-1-003
2926 Stainless Steel NW100 Flange Bellow ID-AWS-K-3-011
2927 Stainless Steel NW80 Flange Bellow ID-AWS-L-1-1-002
2928 Stainless Steel Swagelok 6LV-DFBW8-WH Nupro Valve ID-AWS-026
2929 Stainless Steel Swagelok SS-600-3LQ ID-AWS-026
2930 Stainless Tube Flange 2 1/2” To 3” Flange For Vacuum Pipe
2931 Stainless Tube Flange 2 1/2” To 3” Flange For Vacuum Pipe
2932 Stainless Tube ID 1 3/8 Inch  For Vacuum Pipe
2933 Stainless Tube Stainless Pipe
2934 Stanford Research System Model PS350 / 5000V-25W High Voltage ID-AWS-K-1-001
2935 Static Eliminator F167 4000464 G100-001 MO-016-003 ID-AWM-D-2-4-002
2936 Static Eliminator M-1205wC 4001678 Transformer ID-AWM-D-2-4-003
2937 Static Eliminator Model 1207 90001-07300  ID-AWM-D-2-4-004
2938 STI Semitool Spin Rinse Dryer ST-260
2939 STI TC-20 Resistivity Monitor Harris Corp 00128 920M-A02P AWM-C-5-1-002
2940 STP-200 Turbo Molecular Pump Seiko-Seiki Great Condition AWD-D-1-5-7
2941 STP-300H TURBO
2942 STS MESC Multiplex ICP -6 inch
2943 STS multi-chamber Cluster-8 inch
2944 STS MULTIPLEX ICP-4inch
2945 STS Mutiplex ICP equipment
2946 STS PRO ICP Etcher
2947 STS RF Cable AWD-D-1-5-3-001
2948 STS RF Cable AWD-D-1-5-3-002
2949 Sumitomo Heavy Industries Temperature Control TPD 04C ID-AWM-D-2-3-002
2950 Superior Electric SLO-syn Synchronous/Stepping Motor SS1508 ID-AWM-D-2-6-006
2951 SVG
2952 Swagelok ? Connector ID-AWS-018
2953 Swagelok NY-400-1-1 Male Connector VDYKE
2954 Swagelok SS-12-UT-A-16 ID-AWS-018
2955 Swagelok SS-45S8 MAC 912B-PM-111CA Whitey 133SR Cleveland Controls AFS-222 X2
2956 Swagelok SS-600-3LQ ID-AWS-019
2957 Switch
2958 Switch
2959 SYMBIOS LOGIC SYM8951U 348-0037217 A 348-0037218A AWW-10-2-4-003
2960 Symbol Technologies VRC4040-00E40DUS Computer And Monitor AWM-C-5-1-003
2961 Syncotec W-Germany Control Unit Type 1441 I-700 Technical Instrum ID-AWS-K-1-013
2962 SYNTAX No PC 207906
2963 T0893 6035-A REV C ASSY D110986-G1
2964 TAC•386•KC Omega TC Box For Ag Associates Heatpulse
2965 TAIYD 35H-3 HYDRAULIC CYL 1CB80B300-AB ID-AWS-008
2966 TAIYD 35H-3 HYDRAULIC CYL 1CB80B300-AB-X ID-AWS-008
2967 Tamarack Scientific Integrating Exposure Controller EC-12-ID ID-AWM-D-1-009
2968 TAPESWITCH CB1
2969 Target Shield For Thin Film Deposition Equipment
2970 Target Shield For Thin Film Deposition Equipment
2971 Target Shield For Thin Film Deposition Equipment
2972 TC Thermocouple
2973 TC Thermocouple,replacement  F0600007301 , MPT RTP-600s RTP-800s Modular Process
2974 TDK LAMBDA Electronic Inc Model Vega-Lite 750 V7018NC Regulated Power Supply
2975 Technic Inc. Portable Wet Bench
2976 Technics Macro Stripper Series 2000
2977 Technics Planar Etch 11-A Plasma System with PD-IIA,
2978 TED PELLA INC Product No 5049-SV ESD-Safe Carbon Wafer Tweezer, 4WF For 5.8-10.2
2979 TED PELLA INC Product No 5367-10NM Pelco Pro HP Tweezer ,Strong Tips, Flat Edges
2980 TED PELLA INC Product No 5367-11NM Pelco Pro HP Tweezer ,Flat Accurate Round Tip
2981 TED PELLA INC Product No 5367-16NM Pelco Pro HP Tweezer ,Style 00D,120mm, NM-SS
2982 TED PELLA INC Product No 5367-1NM Pelco Pro HP Tweezer Bent,Fine Tips,110mm
2983 teflon fittings swagelok
2984 Tegal  40-244-002 A
2985 Tegal  93-128-004 Rev E Cable RF
2986 Tegal  Item CD 1011  With 99-125-004 /008 & Flip 03600-22-040 AWM-G-5-2-001
2987 Tegal  Item CD 1011  With 99-125-004 /008 & Flip 03601-22-040 AWM-G-5-2-002
2988 Tegal  Item CD 1011  With 99-125-004 /008 & Flip 03601-22-040 AWM-H-1-001
2989 Tegal  Item CD 1011  With 99-125-004 AWM-G-5-2-003
2990 Tegal 1513e AC Module Item CE 1028 Parts
2991 Tegal 1513e AC Module Item CE 1028 Parts
2992 Tegal 1513e Aligment Tools-Jigs ID-AWS-010
2993 Tegal 1513e DC Module Item CE 1029  Parts
2994 Tegal 1513e Gas Controller Item CG 1150 -00202 ID-AWS-012
2995 Tegal 1513e Loft Assembly, Wafer,
2996 Tegal 1513e Main Controller Item CD 1043-00205 ID-AWS-012
2997 Tegal 1513e Main Controller Item CD 1043-00205RW ID-AWS-012
2998 Tegal 1513e Matching Network Item CR 1031
2999 Tegal 1513e Motor Controller Item CE 1093  Parts
3000 Tegal 33-349-002 ID-AWS-L-1-2-007
3001 Tegal 39-148-02  E ID-AWS-L-1-3-001
3002 Tegal 39-341-006 D ID-AWS-L-1-3-001
3003 Tegal 400 Plasma Etcher ID-AWSK-3-k-6-001
3004 Tegal 40-281-001 Spare Parts
3005 Tegal 50574-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
3006 Tegal 50590-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
3007 Tegal 50590-01 Tegal CM1104-00402 Tegal PCB
3008 Tegal 50590-01 Tegal CM1104-00403 Tegal PCB
3009 Tegal 700 Tegal 701 Tegal 703 PCB
3010 Tegal 80-055-182 Spare Parts
3011 Tegal 80-095-223 Spare Parts
3012 Tegal 801 803 701 703 Plasma Etcher Chuck 39-754-009 New AWM-C-5-5-001
3013 Tegal 80-197-032 Spare Parts
3014 Tegal 80-197-032 Spare Parts
3015 Tegal 80-202-341 Spare Parts
3016 Tegal 803 Plasma Etch Chuck ID-AWS-L-1-2-005
3017 Tegal 80-679-008 Spare Parts
3018 Tegal 81-007-138 Bellow Spare Parts
3019 Tegal 83-126-003 PCB Spare Parts
3020 Tegal 901e 903e Circulating System FC-1000-S Chiller AWD-D-2-10-014
3021 Tegal 901e 903e Circulating System FC-1000-S Chiller AWD-D-2-10-015
3022 Tegal 901e 903e Circulating System Neslab FTC-350 AWD-D-2-10-020
3023 Tegal 901e 903e Circulating System Neslab RTE-100  AWD-D-2-10-021
3024 Tegal 901e 903e Circulating System Neslab RTE-101 AWD-D-2-10-016
3025 Tegal 901e 903e Circulating System Neslab RTE-101 AWD-D-2-10-017
3026 Tegal 901e 903e Circulating System Neslab RTE-211 AWD-D-2-10-018
3027 Tegal 901e 903e Circulating System Neslab RTE-9DD AWD-D-2-10-019
3028 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-001
3029 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-002
3030 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-003
3031 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-004
3032 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-005
3033 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-006
3034 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-007
3035 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-008
3036 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-009
3037 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-010
3038 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-011
3039 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-012
3040 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-013
3041 Tegal 901e 903e Display 03601-22-040 Flip Tegal PCB 99-125-008 AWM-G-5-1-014
3042 Tegal 901e 903e Display 03601-22-040 Flip Tegal PCB AWM-G-5-1-013
3043 Tegal 901e 903e Tegal PCB 99-125-008 AWM-G-5-1-015
3044 Tegal 901e 903e Tegal PCB 99-173-003 B RFG-3 AWM-E-5-2-007
3045 Tegal 901e Chuck 6 Inch 39-733-004  ID-AWS-L-3-2-002
3046 Tegal 901e Chuck 6 Inch 39-733-004  ID-AWS-L-3-2-004
3047 Tegal 901e Etcher  Plasma Etch Plasma Etcher Dry Etch
3048 Tegal 901e Ring For Chuck 6 Inch 39-733-004  ID-AWS-L-3-2-003
3049 Tegal 901e Tegal 903e  30-232-003 Shuttle Assembly ID-AWS-027
3050 Tegal 901e Tegal 903e 30-225-004 Spatula Assembly 6”  ID-AWS-L-3-3-003
3051 Tegal 901e Tegal 903e 39-848-004 Block Stage 6” Cat Whisker ID-AWS-L-3-3-001
3052 Tegal 901e Tegal 903e 80-202-191 O-ring Viton ID-AWS-L-3-3-005
3053 Tegal 901e Tegal 903e 80-202-192 O-ring Viton ID-AWS-L-3-3-004
3054 Tegal 901e Tegal 903e 99-172-002 & 99-172-001 & 99-172-003
3055 Tegal 901e Tegal 903e Chamber Assy 39-733-003 Rev B CW1109-50102 SN 17039
3056 Tegal 901e Tegal 903e Chamber Assy CC1106-00204 SN 11396
3057 Tegal 901e TEgal 903e Chamber Flange ID-AWS-L-3-2-001
3058 Tegal 901e Tegal 903e Chamber Part Tegal Spare Parts
3059 Tegal 901e Tegal 903e Chuck 30-735-019 A ID-AWM-E-5-2-001  6”
3060 Tegal 901e Tegal 903e Chuck 30-735-019 A ID-AWM-E-5-2-002 6”
3061 Tegal 901e Tegal 903e Chuck 39-733-002 E  ID-AWS-036-001
3062 Tegal 901e Tegal 903e Chuck 39-733-002 E  ID-AWS-036-002
3063 Tegal 901e Tegal 903e Chuck 39-733-003 H ID-AWS-035-005
3064 Tegal 901e Tegal 903e Chuck 39-735-019 A ID-AWS-035-004
3065 Tegal 901e Tegal 903e Chuck 39-741-004 H ID-AWS-035-003
3066 Tegal 901e Tegal 903e Chuck 39-741-009 K ID-AWS-035-006
3067 Tegal 901e Tegal 903e Chuck 39-741-009 K ID-AWS-035-007
3068 Tegal 901e Tegal 903e Chuck 39-741-027 A ID-AWS-035-002
3069 Tegal 901e Tegal 903e Chuck 39-927-022 C ID-AWS-035-001
3070 Tegal 901e Tegal 903e Chuck 4 Inch ID-AWS-036-003
3071 Tegal 901e Tegal 903e Chuck 5 Inch ID-AWS-035-008
3072 Tegal 901e Tegal 903e Chuck A ID-AWM-E-5-2-003 6”
3073 Tegal 901e Tegal 903e Chuck A ID-AWM-E-5-2-004 6”
3074 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1015  W/ 99-126-005 AWM-H-3-001
3075 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-1
3076 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-2
3077 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-5
3078 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-6
3079 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-7
3080 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-H-2-001
3081 Tegal 901e Tegal 903e Gas Line ID-AWS-029-003
3082 Tegal 901e Tegal 903e Gas Line ID-AWS-029-004
3083 Tegal 901e Tegal 903e Gas Line ID-AWS-029-005
3084 Tegal 901e Tegal 903e Gas Line ID-AWS-031-003
3085 Tegal 901e Tegal 903e Gas Line ID-AWS-032-001
3086 Tegal 901e Tegal 903e Main Control Board PN 80-095-278
3087 Tegal 901e Tegal 903e Main Control Board PN 80-095-278 AWM-B-4-4-001
3088 Tegal 901e Tegal 903e Main Control Board PN 80-095-278 AWM-B-4-4-002
3089 Tegal 901e Tegal 903e Monitor , Used
3090 Tegal 901e Tegal 903e O-ring For Gas Feed Through 3700-01360 ID-AWS-L-3-3-006
3091 Tegal 901e Tegal 903e PCA, EOP Control Module 90-1045-01 REV G
3092 Tegal 901e Tegal 903e PCB 80-095-278 Rev A DAC 2
3093 Tegal 901e Tegal 903e PCB 99-126-006 REV D
3094 Tegal 901e Tegal 903e PCB 99-138-003 REV A TMC-3
3095 Tegal 901e Tegal 903e PCB 99-249-002 Rev K DEF-2
3096 Tegal 901e Tegal 903e PCB DAC 80-095-278 With 99-207-004 A/K/C
3097 Tegal 901e Tegal 903e PCB TEGAL PCB 99-247-002 REV.D SPI-2
3098 Tegal 901e Tegal 903e Pneumatic Valve Assembly ID-AWS-033-001
3099 Tegal 901e Tegal 903e Press/Vacuum  W/ATM SNS Item CG 1146 ID-AWM-B-5-3
3100 TEGAL 901E TEGAL 903E Tegal PCB 99-165-003 AESI-3
3101 TEGAL 901E TEGAL 903E TEGAL PCB 99-173-008 REV C
3102 TEGAL 901E TEGAL 903E Tegal PCB 99-249-002 RW  REV.N DEP-2
3103 TEGAL 901E TEGAL 903E Tegal PCB 03601-22B-40
3104 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1002-6100
3105 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1002-6200RW
3106 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078
3107 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078 W00454
3108 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078-40401
3109 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078-50301
3110 Tegal 901e Tegal 903e Top Electrode Cleaned AWM-C-4-1-004
3111 Tegal 901e Tegal 903e Vacuum Assembly ID-AWM-B-5-4
3112 Tegal 901e Tegal 903e Vacuum Line AWM-H-3-003
3113 Tegal 901e Tegal 903e Vacuum Line ID-AWS-029-001
3114 Tegal 901e Tegal 903e Vacuum Line ID-AWS-029-002
3115 Tegal 901e Tegal 903e Vacuum Line ID-AWS-030-001
3116 Tegal 901e Tegal 903e Vacuum Line ID-AWS-030-002
3117 Tegal 901e Tegal 903e Vacuum Line ID-AWS-030-003
3118 Tegal 901e Tegal 903e Vacuum Line ID-AWS-030-004
3119 Tegal 901e Tegal 903e Vacuum Line ID-AWS-031-001
3120 Tegal 901e Tegal 903e Vacuum Line ID-AWS-031-002
3121 Tegal 901e Tegal 903e Vacuum Line Item CG 1014  AWM-H-3-002
3122 Tegal 901e Tegal 903e Vacuum Pressure Line Item CG1180 AWM-H-3-004
3123 Tegal 901e TEgal 903e Wafer Transfer  CW1003 W00137 ID-AWS-L-2-001
3124 Tegal 901e TEgal 903e Wafer Transfer  CW1078  ID-AWS-L-2-002
3125 Tegal 901e TEgal 903e Wafer Transfer  CW1078  ID-AWS-L-2-003
3126 Tegal 901e TEgal 903e Wafer Transfer  CW1078  ID-AWS-L-3-001
3127 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-1-002
3128 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-1-003
3129 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-1-004
3130 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-1-005
3131 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-004
3132 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-005
3133 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-006
3134 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-007
3135 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-008
3136 Tegal 901e TEgal 903e Wafer Transfer  CW1078 ID-AWS-7-2-009
3137 Tegal 901e TEgal 903e Wafer Transfer  CW1078-50301 ID-AWS-7-2-003
3138 Tegal 901e TEgal 903e Wafer Transfer Profacture  CW1078-60401 ID-AWS-7-2-001
3139 Tegal 901e TEgal 903e Wafer Transfer Profacture  CW1078-60401 ID-AWS-7-2-002
3140 Tegal 901e Tegal 903e Wafer Transfer Shuttle 46-273-001 C ID-AWM-E-5-001 6”
3141 Tegal 901e Tegal 903e Wafer Transfer Shuttle Assembly 46-273-001 C ID-AWS-034 4”
3142 Tegal 901e Tegal 903e Wafer Transfer Shuttle Assembly 46-273-001 C ID-AWS-034 4”
3143 Tegal 901e Tegal 903e Wafer Transfer Shuttle Assembly 46-273-001 C ID-AWS-034 6”
3144 Tegal 901e Tegal 903e Wafer Transfer Shuttle Assembly ID-AWS-034
3145 Tegal 901e Tegal 903e Wafer Transfer Shuttle Assembly ID-AWS-035
3146 Tegal 901e Tegal 903e Wafer Transport Spatul  CW1078-40401 RW CW13661 6 Inch
3147 Tegal 903 901 E Wafer Process Counter ID-AWS-K-2-004
3148 Tegal 903e Chuck
3149 Tegal 903e Chuck 6 Inch, Black 39-927-008
3150 Tegal 903e Chuck 6 Inch, Black 39-927-008?
3151 Tegal 903e Etcher Plasma Etch Plasma Etcher Dry Etch
3152 Tegal 903e Tegal 901e 99-165-003 And 99-197-001 And Omron E2K-X4ME
3153 Tegal 903e Tegal 901e Ceramic Ring For 4 Inch Chuck
3154 Tegal 903e Tegal 901e Chuck 3 Inch PN 39-735-001 REV K
3155 Tegal 903e Tegal 901e Chuck 5 Inch Anodized
3156 Tegal 903e Tegal 901e Chuck 6 Inch
3157 Tegal 903e Tegal 901e Chuck 6 Inch  PN 39-735-019 REV A
3158 Tegal 903e Tegal 901e Chuck 6 Inch No Anodized
3159 Tegal 903e Tegal 901e Chuck PN 39-548-002 REV A 3 Inch
3160 Tegal 903e Tegal 901e Chuck PN 39-733-004 REV L
3161 Tegal 903e Tegal 901e Chuck PN 39-733-004 REV M 5 Inch
3162 Tegal 903e Tegal 901e Chuck PN 39-735-002 REV K
3163 Tegal 903e Tegal 901e Chuck PN 39-735-002 REV M
3164 Tegal 903e Tegal 901e Chuck PN 39-735-002 With One O Ring
3165 Tegal 903e Tegal 901e Chuck PN 39-735-020 Rev A. 6 Inch
3166 Tegal 903e Tegal 901e Chuck PN 39-741-026  Rev B 6 Inch
3167 Tegal 903e Tegal 901e Chuck PN 39-741-026 REV B
3168 Tegal 903e Tegal 901e Nest 3 Inch
3169 Tegal 903e Tegal 901e Nest 4 Inch 46-273-001 C
3170 Tegal 903e Tegal 901e Nest 4 Inch Shorter
3171 Tegal 903e Tegal 901e Nest 6 Inch
3172 Tegal 903e Tegal 901e Nest PN 46-273-001 C
3173 Tegal 903e Tegal 901e Power Supply Box AC Box
3174 Tegal 903e Tegal 901e Ring PN 39-908-001
3175 Tegal 903e Tegal 901e Shower Head 37-221-001 (TSI-TG221-001)
3176 Tegal 903e Tegal 901e Shower Head OEM PN 39-680-003
3177 Tegal 903e Tegal 901e Shower Head PN 37-221-001 REV H
3178 Tegal 903e Tegal 901e Shower Head Profacture PRO-37-221-002 REV A Made In USA
3179 Tegal 903e Tegal 901e Shuttle ARM 5 Inch PN 39-853-001 A
3180 Tegal 903e Tegal 901e Shuttle ARM 5 Inch PN 39-853-001 A?
3181 Tegal 903e Tegal 901e Shuttle ARM 6 Inch
3182 Tegal 903e Tegal 901e UPP Electrode PN 39-188-002
3183 Tegal 903e TTW ( Through The Wall )Etcher  Plasma Etch Plasma Etcher Dry Etch
3184 Tegal 93-124-002 Cable AWD-D-3-1-13-007
3185 Tegal 99-126-005 A PCB Spare Parts
3186 Tegal 99-129-006 RW PCB Spare Parts
3187 Tegal 99-136-001 D PCB Spare Parts
3188 Tegal 99-188-001 Rev A EPE-1 Tegal PCB
3189 Tegal 99-206-001 Rev C GCP-1 Tegal PCB
3190 Tegal 99-232-004 N PCB Spare Parts
3191 Tegal 99-240-003 C PCB Spare Parts
3192 Tegal 99-240-003 Rev B ETS-3 Tegal PCB
3193 Tegal 99-244-001 Rev C PCB Spare Parts
3194 Tegal 99-299-001 G PCB  Spare Parts
3195 TEGAL 9XX DAC-SCAN PCB TEGAL 901E TEGAL 903E TEGAL PCB 80-095-278
3196 Tegal AC Service Item CE-1004-00901 SN 13212 Tegal Spare Parts
3197 Tegal AC Services Item CE-1004-00901 SN 12427 Tegal Spare Parts
3198 Tegal AC SVCE EUR  Item CE-1004-01001 SN 14471 Tegal Spare Parts
3199 Tegal Bias Power Supply 80-095-164 ID-AWS-012
3200 Tegal Chuck 37-139-001. (39-139-001?)
3201 Tegal Chuck 39-336-018
3202 Tegal FC-1000S 2500W 230/50 Tegal 901e Tegal 903e Chiller Circulator AWR-005
3203 Tegal Line Recorder 80-055-166 ID -AWM-B-4-2-002
3204 Tegal Matching Network Item CR-1113-00301 SN 12165 Tegal Spare Parts
3205 Tegal Matching Network Item CR-1113-00301 SN 13145 Tegal Spare Parts
3206 Tegal Matching Network Item CR-1113-00301 SN 13440 Tegal Spare Parts
3207 TEGAL PCB 03600-22-040
3208 TEGAL PCB 03601-22-040
3209 Tegal PCB 98-044-002 / 99-044-002
3210 TEGAL PCB 99-125-001 REV 7 FPJ-1
3211 TEGAL PCB 99-126-005 IGC-5 REV H/A/J/G/E
3212 TEGAL PCB 99-126-009 B IGC-9
3213 Tegal PCB 99-165-001  REV 4 ESI-1
3214 TEGAL PCB 99-172-001 REV 3 IMN-1
3215 TEGAL PCB 99-172-002 REV B IMN-2
3216 TEGAL PCB 99-173-005 A RFG-5
3217 Tegal PCB 99-341-002 REV 3 SSI-2
3218 Tegal PCB 99-345-001 REV A ID1-1
3219 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-424-001 Rev A
3220 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-733-003 Rev L
3221 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-733-004 Rev k 5 Inch
3222 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-900-001
3223 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-908-001
3224 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-39-926-001
3225 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-51-147-001
3226 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-51-148-001
3227 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-51-150-001
3228 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-51-151-001
3229 Tegal plasma Etch Asher Equipment Spare Parts PN TGL-51-182-001
3230 Tegal Plasma Etcher Asher Spare Parts PN 30-232-001 Tegal 901e Tegal 903e 4 Inch
3231 Tegal Plasma Etcher Asher Spare Parts PN 39-954-001 Tegal 901e Tegal 903e 4 Inch
3232 Tegal Plasma Etcher Asher Spare Parts PN 39-954-002 Tegal 901e Tegal 903e 5 Inch
3233 Tegal Plasma Etcher Asher Spare Parts PN 41-175-001 Tegal 901e Tegal 903e 4 Inch
3234 Tegal Plasma Etcher Asher Spare Parts PN TGL-39-929-001
3235 Tegal Plasma Etcher Asher Spare Parts PN TGL-39-946-002 Rev 2
3236 Tegal Plasma Etcher Asher Spare Parts PN TGL-39-954-003 Tegal 901e Tegal 903e 6”
3237 Tegal Plasma Etcher Asher Upgrade Kit 29-208-001 Touchscreen Replacement
3238 Tegal Press/Vacuum W/ATM SNS Item CG1146-01201 SN 13804 Tegal Spare Parts
3239 Tegal RF Generator CR 1087-20101 RF Plasma Products T-502S ID-AWM-D-1-008
3240 Tegal Spare Parts
3241 Tegal Spare Parts
3242 Tegal Spare Parts PN TGL-39-967-002
3243 Tegal T-1000E DC Supply  ID-AWS-012
3244 Tegal WAFER Transfer CW1078 W00303RW
3245 Tegal WAFER Transfer CW1078-40301
3246 Tegal WAFER Transfer CW1078-50401
3247 Tegal WAFER Transfer CW1078-60301
3248 Tegal? Fabco-Air Inc E 521 Xk
3249 Tek-Temp Instruments TKD200/5118IR Was For Tegal 903e Etcher Working AWR-031
3250 Tek-Temp Instruments TKD200/5118T1 Was For Tegal 903e Etcher Working AWR-032
3251 Tektronix Inc Type 586 Curve Tracer ID-AWW-8-4-006
3252 Tektronix P6102 Probe With Options ID-AWW-8-2-1-006
3253 Telebyte OPTO-ISOLATION Module 268 Model  For Aura 3010 3000 AWD-D-3-1-13-005
3254 Telebyte OPTO-ISOLATION Module 268 Model  For Aura 3010 3000 AWD-D-3-1-13-006
3255 Telemecanique Thermal Overload LR2D1316 023261 ID-AWM-A-3–4-013
3256 Telemecanique XUP J203135 Photoelectric Sensor ID-DW-6-4-2-002
3257 Temescal 0620-7612-0 Cable , EBC Indexer DR For Thin Film Deposition Equipment
3258 Temescal 0629-0364-0 Assembly , TRC Drive, UPG , New, Never Used
3259 Temescal BJD-1800 3-Cathode Sputter System
3260 Temescal FC-1800 BOC Coating Technology TRC-3460 Pocket Select ID-EV001-3
3261 Temescal FC1800 E Beam Evaporator
3262 Temescal FC-1800 Electron Beam Evaporator System – TES refurbished and upgra
3263 Temescal FC-1800 Evaporator
3264 Temescal FC-1800 Evaporator
3265 Temescal FC-1800 Evaporator Shielding shield. ID-DQ-1-1-001
3266 Temescal FC-1800 Evaporator Shielding shield. ID-DQ-1-1-002
3267 Temptronic TP03000A-2300-1
3268 Tencor M-gage 200 Kla Tencor Mgage For 2 To 5 Inch Metal Thin Film Metrology
3269 Teravicta 8_Dev_Doc Rev b With 8_CotoB41_DC_b PCB
3270 Teravicta R-CSP8-01 PCB
3271 Teravicta RT_CSP12X8-01 Rev C
3272 Teravicta RT_Liadboard_Dock Rev a With TT1244A
3273 Teravicta RT-CSP8X6-01-PKg PCB
3274 Tescom Regulated Valves 60 PSI
3275 Tescom Regulated Valves 60 PSI
3276 Tescom Regulator, 74-2461KRG20-037
3277 Tescom Regulator, 74-2461KRG20-037
3278 Tescom Regulator, 74-2461KRG20-037
3279 Tescom Regulator, 74-2461KRG20-037
3280 Tescom Regulator, 74-2461KRG20-037
3281 Tescom Regulator, 74-2461KRG20-037
3282 Tescom Regulator, 74-2461KRN20-037
3283 Tescom Regulator, 74-2461KRN20-037
3284 Tescom Valve 100 PSIG Max Outlet ,USG U.S.Gauge Pressure Meter
3285 TGL-40-391-001 Tegal 905 Quartz Chamber Tegal Spare Parts
3286 TGL-80-506-003 OPTICAL FILTER 520 NM endpoint Filter Tegal Spare Parts
3287 TGL-80-506-008 OPTICAL FILTER 777 NM endpoint Filter Tegal Spare Parts
3288 TGL-80-506-013 OPTICAL FILTER 750 NM endpoint Filter Tegal Spare Parts
3289 TGL-99-002-004 Tegal PCB Tegal Spare Parts
3290 TGL-99-003-002 Tegal PCB Tegal Spare Parts
3291 TGL-99-005-001 Tegal PCB Tegal Spare Parts
3292 TGL-99-008-001 Tegal PCB Tegal Spare Parts
3293 TGL-99-013-001 Tegal PCB Tegal Spare Parts
3294 TGL-99-037-001 Tegal PCB Tegal Spare Parts 99-037-01? Tegal 415 PCB
3295 TGL-99-043-002 Tegal PCB Tegal Spare Parts 99-043-001
3296 TGL-99-044-002 Tegal PCB Tegal Spare Parts
3297 TGL-99-045-001 Tegal PCB Tegal Spare Parts
3298 TGL-99-046-001 Tegal PCB Tegal Spare Parts
3299 TGL-99-046-502 Tegal PCB Tegal Spare Parts
3300 TGL-99-047-001 Tegal PCB Tegal Spare Parts
3301 TGL-99-048-001 Tegal PCB Tegal Spare Parts
3302 TGL-99-054-001 Tegal PCB Tegal Spare Parts
3303 TGL-99-079-001 Tegal PCB Tegal Spare Parts
3304 TGL-99-079-002 Tegal PCB Tegal Spare Parts
3305 TGL-99-081-001 Tegal PCB Tegal Spare Parts
3306 TGL-99-082-004 Tegal PCB Tegal Spare Parts
3307 TGL-99-095-001 Tegal PCB Tegal Spare Parts
3308 TGL-99-098-003 Tegal PCB Tegal Spare Parts
3309 TGL-99-099-001 Tegal PCB Tegal Spare Parts
3310 TGL-99-104-001 Tegal PCB Tegal Spare Parts
3311 TGL-99-106-001 Tegal PCB Tegal Spare Parts
3312 TGL-99-112-001 Tegal PCB Tegal Spare Parts
3313 TGL-99-112-002 Tegal PCB Tegal Spare Parts
3314 TGL-99-114-001 Tegal PCB Tegal Spare Parts
3315 TGL-99-116-001 Tegal PCB Tegal Spare Parts
3316 TGL-99-126-003 Tegal PCB Tegal Spare Parts
3317 TGL-99-139-002 Tegal PCB Tegal Spare Parts
3318 TGL-99-141-001 Tegal PCB Tegal Spare Parts
3319 TGL-99-154-001 Tegal PCB Tegal Spare Parts
3320 TGL-99-158-001 Tegal PCB Tegal Spare Parts
3321 TGL-99-181-001 Tegal PCB Tegal Spare Parts
3322 TGL-99-181-006 D Tegal PCB Tegal Spare Parts
3323 TGL-99-186-002 Tegal PCB Tegal Spare Parts
3324 TGL-99-186-002 Tegal PCB Tegal Spare Parts
3325 TGL-99-190-002 Board Temp Monitor Tegal PCB Tegal Spare Parts
3326 TGL-99-197-001 Board Sensor Slotted SSL-1 Tegal PCB Tegal Spare Parts
3327 TGL-99-200-003 PCB Board Tegal PCB Tegal Spare Parts
3328 TGL-99-206-001 PCB Board Tegal PCB Tegal Spare Parts
3329 TGL-99-208-004 Tegal PCB Tegal Spare Parts
3330 TGL-99-209-001 Tegal PCB Tegal Spare Parts
3331 TGL-99-232-004 Tegal PCB Tegal Spare Parts
3332 TGL-99-237-003 Tegal PCB Tegal Spare Parts
3333 TGL-99-300-001 Tegal PCB Tegal Spare Parts
3334 TGL-99-304-001 Tegal PCB Tegal Spare Parts
3335 TGL-99-318-001 Tegal PCB Tegal Spare Parts
3336 The Pancake Line FABCO-AIR HPS-48 AWD-D-1-1-002
3337 Theis Enterprises Computer With SW For Tegal 901e Tegal 903e Etcher, CS&S
3338 Theis Enterprises SN 200454 Main Control Board For Tegal 901e Tegal 903e Etcher
3339 Thermal Evaporators Nest For Thin Film Deposition Equipment
3340 Thermal Evaporators Nest For Thin Film Deposition Equipment
3341 Thermo Electron Corp. NESLAB SYSTEM II Heater Exchange
3342 Thermo Fisher Scientific Chiller
3343 Thermo Fisher Scientific Neslab 7
3344 Thermocouple/resistance Thermometer PRE-Amplifier SN 445 PL59 AWD-D-1–1-4-011
3345 THT RG75TK Glass Ion Gauge AWD-D-1-4-4-008
3346 Timer
3347 Timken Torrington Needle Bearing B-47 For AG Asscoiates Heatpulse, 273903-1
3348 Touch Screen Monitor for Gasonics Aura 3010, Gasonics L3510
3349 Transport Controller Rev 1 PCB 1000-0003 Rev 20 For Matrix 105 106 103 303 404..
3350 Tric Plate For AG Associates Heatpulse -1
3351 Tric Plate For AG Associates Heatpulse -2
3352 Tric Plate For AG Associates Heatpulse -3
3353 TRW Global Motor Division 409A6029-2 /7200-0382-01 For AG Asscoiates Heatpulse
3354 TRW Global Motor Division 409A6029-2 /7200-0382-01 For AG Asscoiates Heatpulse
3355 TRW Global Motor Division 409A6029-2 For AG Asscoiates Heatpulse
3356 TRW Global Motor Division 409A6029-2, 7200-0353-01 For AG Asscoiates Heatpulse
3357 TRW Global Motor Division 409A6029-2,For AG Asscoiates Heatpulse,New?
3358 TRW Global Motor Division 409A6029-2,For AG Asscoiates Heatpulse,New?
3359 Tube Gas Line With Fittings AG Associates Heatpulse
3360 Two Layers Bracket For Wafer Central Station
3361 Tyco Electronics Alcoswitch RM200 5-1437614-4 ID-AWS-019
3362 Tylan General MDVX-018 High Vacuum Valve ID -AWM-A-4-006
3363 Type 640 Model 640A-21602 MFC Mass Flow Controller MKS  1179A01314CR1CV 10 Torr
3364 UFC-1000 SF6 50 SCCM MFC Mass Flow Controller Unit Instruments Inc
3365 UFC-1020 N2 100 SCCM MFC Unit Instruments Inc
3366 UFC-1020 O2 100 SCCM MFC Unit Instruments Inc
3367 UFC-1100 500SCCM O2 MFC Unit Instruments Inc
3368 UFC-1100 Ar 10 SLM MFC Unit Instruments Inc
3369 UFC-1100 CHF3 100 SCCM MFC Unit Instruments Inc
3370 UFC-1100 HCL 200 SCCM MFC Unit Instruments Inc
3371 UFC-1100 N2 1 SLM MFC Unit Instruments Inc
3372 UFC-1100 N2 10 SLM MFC Unit Instruments Inc
3373 UFC-1100 N2 2 SLM  MFC Unit Instruments Inc
3374 UFC-1100 N2 50 SCCM For Tegal Plasma Asher Strip Etcher Unit Instruments Inc
3375 UFC-1100A  SF6 50 SCCM MFC Unit Instruments Inc
3376 UFC-1100A HE 200 SCCM MFC Unit Instruments Inc
3377 UFC-1100A O2 100  SCCM MFC Unit Instruments Inc
3378 UFC-1101 10 SCCM  O2 MFC Unit Instruments Inc
3379 UFC-1101A HE 50 SCCM MFC Unit Instruments Inc
3380 UFC-1101A SF6 10 SCCM MFC Unit Instruments Inc
3381 UFC-1300 N2 200 SCCM MFC Unit Instruments Inc
3382 UFC-1400A N2 50 SCCM For Tegal Plasma Asher Strip Etcher Unit Instruments Inc
3383 UFC-1500A SF6 50 SCCM MFC Unit Instruments Inc
3384 UFC-7301  3cc N2 UNIT 7300 Series
3385 ULVAC cRyogenics Controller ID-AWS-K-1-003
3386 Ulvac GP-2A Pirani Vacuum Gauge Control ID-AWS-K-2-001
3387 Ulvac Ionization Gauge Type WI-T18 ID-AWS-018
3388 Uniformity Shield,ceramic , UHV Clean For STS ICP STS PRO ICP, SPTS
3389 United Electric Controls Company 10-D11
3390 United Electric Controls Company Spectra 10 Model 10-D11
3391 UPC-1300 N2 225 SCCM For Tegal Plasma Asher Strip Etcher Unit Instruments Inc
3392 UPE75 581429 UPE375590 Advanced Specialty Gas Regulated Valve
3393 Upgrade Kit For ElectroGlass EG 1034 Wafer Probe  Mapping ID-AWS-K-2-005/AWS028
3394 USED LAM Research 4400 715-011630-001 PEDESTAL ESC
3395 USF-PP1 Water Filter For 20 Micro ID-AWS-027
3396 USH-500FU USHIO Lamps Super High Pressure Mercury Lamp
3397 Ushio HB-25105AP Mercury Lamp Power Supply for Neutronix NV2 Canon PLA 500/501
3398 Utek Microtek CB3012 ISS 4  PCB AWD-D-1–1-3-003
3399 Utility Panel Assy For Gasonics Aura 3010 AWD-D-3-1-6-004
3400 Vacuum Flange For Thin Film Deposition Equipment
3401 Vacuum Pipe Flange For  Branson/IPC  Asher (?) ID-AWS-k-5-1-005
3402 Vacuum Ring For Thin Film Deposition Equipment
3403 Vacuum Structure For Thin Film Deposition Equipment
3404 Vacuum Structure With Bayside NE23-050-LB For Thin Film Deposition Equipment
3405 Vacuum Tubes For Thin Film Deposition Equipment
3406 Vacuum Valve ID-AWS-027
3407 Valve
3408 Valve -7
3409 Valve With Swagelok K37086 6LV-DAFR-P-O AFLJ , Used
3410 Valve-10
3411 Valves-1
3412 Valves-2
3413 Valves-3
3414 Varian  05720001 Retainer Seal  ID-AWS-011
3415 Varian  1094341 +opto Insolator Assy ID-AWS-011
3416 Varian 00-674163-00 Anode Cap Spare Parts
3417 Varian 105120001 Blbctrode ID-AWS-011
3418 Varian 3118 E-beam Evaporator And Thermal Evaporator System
3419 Varian 3120 Electron Beam Evaporator
3420 Varian 3120 Electron Beam Evaporator
3421 Varian 3180 Ceramic Ring P/N  318012, 3180012(?)
3422 Varian 3180 Cover Shield P/N  3180007
3423 Varian 3180 Cover/shield  P/N  3180043
3424 Varian 3180 O-Ring  (CRS P/N 3180018) ,10 Pieces In A Package, 402-414
3425 Varian 3180 O-Ring  (CRS P/N 3180019) ,10 Pieces In A Package, 403-021
3426 Varian 3180 O-Ring  (CRS P/N 3180020) 9 Pieces  409230 In A Package
3427 Varian 3180 O-Ring  (CRS P/N 3180021) 5Pcs In A Package
3428 Varian 3180 O-Ring  (CRS P/N 3180022) ,10 Pieces In A Package, 409233
3429 Varian 3180 O-Ring  (CRS P/N 3180025) ,5 Pieces In A Package, 2-149
3430 Varian 3180 O-Ring  8.25 ID X 0.210WCRS P/N 3180042),8Pieces In A Package
3431 Varian 3180 O-Ring  P/N  3180020 1 PieceIn Package, 409-230
3432 Varian 3180 O-Ring  Seal Ring 4 Lobe Coax Feedthru 3180032) 10 Pieces In Package
3433 Varian 3180 O-Ring  Shutter F/T To Rear Plate P/N 3180036) 10 Pieces In Package
3434 Varian 3180 O-Ring , 1.5 I.D.X. 13W (CRS P/N 3180029 ) 1/27-45967 8 In A Package
3435 Varian 3180 O-Ring , 2.25 I.D.X. 13W (CRS P/N 3180030) 1/27-459656 8 In Package
3436 Varian 3180 O-Ring , Coax F/T To Front Plate Size 2-157 P/n 3/27-409274-00
3437 Varian 3180 O-Ring ,7.225 ID X 0.210w (CRS P/N 3180041) 8 Pcs In A Package
3438 Varian 3180 O-Ring ,shutter F/T Size 2-112, 10 Pcs In A Package
3439 Varian 3180 P/N 3180009,Humphrey
3440 Varian 3180 P/N 3180010,Humphrey
3441 Varian 3180 P/N 3180026
3442 Varian 3180 P/N 3180033, Mount , Sensor A674536
3443 Varian 3180 P/N 3180046
3444 Varian 3180 Plate Varian 3180 Sputter System
3445 Varian 3180 Shield Varian 3180 Sputter System
3446 Varian 3180 Spare Parts 1/A674682 PN 3180034 Cap, Sensor
3447 Varian 3180 Spare Parts 2/0067194600 AR213180-027 PN 3180027
3448 Varian 3180 Spare Parts P/N 3180008 Switch Pressure 35 PSI 2 Pieces In A Pack
3449 Varian 3180 Spare Parts P/N 3180013, 3180015(?)
3450 Varian 3180 Spare Parts P/N 3180014
3451 Varian 3180 Spare Parts P/N 3180023
3452 Varian 3180 Spare Parts PN 3180005 ,100 Pieces 652-004
3453 Varian 3180 Spare Parts PN 3180006, 100 Pieces 600-024
3454 Varian 3180 Spare Parts Varian 3180 Sputter System
3455 Varian 3180 Whitman Controls Corp P117G-3H-F11L6-X Switch 10 PSI, P/n 3180037
3456 Varian 3180 Whitman Controls Corp P117V-3N-F11L13-X-674930 Switch 3180038
3457 Varian F9350001 Ring, Clamp, 100mm CTG Spare Parts
3458 Varian F9350001 Ring, Clamp, 100mm CTG Spare Parts
3459 Varian L6280302 NW25 H/O , MKS 122AA-00010DB With SST Tube AWD-D-3-1-5-005
3460 Varian L6281-301 NW16 Angle Vacuum Valve
3461 Varian L6281-302 NW25 Angle Vacuum Valve
3462 Varian L6281-303 KF40 Angle Vacuum Valve
3463 Varian L6281-701 NW-16-A/O With SST Tube AWD-D-3-1-5-004
3464 Varian NW-16-A/O L6281701 L6281-701 AWD-D-3-1-5-009
3465 Varian NW-40-A/O L6281-703 AWD-D-3-1-5-008
3466 Varian Turbo-V 81-M Turbo Molecular Model 9698904M001 Serial 235934
3467 Varian Type 0531 Tc Vacuum Gauge 1/4” VCR AMAT 3310-01074 ID-AWS-019
3468 Varian Vacuum Roughing Foreline Trap Model 345 ID-AWS-024
3469 VAT 315011 Stepper Motor Compl CO/N 222386 PN 315011 Nanotec-Munich
3470 VAT Adaptive Pressure Controller PN 225599 Software 64PM.31.00 641-PM-16BC-0002
3471 VAT Bausatz SN 726682 And O-rings For STS ICP
3472 VAT F03-81131-01  CE High Vacuum Valve AWM-C-5–7-003
3473 VAT F03-87530 / 003 03509-UA24-1003 CE High Vacuum Valve AWM-C-5–7-002
3474 VAT F03-87530 / 005 03509-UA24-1003 CE High Vacuum Valve AWM-C-5–7-001
3475 VAT High Vacuum Valve
3476 VAT Manual Vacuum Valve NW63 Connector F-60078-24 ID -AWM-B-4-1-001
3477 VAT O-Ring Set P/N 218703 For STS ICP
3478 VAT Ring Compl PN 257469
3479 VAT Valve F26-60307-871 Assembly ID-AWS-018
3480 VBT-D-8V01 94v-0 1896 AWM-B-4-5-014
3481 Veeco GEN-II MBE  accessories For 3 Machine
3482 Veeco GEN-II MBE  GaAs-AlAs-InAs and then have Si and Be doping sources.
3483 Veeco GEN-II MBE  GaAs-AlAs-InAs Then Si And be Doping Source
3484 Veeco GEN-II MBE for Si-Ge-Sn alloys and has an As doping source
3485 Vek-8576-D Kytolo Muurame Finland Flower Meter ID-AWS-025
3486 Vexta Stepping Motor Distributed Motion Inc PH2654L-05B-C10A ID-AWS-017
3487 Vexta Stepping Motor Distributed Motion Inc PH2654L-05B-C10A ID-AWS-017
3488 Vishay Mouser Electronics 71-RH25-120 RH025120R0FC02 25watts
3489 VT-40 Power Gauge, EMO Edwards D3720900, Gasonics Aura 2000LL AWD-D-3-1-5-002
3490 VWR 1000ml 32oz HDPE
3491 VWR 10803-136 611-0093 VWR Weigh Boat , 46x46x8mm,ps, Small,white 500/PK
3492 VWR 1410 VWR Scientific Vacuum Oven With BC2208 Electromotors LTD BS 5000-11
3493 VWR 250ml 8 Oz HDPE
3494 VWR 414004-116 VWR Amber High-Density Polyethylene Wide Mouth Bottle 30 Ml 12/PK
3495 VWR 414004-227 ,500ml
3496 VWR 414004-228 1000cc
3497 VWR 89126-600 120ml WM J VWR Trace Clean 080717-1BMB 24pc/pk
3498 VWR 89126-602 250ml WM J VWR Trace Clean 080717-1BMB 24pc/pk
3499 VWR CAS-64-17-5, 1000cc-32oz, Ethanol CH3CH2OH
3500 VWR CAS-67-63-0 Isopropanol,500cc-16oz
3501 VWR CAS-67-63-0, 1000cc-32oz, Isopropanol (CH3)2CHOH
3502 VWR CAS-67-64-1 , 1000cc-32oz, Acetone CH3COCH3,
3503 VWR CAS-7732-18-5 Deionized Water,500cc-16oz
3504 VWR CAS-7732-18-5 Dispensing 10111-964 ,1000cc-32oz
3505 VWR Garment Size U 10pc Per Pack
3506 VWR Magnetic Stirring Bars Spinbar Stirring Bar Teflon, Octagon 58948-251
3507 VWR Magnetic Stirring Bars Spinbar Stirring Bar VWR PTPE Magnetic Stir Bar
3508 VWR Methanol CAS-67-56-1 ,500cc-16oz
3509 VWR Scientific 1370 F Oven
3510 VWR Trace Clean 080717-1BMB 250ml WM J 89126–602
3511 VWR Trace Clean 89094-092 1000ml Cylinder
3512 VWR Traceable Mini-controller ID-AWM-D-2-6-006
3513 Vynckier Enclosure Power Supply -power One HCC 15-3-A, F15-15-A
3514 W140-371-00/xx Converter Concepts ID-AWM-D-2-5
3515 Wafab Chiller AWD-D-2-10-022
3516 Wafer Automatic Load/unload , Gaertner Scientific Laser Ellipsometer, AWW-6-5-4
3517 Wafer Carrier:  8 Inch Si Wafer Carrier For 6 Inch Wafer With Flat  Vacuum/Holes
3518 Wafer Carrier: 12 Inch Si Ingot Wafer Carrier For 6 Inch Wafer With Flat
3519 Wafer Carrier: 12 Inch Si Wafer With Of 6.5×6.5 Inch Pocket
3520 Wafer Carrier: 4 Inch Si Wafer With  2 Inch Pocket With Flat
3521 Wafer Carrier: 4 Inch Si Wafer With  3 Inch Pocket
3522 Wafer Carrier: 4 Inch Si Wafer With  3 Inch Pocket With 1.5mm Holes
3523 Wafer Carrier: 6 Inch Quartz Disk (1mm Thickness) With  4 Of 2 Inch Pocket
3524 Wafer Carrier: 6 Inch Quartz Disk (1mm Thickness) With  4 Of 2 Inch Pocket
3525 Wafer Carrier: 6 Inch Si Wafer With  4 Of 2 Inch Pocket
3526 Wafer Carrier: 6 Inch Si Wafer With Of 4 Inch Pocket
3527 Wafer Carrier: 8 Inch Si Wafer With 4 Inch
3528 Wafer Carrier: 8 Inch Si Wafer With 4 Inch Pocket With 1.5mm Holes
3529 Wafer Carrier: 8 Inch Si Wafer With 6 Inch Pocket
3530 Wafer Carrier: 8 Inch Si Wafer With 6 Inch Pocket With 1.5mm Holes
3531 Wafer Carrier: Silica With SiC Coating
3532 Wafer Holder For Sputter / Evaporator Equipment DA7-2-1-001
3533 Wafer Holder For Sputter / Evaporator Equipment DA7-2-1-002
3534 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-001
3535 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-002
3536 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-003
3537 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-004
3538 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-005
3539 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-006
3540 Wafer Transfer CW1078  For Tegal 901e 903e AWD-D-3-2-13
3541 Water Panel With Lines And Valves
3542 Watlow EZ-zone PM6C1CC-1LAJAAA SN 008062 DC 0819
3543 Watlow Series 808 Temperature Control ID -AWM-F-1-029
3544 Watlow Series 945Temperature Control ID -AWM-F-1-030
3545 Weir SMM 200 Power Supply ? ID-AWM-D-3-2-002
3546 WELCH DUO-SEAL Vacuum Pump Model 1397 W/ Baldor Motor L3510 AWD-D-2-8-001
3547 Wentworth Labs Assy 3-102-1868 A CSP12X8-SB-150 #2 S.O. #25561
3548 Wentworth Labs Assy 3-102-1868 A TT1244DA-8X-SB #1 /#2 S.O. #26767
3549 Wentworth Labs Assy 3-102-1868 A TT1244DA8XSBSD #1 S.O. #26767
3550 Wentworth Labs Assy 3-102-1868 A TT2214A-4X-SB #1 /#2 S.O. #26767
3551 Wentworth Labs Assy 3-102-1868 A TT2214A4XSBSD #1 /#2 S.O. #26767
3552 Wentworth Labs Assy 3-402-0069 Rev C CSP6X6 CSP8 #2(?)
3553 Wentworth Labs Assy A/w 3-102-1868 Rev A CSP12X8-SB-SD , S.O.#26378
3554 Wentworth Labs Assy A/w 3-102-1868 Rev A TT1244DA8SBSD , S.O.#26767
3555 Wentworth Labs Probe Card
3556 Werkzeug ELB SPA 2030 VAII 86242 ID-NMA-009
3557 Western Servo Design WS911-0004 4880-5 10010-T1 ID-AWS-K-1-014
3558 Wet Process Equipment
3559 Wet Process Equipment
3560 Wet Process Equipment
3561 Wet Scrubber H2S Probe
3562 Whacheon Machinery Co., LTD. Model WL-435 ID-NMA-011
3563 Whitey Co 133 SR 200psi 90 Spring Return With SST Tube   ID-AWS-011
3564 WIKA 316 SS Tube And Connection-150 Psi ID-AWM-D-5-005
3565 Wire
3566 Wire Connector Solder Machine ID -AWM-A-4-005
3567 Wixom 45A-LAC-DDAJ-1KG 24VDC 41300043-01  ID-AWS-L-1-1-007
3568 WS2107FL-7 Boxer Fan Volts 115/230 A.C AMPS .24/.12 50/60 HzImpedance Protected
3569 XINIX INC CPU PCB ASSY 0012-0032 REV 2
3570 XSUNX Sunx Sensor System NX-21T 8J ID-AWM-A-3–4-014
3571 XYNETICS Inc ASSY 103808 X510313 +/-15 VDC Regulator AWW-10-2-5-002
3572 Yeong Chin Machinery Industries Co.?LTD Model YC-1 1/2 VA SN 72531 ID-NMA-014
3573 YHP 04145-66525 B-2808 33-PCB AWW-10-2-3-001
3574 Yield Engineering Systems Inc YES Model R1 YES R1 Asher  Dry Asher Dry Etch
3575 Yield Engineering Systems Inc YES Model R3 YES-R3 Asher  Dry Asher Dry Etch
3576 Yokogawa Controller? Meter ?Gauge ?Printer ?ID-AWS-K-1-006
3577 Yokogawa Meter With 93-957-001 Cable
3578 Yokogawa Printer ID-AWS-K-3-003
3579 Yokozuna’s-tech Finejet Generator Model PT-005J 1A  ID-AWS-K-3-004
3580 Z-BOT 001-6300-03 for Gasonics Aura 3010, Gasonics L3510
3581 ZiaTech ZT90011 RS232 Interface AG Associates Heatpulse PCB
3582 ZT90011 RS-232C 1694 INTERFACE REV B SN48-32 , ZIOTECH

The Semiconductor Equipment /parts are  in  Morgan Hill, CA USA and are subject to prior sale without notice. These items are only for end users. The items are subject to prior sale without notice.

PLEASE USE “Ctrl” + “F” KEYBOARDS TO SEARCH WHAT YOU WANT AT THIS PAGE.

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS380e

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers