Main Maker

Semiconductor Equipment-CA

Semiconductor Equipment

Description

Please contact us if you are interested in the following items. These items are only for end users and are subject to sale without notice. Appreciate your time.

  1. Pfeiffer TMU1000MPC Turbo drag Pump
  2. Advanced Imaging Custom Rough Lapping Station
  3. Alcatel 5150CP new unused turbo pump
  4. APT WH5000 adjustable workholder for wire bonder
  5. Asymtek 403G with 18″x18″ dispense area and UV curing tool
  6. Atlas T25
  7. Baxter Scientific/Heraeus Biofuge 22R Centrifuge
  8. Beckman Type 50.3 Ti Rotor
  9. Bird Electronics 8833-300
  10. Blue M DCC-256B-M HEPA Oven
  11. Brewer Science DSD/1 photoresist dispenser
  12. Cascade Microtech probe card holder
  13. Comdel CX2500S RF Power Supply
  14. Dalsa P2-22-06K40 Line Scan Camera
  15. DataPhysics ACA 50
  16. Davidson Optronics D638 Autocollimator
  17. Daystar Energy Engineering DS-100C Curve Tracer
  18. DEK-Heller PV1200 PV Metallization line
  19. Denton Vacuum DV502A DC sputtering system
  20. Despatch LLC1-51V-3 Dual Stack Oven
  21. Despatch LPB1-15V HAST Chamber
  22. Dielectric Analyzer
  23. Digikrom 1/4 Meter
  24. Disco NBC-Z dicing blades, Box of 10, 52×0.03×40
  25. Disco NBC-Z dicing blades, Box of 10, 52×0.05×40
  26. Disco NBC-Z dicing saw blades, Box of 10, 52×0.07×40
  27. Dynapert MT-10 Bond Wire Tester
  28. EIT SP1-365 Spot Cure
  29. Elcometer cylindrical mandrels
  30. Elliot Scientific DALi 2100 Controller
  31. Espec TSE-11-A thermal shock chamber
  32. Frontier Semiconductor Measurements Inc. 128L C2C
  33. Fuji VG-400C-10W
  34. Genie Lift model AWP-20S
  35. Gigatest Labs GTL4040 Probe Station, Olympus microscope and 3 micropositioners
  36. GSI Lumonics LuxStar LX-50 Welding Laser
  37. Hanovia C2523321
  38. Heraeus VT5050EKP shelf heated high temperature vacuum oven to max 400C
  39. Highwave Optical Technologies HWT-L-BS-B1-2-APC Erbium laser
  40. Hirox KH-1000 High Resolution Microscope
  41. Imada I8 Torque Gauge
  42. Inficon vacuum feedthru for quartz crystal depositon monitor
  43. Inficon VAM025A Angle Valve
  44. Instron 2712-001 5N (1lbf) tension grips
  45. Instron 4465 testing machine
  46. Ion Systems Z-stat 6412
  47. JDS Uniphase/Cyonics 2214-25 MLUP Argon Ion Laser
  48. JEOL EMDSC-U10A Vacuum Dessicator
  49. JJ Lloyd T5502
  50. Jobin Yvon-SPEX/Horiba Triax 320
  51. JP Stevens Quartzel fused quartz roving (Trade name Astroquartz)
  52. K&S 7100Ad dicing saw
  53. Karl Suss MJB3 mask aligner
  54. Kulicke & Soffa 982-6 Plus
  55. Kulicke and Soffa 4523 Wedge Bonder
  56. Leica MZ8 Stereozoom Microscope
  57. Leica MZ9.5 stereozoom with motorized focus
  58. Leybold Ecodry L dry vacuum pump
  59. Lufran 065-CE-480-100-U-CE 65KW DI Water Heater
  60. March AP-1000 Plasma Cleaner
  61. March PX1000 plasma asher with ENI ACG-10B 1000W Rf generator
  62. Mecmesin AFG 10N Digital Force Gauge
  63. Melles Griot Stabiletop Breadboard with enclosure and shelf
  64. Met One A2408-1-115-1 Particle Counter
  65. Metricon 2010
  66. Microautomation MA1100 Dicing Saw
  67. Micromanipulator 2250 large substrate Prober
  68. Micromanipulator 450PM-A prober
  69. Micromanipulator 6150 Probe Station
  70. Mitutoyo BH-303 Air Bearing Coordinate Measuring Machine with Renishaw probe
  71. Miyachi AF8500 seam sealer interated into AX5000 atmospheric enclosure with vacu
  72. MRL Industries SBR200355 Bandit 218 MS LH Furnace
  73. MRSI 505 pick and place
  74. MTI Nanoindentor XP Material Testing System
  75. MTS Systems Corp Alliance RT/5 Testing System
  76. Nanometrics 3000 thin film analyzer
  77. Newport 24x36x4 breadboard
  78. Newport 2832C
  79. Newport 561D-XYZ-LH 3 Axis Stage with fiber and waveguide mounts
  80. Newport Breadboard
  81. Newport M-426-XYZ three Axis Translation Stage with micrometers
  82. Newport M-BGM 160MS Goniometer
  83. Newport MM4005 Controller
  84. Newport MM4005 Controller
  85. Newport Orion FX-3 Alignment System
  86. Newport SR-170-CF Analyzer
  87. Newport UTM100CC-HL single axis linear stage
  88. Nikon Eclipse L150 Inspection Microscope
  89. Nikon MM40 measurescope with 3 axis display
  90. Nikon PlanApo 100x Objective
  91. Nikon PlanApo 150x Objective
  92. Nikon wafer inspection station
  93. Olympus BX-60F Inspection Microscope
  94. Optronic Laboratories OL770-LED VIS/NIR Sprectroradiometer
  95. Retsch PM400 4 station grinding mill (grinding jars not included)
  96. Retsch RMO Mortor Grinder
  97. RMS Systems/Hologenix NGS 3500
  98. Rorze RR700L wafer handling robot
  99. Rudolph Research Autopol V polarimeter
  100. Saes Pure Gas Monotor PS3SP2R1
  101. Salvis VC-20 Vacuum Oven
  102. Samco UV-660 ozone stripper
  103. SDL FL20-4211-002 Infrared Laser 800-1200nm
  104. Semitool 470S spin rinse dryer
  105. Signatone S463 Prober
  106. Smiths Ionscan LS
  107. Societe Genevoise sip305 measurement system with HP Interferometer
  108. Solitec 820ACB Coat/Bake Track
  109. Sony DXC-930 3CCD color Camera with bayonet mount
  110. SSEC 300ML Solvent Processor
  111. SSEC NTe hermetic package sealer with enclosure
  112. Surfx Technologies Atmospheric Plasma Generator
  113. Suss MicroTec MA6 Bond Tooling
  114. Suss Microtech PH600HF motorized positioner
  115. Suss PH400 manual submicron positioner
  116. TechnoOrg Linda IV5 Gentle Mill
  117. Temescal SFIH-270-2 40cc electron beam source with 5 new crucibles
  118. Temptronic TPO4300B-3X32-3 temperature forcing system (2006), 50Hz
  119. Tensitron TM-4000
  120. Tepla 300 Autoload PC Microwave Plasma Asher
  121. Terra Universal 1606-61 dual purge controller
  122. Terra Universal 2535-00 Farracator dessicator
  123. Terra Universal Nitro Watch
  124. Thorlabs MDT616, SDL 5432 XYZ Flexure Stage
  125. Thorlabs NRT100/M Linear Stage
  126. TMC 63-631 electropolished stainless vibration isolation workstation
  127. TMC isolation table 63-510 with cleantop II breadboard
  128. TMC Stacis 2100 Active Vibration Cancellation System
  129. TMC Vibration Isolation Talbe
  130. Trio Tech Arctic 60
  131. US Army Air Force Collimator
  132. VAT HV Valve: PN 26328-KE22-AFR
  133. VAT UHV Gate Valve: PN 01028-KE24-0004
  134. Veeco CP II
  135. Veeco V200-Si Stylus Profiler
  136. Veeco/Miller Design FPP5000 Four Point Probe
  137. VWR 1430MS VAcuum Oven
  138. Walker Magnetics HF12HB, HS1575-3SS
  139. Westbond 5700B thermosonic ball bonder
  140. Westbond 7372E convertible wire bonder
  141. Yield Engineering 450PB6-8
  142. Zeiss LM100 large panel inspection station

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

equipxss380  SS7270

All used equipment /parts trademarks belongs to the original equipment manufacturer. All rights reserved. 

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers