Main Maker

AMAT Applied materials Semiconductor Equipment Parts in stock

Semiconductor Equipment Parts

Categories: , Tag:

Description

The Semiconductor Equipment /parts are  in  USA and are subject to prior sale without notice. These items are only for end users. The items are subject to prior sale without notice.

456 AMAT  1110-01046; APPLIED MATERIALS,NETWORK12.56MHZ 2.5KW AZX 90 DOME R AE 3155086
457 AMAT  1110-01068 RMN-40A / NTWRK MATCH RF 12.56MHZ 4KW AUTO-IMPEDAN / AMAT DAIHEN
458 AMAT  ASSY BEFFER BLADE HP PLUS HTHU 200MM, 0010-75512
459 AMAT  ASSY MEMORY BD / APPLIED MATERIALS AMAT
460 AMAT  MOTOR DRIVER PCB SCHEM 681720 / APPLIED MATERIALS AMAT
461 AMAT # 0040-20010 STANDARD BODY PVD PROCESS CHAMBER
462 AMAT 0010-00304, GRIPPER ASSY 125MM
463 AMAT 0010-01029 Cap Assy, Poly-Aluminum Upper, 8120, 8130, 2020342
464 Amat 0010-01315 Sheild Assy 8″ Electra IMP
465 AMAT 0010-01994 Rev.001, Magnet Assembly, PVD, Endura, Sputter Chamber
466 AMAT 0010-02342 Ceramic HEATER, CERMAIC ASSY, 8″ DXZ
467 AMAT 0010-02740, P-CHUCK ASSY, 150MM, CIP99
468 AMAT 0010-03002 Assy, Clamp Ring, Lower, 200mm, Pre-Clean, 0040-07962,
469 AMAT 0010-03338 Assy Heater 8″ SR OSCR WXZ w/ 0190-01403 Thermocouple,
470 AMAT 0010-03344 Heater Assy, 8″ JLT .029 AMJ WXZ, 0010-06237,
471 AMAT 0010-03370 Heater Chuck w/ Alpha 5 Seasoning & Random Surface Finish
472 AMAT 0010-03372, WxZ Heater Assy, 8 Inch OSCR Seasoning,
473 AMAT 0010-03530 Assembly, Diamond Head Carrier,
474 AMAT 0010-03530 Assy, Diamond C Head Carrier, ASM, 2492-038,
475 AMAT 0010-04450 ASSY, SNNF 200MM SUB ZERO BESC W/MCA
476 AMAT 0010-05047 ASSEMBLY, SUSCEPTOR, 200MM, TIC-CVD.
477 AMAT 0010-05256 HEATER DxZ 150MM, 6″ Manufactured by CRC
478 AMAT 0010-09540 Chamber Wall, Wafer Transfer, P5000, 0040-09136,
479 AMAT 0010-09819 Assembly SUS 125mm, 4mm Thick, T2 BSE, BMCVD,
480 AMAT 0010-09924 THROTTLE VALVE ASSEMBLY.
481 AMAT 0010-09924, Throttle valve assy, dual seal shaft,
482 AMAT 0010-09935, MONOCHROMATOR MODULE ASSY, ENDPOINT, PRECISION 5000
483 AMAT 0010-09940 Assembly 8″ WSI Gas Box Feedthru, Top Lid, CVD, P5000,
484 AMAT 0010-10521, XYCARB CERAMICS, Thick, Susceptor 8″, T1SABB, SABPSG.
485 AMAT 0010-10556, MONOCHROMATOR MODULE ASSY, CENTURA
486 AMAT 0010-10713, Susceptor Assembly, 125mm Wafer, P21, Chamber, 5″.
487 AMAT 0010-10713, Susceptor Assembly, 125mm Wafer, P21, Chamber, 5″.
488 AMAT 0010-10758 Rev.A, DSGD Lid, MXP & MK II Chamber, VCR, Assembly.
489 AMAT 0010-11994 HEATER, TxZ 150mm, 6″ Manufactured by CRC
490 AMAT 0010-13268
491 AMAT 0010-13321 ROBOT DRIVE ASSY 8″
492 AMAT 0010-15257 Assy, Spring Plate w/GVDE, 200mm, DPN, 0010-15698
493 AMAT 0010-18100 ULTIMA ESC 200mm, 8″ salvaged stock
494 AMAT 0010-20030 Wafer Orienter Lift Assy w/ Chamber Lid, Notch Finder,
495 Amat 0010-20221 PVD Magnet Ti 150mm
496 AMAT 0010-21356 Heater Assy, 8″ VCR w/ 2 TCs And Bellows, 0040-20850,
497 AMAT 0010-21356 Heater Assy, 8″ VCR w/ 2 TCs And Bellows, 0040-20850,
498 AMAT 0010-21810 Magnet Assembly, G-12, Encapsulated, Durasource,
499 AMAT 0010-21810 Magnet Assembly, G-12, Encapsulated, Durasource,
500 AMAT 0010-21810 Magnet Assy, G-12, Encapsulated Durasource G Type:
501 AMAT 0010-21958 8″ Shield B101
502 AMAT 0010-28024 Head Sweep Assembly, NSK XY-FR-E131178, 300MM, CMP, LK,
503 AMAT 0010-30025 Assy Lower Gas Line
504 AMAT 0010-30111 8″ Heater Assembly Assembly Heater, 8″ DXZ Chamber 5500
505 AMAT 0010-30418 8″ Heater, WXZ, 200m,
506 Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
507 AMAT 0010-36715, EQ Magnet Assembly, 6″, 0010-20675,
508 AMAT 0010-39337 Assy, Motor Driver Drawer,
509 AMAT 0010-39736 Lid Assy Clamp, 0021-03277, 0040-39619,
510 AMAT 0010-40280 ROOF TOP, SUB-ASSEMBLY, CGF, DOS
511 AMAT 0010-70001 P5000 Front Loader Cassette Handler Assy, Wafer Transfer,
512 AMAT 0010-70403, G-12 AFS Lid Assy, PVD,
513 AMAT 0010-70403, G-12 AFS Lid Assy, PVD,
514 AMAT 0010-70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E,
515 AMAT 0010-70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E,
516 AMAT 0010-70792 EMXP+/MXP+ OX, Chamber Lid, Clamp, Chemraz 513, Super E,
517 AMAT 0010-75278 Casette Assy LLB PA200-79MDT Corrosion,
518 AMAT 0010-92209 Gas Module, SDS, Unit (8160MFC) N20 5 SCCM,
519 AMAT 0020-01995 / HY-11 MAGNET 300 MM ASSEMBLED WITH 0020-28491 / AMAT
520 AMAT 0020-07701 Shield Upper Ti TiN Al Flame Spray Endura sputter Chamber
521 AMAT 0020-10186, 5000 8″ CVD Etch Chamber Lid, 200mm, Gas Box.
522 AMAT 0020-10811 RING, INSULATOR 150MM EB
523 AMAT 0020-12396 Top Plate Heater ECP Anneal 300mm,
524 AMAT 0020-31510 w/ Pedestal, Rimless, OX/MLR NIT, 200mm, F,
525 AMAT 0020-34478 Rev.P6, Chassis, Plate, Throttle, DPS.
526 Amat 0021-04921 Coil Electra 1/4″ Copper & Under
527 AMAT 0021-07905 Lower Shield Kit
528 AMAT 0021-09438 Fan Top Shell, DTCU DPS POLY,
529 AMAT 0021-09750, Composite Spacer, 6″ Semi, Esc, 155mm.
530 AMAT 0021-2217, Pump Station, Vari-Tech PS-105-1C54, 1/2 HP, 120VAC,
531 AMAT 0021-81247 Faceplate Sequoia APF 300MM Ai CIP 2, Reflectivity & Flow Tester
532 AMAT 0040-01761 Endura Pre-clean Chamber Body, Sputter, PVD,
533 AMAT 0040-07449 LINER, CHAMBER, DIRECT COOLED, EMAX300MM.
534 AMAT 0040-08137-007 Etch Chamber 300mm ESC Cathode RF Inner Filter Assembly
535 AMAT 0040-08137-008 Cathode Inner Filter RF Assembly Etch Chamber 300mm ESC
536 AMAT 0040-09557 Chamber Body, ASP, Centura, Chamber 5000,
537 AMAT 0040-09723 Unibody, Etch Chamber w/ .397 Step, Poly Trench,
538 AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated,
539 AMAT 0040-18024, E-CHUCK, 200MM, MKA, SNNF, CVD,
540 AMAT 0040-18053 ULTIMA ESC 200mm, 8″ Refurbished by CRC
541 AMAT 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT, USED
542 AMAT 0040-31780 Base, Cooling 200MM Cathode, DPS MEC, Base Plate 5200,
543 AMAT 0040-32543 REV.P1 10670800-199-00202
544 AMAT 0040-40815 Heater Mounting Plate, 300mm,
545 AMAT 0040-41924 ESC ASSY, 200MM SNNF DPS HT CATHODE
546 AMAT 0040-47677-018 FACEPLATE DXZ SIN
547 AMAT 0040-49203 Bowl, 300mm PPR, IEXC,
548 AMAT 0040-60419, Adapter, Upper, HP SIP SPS Encore 300mm.
549 AMAT 0040-61366 Metal Etch Chamber, DI Seal, Edge Gas, MXP+, Mark II,
550 AMAT 0040-82368 DPS Chamber, Top Dome Interface Sapacer Liner,
551 AMAT 0040-91661, Source Bushing, Litharge.
552 AMAT 0041-59933 Face Plate, Shower Head, Gas Distribution,
553 AMAT 0041-89655 Adapter Head Motor Reflexion LK,
554 AMAT 0090-06456 Chamber Controller 0041-05806, AS00363-03 PCB 0090-02527,
555 AMAT 0090-09298 ESC ASSY,200MM, NOTCH(2),SHWR, THERM
556 AMAT 0090-36399 ESC 0021-38725 PEDESTAL
557 AMAT 0100-01132 Rev.001, VAL-001-1633-01, PCB Assy, Chamber RF Filter.
558 AMAT 0100-09246 PCB, ASM SYS I/O Dist BD MK2+
559 AMAT 0100-15049 Assembly, Throttle Valve Dual Spring, Direct D,
560 AMAT 0140-77747 Harness, Robot X Power, Mainframe Controller, 125′,
561 AMAT 0140-77747 Harness, Robot x Power, Mainframe-Controller 125′,
562 AMAT 0150-35305, Cable Assembly Gas Panel, #1 Umbilical Long, Centura.
563 AMAT 0150-35305, Cable Assembly Gas Panel, #1 Umbilical Long, Centura.
564 AMAT 0150-35565 Cable Assembly Gas Panel #1 Umbilical, 55FT,
565 AMAT 0150-77048 Cable Assembly, HD Robot Controller BH,
566 AMAT 0150-77049 Cable Assembly, Digital I/O BP TO
567 AMAT 0150-77052, Cable Assembly, Drivers ENCODL Control.
568 AMAT 0190-03150 Target Diff Bond TI w/o C’bore Durasource,
569 AMAT 0190-03150 Target Diff Bond TI w/o C’bore Durasource,
570 AMAT 0190-09237 SUSCEPTOR ASSY T2WLD
571 AMAT 0190-09491 200mm Durathon Susceptor, SUSC Assembly T2 Welded,
572 AMAT 0190-10030 Orion Pel Thermo ETN23A-SC-B Heat Exchanger
573 Amat 0190-15322 DAIHEN RMN-50N1 RF GENERATOR
574 AMAT 0190-16013 Exhaust Switching Unit TEC-05 Kit 1 & 2, ESC-C2-5-X7,
575 AMAT 0190-20140 Target, TI, Diffusion Bonded, 11.3″ x 0.46″, Praxair MRC,
576 AMAT 0190-20140 Target, TI, Diffusion Bonded, 11.3″ x 0.46″, Praxair MRC,
577 AMAT 0190-20140 Target, TI, Diffusion Bonded, 11.3″ x 0.46″, Praxair MRC,
578 AMAT 0190-20140 Target, TI, Diffusion Bonded, 11.3″ x 0.46″, Praxair MRC,
579 AMAT 0190-21122 Cobalt MZ Endura Target, 06-08132-00, 20-472D-C0000-1003,
580 AMAT 0190-21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC,
581 AMAT 0190-21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC,
582 AMAT 0190-21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC,
583 AMAT 0190-21244 Target, Monolithic TI Dura TN, EXP MOD, Praxair MRC,
584 AMAT 0190-22090 WAFER LOADER ORIENTER
585 AMAT 0190-22159
586 AMAT 0190-35653, SERIPLEX MUX I/O PCB(1),(016H-047H)
587 AMAT 0190-35763 Chamber C Seriplex Control Bus, PCB, 0100-09287, Centura,
588 AMAT 0190-76048
589 AMAT 0190-77363 Module, Brush Liquid Delivery, Low Flow,
590 AMAT 0195-02636 AMPOULE WELDED VALVES 3 LITER SST FLEXSTAR 2G CHAMBER
591 AMAT 0200-05139 ROBOT BLADE
592 AMAT 0200-16364, HYT Exhaust Port for WXA Chamber.
593 AMAT 0200-16478 Robot Blade End Effector, 18.475×5.00x.200THICK,
594 AMAT 0200-18024 Silicon, Top Barrier Dome HDPCVD,
595 AMAT 0200-18062 Rev.3, Applied Ceramics 91-01017A, Dome, 14 ID HDPCVD.
596 AMAT 0200-20064, 8″ PC II QUARTZ INSULATOR
597 AMAT 0200-35316-P3 Dome Quartz 4.69″ DPS
598 AMAT 0200-35527 Heater Ceramic, NGK 200mm
599 AMAT 0200-35706 003 LINER
600 AMAT 0200-35706 SHADOW RING
601 AMAT 0200-40130 COVER PLATE, 200, 12 THK
602 AMAT 0220-21314, Preclean Chamber, D&H-SIN 014.
603 AMAT 0221-10192 Unilid w/See-Thru Window (Sapphire), 0020-33668, Kit,
604 AMAT 0240-00723 PIK, TEMP CONTROL REMOTE (NESLAB),
605 AMAT 0240-26390 Kit, Gamma 2 W/B Chamber Extender, 194mm, 0040-23486,
606 AMAT 0240-31726 USG PLIS Pallet w/ Valves and Injectors, Weldment, CH,
607 AMAT 0240-35314, Kit, Epi or Poly Delivery per Chamber PDC.
608 AMAT 0240-92743, KIT,INSERT,150MM,0 DEG
609 AMAT 0242-24101, PIK, NON RF Capable LID, 200mm PMD, PRODU.
610 AMAT 0242-24101, PIK, NON RF Capable LID, 200mm PMD, PRODU.
611 AMAT 0242-76387 Kit, Retrofit, Plastic Bearings,
612 AMAT 0290-01016 Ozone Generator, Onoda OR-4ZA OzoneRex, 92B19-11,
613 AMAT 0620-02619 Cable Assy Robot Signal 18FT,
614 AMAT 0620-02619 Cable Assy Robot Signal 18FT,
615 AMAT 0620-02619, 760J100-6, Cable Assembly, Robot Signal 18FT.
616 AMAT 0660-00223, Industrial Panel PC, 15″ LCD w/ Touchscreen, Advantech.
617 AMAT 0660-00223, Industrial Panel PC, 15″ LCD w/ Touchscreen, Advantech.
618 AMAT 0660-00223, Industrial Panel PC, 15″ LCD w/ Touchscreen, Advantech.
619 AMAT 0660-00386, Powell Elec, Card Kit Data Acquisition PCI Nanospec90.
620 AMAT 0660-01857 CARD PENTIUM 133MHZ 128MB RAM VME BUS D 180 day warranty
621 AMAT 0920-00149 RFG TRUMPF SSM 3000 EtherCAT  TESTED
622 AMAT 105695 / MP ULTRA ROBOT, ARM, AND WOB SENSOR KIT / AMAT
623 AMAT 1080-00011, AMAT, MOTOR S32 EXCHANGE ARM DRIVE
624 AMAT 1080-01267,AMAT,Applied Materials, DRVR SERVO DIGITAL INDEXED 2KW 100-240VAC
625 AMAT 1110-01046,3155086-002 REV A / NTWRK MATCH 12.56MHZ 2.5KW AZX 90 DOME R/ AMAT
626 AMAT 1120-A0150 LENS-OBJECTIVE
627 AMAT 1140-00166 / PSU G2 1KW SPEC, 0190-04408 HITEK A1028760 / APPLIED MATERIALS AMAT
628 AMAT 1400-50046, IR-FB, (AMJ) Sensor, Temperature Fiber Thermometer.
629 AMAT 21016401116 / IND PC PII BOX CELERON A / APPLIED MATERIALS AMAT
630 AMAT 21016401250 / ANORAD X-Y CONTROLLER / APPLIED MATERIALS
631 AMAT 21016402163/ROBOT CONTROLLER WAC-204T-S293/APPLIED MATERIALS AMAT BROOKS PRI
632 AMAT 2805-738144 / AMAT BELL, POLISH SST ASSY (RAM) / APPLIED MATERIALS AMAT
633 Amat 300mm CMP Megasonic unit
634 AMAT 300mm VHP ROBOT NSK DRIVER
635 AMAT 3030-00600 / SEC-7350M HE MASS FLOW CONTROLLER SEC-7350 STEC / AMAT
636 AMAT 30601610300 / ITU DRIVE ASSEMBLY / APPLIED MATERIALS AMAT
637 AMAT 30712300000 / DUAL ION-PUMP CONT. ASSY 929-7003/S003 VARIAN **W/ EXCH** / AMAT
638 AMAT 30712403000 / CDM3B ASSY / APPLIED MATERIALS AMAT
639 AMAT 30712500000 / OPAL MEC-2 ASSY / APPLIED MATERIALS
640 AMAT 30716500100 / WHC ASSY, OPAL COMPUTER 2101641136 / APPLIED MATERIALS AMAT
641 AMAT 3151801-004 0190-13025/HDP,RPS,ATOMIC FLRN. GNRTNG. RPS,RF6000W/APPLIED MATERIAL
642 AMAT 3210038 / VIDEO SWITCHER SW6 RGBHV / APPLIED MATERIALS AMAT
643 AMAT 3750-01147, AMAT, MKS Astex FI20166, Tuner 1/4-Guide WL 2.45 GHZ 3KW Stub
644 AMAT 3870-02693; AMAT, VALVE GATE 8” PNEUMATIC ACTUATOR CONFLATE UHV SST
645 AMAT 3870-05190 / EPSILON NOR CAL THROTTEL VALVE WITH INTELLISYS / AMAT
646 AMAT 3920-00249 510-20000-02 DRY NOVA XE ILLUMINATION ASSY
647 AMAT 3920-00249 Sensor Dry Nova, Xenon Illumination 510-20000-03 Assy
648 AMAT 400206 / GALAXY XYZ ANOR AD ISRAEL LTD / APPLIED MATERIALS AMAT
649 AMAT 403379-XA-MEGA 5.3 0010-08928 / CENTURA AP MAINFRAME / AMAT
650 AMAT 4040345 KVR1658 / UVISION 5 POWER SUPPLY INTERFACE BOX / APPLIED MATERIALS AMAT
651 AMAT 4060-00066 Manifold 3, 3/8″ Flaretek, Outgoing,
652 AMAT 406837-XA-MEGA / MEGA MODULE CENTURA AP REV 000/APPLIED MATERIALS AMAT
653 AMAT 50412411000 /FRAME ASSY ETPS/ APPLIED MATERIALS
654 AMAT 50412578300 / BOARD ASSY CLC/INSIGHT (EP70412576000) / APPLIED MATERIALS AMAT
655 AMAT 50414710000 / HVU ASSY, G303/51, A1016640, ADVANCE HIVOLT / AMAT
656 AMAT 50414753000 / CRYO COMPRESSOR / APPLIED MATERIALS
657 AMAT 50416002200 / O2 SYSTEM UNIT ASSY (CMNB014ANT200) / APPLIED MATERIALS AMAT
658 AMAT 50416002300 /O2 SYSTEM UNIT ASSY / APPLIED MATERIALS
659 AMAT 50416002700 / EXCITE CD SEM SILICON GRAPHICS O2 SGI COMPUTER / APPLIED MATERIALS
660 AMAT 50416005200 / SGI O2 OFF-LINE ASSY / SILICON GRACPHICS
661 AMAT 50416500100 / WTC CAGE ASSY AND 4 PCB / APPLIED MATERIALS
662 AMAT 50416900500 / IPU ASSY VER 4.0 / APPLIED MATERIALS AMAT
663 AMAT 50419700000 / OMP ASSY / APPLIED MATERIALS AMAT
664 AMAT 50515110000/SCAN DO PRO II 1291-AMT/APPLIED MATERIALS AMAT COMMUNICATIONS SPECIA
665 AMAT 50516000100 / G2 OCTANE ASSY / APPLIED MATERIALS AMAT
666 AMAT 600366 / AUTOMATIC TEMPERATURE CONTROL / APPLIED MATERIALS AMAT
667 AMAT 600366 / AUTOMATIC TEMPERATURE CONTROL / APPLIED MATERIALS AMAT
668 AMAT 600369 / W AUTOMATIC TEMP CONTRO / APPLIED MATERIALS AMAT
669 AMAT 600422 / ASSY, SCR PACK 50 HZ 7600/7800 / APPLIED MATERIALS AMAT
670 AMAT 600926 Upper Wafer Clamp Ring 200MM Rev2 – New Applied Materials/LAM
671 AMAT 62001899 CHILLER NESLAB THERMOFISHER SCIENTIFIC AMAT 0190-02175W STEELHEAD3 CHX
672 AMAT 678635 / W PCB, POWER SUPPLY / APPLIED MATERIALS AMAT
673 AMAT 70247-003221 0020-21699 /ORIENTER LASER CLASS I / APPLIED MATERIALS AMAT
674 AMAT 70508130000 / LLK ROT.PUMP ASSY 40M3/H / APPLIED MATERIALS AMAT
675 AMAT 70512599000 / FRAME ASSY WITH 5 BOARDS SGS / APPLIED MATERIALS
676 AMAT 711001856 /MAGMOTOR TECHNOLOGIES, 200MM, MAG CONTROLLER/ APPLIED MATERIALS
677 AMAT 9010-00505 Blade Drive Assembly +/-15,
678 AMAT 9010-00739 Focus Clamping Box Assy, 9010-00739ITL, 0090-91422ITL,
679 AMAT 9010-01496 ITL / QUANTUM X HEAT EXCHANGER / APPLIED MATERIALS
680 AMAT 9090-00202ITL PRE-AYAMG CONTROL CHASS LEAP2.
681 AMAT 9090-00202ITL PRE-AYAMG CONTROL CHASS LEAP2.
682 AMAT 9090-00801 A1031250 / PRE ACCEL POWER SUPPLY QUANTUM 3 / AMAT HITEK POWER
683 AMAT 9090-00970 / QUANTUMX CONTROL ARCOM 7041-64012-004-101 CONTROLS / AMAT
684 AMAT 9090-01095 / FAI CONTROLLER CHASSIS QX+ / APPLIED MATERIALS AMAT
685 AMAT 9090-01127 / AMAT ITL VACUUM ROBOT AMPLIFIER CHASSIS PX42B / AMAT
686 AMAT 9090-01143 / LASER SENSOR CHASSIS, PX41M / APPLIED MATERIALS AMAT
687 AMAT 9090-01162 / ENERGY CONTROL CHASSIS, PXP41L / APPLIED MATERIALS AMAT
688 AMAT 9090-01201 / BEAMLINE VACUUM CHASSIS / APPLIED MATERIALS
689 AMAT 9090-01331 / CHASSIS , QX+PFS & ARC PSU / APPLIED MATERIALS
690 AMAT 9090-15270 / INLINE TRANSFORMER, FI ROBOT/ALIGNER / APPLIED MATERIALS AMAT
691 AMAT APPLIED 0010-03361 MCA+ HTR ASSY, MCA’D JMF 8″
692 AMAT Applied Material 0040-31996 Heater Assembly New Surplus
693 AMAT Applied Materials (01-81912-00 & 01-81912-00/C) Analog Interface Units
694 AMAT Applied Materials 0010-00357 Flat Finder New
695 AMAT Applied Materials 0010-01231 Assembly LP-5.1 Mini Magnet New Surplus
696 AMAT APPLIED MATERIALS 0010-01995 CENTURA THROTTLE VALE NSX XY-MS0014-104
697 AMAT Applied Materials 0010-03323 Heater Assy 8” NHT .029 Extra Wide Pock *new*
698 AMAT Applied Materials 0010-03324 Heater Assembly 8″ WxZ Mod New Surplus
699 AMAT Applied Materials 0010-03324 Heater Assy 8” NLT. 029 Extra Wide Pock *clean
700 AMAT Applied Materials 0010-03346 Heater Assy 6” AMJ WXZ **
701 AMAT Applied Materials 0010-03346 Heater Assy 6” AMJ WXZ **
702 AMAT Applied Materials 0010-04522 200mm Electrostatic Chuck 0040-08921 New
703 AMAT Applied Materials 0010-04542M Heater Assy 200M FC WXZPLUS OSCR *refurbished
704 AMAT APPLIED MATERIALS 0010-04941 MAGNET ASSEMBLY SIP-CU 300
705 AMAT Applied Materials 0010-05254 0040-32148 Heater Assy **
706 AMAT Applied Materials 0010-05940 RH-3 Magnet RP Assembly Refurbished
707 AMAT Applied Materials 0010-10328 Oxide ESC Shell 200mm
708 AMAT Applied Materials 0010-11228 300mm Magnet Assembly New Surplus
709 AMAT Applied Materials 0010-11228 PVD Magnet LP-3.7.3 300mm Endura New
710 AMAT Applied Materials 0010-11491 001 Heater *, clean surface*
711 AMAT Applied Materials 0010-11491 001 Heater *, small ding*
712 AMAT Applied Materials 0010-11491 002 Heater *, clean surface*
713 AMAT Applied Materials 0010-11491 002 Heater *, small ding*
714 AMAT Applied Materials 0010-14528 Magnetic Source 3 CPI-VMO Endura
715 AMAT Applied Materials 0010-15669 200mm Ceramic DPS ESC Electrostatic Chuck New
716 AMAT Applied Materials 0010-16858 300mm Heater Pedestal SDMAC FDR SLTESC Working
717 AMAT Applied Materials 0010-19026 STD PVD Degas Chamber Quartz Viewpoint New
718 AMAT Applied Materials 0010-20331 Dual Degas Magnet Driver Working
719 AMAT APPLIED MATERIALS 0010-21465 MAGNET ASSY TI DWA SOURCE 1 DURASOURCE
720 AMAT Applied Materials 0010-22567 PVD Chamber SOURCE 3 CPI-VMO Rev. 003 As-Is
721 AMAT Applied Materials 0010-22568 PVD Chamber SOURCE 4 CPI-VMO Rev. 001 Endura
722 AMAT Applied Materials 0010-22568 PVD Chamber SOURCE 4 CPI-VMO Rev. 003 Endura
723 AMAT Applied Materials 0010-22569 PVD Chamber SOURCE 4 CPI-VMO Copper Cu Working
724 AMAT Applied Materials 0010-22569 PVD Chamber SOURCE 4 CPI-VMO Rev. 003 As-Is
725 AMAT Applied Materials 0010-22569 PVD Chamber SOURCE D CPI-VMO Rev. 003 As-Is
726 AMAT Applied Materials 0010-24357 E-Chuck Heater Assembly Refurbished
727 AMAT Applied Materials 0010-25341 PVD Chamber SOURCE 1 CPI-VMO Copper Cu Working
728 AMAT Applied Materials 0010-25341 PVD Chamber SOURCE 1 CPI-VMO Rev. 001 Working
729 AMAT Applied Materials 0010-25341 PVD Chamber SOURCE 1 CPI-VMO Rev. 003 As-Is
730 AMAT Applied Materials 0010-25341 PVD Chamber SOURCE 1 CPI-VMO Rev. 004 As-Is
731 AMAT Applied Materials 0010-25431 PVD Chamber SOURCE 2 CPI-AMO Copper Cu Working
732 AMAT Applied Materials 0010-27417 Magnet Rotation Gearbox Assembly Refurbished
733 AMAT Applied Materials 0010-27504 PVD Chamber SOURCE 3 CPI-AMO Copper Cu Working
734 AMAT Applied Materials 0010-27504 Source Assembly Encore 2 Cu Copper Used
735 AMAT Applied Materials 0010-27512 Slip Ring RMH A-110-2023 Working Surplus
736 AMAT Applied Materials 0010-29248 TSDA ASSY, STANDARD MANIFOLD, 300MM REFLEXION
737 AMAT APPLIED MATERIALS 0010-30012 MAGNETIC DRIVE ASSY, MC ROBOT P5000
738 AMAT Applied Materials 0010-30421 Heater Assy 8” JHT .029 OSCR WXZ *new surplus*
739 AMAT Applied Materials 0010-35937 RF Match Assembly Rev. 03 New
740 AMAT Applied Materials 0010-37386 Center Water Feedthru Source New Surplus
741 AMAT Applied Materials 0010-37788 Heater Assy Purge 200MM SNNF TXZ BKM *cleaned*
742 AMAT Applied Materials 0010-42740 ASSY, HIGH EFFICIENCY RF MATCH-BIAS SIP
743 AMAT Applied Materials 0010-42745 ASSY, HIGH EFFICIENCY RF MATCH – BIAS
744 AMAT Applied Materials 0010-93152 Heater Pruge Assy 200MM SNNF TXZ BKM *cleaned*
745 AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper
746 AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper
747 AMAT Applied Materials 0020-48303 300mm Cleancoat Lower Shield Cu Refurbished
748 AMAT Applied Materials 0020-54777 Inner Shield 300mm SIP New Surplus
749 AMAT Applied Materials 0020-75028 Module Wafer Handling Robot 0020-60583 Used
750 AMAT Applied Materials 0020-79039 Spindle Housing Assembly 300mm Working Surplus
751 AMAT Applied Materials 0020-87791 PLASMA SCREEN Y203 COATED 300MM DPSII -Cleaned
752 AMAT Applied Materials 0020-87791 PLASMA SCREEN Y203 COATED 300MM DPSII-Repaired
753 AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm Used
754 AMAT Applied Materials 0021-11382 CPI-VCM Chamber Source 3 Magnet Assembly Used
755 AMAT Applied Materials 0021-41520 PVD Chamber SOURCE CPI-AMO Endura 300mm As-Is
756 AMAT Applied Materials 0021-43798 Upper NI AL ARC-SPRAY Shield 300mm PVD New
757 AMAT Applied Materials 0021-85656 FACEPLATE 300MM H016 XGEN LP
758 AMAT Applied Materials 0021-86050 INTERNAL MEMBRANE CLAMP, 300MM TITAN EDG
759 AMAT Applied Materials 0021-96593 Cooling Plate Copper Cu Exposed Working Spare
760 AMAT Applied Materials 0021-96593 Cooling Plate Working Surplus
761 AMAT Applied Materials 0040-02609 Magnet Cathode Liner Super-E Refurbished
762 AMAT Applied Materials 0040-09098 BLADE LAMINATION ASSY 8″ P5000 Robot
763 AMAT Applied Materials 0040-20850 Heater
764 AMAT Applied Materials 0040-2149 Heater Assembly New Surplus
765 AMAT Applied Materials 0040-23891 Heater Assembly Spare As-Is
766 AMAT Applied Materials 0040-32530 IPS Upper Lamp Roof Cooling Plate Used
767 AMAT Applied Materials 0040-35851 Polyimide Pedestal Rev. A New Surplus
768 AMAT Applied Materials 0040-36425 200mm Electrostatic Chuck 0021-37371 New
769 AMAT Applied Materials 0040-38515 DPS-P-ESC Chuck (KAP-PS08F)
770 AMAT Applied Materials 0040-79200 CHAMBER UPPER Y2O3 300MM DPS II
771 AMAT Applied Materials 0040-79200 CHAMBER UPPER Y2O3 300MM DPS II – Cleaned
772 AMAT Applied Materials 0040-81156 Chamber Upper Liner 300mm DPS2 Cleaned Used
773 AMAT Applied Materials 0040-85721 Upper Pedestal Kit Rev. 004 Cu Copper Working
774 AMAT Applied Materials 0040-85721 Upper Pedestal Rev. 003 Cu Copper Working
775 AMAT Applied Materials 0040-99957 195mm Semi Notch Shell Assembly ESC DPS New
776 AMAT Applied Materials 0041-00898 PVD Magnet New
777 AMAT Applied Materials 0041-05749 PUMPING PLATE SICONI 300MM TWIN
778 AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC New Surplus
779 AMAT Applied Materials 0041-32575 300mm Titan Dampened Carrier Cu Refurbished
780 AMAT Applied Materials 0041-42533 PUMPING PLATE 300MM
781 AMAT Applied Materials 0041-43374 CAP, INJECT, 2 PORT, ROW EPI, 300MM
782 AMAT Applied Materials 0090-36276, ASSEMBLY, REACTOR, DPA
783 AMAT APPLIED MATERIALS 0100-77001 REV P4 PCB ASSY I/O CONTROLLER
784 AMAT APPLIED MATERIALS 0190-01632 RF ASSEMBLY 72ft COAXIAL CABLE 0190-01632
785 AMAT APPLIED MATERIALS 0190-01769 CENTURA RADIANT PLUS RF GEN CABLE 75 FT
786 AMAT Applied Materials 0190-04213 HDPCVD A/C Distribution Box Centura Ultima
787 AMAT Applied Materials 0200-03259 Slotted Preheat Ring .15 THK 300mm EP New
788 AMAT Applied Materials 0200-06405 Ceramic Lid AG1000 Dual Gas Feed 300MM DPS-New
789 AMAT Applied Materials 0200-07209 QUARTZ LINER, SLIT VALVE TUNNEL, 300MM EP
790 AMAT Applied Materials 0200-07363 DALI SHADOW RING,1.75MM COVERAGE
791 AMAT APPLIED MATERIALS 0200-10297 OBS DOME CERAMIC DPS CHAMBER BOC EDWARDS
792 AMAT Applied Materials 0200-89012 SUSCEPTOR, TEMPERATURE CALIBRATION
793 AMAT Applied Materials 0240-13020 KIT 300MM SINGLE BLADE ROBOT BEARING PM
794 AMAT Applied Materials 0240-30808 Pedestal Kit 200mm New Surplus
795 AMAT Applied Materials 0240-42172 KIT, 300MM CORROSION RESISTANT DBR BEARING
796 AMAT Applied Materials 0240-63364 KIT, FX FOBOT DRIVER BOX
797 AMAT Applied Materials 0242-44072 KIT, 300MM PCII INNER OUTER SHIELD ASSY
798 AMAT APPLIED MATERIALS 0250-05622 VHP TRANSFER LID ASSY
799 AMAT Applied Materials 0270-03361 TOOL, LID LEAK TESTER, 300MM ALD TAN TXZ ASSY
800 AMAT Applied Materials 0270-05424 FIXTURE, RING LIFT ASSY, SCREW DRIVE, DBR
801 AMAT Applied Materials 1080-90120 Exchange Arm Motor Assembly 0021-06737 Used
802 AMAT Applied Materials 1120-01071 OPT BIFURCATED BDL SPC 210/230N BICON CONN 25′
803 AMAT APPLIED MATERIALS 150864-50-61 MIRRA CMP HEAD ASSY 200MM TITAN CONTOUR
804 AMAT Applied Materials 213T0381-01 WIRE RING ASM 300MM x 1.3MM x R
805 AMAT Applied Materials 219T0958-05 Hub Backing Plate Vortex Chuck Precision
806 AMAT Applied Materials 219T0970-01 Bellows Drive Plate 1.6″ Trvl Precision Head
807 AMAT Applied Materials 404663 PVD Chamber SOURCE 4 CPI-VMO Copper Exposed Used
808 AMAT Applied Materials 410891-P4-ECHD Magnet Source D CPI-VMO 0010-24405 Spare
809 AMAT Applied Materials 410919-P4-SYAC System Power Controller Endura 300mm New
810 AMAT Applied Materials 419047R3-EY-ZCHD CPI VMO Chamber D Spare
811 AMAT Applied Materials 5000 CVD Configured Chamber Direct Drive A079C
812 AMAT Applied Materials 633005261 PVD Chamber Source Copper Exposed As-Is
813 AMAT APPLIED MATERIALS 72 FT. CABLE P/N 0190-01378
814 AMAT Applied Materials 7326 B Process Chamber Precision 5000 ETCH P5000 Spare
815 AMAT Applied Materials 8330 8300 6″/150mm Metal Etcher Semi Tool
816 AMAT Applied Materials 9010-00299 Quantum Process Module Load Lock Door Used
817 AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. C Used
818 AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. D Used
819 AMAT Applied Materials 9090-00923 Processor Vacuum Control Rev. B Quantum X Used
820 AMAT Applied Materials 9090-01095 Controller Chasis Rev. A
821 AMAT Applied Materials 9090-01144 Processor and Sensor Rack Quantum X Used
822 AMAT Applied Materials 9091-00639 Electrostatic Chuck DC Power Supply Used
823 AMAT Applied Materials 90K CPU Processor Board PCB AKT Division
824 AMAT Applied Materials 912C0115-05 Tank Control I/O Block UNHTD 2 TKS SST ANALOG
825 AMAT Applied Materials Al203 Coated Upper Chamber (R) DPS Poly Refurbished
826 AMAT Applied Materials AM9090-00923ITL Processor Vacuum Control Chassis Used
827 AMAT Applied Materials ASSY 6″ HEATER VCR W/2TC ‘S 0010-70252
828 AMAT APPLIED MATERIALS CENTURA 300MM LINEAR PLATFORM PCB 0100-02292 USED
829 AMAT Applied Materials Chamber 3 Source Copper Exposed Untested As-Is
830 AMAT Applied Materials Chamber 4 Source Unmarked Untested As-Is
831 AMAT Applied Materials Controller Interface Assembly Control IGL SCR Chamber NEW
832 AMAT Applied Materials DP-COMP CAGE ASSY 0090-A1230 7 Cards Power Control
833 AMAT Applied Materials DP-COMP CAGE ASSY 0090-A1240 7 Cards Power Control
834 Amat Applied Materials Endura 300mm PVD Chamber W/ Choice of 300mm MCA LTE ESC
835 AMAT Applied Materials Inspection Chamber 200mm Excite Particle Detection System
836 AMAT Applied Materials M8-0609-04 Upper Chamber
837 AMAT Applied Materials Pick and Place Robot ITU Assembly VeraSEM
838 AMAT Applied Materials Plasma Stir Stirring Magnet Assembly
839 AMAT Applied Materials Precision 5000 Nitride PARC PECVD System P5000,
840 AMAT Applied Materials Quantum Leap 3 Process Module Wheel
841 AMAT Applied Materials Quantum Leap III Process Module Wheel
842 AMAT Applied Materials RE11125640 ACC Column Rev. D Varian E11125640 Refurbished
843 AMAT Applied Materials SMG Mainframe Wafer Robot 4″, 5″ 0010-35990R
844 AMAT Applied Materials Wrist and Blade Assembly 0040-03667 0021-76773 Centura
845 AMAT ASSEMBLY, HEATER, 200MM MGF2 COATED, NGK, 0010-05190(0190-04207)
846 AMAT ASSY BEFFER BLADE HP PLUS HTHU 200MM, 0010-75512
847 AMAT ASSY HIGH EFF RF-BIAS W/FILTER PCB AMAT# 0010-33724
848 AMAT AUTOMATIC TEMPERATURE CONTROL APPLIED MATERIALS
849 AMAT CDSEM 70202300000 Internal Gate Valve for SEMVision Cx
850 AMAT CENTURA DXZ CHAMBER
851 AMAT Centura Ultima X 0010-08687 HDP-CVD 300mm Chamber B 0010-11586 Working
852 AMAT Chamber Endura Centura Assembly Incomplete / Applied Materials
853 AMAT CWxZ Ceramic Heater, 200MM, 0040-46818(ASSY 0010-10252)
854 AMAT DC5000 – AMAT – PRECISION 5000, DC POWER SUPPLY,
855 AMAT DCG-100Z Optima ENI SPR S03-111 DC Plasma Generator AMAT 0190-14212 Refurbished
856 AMAT DCG-200Z ENI DC22S-Z022000010A AMAT 0190-08034 Damaged Breaker Tested Working
857 AMAT DCG-200Z ENI DC22S-Z022000010A DC Plasma Generator Rev. A 0190-08034 Tested
858 AMAT DCG-200Z ENI DC22S-Z022000010A DC Plasma Generator Rev. F 0190-08034 Tested
859 AMAT DCG-200Z OPTIMA ENI DCG-100Z-00 DC Plasma Generator AMAT 0190-10114 Refurbished
860 AMAT DETECTOR CRYO COOL THERMO-NORAN T2108-01-01-14 CRYOTIGER COLD END D-6432R
861 AMAT EFF RF-BIAS W/FILTER AMAT# 0010-26180 Used
862 AMAT e-MAX RF Match 0010-39207 Applied Materials High Efficiency Matching Tuner
863 AMAT ENDURA 714 330234 004 LIFTER
864 AMAT ENDURA MAGNETIC VHP ROBOT HUB & ARM 0240-75090 APPLIED MATERIALS SEMI PART
865 AMAT EPO810AF5-05, 1080-00054 / MIRRA MEGATORQUE DRIVE AMAT SET MOTOR & DRIVE / AMAT
866 AMAT ESC Assy, 300MM, Dual Electrode 0040-63476 0010-16392
867 AMAT ESC, TESTED, 150MM JMF, HDPCVD, ULTIMA #0040-18136
868 AMAT ETCH RF Match R2 0010-30094 AMAT Rev. 002 Rev: B
869 AMAT ETO HDPCVD RF Generator Rack, Source Generator, AMAT HDP
870 AMAT HARP Faceplate OM 0041-25016
871 AMAT HE RF MATCH-BIAS W/FILTER PCB,300MM E2 # 0010-26180 Used
872 AMAT HTR 0140-09719, HARNESS ASSY, HTR POWER, WXZ P5000
873 AMAT Integrated Circuit Support Amat # 0200-36697 Silicon Ring 200MM
874 AMAT LAMP MODULE #1 RH / APPLIED MATERIALS AMAT
875 AMAT M-100 LUXTRON OPTICAL FIBER TEMPERATURE CONTROL SYSTEM 100C AMAT 0190-03571
876 Amat Magnet Assembly 0010-20223 C,11.3″ TiN w/Rem Assy ‘M’ Made by Magic PVD CVD
877 AMAT Magnet, PVD,
878 AMAT MIRRA HEAD CMP APPLIED MATERIALS
879 AMAT MKS INSTRUMENTS FPA UNIT 0190-24854 REV1.8 VERY CLEAN
880 AMAT MN3150058-002 0920-01048 / GEN RF 12.56MHZ 3PH 2000W / ADVANCED ENERGY AMAT
881 AMAT Opal 320-250326 System Control SBC Board Assembly AMAT SEMVision cX
882 AMAT Opal 320-250326 System Control SBC Board Assembly AMAT SEMVision cX
883 AMAT P5000 CVD
884 AMAT P5000 Etch Chamber Lid Cover, Gas Distribution,
885 AMAT P5000 Etch Chamber Lid Cover, Temperature Control, Gas Distribution,
886 AMAT P5000 MarK II MXP POLY
887 AMAT P5000 SPUTTER Process Kit. AMAT, Used, Individually Cleaned and Sealed.
888 AMAT P5000 TRANSFER CHAMBER ON MOBILE VARIAN CART
889 AMAT PECVD CHAMBER BASEPLATE 208V 2000W CAST ALUMINUM PEDISTAL HEATER 0041-45761
890 AMAT Power Controller 02-83408 Rev. B PVD Driver Applied Mat PS05100161 SCR
891 AMAT PT56Z0Z00 3620-00469 / EDWARDS, SCU-750 TURBO CONTROLLER / AMAT
892 AMAT PVD 0010-20287 & 0010-20288 Handler, Assy. LT & RT ( 1 pair)
893 AMAT Quantum I/II/III High Current ion Implanter Wheel Assy.
894 AMAT RF Power 7621482040 RF Match, AMAT 0190-71100, 5000 Watts, 13.56MHz,
895 AMAT U-AFA2M-DUV / OLYMPUS MICROSCOPE ACTIVE AUTO FOCUS UNIT/ AMAT
896 AMAT UKA0003E / ELECTRICAL BOX ASSEMBLY QUANTUM X / APPLIED MATERIALS AMAT
897 AMAT Ultima 200MM ESC PART#0040-18219 8inch
898 Amat Varian Particle Beam Scan Amplifier Assy 0342-0678
899 AMAT VHP Robot 300mm full set
900 AMAT XR Implant Pre Accel/Amag Control Chassis 0090-91436
901 AMAT  ENDURA  714 330234 004 LIFTER
902 AMAT# 0190-76043 Synergy Microsystems V440 SBC PCB, 68040 CONTROLLER
903 AMAT# 0200-00295 Base Ring IPS Silicon Source, 30 Gas Holes .243 Thick
904 AMAT, 0040-37359, HEATER CERAMIC 200MM TI-XZ
905 AMAT, AE Advanced Energy PDX 900-2V, Brand New
906 AMAT, Applied Materials 0090-03467 PCB SBC Board, Synergy V452.Condition is Used
907 AMAT, Applied Materials, 0010-02616, Assy sym gas ring 24 ports
908 AMAT, Applied Materials, 0010-03349 (0010-30421)
909 AMAT, Applied Materials, 0010-06598, Ceramic Heater
910 AMAT, Applied Materials, 0010-21676 PVD Endura IMP vectra Magnet
911 AMAT, Applied Materials, 0010-36408, RF match DPS
912 AMAT, Applied Materials, 0010-76149, HTHU Heater 6″ USED
913 AMAT, Applied Materials, 0020-37714, TOOL,LEAK CHECK KIT ,RTP CHAMBER
914 AMAT, Applied Materials, 0040-32205, Cathode Base 150mm
915 AMAT, Applied Materials, 0040-33701, Heater Block Insert Ultima 1.5 new
916 AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
917 AMAT, Applied Materials, 0090-76133, PCB SBC Board, Synergy V452
918 AMAT, Applied Materials, 0190-01371, Bias match AE, Ultima HDP CVD
919 AMAT, Applied Materials, 0190-35961, OBS CALIBRATED OPTICAL SENSOR/CABLE
920 AMAT, Applied Materials, 0200-36118, EDGE RING, 200MM WAFER, BATCH COATED
921 AMAT, Applied Materials, 0200-36120, ADAPTER RING, 150 MM WAFER, SI BATCH COA
922 AMAT, Applied Materials, AE 3155031-014, 0190-11225, Match Bias, Ultima HDP
923 AMAT, Applied Materials, Assembly Bearing 6″ & 8″ HEWEB, NEW
924 AMAT, Applied Materials, Centura 5200, CVD chamber 6″ config
925 AMAT, Applied Materials, HDP CVD Ultima lid
926 AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
927 AMAT, Applied Materials, PVD Endura Heater, 0010-17665
928 AMAT, Applied Materials,0100-00645, 0100-01097, PCB slit valve inter
929 AMAT,Applied Materials, 0010-03087, TTW Mounting Assy Monitor
930 AMAT,Applied Materials,0040-33998, 0021-09104,0021-06092, 0200-00410, 0200-76068
931 AMAT/Applied Materials PN: 3870-00361 Cold Trap Drain Assy W/Tank
932 AMAT3540-01082 / 8IN HEATER ENDURA PVD / APPLIED MATERIALS AMAT
933 American Plasma Tech Evaporator / Vacuum Chamber 22″ x 27.5″ x 20.5″
934 AMETEK ROTRON HEAT EXCHANGER 2068-03 0195-06894 HEAT EXCHANGER W/FAN BELLMOUTH
935 AMI Presco 465 Screen-Printer
936 AMI Presco Model 465 Screen Printer SN 702466
937 Amistar AI-6448 Axial Inserter with extras! Dynapert Westamp Gateway Pima Gast
938 AMP MY500 AMP POM Unit L-039-0233
939 AMR SEMI AUTOMATED WET BENCH Model # 5FT-APS-PVCC
940 Amray  13″ x 13″ x 13.5″ SEM Amray Field Emmission Scanning Electron Microscope
941 Amray Scanning Electron Microscope SEM 3800L Intel WORK
942 Amtec XRF 940 V X-ray Fluorescence Coating Process Measuring System
943 Anatech Hummer 6.2 Benchtop Sputtering Coater System
944 ANATECH HUMMER 8 DESKTOP SPUTTERING SYSTEM
945 Anatech Hummer VII Sputtering System VII with Vacuum Pump with 4 Month Warranty
946 Anatech SP100 Plasma Asher / RIE Reactive Ion Etcher, 6″ barrel TESTED!
947 Anatech SP100 Table Top Plasma System9
948 ANDEEN-HAGERLING AH 2700A 50 Hz-20 kHz Ultra-precision Capacitance Bridge WORKS
949 ANELVA C7100
950 Anelva Sputtering Unit; Anelva P-876CA-B Cryopump, Compression Unit And More
951 Angstrom Sciences Sputtering Cathode with Aluminum Target, 8″ x 3″
952 Angstrom Sun SE200BM Spectroscopic Ellipsometer
953 Angstrom Sun Technologies Spectroscopic Ellipsometer
954 Anorad Multi Axis Gantry System G5300 VIP
955 Anorad XYZ 10″ Wafer Stage Linear Motors Glass Scales Controller 24″x14″ Travel
956 ANTEC BP550 / SWITCHING POWER SUPPLY, BASIQ 550W / ANTEC
957 Anza Technology 455 Ultrasonic Tack Wire Bonder (m/n 260 Version 2.6.1C)
958 AP-1096C CEEB-102
959 AP300 / PUMP / WHITE KNIGHT
960 APAC 5004-7269 / RIGHT FLIPPER UNIT G5 / BESI APAC
961 APC Symmetra MW 2000 kW Input/Output with Distribution
962 APD CRYOGENICS T1101-01-000-14 / APD CRYOGENICS CRYO TIGER AQUA TRAP / APD CRYOGENICS
963 APEX Generator & Industrial Trash Pump
964 API LTS-1100 Laser Tracker II Plus – New 2005 – w/ accessories & software
965 Apollo Seiko Janome Scara Soldering Robot JSR4400 JSR-4400 JSR L-Cat
966 Appears NEW: Asyst SMIF-300FL, S2, 25WFR 9700-5158-01 Rev. F 300mm Wafer Loader
967 APPLIED CERAMICS 90-00334A / WAND CERAMIC 12” / APPLIED CERAMICS
968 Applied Ceramics 91-01768A Dome Tapered Style AMAT 0200-40218 New
969 Applied Ceramics DOME, TOP FEED, 300MM HDPCVD ULTIMA X 18 IN ID, AMAT 0200-01347
970 Applied Fusion Aixtron 34824-00 Diffuser Showerhead
971 Applied Fusion Aixtron 40795-00 300 Diffuser Showerhead
972 APPLIED MATERIAL 0110-09006 /PCB CENTERFINDER SBC ASSY (0100-35024, 030035005) /APPLIED MATERIAL
973 APPLIED MATERIAL AMAT OPERATING COMPUTER 0190-30233 EYED TOWER, 3.4GHZ ROHS
974 Applied Material Standard Nitride CVD Chamber, 8″ P-5000 AMAT
975 APPLIED MATERIALS  0010-00135 / 60V POWER SUPPLY ASSY 8300C / APPLIED MATERIALS AMAT
976 APPLIED MATERIALS  03-83601-00 / W PCB, MOTHER / APPLIED MATERIALS AMAT
977 Applied Materials (AMAT) 0010-01368 COVER, LID PLTE ASSY, SACVD, DXZ, FLUORI
978 Applied Materials (AMAT) 0010-01388 LTESG Control Box Assy
979 Applied Materials (AMAT) 0010-02029 ASSY, HTD ROUGH LINE DPS, SEIKO 1000
980 Applied Materials (AMAT) 0010-08580 ASSY, DIW INLET W/O CDA RGLTR, 200MM MESA
981 Applied Materials (AMAT) 0010-10868 ASSY, ADAPTER VECTRA IMP 300MM W/ INSERTS
982 Applied Materials (AMAT) 0010-13873 ASSY PCIIE cathode
983 Applied Materials (AMAT) 0010-20278 CHAMBER ASSY 8″ DEGAS/ ORIENTER
984 Applied Materials (AMAT) 0010-20524 MODIFIED 8″ P5000 RF MATCH PHASE IV
985 Applied Materials (AMAT) 0010-21958 ASSY, SHIELD 8″ B101 ELECTRA-IMP TAN
986 Applied Materials (AMAT) 0010-36760 ASSY,PED ESC,150MM, 1 FLT WEB (FLAT @ TU
987 Applied Materials (AMAT) 0010-39339 200MM SWLL LLB SINGLE SLOT WAFER LIFT HO
988 Applied Materials (AMAT) 0010-39903 CATHODE ASSY, ESC, 200MM, SNNF, AIO, IPS, FL
989 Applied Materials (AMAT) 0010-40256 LIFT ACTUATOR ASSY, E-CHUCK
990 Applied Materials (AMAT) 0010-70166 ASSY, 15 SLOT STORAGE ELEVATOR
991 Applied Materials (AMAT) 0010-75183 ASSY 2PHASE STEPPER MOTOR
992 Applied Materials (AMAT) 0010-75277 Cassette Assembly LLA (PA200-79MDT) CORROSIO
993 Applied Materials (AMAT) 0010-76036 CORE, MINI CONTROLLER P5000
994 Applied Materials (AMAT) 0010-76340 ASSY 6″ HTHU BUFFER BLADE
995 Applied Materials (AMAT) 0010-76402 STORAGE 6 8 SLOTS
996 Applied Materials (AMAT) 0010-92537ITL ASSY DUMMY CASSETTE (300)
997 Applied Materials (AMAT) 0010-A0208 IPM ELecricity Box
998 Applied Materials (AMAT) 0010-A3730 ASSY – GENERATOR- TEMPERATURE CONTROL
999 Applied Materials (AMAT) 0010-A3840 Assembly- Temperature Controller PCA Module
1000 Applied Materials (AMAT) 0010-A8230 PBS ASSY, COMPLUS 2
1001 Applied Materials (AMAT) 0020-02126 BELLOWS SEAT, BOTTOM, REV. 5.2 HEAD, ECP
1002 Applied Materials (AMAT) 0020-10357 FACILITIES AC OUTLET
1003 Applied Materials (AMAT) 0020-12396 TOP PLATE HEATER ECP ANNEAL 300MM
1004 Applied Materials (AMAT) 0020-13814 200MM BLADE W/WAFER POCKET MC-ROBOT P5000
1005 Applied Materials (AMAT) 0020-48892 CLAMP RING, 6″ SMF, AL, EE 2.00MM, 4TABS
1006 Applied Materials (AMAT) 0020-88831 Turbo Pump
1007 Applied Materials (AMAT) 0021-00271 MOUNTING RING FOR POLY R2 DTCU
1008 Applied Materials (AMAT) 0021-03656 ION SHIELD, LIFT,NOTCH,194MM ID, VESPEL
1009 Applied Materials (AMAT) 0021-08436 RING, CLAMP, TAPERED FINGER, VESPEL, 200
1010 Applied Materials (AMAT) 0021-13858 BASE, INNER, WAFER ROLLER ASSEMBLY, BRUS
1011 Applied Materials (AMAT) 0021-23106 FLAG, ROTARY HOME, PPR, IECP
1012 Applied Materials (AMAT) 0040-02149 “””HEATER, COMMON 8″””” LONG SHAFT ALN –
1013 Applied Materials (AMAT) 0040-05528 ADAPTER ELECTRA, IMP OU
1014 Applied Materials (AMAT) 0040-07962 RING, CLAMP, LOWER, 200MM, LTE/E-CLEAN
1015 Applied Materials (AMAT) 0040-08917 PROTECTOR, MEG HVM
1016 Applied Materials (AMAT) 0040-31813 SHELL ASSY, 200MM NOTCH
1017 Applied Materials (AMAT) 0040-32266 PEDESTAL, ESC, ASSY,200MM, NOTCH–Rev. A ,
1018 APPLIED MATERIALS (AMAT) 0040-32543 RING, MAGNET LOWER
1019 Applied Materials (AMAT) 0040-32680 0010-70792, 0021-10221 EMXP+/MXP+ OX, Chamb
1020 Applied Materials (AMAT) 0040-32712 “””HEATER, 8″””” DCSXZ”””
1021 Applied Materials (AMAT) 0040-35140 CLAMP RING UPPER, ATM
1022 Applied Materials (AMAT) 0040-35210 RING UPPER CLAMP RP MACHINING
1023 Applied Materials (AMAT) 0040-35851 Pedestal, ESC 195mm, Flat, DPS Chamber
1024 Applied Materials (AMAT) 0040-36830 MANIFOLD, MIXING
1025 Applied Materials (AMAT) 0040-40865 Heater Mounting Plate 300MM Anneal
1026 Applied Materials (AMAT) 0040-40945 Plate Clam LID, EMAX 300MM
1027 Applied Materials (AMAT) 0040-48339 Faceplate, Dual Gas, 200MM TICL4 TIN
1028 Applied Materials (AMAT) 0040-60593 LASED, PEDESTAL, 200MM, JMF SMALL FLAT D
1029 Applied Materials (AMAT) 0040-80413 RING ASSY,CHAMBER SEALING – QX
1030 APPLIED MATERIALS (AMAT) 0040-85475 REV 4 251-M3523 300mm Ceramic heater
1031 Applied Materials (AMAT) 0040-90122 PLATE, Y AXIS STAIONARY BEA
1032 Applied Materials (AMAT) 0040-91670 PLATE, TILT AXIS STATIONARY
1033 Applied Materials (AMAT) 0040-98210 Assembly, Bellows Vacuum Robot
1034 Applied Materials (AMAT) 0041-05535 Liner, Cathode, Direct-Cooled, Baffle Y2
1035 Applied Materials (AMAT) 0041-36533 LINER COVER, EXHAUST MOCVD PRODUCER G
1036 Applied Materials (AMAT) 0090-01192 Electrical Assembly, PVD Pre-Clean Inter
1037 Applied Materials (AMAT) 0090-09123 Window Assy, AC Quartz Centered
1038 Applied Materials (AMAT) 0090-09298 ESC ASSY 200MM. NOTCH(2)
1039 Applied Materials (AMAT) 0090-35771 Gas Heat Exchange Assembly, Tantalum
1040 Applied Materials (AMAT) 0090-76109 OBS-ASSMBLY,ELECTRICAL, SBC SYNERGY 68040
1041 Applied Materials (AMAT) 0090-91826 CHASSIS MDL OPTION COMM PARTS
1042 Applied Materials (AMAT) 0100-00631 ASSEMBLY, PCB, 300MM FAILURE DETECTOR CP
1043 Applied Materials (AMAT) 0100-00777 PCB, DUAL STEPPER INTERFACE
1044 Applied Materials (AMAT) 0100-01329 PCB ASSEMBLY, INTERLOCK PERSONALITY BOAR
1045 Applied Materials (AMAT) 0100-09254 PCV ASSEMBLY ENDPOINT SELECT INTERCONNEC
1046 Applied Materials (AMAT) 0100-89004 PCB Assembly, Lamp Fail Detector
1047 Applied Materials (AMAT) 0150-01038 CABLE ASSY, SEB PCB TO BULKHEAD UPPER IN
1048 Applied Materials (AMAT) 0190-01553 TBV DRIVE ASSY, THROTTLE VALVE 15:1 GEAR
1049 Applied Materials (AMAT) 0190-01599 BOC EDWARDS BOX, IBC DISPENSE BOX, ECP – SF3
1050 Applied Materials (AMAT) 0190-01905 CONTROLLER, SETCH LL HEATER
1051 Applied Materials (AMAT) 0190-06212 Heater, Exhaust, Zone 3, RTP XE, 200MM
1052 Applied Materials (AMAT) 0190-09427 PLASMA,APPLICATOR,ASP,METCH MXP CENTURA
1053 Applied Materials (AMAT) 0190-09521 PLATE ASSY,PLASMA CHUCK,200/150MM,N-D”
1054 Applied Materials (AMAT) 0190-10042 REMOTE AC DIST. BASE UNIT, ETCH 300MM
1055 Applied Materials (AMAT) 0190-10680 BALL SCREW & RECIRCULATING BALL NUT REPL
1056 Applied Materials (AMAT) 0190-18063 DC POWER SUPPLY, REMOTE PLASMA CLEAN, UL
1057 Applied Materials (AMAT) 0190-35798 16 CHANNEL TEMPERATURE CONTROLLER
1058 Applied Materials (AMAT) 0190-77203W wNOVA MU SCANNER
1059 Applied Materials (AMAT) 0190-A0012 CHASSIS DR500 RACK MOUNT
1060 Applied Materials (AMAT) 0195-00185 HV ESC VACUUM HARNESS ASSEMBLY
1061 Applied Materials (AMAT) 0195-01051 BOARD ASSY DSG
1062 Applied Materials (AMAT) 0200-00715 BASEPLATE W/BAFFLE QTZ SERIALIZED
1063 Applied Materials (AMAT) 0200-00857 DOME, OM-100,UPPER ATM
1064 Applied Materials (AMAT) 0200-11342 CERAMIC EDGE RING
1065 Applied Materials (AMAT) 0200-35183 SUSC. TOS R3 ROTATION 150MM, EPI
1066 Applied Materials (AMAT) 0200-35234 Susceptor, SGL, R3 Rot, EPI, 150MM, 9.7″OD X
1067 Applied Materials (AMAT) 0200-35439 DISK, COOLDOWN PEDESTAL GRAPHITE 8″
1068 Applied Materials (AMAT) 0222-41508 Retrofit 2nd Wafer Orienter
1069 Applied Materials (AMAT) 0227-01117 WLDMNT, DUAL VALVE, FEM/FEM, MNL/MNL
1070 Applied Materials (AMAT) 0227-95226 WEIGHT, 200MM CASSETTE, CLAMPING
1071 Applied Materials (AMAT) 0240-28444 KIT, 750V POWER SUPPLY, PCIIE
1072 Applied Materials (AMAT) 0240-30643 PIK, Wafer Lift, REV 1 Ceramic, 200 MM
1073 Applied Materials (AMAT) 0240-75750 kit gen compliance hardware endura
1074 Applied Materials (AMAT) 0242-15018 KIT,IS,CONS,PLANAR,200MM NOTCH,KALREZ
1075 Applied Materials (AMAT) 0242-37433 KIT,RETROFIT CORR RES 200MM EMPAK CASS H
1076 Applied Materials (AMAT) 0242-37454 KIT,BASIC GAS BOX,UNIVERSAL CHAMBER
1077 Applied Materials (AMAT) 0242-41161 RETROFIT KIT,CASSETTE HANDLER MODIFICATION
1078 Applied Materials (AMAT) 0500-00192 SMC INR-498-P235 MAIN CONTROL UNIT THERMO CH
1079 Applied Materials (AMAT) 0650-a0170 MEC ASSY DR300 f/Intel & R.O.W
1080 Applied Materials (AMAT) 1140-00538 PSU G3 TO SPEC 0190-24145, Series KL, Input:
1081 Applied Materials (AMAT) 1410-00237 Heateflex LH1-1-5.6-N-A01-P561 HTR IN-LINE 5
1082 Applied Materials (AMAT) 21016404386 Amplifier, 50V, Y AXIS, Modified
1083 Applied Materials (AMAT) 3620-01515 PUMPTURBO TMP361C 160 ISO-K FLANGE
1084 Applied Materials (AMAT) 3870-02202 VALVE AIR-ACT HEATED INJCTN 1/4VCR-M/F SST
1085 Applied Materials (AMAT) 3930-A0480 R2H MAIN CONTROLLER
1086 Applied Materials (AMAT) 50409140100 Bent Light Guide-YAP, SEM Vision YAP with O
1087 Applied Materials (AMAT) 50409140100 Bent Light Guide-YAP, SEM Vision YAP with O
1088 Applied Materials (AMAT) 50490536000 CK T/N #536 FANS FOR
1089 Applied Materials (AMAT) 9010-01157 EXTRACTION ROTATE/SLIDE MECH
1090 Applied Materials (AMAT) 9010-01460 LEYBOLD 800120V0002 ASSY, MAG TURBO Pump PUR
1091 Applied Materials (AMAT) 9010-01506 WAFER ARM DRIVE ASSY
1092 Applied Materials (AMAT) 9010-01576 Maintenance Arm Assembly, SRC Turbo
1093 Applied Materials (AMAT) 9010-02083ITL X-CRIPP Panel
1094 Applied Materials (AMAT) 9010-02159 EXTRACTION ROTATE/SIDE MECH
1095 Applied Materials (AMAT) 9010-02258 ASSY VORTEX LENS/MARS CHAMBER
1096 Applied Materials (AMAT) 9010-02455 GAS MOD’L, SA-HP GEF4
1097 Applied Materials (AMAT) 9010-02456 GAS MOD’L, SA-HP, SIF4
1098 Applied Materials (AMAT) 9090-00442ITL DECEL PSU RESISTOR ASSEMBLY
1099 Applied Materials (AMAT) 9090-00811 ASSY ENERGY CONTROL CHASSIS
1100 Applied Materials (AMAT) 9090-01095 FI CONTROL CHASSIS
1101 Applied Materials (AMAT) 9090-01265 DECEL PSU -6KV QUANTUM X
1102 Applied Materials (AMAT) 9090-01274 VAC B/L CHAS (EDWARDS GAUGES)
1103 Applied Materials (AMAT) 9090-1265ITL DECEL PSU -6KV QUANTUM X
1104 Applied Materials (AMAT) 9240-00172ITL END EFFECTOR & MAPPER 300
1105 Applied Materials (AMAT) 9240-01257 KIT OPTION SDS ASH3/PH3 GAS
1106 Applied Materials (AMAT) 9240-02836 EXTRACTION LIFT ASSY/LOCKNLOAD
1107 Applied Materials (AMAT) 9240-02836ITL EXTRACTION LIFT ASSY/LOCKNLOAD
1108 Applied Materials (AMAT) 99DE0044000 PICK LIST FOR NEW
1109 Applied Materials (Amat) DxZ chamber, PECVD, 200MM
1110 Applied Materials (Amat) DxZ chamber, PECVD, Top mount RPS, 200MM
1111 Applied Materials (AMAT) Orienter Chamber 0010-93070 Centura/Endura/P5000 200mm
1112 Applied Materials (AMAT) P5000 PECVD & Etch Back, 150mm Powers Up Working
1113 Applied Materials (AMAT) Synergy Microsystems V440 SBC PCB Card 0190-76043
1114 Applied Materials (AMAT), P/N: 0040-81155 & 0040-81156, Upper & Lower Liners
1115 APPLIED MATERIALS 00070-2000-000-01 / MEGA_F MOTION SYSTEMS TZ CONTROL UNIT / APPLIED MATERIALS
1116 APPLIED MATERIALS 0010-00005 / VME INTERFACE ASSY / APPLIED MATERIALS AMAT
1117 Applied Materials 0010-00146 / BARATRON RANGE MULTIPLIER MODEL 170M-6C / APPLIED MATERIALS AMAT
1118 Applied Materials 0010-00365 / W24V POWER SUPPLY LAMBDA LRS-56-24 REV E / APPLIED MATERIALS AMAT
1119 Applied Materials 0010-00367, AMAT, GRIPPER ASSY, 150MM OX
1120 Applied Materials 0010-00563, AMAT, 24V POWER SUPPLY
1121 Applied Materials 0010-00938, ASSY ESC_RF 200MM FLAT DUAL ZONE EMXP
1122 Applied Materials 0010-01183, APPLIED MATERIALS, ASSY, FILTER BOX, HT CATHODE, DPS
1123 Applied Materials 0010-01196, APPLIED MATERIALS, HEATER ASSY, 8″ JMF LT BESC
1124 Applied Materials 0010-01320 (Partial) / HEATER LIFT ASSY,TICL4 TIN / APPLIED MATERIALS AMAT
1125 Applied Materials 0010-01388 / LTESC CONTROL BOX ASSY/ APPLIED MATERIALS
1126 Applied Materials 0010-01393, Applied Materials, SUSCEPTOR ASSEMBLY, BB TEOS, 200MM
1127 Applied Materials 0010-01394, AMAT, SUSCEPTOR ASSEMBLY, TEOS, 200MM
1128 Applied Materials 0010-01714, APPLIED MATERIAL,ASSEMBLY, CATHODE, 200MM FLAT CESC, IS
1129 Applied Materials 0010-01714, APPLIED MATERIAL,ASSEMBLY, CATHODE, 200MM FLAT CESC, IS
1130 Applied Materials 0010-01714, APPLIED MATERIAL,ASSEMBLY, CATHODE, 200MM FLAT CESC, IS
1131 Applied Materials 0010-01831 / ASSY TC AMP LOW TEMP BESC / APPLIED MATERIALS
1132 Applied Materials 0010-01929, AMAT, ASSY, RF MATCH, BIASED ELECTRODE BESC
1133 Applied Materials 0010-01933, APPLIED MATERIALS, ASSY,HEATER, 8″, HA12 LONG SHAFT COMMON
1134 Applied Materials 0010-01933, APPLIED MATERIALS, ASSY,HEATER, 8″, HA12 LONG SHAFT COMMON
1135 Applied Materials 0010-01944;AMAT, LAMP HEAD ASSEMBLY 200MM RADIANCE
1136 Applied Materials 0010-01989; AMAT, SS Gas Heater Assembly with 0160-00018 TC Feed Thru High K
1137 Applied Materials 0010-01995; AMAT, ASSY THROTTLE VALVE NSK ACTUATOR 300MM DPS
1138 Applied Materials 0010-02419 Clamp Lid, Assy. Emax Kalrez AMAT
1139 Applied Materials 0010-02483 / ASSY, 150MM CASSETTE HANDLER, RIGHT (LLB) / APPLIED MATERIALS
1140 Applied Materials 0010-02895, APPLIED MATERIALS SPARE PARTS
1141 Applied Materials 0010-02977 / ASSEMBLY, RF MATCH PVD, SUB ZERO BESC/ APPLIED MATERIALS
1142 Applied Materials 0010-02991 Susceptor, 150 MM WCVD AMAT
1143 Applied Materials 0010-02991, AMAT, ASSY SUSCEPTOR 150MM BSE BWCVD
1144 Applied Materials 0010-02991, AMAT, Assy susceptor 150mm EE
1145 Applied Materials 0010-03323, Applied Materials, HEATER, HEATER, ASSY 8″ NHT .029 EXTRA WIDE POCK
1146 Applied Materials 0010-03324, Applied Materials, HEATER, ASSY 8″ NLT .029 EXTRA WIDE POCK
1147 Applied Materials 0010-03338, Applied Materials, ASSY HEATER 8″ SR OSCR WXZ
1148 Applied Materials 0010-03341, Applied Materials, HEATER, ASSY, 8″ NHT-NT .029 AMJ WXZ
1149 Applied Materials 0010-03342, Applied Materials, HEATER, ASSY, 8″ NLT-NT .029 AMJ WXZ
1150 Applied Materials 0010-03344 HEATER, ASSY, 8″ JLT .029 AMJ WXZ AMAT
1151 Applied Materials 0010-03347 / HEATER ASSY,TUNGSTEN,WXZ,FULL COVERAGE,AMTWD5 /APPLIED MATERIALS
1152 Applied Materials 0010-03368 AMAT ASSY HEATER 8″ NHT .029 NCSR OSCR WXZ
1153 Applied Materials 0010-03369, Applied Materials, ASSY HEATER 8″ NHT-NT .029 OSCR WXZ
1154 Applied Materials 0010-03370 AMAT ASSY HEATER 8″ NLT-NT .029 OSCR WXZ
1155 Applied Materials 0010-03371 ASSY HEATER 8″ J 390 .029 NCSR OSCR WXZ AMAT
1156 APPLIED MATERIALS 0010-03487 ENDURA II 300MM MAGNET ASSY
1157 Applied Materials 0010-03628 / CENTURA MSM, WITH CD ROM AND WIN NT/APPLIED MATERIALS
1158 Applied Materials 0010-03697 / SWEEP HEAD ASSY / APPLIED MATERIALS AMAT
1159 Applied Materials 0010-03872, AMAT, ASSY, SABPSG 8″ T1SABB THICK SUSCEPTOR
1160 Applied Materials 0010-04145; ASSEMBLY THROTTLE VALVE 300MM DUAL FLA
1161 Applied Materials 0010-04204; AMAT, BASIC SERVO MOTOR LIFT PVD
1162 Applied Materials 0010-04451, Applied Materials, ASSY,JMF 200MM SUB ZERO BESC WELDMENT
1163 Applied Materials 0010-04536, APPLIED MATERIALS, ASSEMBLY, ALL QUARTZ APPLICATOR, RPN ON
1164 Applied Materials 0010-04561 / ASSEMBLY, BIASED ELECTRODE, AC BOX / APPLIED MATERIALS
1165 Applied Materials 0010-04906 / ASSY, DRIVE FC, WXZ CENTURA, W/KALREZ / APPLIED MATERIALS AMAT
1166 Applied Materials 0010-05223, AMAT, ASSEMBLY, 200MM PLASMA CELL, END PT DETE
1167 Applied Materials 0010-05398, APPLIED MATERIALS, BRUSH MOUNT ASSY, DRIVE
1168 Applied Materials 0010-05410 / CROSS BRAKE REMOVAL TOOL ASSY / APPLIED MATERIALS
1169 Applied Materials 0010-05418 / CLEANER FLUID CHAMBER REMOVAL TOOL ASSY / APPLIED MATERIALS
1170 Applied Materials 0010-05692 ASSY., HEATER, PURGE, JMF, 200MM, HP TXZ AMAT
1171 Applied Materials 0010-05856, Applied Materials, HEATER ASSY 300MM DUAL ZONE H17 D13 RIGI
1172 Applied Materials 0010-05856, Applied Materials, HEATER ASSY 300MM DUAL ZONE H17 D13 RIGI
1173 Applied Materials 0010-05960, APPLIED MATERIALS, ASSY, CATHODE, 200MM FLAT CESC, IS, 5000
1174 Applied Materials 0010-05965 0040-00876 0040-05592 / EPO FLAT ESC 200MM / APPLIED MATERIALS AMAT
1175 Applied Materials 0010-06229, APPLIED MATERIALS, ASSY, ESC/FR, 200MM NOTCH, 197.5MM OD, D
1176 Applied Materials 0010-06513; APPLIED MATERIALS, ASSEMBLY END POINT DETECTOR PLASMA CEL WXZ
1177 Applied Materials 0010-07155, Applied Materials, ASSEMBLY, TOP MATCH, ULTIMA X 200MM, HDP
1178 Applied Materials 0010-07815, APPLIED MATERIALS, ASSY, 300MM SLT ESC WITH CENTER TAP META
1179 Applied Materials 0010-07946/ ASSY,ESC RF,200MM,FLAT,DZ,HI FLOW,OUTER/ APPLIED MATERIALS
1180 Applied Materials 0010-08167, Applied Materials, 300mm Dual Zone Ceramic Heater
1181 Applied Materials 0010-08480 / ASSEMBLY, HEATER, BLOCK, LINE/FVC, TXZ 3 / APPLIED MATERIALS AMAT
1182 Applied Materials 0010-08733; MCA ESC JMF
1183 Applied Materials 0010-09019 Throttle Valve, Assy. AMAT Etch
1184 Applied Materials 0010-09181 / ASSY, DC POWER SUPPLY / APPLIED MATERIALS
1185 Applied Materials 0010-09187 ASSEMBLY MINICONTROLER AMAT
1186 Applied Materials 0010-09256 / GAS BOX 4,5,6 TEOS / APPLIED MATERIALS AMAT
1187 Applied Materials 0010-09263 / ASSY, PNEUMATIC MANIFOLD BASIC / APPLIED MATERIALS AMAT
1188 Applied Materials 0010-09328 / AMPULE ASSY. TEOS CLOSED LOOP / APPLIED MATERIALS
1189 Applied Materials 0010-09328 Teos Ampule, Assy AMAT P-5000
1190 Applied Materials 0010-09341, Applied Materials, ASSY,WAFER,LIFT,DRIVE
1191 Applied Materials 0010-09348, Applied Materials, ASSY, UNIVERSAL THROTTLE VALVE
1192 Applied Materials 0010-09416 RF Match Phase IV
1193 Applied Materials 0010-09441, Applied Materials, ASSY WAFER LIFT D POSN PRSP
1194 Applied Materials 0010-09662, AMAT, ASSY SUS .271 THK 200MM BWCVD
1195 Applied Materials 0010-09806 Gas Box, WSI 150 MM AMAT
1196 Applied Materials 0010-09837 Wafer Lift, Assy. AMAT CVD
1197 Applied Materials 0010-09837, APPLIED MATERIALS, TUNGSTON WAFER LIFT
1198 Applied Materials 0010-09924, Applied Materials, THROTTLE VALVE ASSY, DUAL SEAL SHAFT
1199 Applied Materials 0010-09928 Controller Box, ESC AMAT P-5000 Etch
1200 Applied Materials 0010-09928 Controller Box, ESC AMAT P-5000 Etch
1201 Applied Materials 0010-09935; AMAT, INTEGRATED MONOCHROMATOR MODULE ASSEMBLY, ENDPOINT
1202 Applied Materials 0010-09936 CONN,HV,MODULE ASSY,RF BOX, ESC AMAT Etch
1203 Applied Materials 0010-09940 Gas Box, WSI CVD AMAT
1204 Applied Materials 0010-09968 Throttle Valve, Heated AMAT Etch
1205 Applied Materials 0010-09979, Applied Materials, ASSY LAMP MODULE AU-PLATED BASIC
1206 Applied Materials 0010-10023; 0100-09222; APPLIED MATERIALS, CHAMBER INTERFACE ASP POS D
1207 Applied Materials 0010-10054/ WXZ CHAMBER P5000 CVD/ APPLIED MATERIALS
1208 Applied Materials 0010-10055 Gas Box, WXZ AMAT CVD
1209 Applied Materials 0010-10128, AMAT, ASSY, SUSCEPTOR ,SQ P21 200MM, BWCVD SR
1210 Applied Materials 0010-10214, AMAT, SUSC-ASSY, 150MM-TG
1211 Applied Materials 0010-10214; APPLIED MATERIALS, SUSCEPTOR ASSEMBLY , 150MM TG
1212 Applied Materials 0010-10244 / SYSTEM ELECTRONIC XT/ APPLIED MATERIALS
1213 Applied Materials 0010-10258; APPLIED MATERIALS, ASSEMBLY SUSCEPTOR 6” 8MM THK WSI
1214 Applied Materials 0010-10283, APPLIED MATERIALS, SUSCEPTOR LIFT BASIC ASSEMBLY
1215 Applied Materials 0010-10286 / HEATER, ASSY, 8 INCH, SR, WXZ / APPLIED MATERIALS
1216 Applied Materials 0010-10474, APPLIED MATERIALS, ASSEMBLY,THROTTLE VALVE,R2 CHAMBER
1217 Applied Materials 0010-10517, AMAT, SUSC-ASSY, 150MM, WSIX-DCS
1218 Applied Materials 0010-10517, AMAT, SUSC-ASSY, 150MM, WSIX-DCS
1219 Applied Materials 0010-10521, AMAT, SUSCEPTOR 8 INCH, T1 THICK
1220 Applied Materials 0010-10551, COMPUTER MODULE ASSEMBLY, VGA
1221 Applied Materials 0010-10556 Monochromator Module, Assy. AMAT Centura
1222 Applied Materials 0010-10623, Applied Materials, ASSY, LIFT,EXZGECO
1223 Applied Materials 0010-10721; AMAT, ASSEMBLY TOP LID DSGD METCH POS B & D
1224 Applied Materials 0010-10758 ASSY, DSGD LID, MXP & MK II CHBR, VCR, P AMAT Etch
1225 Applied Materials 0010-10893, 150mm Susceptor assy
1226 Applied Materials 0010-10902 Connector, HV Module, Assy. AMAT Etch
1227 Applied Materials 0010-10957, APPLIED MATERIALS, ASSY DRIVE FC WXZ P5000 W/VITON
1228 Applied Materials 0010-10973 & 0010-00860 Kit, H.O.T. Pack 365 NM AMAT Etch
1229 Applied Materials 0010-10973 H.O.T. Detector, Assy. AMAT Etch
1230 Applied Materials 0010-12090 /MATCH MXP+DIGITAL/ APPLIED MATERIALS
1231 Applied Materials 0010-12168 /AC BOX 200MM SLT ESC ASSEMBLY/ APPLIED MATERIALS
1232 Applied Materials 0010-12860 / HEATER LIFT ASSY, DXZ / APPLIED MATERIALS
1233 Applied Materials 0010-13068, APPLIED MATERIALS, ENDPT DETECTOR PRODUCER, PLASMA CELL ASSY 300MM
1234 Applied Materials 0010-13150, APPLIED MATERIALS, ASSY, IHC CHAMBER D $ SHC (20SCCM)
1235 Applied Materials 0010-13152, APPLIED MATERIALS, ASSY, IHC CHAMBER D & SHC (50 SCCM)
1236 Applied Materials 0010-13320 / P5000 ROBOT / AMAT
1237 Applied Materials 0010-13321 / ASSY, ROBOT DRIVE, 8 / APPLIED MATERIALS AMAT
1238 Applied Materials 0010-13625 / ASSY WELDMENT HEATER 6 B101 REV 2.1 /APPLIED MATERIALS AMAT
1239 Applied Materials 0010-13627, APPLIED MATERIALS, ASSY, HIGH EFFICIENCY RF MATCH-BIAS
1240 Applied Materials 0010-13650 / AC BOX, HTESC, P1 / APPLIED MATERIALS
1241 Applied Materials 0010-13758
1242 Applied Materials 0010-13927 / PEDESTAL LIFT ASSEMBLY PRECLEAN 2K / APPLIED MATERIALS AMAT ENDURA
1243 Applied Materials 0010-15210, Applied Materials 0010-34561 /200MM PCIIE, MCA GRV .1MM DEEP PUCK HV / AMAT
1244 Applied Materials 0010-15257 /ASSEMBLY, SPRING PLATE W/GVDE, 200MM DPN / APPLIED MATERIALS
1245 Applied Materials 0010-15698 / ASSEMBLY, DOME MNT. RING W/GVDE, 200M DP / APPLIED MATERIALS
1246 Applied Materials 0010-15816 / ASSEMBLY, GVDE, DPN 200MM / APPLIED MATERIALS
1247 Applied Materials 0010-16575 / ASSEMBLY SOURCE FILTER MODULE 300MM ENAB / APPLIED MATERIALS AMAT
1248 Applied Materials 0010-16794 0010-16794W Centura Lid & Heater w/ 14 day warranty
1249 Applied Materials 0010-16858-001/ PEDESTAL, 300MM SDMCA FDR SLT ESC ASSY (Applied Materials 0010-14204-001/ AMAT
1250 Applied Materials 0010-17447 / CONTROLLER ASSY 8 T/C TEMP CONTROLLER 30 / AMAT
1251 Applied Materials 0010-18088 / 0100-02571;AMAT, OBSTHROTTLE VALVE ASSEMBLY, HDPCVD LOW K 200MM
1252 Applied Materials 0010-181080 / PEDESTAL INTEGRATION BOX / APPLIED MATERIALS
1253 APPLIED MATERIALS 0010-18257 Source Conditioning Assembly
1254 Applied Materials 0010-19026 / STD PVD DEAGAS CHAMBER QUARTZ VIEWPORT LID/ APPLIED MATERIALS AMAT
1255 Applied Materials 0010-19109 / ASSY, MAGNET , INCLUDES 0020-01999 / APPLIED MATERIALS AMAT
1256 Applied Materials 0010-19802 402527A / 300MM CENTURA ALCATEL PRODUCER E CHAMBER / AMAT
1257 Applied Materials 0010-19934 / ASSY, SHIELD 8 INCH, B101 VECTRA – IMP REV 4.5 NON-KNUR/ AMAT
1258 APPLIED MATERIALS 0010-20000 ENDURA 15V POWER SUPPLY AMAT
1259 Applied Materials 0010-20129 / BLADE ASSY 6 BUFFER KIT / APPLIED MATERIALS AMAT 0020-21114
1260 Applied Materials 0010-20130 / BLADE ASSY 8 INCH BUFFER / APPLIED MATERIALS AMAT
1261 APPLIED MATERIALS 0010-20211 ENDURA 24V POWER SUPPLY AMAT
1262 Applied Materials 0010-20221 /PVD MAGNET 6 INCH TI /APPLIED MATERIALS
1263 Applied Materials 0010-20221 /PVD MAGNET 6 INCH TI/ APPLIED MATERIALS
1264 Applied Materials 0010-20221; AMAT, PVD MAGNET TI 6”
1265 Applied Materials 0010-20225; Applied Materials 0010-20818 “G” MAGNET AL
1266 Applied Materials 0010-20230, APPLIED MATERIALS, ORIENTER LIFT, UPTIME
1267 Applied Materials 0010-20351 / 5/6” DEGAS LAMP 350C PVD / AMAT
1268 Applied Materials 0010-20417, APPLIED MATERIALS, ASY,WAFER LIFT PRECLN II
1269 Applied Materials 0010-20440, APPLIED MATERIALS, ASSY, 5″ RF MATCH
1270 Applied Materials 0010-20441 RF Match, PVD 6″
1271 Applied Materials 0010-20442, AMAT, ASSY, 8″ RF MATCH
1272 Applied Materials 0010-20480, AMAT, ASSY 200MM CASSETTE HANDLER LEFT (LLA)
1273 Applied Materials 0010-20481, AMAT, ASSY 200MM CASSETTE HANDLER (LLB)
1274 Applied Materials 0010-20498 ASSY +/- 15V POWER SUPPLY GEN RACK Complete
1275 Applied Materials 0010-20525 / RF MATCH PVD/PC II0 / APPLIED MATERIALS AMAT
1276 APPLIED MATERIALS 0010-20678 MAGNET ASSY G-4 COH 13″
1277 Applied Materials 0010-20697 Dual Degas Driver, Assy. 200V AMAT PVD
1278 Applied Materials 0010-20697 Dual Degas Driver, Assy. 200V Endura PVD
1279 Applied Materials 0010-20697; AMAT , ASsEMBLY DUAL DEGAS DRIVER 200V
1280 Applied Materials 0010-20753 / WAFER LIFT PCII AMAT ENDURA PRE-CLEAN CHAMBER 200MM / AMAT
1281 Applied Materials 0010-20754 / OBS PEDESTAL LIFT ASSEMBLY PRECLEAN 2 / APPLIED MATERIALS AMAT
1282 Applied Materials 0010-20764 PVD Driver 200V, Assy. AMAT PVD
1283 Applied Materials 0010-20768 PVD Magnet, G-12 8″
1284 APPLIED MATERIALS 0010-20806 MAGNET ASSEMBLY, G-TYPE
1285 APPLIED MATERIALS 0010-20810 MAGNET, ASSY, G-12, ENCAPSULATED
1286 Applied Materials 0010-20818;APPLIED MATERIALS, PVD MAGNET ASSEMBLY AL
1287 Applied Materials 0010-20943; AMAT, ASSEMBLY HEATER 8” HIGH TEMP SIX FINGER
1288 APPLIED MATERIALS 0010-21206 MAGNET ASSY DURASOURCE
1289 Applied Materials 0010-21246 /HEATER PEDESTAL ASSEMBLY, NON-NTM ADVANCED 101 – 8/APPLIED MATERIALS
1290 APPLIED MATERIALS 0010-21390 MAGNET ASSEMBLY
1291 Applied Materials 0010-21616; AMAT, MAGNET
1292 APPLIED MATERIALS 0010-21668 MAGNET ASSY, PVD
1293 Applied Materials 0010-21669 ,WITH MAG# Applied Materials 0010-21676 / VECTRA IMP SOURCE PVD / AMAT
1294 APPLIED MATERIALS 0010-21676 PVD ENDURA IMP VECTRA MAGNET
1295 Applied Materials 0010-21676; AMAT PVD ENDURA IMP VECTRA MAGNET
1296 Applied Materials 0010-21678 Shield, Assy. Vectra IMP TI ADV 101 Endura PVD
1297 Applied Materials 0010-21788 Magnet Assy., GAMMA 2
1298 Applied Materials 0010-21788; AMAT, PVD MAGNET
1299 Applied Materials 0010-22043, APPLIED MATERIALS, ASSY PVD MAGNET
1300 Applied Materials 0010-22156 /ASSY, BESC MOTORIZED LIFT/ APPLIED MATERIALS
1301 Applied Materials 0010-22184, APPLIED MATERIALS, HEATER ASSEMBLY, 300MM MCA E-CHUCK
1302 Applied Materials 0010-22326, APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX
1303 Applied Materials 0010-22400, Applied Materials, HEATER W/BEARING, BIASABLE, LOW TEMP
1304 Applied Materials 0010-23334 / AMAT SYSTEM 411931-PJ-ECH3 SOURCE 3 CP / APPLIED MATERIALS
1305 Applied Materials 0010-23677 / 300MM MCA SNNF LOW TEMP ESC Applied Materials 0010-23677-002 /APPLIED MATERIALS AMAT
1306 Applied Materials 0010-24076, APPLIED MATERIALS, 300MM MCA SNNF LOW TEMP ESC
1307 Applied Materials 0010-24076, APPLIED MATERIALS, 300MM MCA SNNF LOW TEMP ESC
1308 Applied Materials 0010-24076CR / CU,REPAIRED E-CHUCK,TA,IESIP / APPLIED MATERIALS
1309 Applied Materials 0010-24539/ VEIWPORT LID, ENDURA 2 PVD CHAMBER/ AMAT
1310 Applied Materials 0010-24774 / 300MM AMAT ESC ASSY / APPLIED MATERIALS
1311 Applied Materials 0010-25893 / PVD RF MATCH PRECLEAN II 200MM / AMAT
1312 Applied Materials 0010-27430, APPLIED MATERIALS, 12″ MCA E CHUCK, HI-TEMP, SNNF
1313 Applied Materials 0010-27432 / HEATER PEDESTAL 0040-70176 / APPLIED MATERIALS AMAT
1314 Applied Materials 0010-27983, APPLIED MATERIALS, 12″ PIB HT BESC D4 Assy
1315 Applied Materials 0010-29710, APPLIED MATERIALS, ASSY, 300MM MCA E-CHUCK
1316 Applied Materials 0010-29963 / CONTROLLER CCM ENABLER CS / AMAT
1317 Applied Materials 0010-30001 Degasser, Assy. AMAT CVD
1318 Applied Materials 0010-30012, AMAT P5000 OPTIMA MC ROBOT
1319 Applied Materials 0010-30061; AMAT, ASSEMBLY , GAS BOX , UNIVERSAL DELTA NITRIDE
1320 Applied Materials 0010-30063, Amat
1321 Applied Materials 0010-30109 AMAT, PEDESTAL ASSY 200MM NOTCH
1322 Applied Materials 0010-30109, PEDESTAL ASSY,200MM NOTCH,S-XTAL,EDGE FE
1323 Applied Materials 0010-30246 Susceptor, Assy. 150 MM SW Cheese DCS AMAT
1324 Applied Materials 0010-30246 Susceptor, Assy. 150 MM SW Cheese DCS AMAT
1325 Applied Materials 0010-30246, Applied Materials, SUSCEPTOR ASSY 150MM WSIX-DCS
1326 Applied Materials 0010-30318 Lid, Etch SSGD B/C AMAT MXP Etch
1327 Applied Materials 0010-30421 ASSY WXZ HEATER 8″ JHT .029 OSCR WXZ (Applied Materials 0010-30421)
1328 Applied Materials 0010-30421, Applied Materials 0010-03349, Applied Materials, ASSY HEATER 8″ NHT .29 OSCR WXZ
1329 Applied Materials 0010-31177 / 300MM MAGNET /APPLIED MATERIALS
1330 Applied Materials 0010-32221 / ASSEMBLY ROUGH THROTTLE VALVE DRIVE 300MM / AMAT
1331 Applied Materials 0010-35384, ASSEMBLY WAFER LIFT RTP
1332 Applied Materials 0010-35456 / EPSILON 300MM DUAL HEATED ZONE ASSY. / APPLIED MATERIAL
1333 Applied Materials 0010-35457 / EPSILON 300MM ASSY, BASE SUB-LEVEL / APPLIED MATERIAL AMAT
1334 Applied Materials 0010-35502 RF Match, Assy. W/peak Detector
1335 Applied Materials 0010-35713 / ASSEMBLY,FAN MODULE,DOME,DPS CENTURA / APPLIED MATERIALS AMAT
1336 Applied Materials 0010-35779, Applied Materials, ASSY, MATCH, H-5/H-6 SOURCE, DPS POLY
1337 Applied Materials 0010-36162, Applied Materials 0010-33641, APPLIED MATERIALS, RF MATCH,SIMPLE CATHODE
1338 Applied Materials 0010-36388 Lamp Module, Assy. DTCU DPS Poly AMAT
1339 Applied Materials 0010-36394, APPLIED MATERIALS, ASSEMBLY COIL H12, DPS POLY
1340 Applied Materials 0010-36523, SUSC ASSY, 150mm CLF3-DCS
1341 Applied Materials 0010-36523,AMAT, SUSC ASSY, 150mm CLF3-DCS
1342 Applied Materials 0010-36523,AMAT, SUSC ASSY, 150mm CLF3-DCS
1343 Applied Materials 0010-36617 RF Feedthru, Assy. DTCU DPS Poly AMAT
1344 Applied Materials 0010-36617, ASSY RF FEED THRU DTCU DPS POLY
1345 Applied Materials 0010-36618 /DPS DOME ASSEMBLY 0200-39137-B /APPLIED MATERIALS
1346 Applied Materials 0010-37054 / ASSY, HEAT EXCHANGER, HI-TEMP, DI-WATER / APPLIED MATERIALS AMAT
1347 Applied Materials 0010-37138, APPLIED MATERIALS, DXZ HEATER ASSY, 8″ HI-TEMP ALUM, VER 2.
1348 Applied Materials 0010-37151, APPLIED MATERIALS, THROTTLE ASSY, UNIV DIRECT DRIVE C-PLUG
1349 Applied Materials 0010-37176 / ASSY ESC, 300MM LCA DPS/ APPLIED MATERIALS
1350 Applied Materials 0010-37501 / DUAL VAPORIZER CABINET, PHOENIX, 300MM, GEMINI II/ APPLIED MATERIAL
1351 Applied Materials 0010-37899 Recess Etch, Assy. 2.75 Window, DPS AMAT Centura
1352 Applied Materials 0010-37918,0100-00376/ASSY,PCB ENCLOSURE,SOURCE SINGLE CONDITIONING UNIT/AMAT
1353 Applied Materials 0010-38023 / ASSY, TI VIEW LID / APPLIED MATERIALS AMAT
1354 Applied Materials 0010-38166; AMAT, ASSEMBLY CHAMBER LID , PHASE III TRENCH
1355 Applied Materials 0010-38265, AMAT, TEOS INTLK ASSY A/B & C/D
1356 Applied Materials 0010-38743, APPLIED MATERIALS, ASSY, OPTICAL RECESS ENDPOINT MODULE
1357 Applied Materials 0010-38810, APPLIED MATERIALS, ASSY, THROTTLE VALVE UPSTREAM, NITRIDE W
1358 Applied Materials 0010-39867 HIGH VOLTAGE MODULE, AMAT
1359 Applied Materials 0010-40093, APPLIED MATERIALS, E-CHUCK PEDESTAL ASSY, 150MM SF, BIAS QC
1360 Applied Materials 0010-40098, AMAT, Assembly ESC POWER SUPPLY HDP-DE
1361 Applied Materials 0010-40240, IHC Assembly
1362 Applied Materials 0010-40296, APPLIED MATERIALS, ANTENNA-DOME ASSY,DOS,MARK IV,CHAMBER
1363 Applied Materials 0010-41342, 0040-04233 / LCM 300MM TXZ RP CHAMBER /AMAT
1364 Applied Materials 0010-42030-001 / HEATER PEDESTAL 300MM / APPLIED MATERIALS AMAT
1365 Applied Materials 0010-43036 / DPS II BMA 300MM CHAMBER / APPLIED MATERIALS AMAT
1366 Applied materials 0010-43172-001 Vacuum Hub NEW
1367 Applied Materials 0010-53085-01 / HEATER PEDESTAL 200MM W/ESC REFURB ESIP-REPAIR 10-24456 / AMAT
1368 Applied Materials 0010-54317/ ASSY 0041-31311 4 ZONE V2 FIXED FULL SYM CENTURA CENTRIS DPS2S/ AMAT
1369 Applied Materials 0010-54318 /ASSY 4 ZONE V2 FIXED F CENTURA CENTRIS DPS2 / APPLIED MATERIAL
1370 Applied Materials 0010-54967 / GAS BOX WITH YAMAMOTO DIFFERENTIAL PRESSURE SWITCH MS65L / AMAT
1371 Applied Materials 0010-56222, AMAT, CERAMIC, DUAL ZONE HEATER
1372 Applied Materials 0010-59789 0040-82421 AMAT 300MM Producer Heater “New”
1373 Applied Materials 0010-60005; APPLIED MATERIALS, 5 INCH SILANE SUSCEPTOR (PLATE STOCK)
1374 Applied Materials 0010-70057 LLC Lid, Assy. 29 Position AMAT P-5000
1375 Applied Materials 0010-70058 Storage Elevator, Assy. 29 Position AMAT P-5000
1376 Applied Materials 0010-70131 Wafer Orienter, Assy. 8″ P-5000 AMAT
1377 Applied Materials 0010-70166 / STORAGE ELEVATOR 15 SLOT/ APPLIED MATERIALS
1378 Applied Materials 0010-70270 / ASSY HP 101 HTR LIFT / APPLIED MATERIALS AMAT
1379 Applied Materials 0010-70271, APPLIED MATERIALS, ASSY 101 WAFER LIFT
1380 Applied Materials 0010-70321 / SLIT VALVE ACTUATOR GATE ASSEMBLY P5000 / APPLIED MATERIALS AMAT
1381 Applied Materials 0010-70441 / ASSEMBLY, BASIC MOTORIZED LIFT /APPLIED MATERIALS
1382 Applied Materials 0010-70751; AMAT, ASSEMBLY DELTA TEOS GAS BOX W/CHEMRAZ ORINGS
1383 Applied Materials 0010-75004; AMAT; LEFT NARROW BODY LOADLOCK CHAMBER AND DOOR ASSEMBLY
1384 Applied Materials 0010-75005; Applied Materials, RIGHT NARROW BODY CHAMBER AND DOOR ASSEMBLY
1385 Applied Materials 0010-75405; AMAT, ASSEMBLY HOT CAN CVD
1386 Applied Materials 0010-75508 / ASSY, BASIC SINGLE SLOT COOLDOWN, NON-EN / APPLIED MATERIALS
1387 Applied Materials 0010-75524 / ASSY, HDP EXTENDED ROBOT DRIVER UPPER/LO (SET OF 2) / AMAT
1388 Applied Materials 0010-75533 / ASSY, HDP EXTENDED ROBOT / APPLIED MATERIALS AMAT
1389 Applied Materials 0010-76000 / ROBOT 4, 5, 6 INCH ASSEMBLY DRIVE P5000 (AS/IS) / AMAT
1390 Applied Materials 0010-76036 / ASSY MINI CONTROLLER W/TEOS OPTION VERSI / APPLIED MATERIALS AMAT
1391 Applied Materials 0010-76036 Mini Controller, Assy. W/Teos Option
1392 APPLIED MATERIALS 0010-76036 REV B MINI CONTROLLER
1393 Applied Materials 0010-76152 / ASSY, 8 HTHU HEATER / APPLIED MATERIALS AMAT
1394 Applied Materials 0010-76182; Applied Materials 0010-76183; AMAT, CENTURA MASS STORAGE MODULE STANDARD
1395 Applied Materials 0010-76270 Robot Blade, 150 MM JMF HTHU AMAT Endura
1396 Applied Materials 0010-76412, Applied Materials, ASSY 6″ QUARTZ BLADE
1397 Applied Materials 0010-76467 / ASSY HEAT EXCHANGER MODIFIED AMAT-0 208V / AMAT
1398 Applied Materials 0010-76467 / ASSY HEAT EXCHANGER MODIFIED AMAT-0 208V / APPLIED MATERIALS
1399 Applied Materials 0010-76474, Applied Materials, ASSY,CERAMIC 8″ BUFFER BLADE
1400 Applied Materials 0010-76543 Heat Exchanger, AMAT-1 208V
1401 APPLIED MATERIALS 0010-76693 MAGNET ASSY, G3 MAGNET
1402 Applied Materials 0010-77296, Applied Materials, ASSEMBLY, NO-LAP, NOTCHED
1403 Applied Materials 0010-77323, AMAT, GEAR ASSY
1404 Applied Materials 0010-77323, CMP GEAR ASSEMBLY
1405 Applied Materials 0010-90812; AMAT, GAS PANEL
1406 Applied Materials 0010-99060; GAS MODULE SDS (UNIT UFC 1660)
1407 Applied Materials 0010-B8740 / CLT AIR FAN PACK CONTROLLER UNIT / APPLIED MATERIALS AMAT
1408 APPLIED MATERIALS 0015-00356; APPLIED MATERIALS, CHAMBER SET POWER SUPPLY
1409 APPLIED MATERIALS 0015-00356; APPLIED MATERIALS, CHAMBER SET POWER SUPPLY AND CHMBER SET SERIPLEX
1410 APPLIED MATERIALS 0015-02196 / COOLDOWN CHAMBER W/ SWLL INDEXER 0010-22773 / AMAT
1411 APPLIED MATERIALS 0015-02197-001 / RT COOL DOWN CHAMBER W/ SWLL INDEXER 0010-22773 / AMAT
1412 Applied Materials 0020-03811, AMAT, DISK, SHUTTER, B101, TAN, DIA 7.94, 200M
1413 Applied Materials 0020-04172, AMAT, INSERT, BASE (EXT, CATHODE)
1414 Applied Materials 0020-04695 / REAR HOUSING, BEAMSTOP / APPLIED MATERIALS AMAT
1415 Applied Materials 0020-07569; LINER G.D.P R2 OXIDE
1416 Applied Materials 0020-09029 / 5200 EXTENDED CATHODE / APPLIED MATERIALS AMAT
1417 Applied Materials 0020-090660, Applied Materials, CHAMBER BODY
1418 Applied Materials 0020-10414, APPLIED MATERIALS, AMPULE, TEOS/DOPANT
1419 Applied Materials 0020-10727 AMAT COVER,TOP,4,5,6″ TEOS
1420 Applied Materials 0020-13679, AMAT, PLATE,HT-COOLDOWN PEDESTAL,200/300MM
1421 Applied Materials 0020-13814, Applied Materials, 200MM BLADE W/WAFER POCKET MC-ROBOT P500
1422 Applied Materials 0020-13814, Applied Materials, 200MM BLADE W/WAFER POCKET MC-ROBOT P500
1423 APPLIED MATERIALS 0020-16859-002 0021-78097 RL SMC E/P REGULATOR ITV2011-31N3N4-
1424 Applied Materials 0020-19001 Spacer, Slit Valve SHO AMAT Centura
1425 Applied Materials 0020-20897 SOCKET RETAINER 5/6″ DEGAS AMAT PVD
1426 Applied Materials 0020-23027 / INDEXER HOUSING, RIGHT / APPLIED MATERIALS AMAT
1427 Applied Materials 0020-23349 / HEATER INSULATOR HUB / APPLIED MATERIALS AMAT
1428 Applied Materials 0020-26075; SHEILD UPPER 17.5”
1429 Applied Materials 0020-26158 Clamp Ring, 6″ JMF AMAT PVD
1430 Applied Materials 0020-26225 AMAT, ADAPTER FOIL COL 1.25:1 COH TI SST
1431 Applied Materials 0020-27311 AMAT, COVER RING 8″ 101% TI AL FLAME SPRAYED
1432 Applied Materials 0020-31708, AMAT, APPLIED MATERIALS, CLAMP VESPEL OX-MLR-NIT 150MM
1433 Applied Materials 0020-34031, SUPPORT CYLINDER
1434 Applied Materials 0020-34297 TOP COVER, SSGD, R2 CHAMBER AMAT Etch
1435 Applied Materials 0020-34338 /TOWER LOADLOCK COVER 29 SLOT STORAGE ELEVATOR APPLIED MATERIALS AMAT
1436 Applied Materials 0020-34694 / LINER, GDP, R2 OXIDEGECO / APPLIED MATERIALS AMAT
1437 Applied Materials 0020-39360 / RACE UPPER,BEARING UPPER ROTATION, RTP / APPLIED MATERIALS
1438 Applied Materials 0020-39361/ LOWER RACE ASSY RING/APPLIED MATERIALS AMAT
1439 Applied Materials 0020-39685, AMAT, SLEEVE, INSULATING, IR TEMP
1440 Applied Materials 0020-75872, HOOP 6” HTHU HEATER
1441 Applied Materials 0020-76637 / ENDURA BATCH LOAD LOCK 300MM 28 WAFER CASSETTE ASSY / AMAT
1442 Applied Materials 0020-79039 / HOUSING SPINDLE ASSY, 300MM / APPLIED MATERIALS AMAT
1443 Applied Materials 0020-84649 / LINER LOWER YTTRIA COATED HART 3 / AMAT
1444 Applied Materials 0021-00279, APPLIED MATERIALS, FILLER HOUSING, SDLIT VALVE, INSERTS
1445 Applied Materials 0021-01273 LINER POLY MXP PLUS 1/4 THICK REDUCED
1446 Applied Materials 0021-03721 Applied Materials RTP XE Chamber Reflector Plate *NEW*
1447 Applied Materials 0021-09154, AMAT, COVER CLEAR LID, ENHANCED UNIBODY
1448 Applied Materials 0021-09506, 0200-10297, APPLIED MATERIALS, MOUNTING RING,DOME,DPS
1449 Applied Materials 0021-09601 DPS Metal Upper Chamber Body Assembly
1450 Applied Materials 0021-09625 DPS Lower chamber Body.
1451 Applied Materials 0021-09701; AMAT, PLATE PERF 200MM NON R-F
1452 Applied Materials 0021-09759 / FACEPLATE / APPLIED MATERIALS AMAT
1453 Applied Materials 0021-09795, LID TRENCH NARROW GAP
1454 Applied Materials 0021-10699; AMAT, PUMP PORT LINER
1455 Applied Materials 0021-12063 / SHIELD, ONE PIECE, 190MM T-S / APPLIED MATERIALS AMAT
1456 Applied Materials 0021-17726, AMAT, COVER RING, 8″ SIP TA/TAN, SZBESC, INTEL
1457 Applied Materials 0021-19705 / SHIELD, UPPER, 60/70 MM P.D., 300MM / APPLIED MATERIALS AMAT
1458 Applied Materials 0021-21699, Orienter Laser, Wafer Notch Finder
1459 Applied Materials 0021-22485 / BLADE, 8 BUFFER HP+, HTHU, RCF COMPATIB / APPLIED MATERIALS AMAT
1460 Applied Materials 0021-35008 Applied Materials RTP MOD II Reflector Plate *New Surplus*
1461 Applied Materials 0021-35008 PLATE REFLECTOR 200MM XE CHAMBER RTP
1462 Applied Materials 0021-35163 / REFLECTOR PLATE, CHMBR. BOTTOM / APPLIED MATERIALS AMAT
1463 Applied Materials 0021-36095, AMAT RTP SST FRONT PANEL, WBLL
1464 Applied Materials 0021-39570 PLATE, TOP BPSG 200MM XE CHAMBER RTP AMAT
1465 Applied Materials 0021-50053/ LASER DIODE WITH F.O. CABLE MODEL 0129-7082-23S / APPLIED MATERIALS
1466 Applied Materials 0021-55308 / CENTURA CENTRIS DPS2 UPPER CHAMBER LINER / APPLIED MATERIALS AMAT
1467 Applied Materials 0021-76556; CUP SHUTTER 300MM PVD
1468 Applied Materials 0040-00250 / OPERATOR CONT PANEL ASSY / APPLIED MATERIALS AMAT
1469 Applied Materials 0040-02132, AMAT LID PLATE BKM1 ENHANcED TXZ
1470 Applied Materials 0040-02149, APPLIED MATERIALS, HEATER, COMMON 8″ LONG SHAFT ALN – HA12
1471 Applied Materials 0040-02986, Applied Materials, LASED, PEDESTAL, 300MM DUAL HE, HDPCVD
1472 Applied Materials 0040-03046 / CHAMBER, COMMON BODY, TICL4 TI/TIN/ APPLIED MATERIALS
1473 Applied Materials 0040-03142 , 0010-06510/ CHAMBER BODY / AMAT
1474 Applied Materials 0040-03734 / CHAMBER, ORIENTER DEGAS NO. B / APPLIED MATERIALS AMAT
1475 Applied Materials 0040-03897,Applied Materials 0040-41017, / LID ASSEMBLY, 200MM TICL4 TIN / APPLIED MATERIALS
1476 Applied Materials 0040-04151 / BASE, AMPOULE LIFT, LIQ PANEL, TXZ / APPLIED MATERIALS AMAT
1477 Applied Materials 0040-04939, APPLIED MATERIALS, PEDESTAL,COOLDOWN,DPS 200MM
1478 Applied Materials 0040-05042, AMAT
1479 Applied Materials 0040-06170, ADAPTOR LOWER SIP TA
1480 Applied Materials 0040-06170, AMAT
1481 Applied Materials 0040-06960, Applied Materials 0040-07211/ BEAM STOP 300MM/ AMAT
1482 Applied Materials 0040-08136 / SUPPORT, LIFT CYLINDER ,300MM CATHODE, D / APPLIED MATERIALS
1483 Applied Materials 0040-08587 / RING DOME MOUNTING / APPLIED MATERIALS AMAT
1484 Applied Materials 0040-09001 Chamber Body, Standard 4-6″ AMAT CVD
1485 Applied Materials 0040-09094 Chamber, 200MM CVD AMAT CVD
1486 Applied Materials 0040-09094, Applied Materials, CHAMBER 200MM
1487 Applied Materials 0040-09221 Chamber Body, 200 MM AMAT SAVCD
1488 Applied Materials 0040-09221 Chamber Body, 200 MM SAVCD
1489 APPLIED MATERIALS 0040-09557 AMAT USED, CHAMBER BODY ASP
1490 Applied Materials 0040-09557 Chamber Body, ASP
1491 Applied Materials 0040-09557 Chamber Body, ASP AMAT
1492 Applied Materials 0040-09699; AMAT, CHAMBER WXZ
1493 Applied Materials 0040-09893 / R ESP-AM010-R HEX CHAMBER R2 / APPLIED MATERIALS AMAT
1494 Applied Materials 0040-13378 / WING,RT,ENHANCED HP / APPLIED MATERIALS AMAT
1495 Applied Materials 0040-13379 / ARM LEFT HP+ ROBOT / APPLIED MATERIALS
1496 Applied Materials 0040-13379 / ARM LEFT HP+ ROBOT / APPLIED MATERIALS AMAT
1497 Applied Materials 0040-18053 / ESC,TESTED,200MM SNNF BLUE,HDP-CVD / APPLIED MATERIALS AMAT
1498 Applied Materials 0040-18101, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
1499 Applied Materials 0040-18125, Applied Materials, AMAT, LASED, PEDESTAL, 125MM SF MIN
1500 Applied Materials 0040-18200 LASED, PEDESTAL, 200MM SNNF WTM, HDPCVD(Applied Materials 0040-18219)
1501 Applied Materials 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP) / APPLIED MATERIALS
1502 Applied Materials 0040-20010; AMAT, OEM, STANDARD BODY PVD PROCESS CHAMBER
1503 Applied Materials 0040-20100 / MAGNET / APPLIED MATERIALS
1504 Applied Materials 0040-21367, APPLIED MATERIALS, PEDESTAL,UNIVERSAL 200 MM 3 POINT
1505 Applied Materials 0040-23168, APPLIED MATERIALS, MANIFOLD, 300MM CHAMBER WATER LINE
1506 Applied Materials 0040-24127 / PM CHAMBER FIXTURE, 200MM TXZ / APPLIED MATERIALS AMAT
1507 Applied Materials 0040-31815, Applied Materials, SHELL ASSY,200MM,NOTCH(2),MONO,SOLID,
1508 Applied Materials 0040-31992 BASE, WELDMENT,150MM, ESC, SIMPLE CATHODE AMAT Etch
1509 Applied Materials 0040-32042 Base Weldment AMAT Etch
1510 Applied Materials 0040-32243, POLY BASE PEDESTAL
1511 Applied Materials 0040-32513,Applied Materials 0040-32530,0021-38934/ IPS LOWER CHAMBER BODY,EXTENDED,250MM / AMAT
1512 Applied Materials 0040-32530 / CHILLER PLATE,SERP WATER CHANNEL ROOF, I / APPLIED MATERIALS
1513 Applied Materials 0040-32530 Chiller Plate, SERP Water Channel Roof, IPS Chamber
1514 Applied Materials 0040-32543 /RING,MAGNET,LOWER/ APPLIED MATERIALS
1515 Applied Materials 0040-32680; AMAT, PLATE CLAMP LID
1516 Applied Materials 0040-33530; APPLIED MATERIALS, WELDMENT, HE FEED-THRU, WITH SHIELD. 30
1517 Applied Materials 0040-33530; APPLIED MATERIALS, WELDMENT, HE FEED-THRU, WITH SHIELD. 30
1518 Applied Materials 0040-33590 / PLATE, BASE, MOUNTING NOVA / AMAT
1519 Applied Materials 0040-34865; LINER DGDP CHAMBER WAFFLE- LESS
1520 Applied Materials 0040-35134, AMAT CAP EXHAUST
1521 Applied Materials 0040-35433, APPLIED MATERIALS, WLDMT,CHAMBER BOTTOM,RTP
1522 Applied Materials 0040-35851, AMAT, PEDESTAL, ESC, 195mm FLAT, DPS
1523 Applied Materials 0040-35962; APPLIED MATERIALS, WELDMENT, BELLOWS ASSEMBLY, SUSC
1524 Applied Materials 0040-36236, Applied Materials, HEATER, TXZ 1500MM DMF
1525 Applied Materials 0040-37265 / BASE, COOLING, 200MM CATHODE, DUAL HE DPS / APPLIED MATERIALS AMAT
1526 Applied Materials 0040-37642, 0021-13741 / DPS UPPER LINER ASSY PART OF CENTURA CENTRIS / AMAT
1527 Applied Materials 0040-37964 /SUPPORT PLATE QTZ BELL JAR / APPLIED MATERIALS
1528 Applied Materials 0040-38105, Applied Materials 0040-37359, 0010-37784 Applied Materials Ceramic heater
1529 Applied Materials 0040-39032; APPLIED MATERIALS, PLATE NICKEL PLATED TI-XZ
1530 Applied Materials 0040-41940 /CHAMBER WELDMENT, 300MM PVD / AMAT
1531 Applied Materials 0040-44027, APPLIED MATERIALS, PREFLECTOR PLATE, PROBE INJECT, 300MM RAD
1532 Applied Materials 0040-48334 AMAT, LASED PEDESTAL EXTENDED ESC, SNNF W WTM
1533 Applied Materials 0040-48594R / 300MM E-CHUCK REPAIR / AMAT
1534 Applied Materials 0040-49296 004 / 300MM CENTURA PROCESS CHAMBER #40 / APPLIED MATERIALS
1535 Applied Materials 0040-50657 APPLIED MATERIALS VHP 300MM ROBOT ARM COMPLETE SET
1536 Applied Materials 0040-52760 / LIFT TO CATHODE ADAPTER / APPLIED MATERIALS AMAT / VEXTA
1537 Applied Materials 0040-53688 /GAS BOX, REMOTE CLEAN, PRODUCER SE/ APPLIED MATERIALS
1538 Applied Materials 0040-53873 TXZ 300MM MANIFOLD ,AMAT 0021-12855 300mm Quartz
1539 Applied Materials 0040-55456 / BELLJAR, 21 OD 300MM PC XT/XTE / APPLIED MATERIALS AMAT
1540 Applied Materials 0040-61366, Applied Materials, CHAMBER, DI SEAL, METAL ETCH, EDGE GAS
1541 Applied Materials 0040-62193 / PRODUCER CHAMBER BODY, REV001 / APPLIED MATERIALS AMAT
1542 Applied Materials 0040-62413 / SPOOL,300/200MM, PRODUCER-ETCH / APPLIED MATERIALS AMAT
1543 Applied Materials 0040-73095, APPLIED MATERIALS, REV-E3 A-1 MACHINE
1544 Applied Materials 0040-75445 / WRIST ASSY, ROBOT / APPLIED MATERIALS AMAT
1545 Applied Materials 0040-76577 or 0240-44887 AMAT, WEIGHT 300MM 5ZONE PROFILER
1546 Applied Materials 0040-78138 / PLATEN LOWER LK 300 MM / APPLIED MATERIALS AMAT
1547 Applied Materials 0040-80180/ CLAMP RING, UPPER ATMOSPHERIC, 300MM EPI / AMAT
1548 Applied Materials 0040-81156 / CHAMBER UPPER LINER 300MM DPS2 / APPLIED MATERIALS AMAT
1549 Applied Materials 0040-81158 / CHAMBER BODY DPS2 CENTURA CENTRIS 3011602 / APPLIED MATERIAL
1550 Applied Materials 0040-82516 / SGD LOWER SHOWER HEAD,HEA,300MM EMAX/ APPLIED MATERIALS
1551 Applied Materials 0040-83668/HOUSING TALL, 300MM TITAN PROFILER/APPLIED MATERIALS AMAT
1552 Applied Materials 0040-84820 / ENDURA BATCH LOAD LOCK INDEXER WITH MOTOR ASSY. / AMAT
1553 Applied Materials 0040-86768 / GASBOX, BLOCKER BYPASS, HEATED PRODUCER 300MM/ APPLIED MATERIALS
1554 Applied Materials 0040-87756 / UPPER CHAMBER LINER/ APPLIED MATERIALS
1555 Applied Materials 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
1556 Applied Materials 0040-89016, Applied Materials
1557 Applied Materials 0040-89295, AMAT, COVER SHIELD LAMP BASE LOWER 32 LAMP
1558 Applied Materials 0040-93272 / KSM 236-5566 RIGHT LOAD LOCK LIFT ASSY / AMAT
1559 Applied Materials 0040-99859-001 / COIL, LID DPS2 CENTURA CENTRIS / APPLIED MATERIALS AMAT
1560 Applied Materials 0041-00817 / LOWER CHAMBER ADAPTER RADIANCE 300 MM / APPLIED MATERIALS AMAT
1561 Applied Materials 0041-02500 / PVD IMPLANT ENDURA 2 BLLK BATCH LOAD LOCK CHAMBER A ASSY / AMAT
1562 Applied Materials 0041-02501 / PVD IMPLANT ENDURA 2 BLLK BATCH LOAD LOCK CHAMBER B ASSY / AMAT
1563 Applied Materials 0041-05925 / ESC , CERAMIC PLATEN 300MM / APPLIED MATERIALS AMAT
1564 Applied Materials 0041-07781 0010-33416 ESC ASSY / ENABLER EPSILON / APPLIED MATERIAL
1565 Applied Materials 0041-08938 / ESC,TEST 300MM, DUAL HE, CVD / AMAT
1566 Applied Materials 0041-12156 / REFLECTOR PLATE INJECT 300MM RADIANCE PLUS / APPLIED MATERIALS AMAT
1567 Applied Materials 0041-12156 REFLECTOR PLATE, INJECT 300MM RADIANCE
1568 Applied Materials 0041-24876, AMAT, REFLECTOR, FLAT / 1.38R 32 LAMP
1569 Applied Materials 0041-26723 /ESC 300MM/ APPLIED MATERIALS
1570 Applied Materials 0041-26804 /SHUTTER DOOR DRIVE ASSY CENTURA CENTRIS DPS2 004 / AMAT 0040-76764
1571 Applied Materials 0041-30673 / ESC LINER, CENTURA CENTRIS DPS2 / APPLIED MATERIAL AMAT
1572 Applied Materials 0041-37386 / UPPER CHAMBER ASSY W/COIL, DPS2 CENTURA CENTRIS / AMAT
1573 Applied Materials 0041-38660 / CHAMBER BODY, FCVD PRODUCER GT / APPLIED MATERIALS AMAT
1574 Applied Materials 0041-48065 / CHAMBER, 300MM, DEGAS, POSITION C / APPLIED MATERIALS / AMAT
1575 Applied Materials 0041-48290 / FACILITY PLATE FULL SYM ZONE CATHODE / APPLIED MATERIALS AMAT
1576 Applied Materials 0041-49184 / CHAMBER LID FROM CENTURA CENTRIS / APPLIED MATERIALS AMAT
1577 Applied Materials 0041-50966/ ASSY ESC CENTURA CENTRIS DPS2 / AMAT
1578 Applied Materials 0050-03510, APPLIED MATERIALS, MANIFOLD 5-1 PROCESS FUJIKIN VALVE CXZ
1579 Applied Materials 0050-05059; APPLIED MATERIALS, WELDMENT, 5RA FIJIKIN SL1 , SL2, SL3 EXT (1.10)
1580 Applied Materials 0050-06793; APPLIED MATERIALS, FINAL VALVES NITRIDE
1581 Applied Materials 0050-37178, APPLIED MATERIALS, LINE MAIN SIH4/DCS
1582 Applied Materials 0050-38609; APPLIED MATERIALS, WELDMENT MANIFOLD 5 STATION EXTENDED
1583 Applied Materials 0050-41721; AMAT, WELDMENT L6&7&8 PG WXZ VERIFLO VALVE
1584 Applied Materials 0090-00044 Anti Clouding Window AMAT Etch
1585 APPLIED MATERIALS 0090-00330 PVD AL/TTN Interlock Module
1586 Applied Materials 0090-00330, AMAT, ASSEMBLY, ELECTRICAL, PVD AL/TTN INTER
1587 Applied Materials 0090-00357, AMAT, SRD INTERLOCK ASSEMBLY
1588 Applied Materials 0090-00398, Applied Materials, ASSY., ELECTRICAL, HDPCVD 300MM, INTERLO
1589 Applied Materials 0090-01432 /ASSY TC AMP LOW TEMP BESC / APPLIED MATERIALS AMAT
1590 Applied Materials 0090-01433 /ASSY AMP/OT CU SZ CHILLER/ APPLIED MATERIALS
1591 Applied Materials 0090-02783 / CNTL, FLEX CPCI CENTURA AP WITH CCM / APPLIED MATERIALS AMAT
1592 Applied Materials 0090-02830; Applied Materials, PCB ASSY, 300MM PIB INTERFACE T/C PCB A
1593 Applied Materials 0090-04470 / CONTROLLER RTC CPCI ENDURA SHORT BLOCK 1 / AMAT
1594 Applied Materials 0090-06520 /CONTROLLER RTC CPCI ENDURA EXTENDED BLOCK 1 / APPLIED MATERIALS AMAT
1595 Applied Materials 0090-06863 / CIOC ECAT ADVANTAGE / APPLIED MATERIAL AMAT
1596 Applied Materials 0090-09125 AC Window, Assy. Quartz Centered AMAT Etch
1597 Applied Materials 0090-09143 Window Assy, AC Quartz Centered
1598 Applied Materials 0090-09143 Window Assy, AC Quartz Centered AMAT Etch
1599 Applied Materials 0090-20415, Applied Materials, ASSY., ELECTRICAL,PLATING CELL INTERLOCK
1600 Applied Materials 0090-35052 Pyrometer, Assy. 300-1300C
1601 Applied Materials 0090-35788, ASSY, MFA CHAMBER RF, PRODUCER, 300MM
1602 Applied Materials 0090-36399, Applied Materials, AMAT, SHELL ASSY, 195MM SEMI NOTCH
1603 Applied Materials 0090-75015 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040
1604 Applied Materials 0090-77000, AMAT, ASSY SENSOR VAC PT-613 PM1
1605 Applied Materials 0090-90351 /WPRE A CHAS.PSU-SPEC 0190-90291/ APPLIED MATERIALS
1606 Applied Materials 0090-90600 / CHAS MDL.FLOOD GUN CONT. / APPLIED MATERIALS AMAT
1607 Applied Materials 0090-91248 /CHASS WIRED 8KW AMAG CONVERTER/ APPLIED MATERIALS
1608 APPLIED MATERIALS 0090-91251 ITL BEAMLINE INST CHASSIS MODULE APPLIED MATERIALS AMAT IMPLANT
1609 Applied Materials 0090-91251 ITL BEAMLINE INST CHASSIS MODULE APPLIED MATERIALS AMAT IMPLANT
1610 Applied Materials 0090-91436 / PRE ACCEL/AMAG CTRL CHASSIS / APPLIED MATERIALS AMAT
1611 Applied Materials 0090-91717ITL / PLASMA FLOOD CHASSIS REV. G / APPLIED MATERIALS
1612 Applied Materials 0090-A3600A / SYNETICS POWER CONTROL MODULE AC/DC / APPLIED MATERIAL AMAT
1613 Applied Materials 0090-A4861 / CIB3 DR ASSY DIG. CONT / APPLIED MATERIALS AMAT
1614 Applied Materials 0090-A6200 / IA CAGE ASSEMBLY, 17 CARD CAGE / APPLIED MATERIALS AMAT Applied Materials 0100-A2390
1615 Applied Materials 0090-B0601 / IP RACK APDU UVISION 3HS-18S / APPLIED MATERIALS AMAT
1616 Applied Materials 0090-B0971 / SWIFT CAGE 18 CARD CAGE / APPLIED MATERIALS AMAT
1617 Applied Materials 0100-00192 Rev. F Sense AMP Boards Assy.
1618 Applied Materials 0100-00192 Sense AMP Boards Assy.
1619 Applied Materials 0100-00308, Applied Materials, PCB ASSEMBLY, INCOMPATIBLE GAS INTERLOCK
1620 Applied Materials 0100-00316, AMAT, PCB ASSEMBLY, MOTOR DRIVER DISTRUBUTION
1621 Applied Materials 0100-00610, APPLIED MATERIALS, PCB ASSEMBLY, INTERLOCK SELECT, ENDURA 3
1622 Applied Materials 0100-01018, Applied Materials, REM RACK CONTROL
1623 Applied Materials 0100-01132, Applied Materials, PCB ASSEMBLY, CHAMBER LIFT RF FILTER
1624 Applied Materials 0100-01312, APPLIED MATERIALS, PCB ASSEMBLY, CHAMBER I/O DISTRIBUTION,
1625 Applied Materials 0100-01439 / PCB LOOP CONTROLLER CE LCVME-10X / APPLIED MATERIALS AMAT
1626 Applied Materials 0100-09002, Applied Materials, PCB ASY VME SBC
1627 Applied Materials 0100-09006 / INTELLIGENT INTERFACE / APPLIED MATERIALS AMAT
1628 Applied Materials 0100-09172, Applied Materials, ASSY 8 CHANNEL EMISSION LASER EDPT BD
1629 Applied Materials 0100-09216, AMAT, PCB ASSY ESC HIGH VOLTAGE CONTROLLER
1630 Applied Materials 0100-09298, AMAT, HV CONTROLLER, ESC
1631 Applied Materials 0100-18043 / ASSEMBLY, PCB REMOTE SERIPLEX I/O HDP-CV / AMAT
1632 Applied Materials 0100-20327, Applied Materials, PCB ASSY. WAFER DETECT 1
1633 Applied Materials 0100-38002, 0190-36251, AMAT
1634 Applied Materials 0100-38039, Applied Materials, PCB,INTERLOCK,ICP
1635 Applied Materials 0100-40021, AMAT, PCBA,MAINFRAME BACKPLANE
1636 Applied Materials 0100-76004, Applied Materials 0100-01985, Applied Materials, PCB ASSY, CENTERFINDER CONTROL
1637 Applied Materials 0100-76005 / PCB ASSY, SERIAL/VIDEO/ DCN DISTRIBUTION / APPLIED MATERIALS AMAT
1638 Applied Materials 0100-76042 / ASSY, PCB EWOB AND OTF/CENTERFINDER W/ EXCHANGE / APPLIED MATERIALS
1639 Applied Materials 0100-76046, AMAT, PCB GAS PANEL INTERFACE (W/O STANDOFFS)
1640 Applied Materials 0100-76085, AMAT, PCB, ASSY SYSTEM ELECTR BAKPLANE
1641 Applied Materials 0100-76110, Applied Materials, AMAT, ASSY, SYSTEM AC INTERLOCK DISTRIBUTION
1642 Applied Materials 0100-76124 / DIGITAL INPUT OUTPUT PCB / APPLIED MATERIALS AMAT
1643 Applied Materials 0100-76260; AMAT, ASSEMBLY 8″ HTHU TRANSFER BLADE
1644 Applied Materials 0100-76260; AMAT, ASSEMBLY 8″ HTHU TRANSFER BLADE
1645 Applied Materials 0100-77001, OBS ASSEMBLY PCB CONTROLLER I/O
1646 Applied Materials 0100-A2630 / MOTHERBOARD W 3 DAUGHTER Applied Materials 0100-A2850 Applied Materials 0100-A2860 / AMAT
1647 Applied Materials 0150-01412, AMAT, CABLE ASSY, 2 MHZ COAXIAL, 75 FT, REACTI
1648 Applied Materials 0150-35207, AMAT, HARNESS ASSY MAINFRAME UMBILICAL CMJ3
1649 Applied Materials 0150-35210, AMAT, HARNESS ASSY CHAMBR A-B- C-D
1650 Applied Materials 0150-35567, AMAT, C/A MAIN FRAME UMBILICAL #1, 55 FT
1651 Applied Materials 0150-35568, AMAT, C/A MAIN FRAME UMBILICAL #2, 55 FT
1652 Applied Materials 0150-35569, AMAT, C/A MAIN FRAME UMBILICAL #3, 55 FT
1653 Applied Materials 0150-35570, AMAT, C/A LOAD LOCK UMBILICAL, 55 FT
1654 Applied Materials 0150-35571, AMAT, C/A PNEUMATIC’S UMBILICAL, 55 FT
1655 Applied Materials 0150-75205, AMAT, EMC COMP,CABLE ASSY CHAM UMBIL,A,B,C,D 4
1656 Applied Materials 0150-76206, AMAT, EMC COMP., CABLE ASSY, CHMBR UMILICAL
1657 Applied Materials 0190-00209 / RF MATCH, ADVANCED ENERGY, AZX90/ AMAT
1658 Applied Materials 0190-00313; STATOR MAGLEV ROTATION
1659 Applied Materials 0190-00499 AMAT, PVD TARGET,
1660 Applied Materials 0190-00499 AMAT, PVD TARGET,
1661 Applied Materials 0190-00824, amat
1662 Applied Materials 0190-00969, EBARA ET300WS
1663 Applied Materials 0190-01543, Applied Materials, INTERLOCK GAS PANEL MODULE POLY-300MM
1664 Applied Materials 0190-01898 / MODULAR DNET IO CONTROLLER / APPLIED MATERIALS AMAT
1665 Applied Materials 0190-02751 / 300MM AUTOMATIC DOOR OPENER / APPLIED MATERIALS AMAT
1666 Applied Materials 0190-03509; Applied Materials, TARGET,DIFF BONDED TI W/O C’BORE DURAS
1667 Applied Materials 0190-05395 / TARGET,DIFFUSION BONDED,200MM SIP TA(4N5) 12.279″(0.250″T) / AMAT
1668 Applied Materials 0190-05990 / CONTROLLER, MAGLEV ROTATION SYSTEM, 300M / APPLIED MATERIALS AMAT
1669 Applied Materials 0190-07285, Applied Materials, MATCH, AUTO MATCH NETWORK, 13.56MHZ,3KW
1670 Applied Materials 0190-07959 Applied Materials 0190-08279 / 10KW 480VAC ENI DCG-200Z / APPLIED MATERIALS AMAT / ENI
1671 Applied Materials 0190-07959,DC21M-Z141300110A / PWRSP DC 10KW 480VAC ENI LOW-Z DNET N/ AMAT
1672 Applied Materials 0190-07964 ,DC24M-Z141300110A / PWRSP 40KW 480VAC ENI MASTER(20KW) DNET/ AMAT
1673 Applied Materials 0190-07969/PWRSP 60KW 480VAC ENI SLAVE(20KW) DNET DCG-200Z-S00/APPLIED MATERIALS
1674 Applied Materials 0190-08677 / PDX 900-2V GENERATOR RF 900W 350KHZ, 3156024-132/ ADVANCED ENERGY
1675 Applied Materials 0190-09272 Directional Coupler, 1500 Watts AMAT ASP
1676 Applied Materials 0190-09379 (REV.A) / WPCB ASSY VME CPU SYNERGY UPGRADED / AMAT
1677 Applied Materials 0190-09396, APPLIED MATERIALS, MFC, 750SCCM VERTICAL MTR. H2O VDSII
1678 Applied Materials 0190-09454 / ASSY, DCVD ENDPOINT DETECTOR */ APPLIED MATERIALS AMAT
1679 Applied Materials 0190-09521, Plate, Chuck, Plasma
1680 Applied Materials 0190-09843 / RF GEN, 13.56MHZ, 1.25KW, 480VAC, COMDEL / APPLIED MATERIALS AMAT
1681 Applied Materials 0190-09845W / CLX-2500 RF GENERATOR F91336R3 / COMDEL
1682 Applied Materials 0190-11355, AMAT, .650 CALIBR REF.3 CHNL HTR LEVEL 300MM
1683 Applied Materials 0190-11595 / DCG-200 DC PWR SUPPLY, 40KW, 480VAC, ENI OPTIMA / AMAT
1684 Applied Materials 0190-11660W / DRVR MEGATORQUE W/ IMROVED IN-RUSH AND R / APPLIED MATERIALS
1685 Applied Materials 0190-12063 / SPECIFICATION, NK EG/EGA ROBOT, M.L., 14 / APPLIED MATERIALS AMAT
1686 Applied Materials 0190-12122 / 6-PORT ROTARY UNION, 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
1687 Applied Materials 0190-13321, AMAT
1688 Applied Materials 0190-13407 / PDX-500,GEN RF 325-375KHZ 500W 190-230VAC / APPLIED MATERIALS AMAT
1689 Applied Materials 0190-14992 / RF GEN GHW-12, 13.56MHZ,1.25KW,480VAC, ENI /APPLIED MATERIALS AMAT
1690 Applied Materials 0190-16362 / DRIVE SYSTEM COMPACT AG 60, 3X200-240V AC, 0.5A, 0.33KW / AMAT
1691 Applied Materials 0190-17056 / DRIVER, 6 SCR LAMP 15 ZONE RADIANCE / APPLIED MATERIALS AMAT
1692 Applied Materials 0190-17779, 3150292-007 / VHF OVATION 2760 RF GENERATOR / APPLIED MATERIALS AMAT
1693 Applied Materials 0190-18146, Applied Materials, ETO RF GEN 13.56 MHZ SSD DRIVER,ULTIMA
1694 Applied Materials 0190-19022-001, 3156110-005 / RF GENERATOR APEX 1513- ADVANCED ENERGY / AMAT
1695 Applied Materials 0190-20048, AMAT, VME,SBC SYNERGY BD PCKGE ASSY,
1696 Applied Materials 0190-20077 ENDURA MAIN AC Transformer AMAT
1697 Applied Materials 0190-21110 / POWER SUPPLY, DC 12KW W/CE MARK;AE 3152313-002B; MDX-L12M 650/ AMAT
1698 Applied Materials 0190-21782, AMAT
1699 Applied Materials 0190-22250W | Amat Kensington 4-Axis Robot
1700 Applied Materials 0190-22269 / SERVER SYSTEM IBM 8836-PCG / AMAT
1701 Applied Materials 0190-22928/002 / DC PLASMA GENERATOR ENI DCG-200Z OPTIMA / APPLIED MATERIALS ENI
1702 Applied Materials 0190-23318-001 / ESERVER IBM / APPLIED MATERIALS AMAT
1703 Applied Materials 0190-23671, APPLIED MATERIALS, DC PWR SUPPLY, 20KW, 480VAC, 200VDC ARC TRIP LEVE
1704 Applied Materials 0190-23942 / 300MM DPS (OPUS) ESC / APPLIED MATERIALS
1705 Applied Materials 0190-24680 / SPECIFICATION CENTURA AP PANEL TEMPERATURE CONTROLLER / AMAT
1706 Applied Materials 0190-25187, RMN-50N6 / DAIHEN RF GENERATOR 5KW 2MHZ-13MHZ / AMAT
1707 Applied Materials 0190-27049w ae ovation 35162 3,5kw,162 MHz RF power supply 3150861-002
1708 Applied Materials 0190-2838,3155166-013 / NAVIGATOR RF MATCH ASSY / AMAT
1709 Applied Materials 0190-29389, 3150861-010E / ADVANCED ENERGY POWER SUPPLY VHF OVATION 35162 / AMAT
1710 Applied Materials 0190-29997W / 3155132-005 NAVIGATOR 3013 RF MATCH / APPLIED MATERIALS AMAT
1711 Applied Materials 0190-30128 / ROTOR MAG LEV WRLD THIN SHORT POST 30 / APPLIED MATERIALS AMAT
1712 Applied Materials 0190-33634 / TOYOTA T600 DRY PUMP / APPLIED MATERIALS AMAT
1713 Applied Materials 0190-34492 / COMET AUTOMATCH P/N 20031426 13.66MHZ / APPLIED MATERIALS AMAT
1714 Applied Materials 0190-35197 / GAS PANEL MANIFOLD “G” / APPLIED MATERIALS AMAT
1715 Applied Materials 0190-35198 Optical Sensor, Assy AMAT
1716 Applied Materials 0190-35236 / LUXTRON M-100 MODEL 100C OPTICAL FIBER TEMP CONTROL SYSTEM / AMAT
1717 Applied Materials 0190-35765, AMAT, Applied Materials, SERIPLEX MUX I/O PCB
1718 Applied Materials 0190-36237, APPLIED MATERIALS, VALVE, INJ. 208V TEPO/TEOS 150C STEC 2410A
1719 Applied Materials 0190-37105 / VAT VALVE CENTURA CENTRIS DPS2 / AMAT
1720 Applied Materials 0190-38395 FP7525RA / COMDEL 3500W RF POWER SUPPLY MODEL CB3500 /AMAT COMDEL
1721 Applied Materials 0190-40045, Applied Materials, CARD,CONTRL 8MB CPU
1722 Applied Materials 0190-40086 / WCARD,SBC W/MEZ,33 MHZ 16 MBYTE V36-AMAT / AMAT
1723 Applied Materials 0190-41508 / 3155132-013 TOP MATCH CENTURA CENTRIS 300MM / APPLIED MATERIAL AMAT
1724 Applied Materials 0190-42463, B-5002-12054 / MKS ENI RF GEN SPECTRUM 5KW 1.8-2.17 MHZ / AMAT
1725 Applied Materials 0190-44075-001 / 3155301-006 CENTURA ETCH CENTRIS 300MM MATCH / APPLIED MATERIAL
1726 Applied Materials 0190-45636 / RF PLASMA GENERATOR AX-3000III AD TEC / APPLIED MATERIALS AMAT
1727 Applied Materials 0190-45660 Robot Extended Wrist Kawasaki 3NS410B-B502
1728 Applied Materials 0190-60031; APPLIED MATERIALS, TARGET , BONDED TI (4N5) 12.73X0.46 DB, 99.995 %
1729 Applied Materials 0190-70080; AMAT, ENI OEM- 12B-02; POWER SUPPLY RF1250W 13.56MHZ
1730 Applied Materials 0190-76043, AMAT, SBC SYNERGY SBC 68040
1731 Applied Materials 0190-76129 / WEMC COMP HEATER PUMP1200W 50/60HZ WATER COOLED / APPLIED MATERIALS
1732 Applied Materials 0190-76191W/ PWRSPY, DC 12KW W/ CE MARK AE # 3152344-100 (REVISION B OR C)/ AMAT
1733 Applied Materials 0190-76273/3 PHASE DUAL ZONE HEATER/200MM PVD LAMP DRIVER/APPLIED MATERIALS AMAT
1734 Applied Materials 0190-76273; AMAT, DRIVER PVD LAMP/HEATER DRIVER
1735 Applied Materials 0190-76276 / ASSY. FEEDTHRU 300MM SOURCE / APPLIED MATERIALS AMAT / DEUBLIN
1736 Applied Materials 0190-A1844B /UVISION 5 DOVER MODEL DMM2310 POWER SUPPLY /APPLIED MATERIALS AMAT
1737 Applied Materials 0190-B0150 / ADV. LDU ESI GEM1KHZ AL 193NM 1127985 / APPLIED MATERIALS AMAT
1738 APPLIED MATERIALS 0195-02529
1739 Applied Materials 0195-07328 / AC PANEL, CENTURA CENTRIS DPS2 / APPLIED MATERIALS AMAT
1740 Applied Materials 0195-07895 / 300MM ENDURA FUSE BOX 600A / APPLIED MATERIALS AMAT / EATON
1741 Applied Materials 0195-07896 / 300MM ENDURA FUSE BOX 700A REVISION 01 / APPLIED MATERIAL AMAT
1742 Applied Materials 0195-08340 / CHAMBER AC BOX, R2, PRODUCER GT / APPLIED MATERIALS AMAT
1743 Applied Materials 0195-10582 / CENTURA CENTRIS CHASSIS CONTROL BOX / APPLIED MATERIALS AMAT
1744 Applied Materials 0195-10755 / CONTROL BOX 2 CHAMBER BMA ADVANTAGE GT-XP UPG101896-P1 / AMAT
1745 Applied Materials 0200-00175 /DOME CERAMIC, POLY DPS CHAMBER/ APPLIED MATERIALS
1746 Applied Materials 0200-00248, Cell Top, 197mm ID. CERAMIC IECP
1747 Applied Materials 0200-00822, Applied Materials, DOME QUARTZ,POLY DPS
1748 Applied Materials 0200-01080, Applied Materials, DEP RING, PVD PROCESS, 300MM ESC
1749 Applied Materials 0200-01142, AMAT; SUPPORT EDGE LIFT , 3 FINGER, CONE TIP
1750 Applied Materials 0200-01353, APPLIED MATERIALS, 150MM CERAMIC HEATER
1751 Applied Materials 0200-02814 / DPS+POLY,ESC PUCK,MACHINED / APPLIED MATERIALS
1752 Applied Materials 0200-03598 BLANK LID, PHOTOMASK TETRA II Centura DPS
1753 Applied Materials 0200-09179, AMAT, Applied Materials, INSULATING PIPE,QTZ,
1754 Applied Materials 0200-09761 Clamping Ring AMAT Etch
1755 Applied Materials 0200-09763, AMAT, RING,CLAMPING,NOTCH,AL, 200MM,1.11 HT,FI
1756 Applied Materials 0200-09994 Ring Inner, ALN, 8″/5MM,JMF,201, WXZ AMAT CVD
1757 Applied Materials 0200-10173 Plate, Cover 200 MM DXZ Heater A.K.A 0200-36630
1758 Applied Materials 0200-35007 DOME,UPPER, RP AMAT Centura
1759 Applied Materials 0200-35023, AMAT, CHAMBER LINER, QTZ, LOWER RP
1760 Applied Materials 0200-35076, QUARTZ LOWER CHAMBER LINER
1761 Applied Materials 0200-35108, AMAT, SUSC PROFILE 150 MM EPI R3 ROTATION
1762 Applied Materials 0200-35162 Liner, Chamber, Upper, BRKT/ AMAT
1763 Applied Materials 0200-35183, AMAT, SUSC. TOS R3 ROTATION 150MM, EPI
1764 Applied Materials 0200-35208 SHAFT SUSCEPTOR SUPPORT POLY/BCCD R3 ROT
1765 Applied Materials 0200-35235, AMAT, SUSCEPTOR, SGL, R3 ROT., EPI, 200MM
1766 Applied Materials 0200-35256 Ring, Preheat AMAT Centura
1767 Applied Materials 0200-35358, AMAT, SUSCEPTOR, XYC R3 ROTATION, 150MM, EP
1768 Applied Materials 0200-35511, AMAT, SUSC,TOS,R3 ROTATION,200MM,EPI
1769 Applied Materials 0200-35706 AMAT Ceramic DxZ Liner
1770 Applied Materials 0200-36630 Plate Cover, Heater 8″ DXZ AMAT CVD
1771 Applied Materials 0200-39132 AMAT Plate, Cover 8″, Heater DxZ, Patterned
1772 Applied Materials 0200-39295 / DPS DOME 300MM / APPLIED MATERIALS AMAT
1773 Applied Materials 0200-89012, AMAT, SUSCEPTOR, TEMPERATURE CALIBRATION
1774 Applied Materials 0240-13406, AMAT 6inch Robot blade Assembly
1775 Applied Materials 0240-13406; AMAT, ASSY, 6″ QUARTZ BLADE & SHIP C0NTAINER
1776 Applied Materials 0240-15973 / 300MM ADO KENSINGTON NEWPORT 15-3000-0000-00/ AMAT LOAD PORT 9 LED
1777 Applied Materials 0240-22397 / 6″ SST/ MATL 101 PED/CVR RING KIT / APPLIED MATERIALS AMAT
1778 Applied Materials 0240-28838 / KIT, NK 4 ROLLER PLUNGER 85-3708-0001-02 / APPLIED MATERIALS AMAT
1779 Applied Materials 0240-31632 Kit, Wafer Pos.Sensor 29 Slot, 200 MM P-5000
1780 Applied Materials 0240-33231,854373-006 /6” SUSCEPTOR CALIBRATION KIT/AMAT
1781 Applied Materials 0240-75129 / PVD WIDEBODY CHAMBER / APPLIED MATERIALS AMAT
1782 Applied Materials 0240-76146; Applied Materials, CENTURA MAINFRAME PNEUMATICS ASSEMBLY
1783 Applied Materials 0242-00565 AMAT Kit, Slit Liner Door, Clamp Lid, Viton/C
1784 Applied Materials 0242-01795, 0010-13758/ SPARES, 8″ TRANSFER CVD COMPAT.BLADEW/CONTAINER/AMAT
1785 Applied Materials 0242-07456 / KIT, APPLICATOR, 1.5KW WXZ UWAVE / APPLIED MATERIALS
1786 Applied Materials 0242-09588 AMAT Etch, Kit, Magnet Driver, CMF
1787 Applied Materials 0242-09589 / KIT, MXP+/EMXP+ SWAP,CLAMP LID, 5200 / APPLIED MATERIALS AMAT
1788 Applied Materials 0242-12663 / FI SERVICE LIFT KIT / APPLIED MATERIALS AMAT
1789 Applied Materials 0242-14110 300 mm ESC CH Controller with 14 day warranty
1790 Applied Materials 0242-15424, 0010-07409; 200MM EMAX LID
1791 Applied Materials 0242-21289/ROBOT,P5000,DRIVE 8″/ APPLIED MATERIALS
1792 Applied Materials 0242-24854 / KIT, GVDE, 200MM DPN / APPLIED MATERIALS AMAT
1793 Applied Materials 0242-37884, AMAT
1794 Applied Materials 0242-70220, 0010-13321R / ROBOT,P5000,DRIVE 8 / APPLIED MATERIALS AMAT
1795 Applied Materials 0242-85184, KiT RF , FEEDTHRU, HR DTCU, RTROFIT DPS
1796 Applied Materials 0242-86852 / KIT, SPARES, 1.5K WXZ UWAVE / APPLIED MATERIALS AMAT
1797 Applied Materials 0242-88819 ,0010-21676/ VECTRA IMP 1 SOURCE ASSY PVD/ AMAT
1798 Applied Materials 0246-01055 ,0041-05819, 0041-27270 /300MM PRODUCER SE, KIT SILANE / APPLIED MATE
1799 Applied Materials 0246-08709 / DPS2 ETCH CHAMBER 300MM ESC CATHODE RF HEATED DPS2/ AMAT
1800 Applied Materials 0270-02530 / ASSY 300MM TOOL VIEW LID 0040-02290 / APPLIED MATERIALS AMAT
1801 Applied Materials 0270-35183 Susceptor Leveling Tool
1802 Applied Materials 0290-09018 / HEAT EXCHANGER CONFIGURE / APPLIED MATERIALS
1803 Applied Materials 0290-09275 Version 4 Teos Hotbox Intel Precision 5000
1804 Applied Materials 0290-20094 VECTRA IMP CHAMBER, B101, AMAT ENDURA “REFURBISHED”
1805 Applied Materials 0290-35825 / 5200 CVD AXZ CHAMBER ASSY **STAND NOT INCLUDED**/ APPLIED MATERIALS
1806 Applied Materials 03-83601-00 PCB Mother Board
1807 Applied Materials 03-83601-00 PCB Mother Board
1808 Applied Materials 0500-00033 / ASSY RAMS,P5000 ONLY / APPLIED MATERIALS AMAT
1809 Applied Materials 0500-00300 / CONTROLLER, PYRAMID X-SCAN DOSIMETRY / APPLIED MATERIALS AMAT
1810 Applied Materials 0500-A0181 / OPHIR POWER AMPLIFIER / APPLIED MATERIALS AMAT
1811 Applied Materials 0520-00037 (or 0520-00068) ACTR PNEU DBL LOCK 37X435 OPEN ANODIZED, SLIT VAL, 07
1812 Applied Materials 0540-01010; AMAT, AMPULE POWER TRIODE WATER COOLED 5000WATT
1813 Applied Materials 0550-01013 / SENSOR -ANALY-RGA TRANSPECTOR COMPACT / APPLIED MATERIALS AMAT
1814 Applied Materials 0660-01743 / CARDGMSV46 SINGLE BOARD COMPUTER/ AMAT
1815 Applied Materials 0660-01847 / CARD PENTIUM 133MHZ 32MB RAM VME BUS DO / APPLIED MATERIALS AMAT
1816 Applied Materials 0660-01847 AMAT CARD PENTIUM 133MHZ 32MB RAM VME BUS DO
1817 Applied Materials 0660-90093, Applied Materials, CARD, LOOP CONTROLLER
1818 Applied Materials 0711-GAS Gas Flow Control Module
1819 Applied Materials 0730-01046, 0730-00116; TURBOTRONIK PUMP CONTROLLER
1820 Applied Materials 0920-00024,3150852-001 / GEN RF 60MHZ 2KW ATLAS 2060 3PH 208VA/ APPLIED MATERIAL
1821 Applied Materials 0920-01070, 3150058-002 / RF GENERATOR, RECZ SOURCE, RFPP RF20R / AMAT
1822 Applied Materials 0920-01111, AMAT, ĢENERATOR MICROWAVE MAGNETRON HEAD Astex 2.45 GHZ 3KW
1823 Applied Materials 0920-01124, AMAT, GEN FLUORINE 208VAC 3PHASE W/ ARGON IGNITION
1824 Applied Materials 0920-01124; AMAT, GENERATOR FLUORINE 208VAC 3PHASE W/ARGON IGNITION
1825 Applied Materials 200mm Ceramic Heater 0040-42512
1826 Applied Materials 200mm Sputter Etch Chamber
1827 Applied Materials 3750-01112 TUBE PLASMA SOURCE SAPPHIRE 1/4VCR Astex AMAT
1828 Applied Materials 7810 series Gas Ring 670547
1829 Applied Materials 7810 series Seal plate 670412
1830 APPLIED MATERIALS 8″ AXZ PLUS HEATER 0010-37969
1831 APPLIED MATERIALS 8″ CVD CHAMBER WSI GAX BOX 0010-09940
1832 Applied Materials 8300F (0010-00172) Pre-Reactor Chamber
1833 Applied Materials 8300L (0010-00172) Pre-Reactor Chamber
1834 Applied Materials AMAT 0010-04514 ASSEMBLY, ISCAN MODULE
1835 Applied Materials AMAT 0010-19666 Assy Rotation 300mm HTF / EPI
1836 Applied Materials AMAT 0010-27419 300mm chamber valve actuator
1837 Applied Materials AMAT 0010-37549 rev3 ASSEMBLY, ISCAN MODULE
1838 Applied Materials AMAT 0010-37866 ASSEMBLY, ISCAN MODULE
1839 APPLIED MATERIALS AMAT 0010-48407 CLEAR LID TRANSFER KIT C3
1840 Applied Materials AMAT 0040-85475 Ceramic Heater PROD SE/GT 300mm (0010-59789)
1841 APPLIED MATERIALS AMAT 0041-42362 PLENUM COVER WELMENT LID
1842 APPLIED MATERIALS AMAT 01-81150-00 / REDUCER BOX ASSY MO61-FD-301 904-1016
1843 Applied Materials AMAT 0190-37165 Comet 20032711 RF Match
1844 APPLIED MATERIALS AMAT 3870-04597 NORCAL INTELLISYS IQ PENDULUM GATE VALVE
1845 Applied Materials AMAT Centura CVD T1CL4 TIN Cold Trap
1846 Applied Materials AMAT Centura CVD T1CL4 TIN Cold Trap
1847 APPLIED MATERIALS AMAT DPS CENTURA RASCO CHILLER HEAT EXCH WTC-A182-AMJ
1848 APPLIED MATERIALS AMAT DPS CENTURA RASCO CHILLER HEAT EXCH WTC-D182-AMJ
1849 APPLIED MATERIALS AMAT EDWARDS DRY VACUUM PUMP IXH200H
1850 APPLIED MATERIALS AMAT ENDURA CUBS CLEAN AMPOULE 0010-32021 HEATER VESSEL
1851 APPLIED MATERIALS AMAT ENDURA CUBS CLEAN AMPOULE HEATER VESSEL 0010-32021
1852 APPLIED MATERIALS AMAT HART CHAMBER LINER 0022-11420 300MM
1853 Applied Materials AMAT N2 Purge Manifold, 0190-12164
1854 APPLIED MATERIALS AMAT NESLAB HEAT EXCHANGER 0190-66224 BOM 614205990210
1855 Applied Materials AMAT Onoda Ozone Generator OR-4ZA 0920-01016
1856 Applied Materials AMAT P5000 Flow Assembly, 0010-01548
1857 Applied Materials AMAT P5000 Robot Blade
1858 APPLIED MATERIALS AMAT PMAX EMISSOMETER PROBE ASSEMBLY 0010-23715
1859 Applied Materials AMAT PN: 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
1860 Applied Materials AMAT PN: 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
1861 Applied Materials AMAT STEC Valve Assembly, 3870-02553
1862 Applied Materials AMAT Susceptor, 0200-01947
1863 Applied Materials AMAT VAT 15028-FA24-1002, 0190-02238
1864 Applied Materials AMAT VCR Valve Weldment, 0050-81387
1865 Applied Materials AMAT Veriflo Valve Man., 0050-84735
1866 APPLIED MATERIALS AMAT YASKAWA XU-RCM6901 WAFER LOADER ROBOT CMP REFLEXION MIRRA
1867 Applied Materials AMAT-0190-17208-VACUUM HANDLER ASSY.
1868 Applied Materials AME-3100 Chamber: Sputter Coating System – 3″ Magnetron
1869 Applied Materials Assembly Endura Load Ports Wafer Processing 33 ½ x18x18 No Key
1870 Applied Materials Assembly Endura Load Ports Wafer Processing 34 ¼ x18x18 No Key
1871 Applied Materials Astex AX8200A Ozone Generator 0190-09437 A *untested*
1872 Applied Materials Astex AX8200A Ozone Generator 0190-09437 A *untested*
1873 APPLIED MATERIALS BASIC MOTORIZED LIFT PVD 0010-70441
1874 APPLIED MATERIALS CHAMBER INVENTORY LIST
1875 APPLIED MATERIALS CHAMBER LID WSI 0010-09940
1876 APPLIED MATERIALS CONTROLLER 0090-91161ITL XR80 IMPLANTER SPIN STATION CHASSIS
1877 APPLIED MATERIALS CONTROLLER 0090-91436 XR80 IMPLANTER PRE ACCEL AMAT CHASSIS
1878 APPLIED MATERIALS CTI CRYOGENICS IS CRYOPUMP 0190-31428 8113156G001 w/CONTROLLER
1879 APPLIED MATERIALS CVD/BW 5000 GAS BOX 0010-09247
1880 APPLIED MATERIALS DPS UPPER LINER ASSY CENTURA 300MM 0040-37642 0021-13741
1881 Applied Materials Endura 0010-96696 Indexer Standard Cassette A 5500 AMAT
1882 Applied Materials Endura 5500 Load Port AMAT
1883 APPLIED MATERIALS ENDURA MAGNET 0010-20768
1884 Applied Materials Excite High Speed Particle Detection System w Aerotech DR500
1885 APPLIED MATERIALS HEAT EXCHANGER AMAT 0
1886 APPLIED MATERIALS IMPLANTER 9200 FERO MOTOR 3700-90056
1887 Applied Materials Inc. 70312800400 HVU High Voltage Ion Implanter Control Panel
1888 APPLIED MATERIALS LOADLOCK 0190-37105 AXIOM CONDOR VAT VALVE 0430X-BA24 AMAT
1889 APPLIED MATERIALS OPERATOR CONSOLE VERASEM 3D U-571
1890 APPLIED MATERIALS P5000 8″ ROBOT LIMB 0010-13321
1891 APPLIED MATERIALS P5000 ETCH CHAMBER LID
1892 APPLIED MATERIALS P5000 ETCH CHAMBER LID
1893 APPLIED MATERIALS P5000 MARK II ETCH CHAMBER LID 0021-09008
1894 Applied Materials Praxair Ampoule Bubbler, 0195-02636
1895 Applied Materials Precision 5000 Mark II CVD Teos/Nitride System (REFURBISHED)
1896 APPLIED MATERIALS PRECLEAN CHAMBER PROCESS KIT 0040-20561
1897 APPLIED MATERIALS RING MAGNET ASSY,UPPER 0010-30591 AMAT
1898 Applied Materials Storage Elevator Assy w/ motor 0010-70409
1899 APPLIED MATERIALS TXZ CHAMBER KIT
1900 Applied Materials Universal CVD Chamber, Delta Nitride 6-8″ P-5000 AMAT
1901 APPLIED MATERIALS UPPER MAGNET ASSY 0010-30591
1902 APPLIED MATERIALS VERASEM 3D
1903 Applied Materials, AMAT Mirra Mesa CMP Contoure Head 200MM
1904 Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
1905 APPLIED MATERIALS, RG-578, COLLAR EXTEND
1906 APPLIED MATERIALS, RG-579, 8in, COVER, EXTENDED E-CHUCK
1907 Applied Materials/AMAT 0190-07261 REV 003 KAWASAKI ROBOT 3NS001S-L002 PREALIGNER
1908 Applied Materials/AMAT 8330A/8330 6″/150mm Metal Etcher
1909 Applied Materials/AMAT Assy, High EFF RF Match, HTESC PH 1 PN: 0010-22161 Rev A
1910 Applied Materials/AMAT Endura Upper Shield PVD 300MM
1911 APPLIED MATERIALS-SEMITOOL RTA COMPUTER MODULE 900T0519-01

PLEASE USE “Ctrl” + “F” KEYBOARDS TO SEARCH WHAT YOU WANT AT THIS PAGE.

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

ID-e-6-1-2020

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers