Top

Equipment Parts

Category:

Description

The following Equipment Parts are only for end user. Please contact us if you have any questions. Subject to prior sale without notice. Appreciate your time!

Condition: Used

1 K&L Microwave DC 0201 Tunable Bandpass Filter
2 K&S 8020 Auto Gold Ball Bonder
3 K&S AT Premier Wire Bonder
4 K&S AT Premier Wire Bonder
5 K&S 780 Wafer Saw
6 K&S 982-6 Dicing Saw
7 K&S 8028 Wire Bonder
8 K&S 1488 L Turbo Wire Bonder
9 K&S 980 Wafer Saw
10 K&S 1472 Wire Bonder
11 K&S 1488 Plus
12 K&S 1488 Plus
13 K&S 1488 L Turbo Wire Bonder
14 K&S 4123 Wire Bonder
15 K&S 971 Microwasher
16 K&S Wafer Mounter
17 K&S 8020 Wire Bonder
18 K&S 1488 Turbo Wire Bonder
19 K&S 4123 Wedge Bonder
20 K&S 4524 Wire Bond
21 K&S 7500 Plus
22 K&S 4129 Manual Wedge Bonder
23 K&S 1488 L Turbo Wire Bonder
24 K&S 1488 Wire Bonder
25 K&S 1474 Wedge Bonder
26 K&S AT Premier Stud Bumper
27 K&S Maxum Wire Bonder
28 K&S Maxum Ultra Wire Bonder
29 K&S 8098 Wire Bonder
30 K&S Maxum Wire Bonder
31 K&S 8028
32 K&S 960-8 Manual Wafer Mounter
33 K&S 8090 Large Area Wedge Bonder
34 K&S 4124 Manual Wire Bonder
35 K&S 8028 SG Gold Wire Bonder
36 K&S 4524 A Digital Ball Bonder
37 K&S 8098
38 K&S Maxum Automatic Wire Bonder
39 K&S 7100 Dicing Saw Machine
40 K&S 982-6 Dicing Saw
41 K&S 972 Mask Cleaner
42 K&S Easyline 8032 Die Bonder
43 K&S Maxum Wire Bonder
44 K&S Maxum Ultra Plus Wire Bonder
45 K&S 8090 Wire Bonder
46 K&S 8098 Wire Bonder
47 K&S 4129 Manual Heavy Wire Wedge Bonder
48 K&S Maxum Ultra Wire Bonder
49 K&S Maxum Automatic Wire Bonder
50 K&S 1472
51 K&S 1484
52 K&S 4124
53 K&S Maximum Ultra Wire Bonder
54 K&S Maxum Wire Bonder
55 K&S 1484 Wire Bonder
56 K&S 8028 SG Ball Bonder
57 K&S Manual Wire Bonder
58 K&S Conveyor
59 K&S AT Premier Plus Wafer Level Bonder
60 K&S Maxum Ultra Wire Bonder
61 K&S 1474 Wire Bonder
62 K&S Maxum Ultra Gold Ball Bonder
63 K&S Maxum Ultra Wire Bonder Conversion Kit
64 K&S Powerfusion
65 K&S Powerfusion
66 K&W MA 1006 Mask Aligner
67 K&Z CMP 11 Pyranometer
68 K.H. Fredrick Large Manual Dial Voltage Controller
69 Kadia 130 B Hydraulic Honing Machine
70 Kaesar CS 76 Air Compressor
71 Kaesar KRD 1200 Dryer
72 Kaeser Air Compressor
73 Kaeser DNS 231 Air Compressor
74 Kaeser Air Compressors
75 Kaeser KAD 90 Compressed Air Dryer
76 Kaeser KRD 750 Dryer
77 Kaeser SX 6 Air Compressor
78 Kaeser SM 11 Air Compressor
79 Kaeser F 6 KA Portable Dryer
80 Kafi CF L 460 Turning Machine
81 Kaijo Cassette Cleaner
82 Kaijo Wet Bench
83 Kaijo 118
84 Kaili Air-con 30 R 060 ZA
85 Kaise SK 3100 Insulation Tester
86 Kaiser Air Compressor
87 Kalamazoo Industries Robodrill Chip Conveyor
88 Kalamazoo Saw FA 350 SA
89 Kaltenbach 3 KL 450 E Saw
90 Kampf LSF WSM 600 Winding Tool
91 Kanken KPL C 13 u PV
92 Kanken KT 1000 FA
93 Kanken KT 1000 Fi S
94 Kapema BGM 75 Belt Sander
95 Kapema BM 28 A Drill Press
96 Kardex Shuttle XP 250 1250 X 610 Pasternoster
97 Kardex Remstar 500 XP-2450 x 86 Vertical Lift Module
98 Kardex Shuttle XP 250 1250 X 610 Pasternoster
99 Kardex Shuttle XP 250 1250 X 610 Pasternoster
100 Kardex Industriever 8000 Parts Storage
101 Karl Fischer 841 Titrator
102 Karl Muller Elektror HRD 1 / 5 High Velocity Blower
103 Karl Suss MA 150 Mask Aligner
104 Karl Suss MA 100 E Mask Aligner
105 Karl Suss MA 56 Mask Aligner
106 Karl Suss MA 56 Mask Alligner
107 Karl Suss BA 300-MIT Automated Inspection To
108 Karl Suss HVMMFT, C 4 Mold Fill Tool
109 Karl Suss HVMMFT Automatic Injection Mold
110 Karl Suss RC 8 Photoresist Spinner
111 Karl Suss PM 5 Probe Station
112 Karl Suss S B 8 Bonder
113 Karl Suss MJB 21 Dual Side Aligner
114 Karl Suss CL 10 Wafer Mask Cleaner
115 Karl Suss MA 750
116 Karl Suss Dark Box For Probe Station
117 Karl Suss PA 200 Probe Station
118 Karl Suss SB 8 e Bonder
119 Karl Suss MA 6 Mask Aligner
120 Karl Suss FC 150 Flip Chip Bonder
121 Karl Suss MJB 45 Mask Aligner
122 Karl Suss ZE 1000 G
123 Karl Suss DSC 300 UV Exposure Tool
124 Karl Suss MA 150 Aligner
125 Karl Suss ACS 300 Gen 2 Coater Developer
126 Karl Suss ACS 200 Gen 3 Coater Developer
127 Karl Suss MA 200 Gen 3 Mask Aligner
128 Karl Suss MA 200 Compact Mask Aligner
129 Karl Suss CBC 200 Auto Bonder
130 Karl Suss PM 5 Probe Station
131 Karl Suss MA 200 Mask Aligner
132 Karl Suss Prober Dark Box Enclosure
133 Karl Suss ACS 200 Coat Track
134 Karl Suss MA 1006 Mask Aligner
135 Karl Suss MA 56 Mask Aligner
136 Karl Suss MA 56 Mask Aligner
137 Karl Suss MJB 3 Mask Aligner
138 Karl Suss MJB 3 Mask Aligner
139 Karl Suss MA 150 Mask Aligner
140 Karl Suss PSM 6 Wafer Prober
141 Karl Suss / Fairchild Techn PR 800 DV Track
142 Kashiyama SD 90 V III Dry Screw Pump
143 Kasper Row and Column Microscope
144 Kasuga Rookie VCS Test Handler
145 Kasuga VS 0954 BBB Laser Marker
146 Kasuga VS 0954 FFF Laser Marker
147 Kato SSE 45 CI A HTHH
148 Kato Engineering A 270040000 Steam Turbine Generators
149 Kaukan KW 2 KPTS Chiller
150 Kayex KX 120 Crystal Grower
151 Kayex CG 6000 Crystal Grower
152 Kayex 150 Mono Crystal Furnace
153 Kayex
154 Kayex 898 CZ Crystal Grower
155 Kayex CG 6000 Crystal Growing Furnace
156 KC Tech SiH 4 Valve Manifold Box
157 KC Tech CH 2 F 2 Valve Manifold Box
158 KC Tech CHF 8 Valve Manifold Panel
159 KC Tech 4 %PH 3 /N 2 Valve Manifold Box
160 KC Tech C 4 F 8 Gas Cylinder Panel
161 KC Tech Gas Cabinet
162 KD Scientific 780210
163 Kearney & Treaker Mill
164 Kearney & Trecker 415 S-15 Milling Machine
165 Kearney Trecker Orion 2300 Mill
166 Keith KBR 18 4 90 1500 Preheat Furnace
167 Keith KBR 18 4 180 1000 Annealing Furnace
168 Keith KECR 120 D 96 1200 Car Bottom Loading Carbonization Furnace
169 Keith KEGH 30 38 30 2200 Bottom Loading Furnace
170 Keith Kiln
171 Keith Machinery Mixer
172 Keithley 595 Quasistatic CV Meter
173 Keithley 230
174 Keithley 590 CV Analyzer
175 Keithley S 900 A Tester
176 Keithley 2602 System Sourcemeter
177 Keithley 237
178 Keithley 238
179 Keithley 707 Switching Matrix
180 Keithley 2231 A-30-3 DC Power Supply
181 Keithley 8160 C
182 Keithley 224
183 Keithley 213 Quad Voltage Source
184 Keithley 2361 Trigger Controller
185 Keithley 236 Source Measure Unit
186 Keithley 237 High Voltage Source Measure Unit
187 Keithley 5951 Remote Input Coupler
188 Keithley 595 Quasistatic CV Meter
189 Keithley 590 CV Analyzer
190 Keithley 175 Multimeter
191 Keithley 175 A Autoranging Multimeter
192 Keithley 181 Nanovoltmeter
193 Keithley 480 Pico Ammeter
194 Keithley 485 Autoranging Picoammeter
195 Keithley 617 Programmable Electrometer
196 Keithley 414 A Pico Ammeter
197 Keithley 228 Voltage Current Source
198 Keithley 2001 Sourcemeter
199 Keithley 2400 Sourcemeter
200 Keithley 2000 DMM Sourcemeter
201 Keithley Scanning Thermometer
202 Keithley Digital Multimeter
203 Keithley 2400 Digital Source Meter
204 Keithley Source Meter
205 Keithley 480 Picoammeter
206 Keithley 181 Nanvoltmeter
207 Keithley 194 A High Speed Voltmeter
208 Keithley 705 Scanner
209 Keithley 6517 A Meter
210 Keithley 8009 Resistivity Test Fixture
211 Keithley 2001 Multimeter
212 Keithley 707 Switching Matrix Mainframe
213 Keithley 7078 PEN Programming Light Pen
214 Keithley 2400 Digital Source Meter
215 Keithley Lot of Equipment
216 Keithley 7700 Ethernet-Based Digital Multimeter / Data Acquisition
217 Keithley 707 Matrix Cards
218 Keithley 2000 Nanovoltmeter
219 Keithley 2450 Source Meter
220 Keithley 2182 A Nanovoltmeter
221 Keithley 7001 Switch Unit
222 Keithley 2750 Multimeter, Switch System
223 Keithley 175 Autoranging Multimeter
224 Keithley 175 A Autoranging Multimeter
225 Keithley 2200 72 1 Power Supply
226 Keithly 192 Programmable DMM
227 Kejie JTGK 500 E Engraving Milling
228 Keller VARIO-T Exhaust Dry Separator Unit
229 Keller Precutor and Kneeer / Precutting Unit and Extruder for Filter Cakes
230 Kelly Duplex Horizontal Mixer
231 Keltec CIJR 625 40
232 Kelvinator Scientific UC 2020 Freezer
233 Kemet LP (DS) 16 Dual Face Lapping & Polishing Machine
234 Kemet XJ 56 Copper Plate Single Side Polishing Machine
235 Kemet XJ 56 Single Side Polishing Machine
236 KEMET LP (DS) 16 Lapping & Polishing Machine
237 KEMET XJ 56 Polishing Machine
238 KEMET XJ 56 Polishing Machine
239 Kemper Fume Extraction System
240 Kenco 052 105 150 OBI Press
241 Kensington CSMT 4
242 Kensington Robot
243 Kent HVA 100 Print Pad
244 Kent KGS 618 N Hand Free Surface Grinder
245 Kent Kipp 150 Pad Printer
246 Kenwood CS-5400 Oscilloscope
247 Kenwood Pa 18-1, 2 A Regulated DC Power Supply
248 Kenwood Pa-18 Regulated CB Power Supply
249 Kepco Power Supply
250 Kepco ABC 15-1 M Power Source
251 Kepco ABC 15-1 M Regulated DC Supply
252 Kepco ABC 40-0.5 M DC Source Power Supply
253 Kepco ABC 425 M DC Source Power Supply
254 Kepco BOP 1000 M Bipolar Power Supply
255 Kepco LMS 5300 Power Supply
256 Kepco BOP 20 10 M Bipolar Operation Power Supply Amplifier
257 Kepco & Harrison Various Power Supplies
258 Kern K 980 Cutter
259 Kern K 905 Cut Sheet Feeder
260 Kern K 980 Cutter
261 Kern K 905 Cut Sheet Feeder
262 Kern 3000 Inserter
263 Kern 3000 Inserter
264 Kestrel Dynascope QC 200 Digital Microprocessor, Table Unit
265 Kevex Mxrf. 952-101, Xrf 02 Fluorescence Spectrometer
266 Kewaunee Scientific Supreme Air Venturi Ventilated Fume Hood Station
267 Key International TD 101 WD Deduster
268 Keyence LK H 022 K Laser Sensor
269 Keyence TM 3001 Micro Meter for Sesvenna Prism Bar
270 Keyence TM 3001 Micro Meter
271 Keyence Surface Scanning Device
272 Keyence LC 2101 Laser Displacement Meter
273 Keyence LC 2400 W Laser Displacement Meter
274 Keyence VHX 2000 Digital Microscope
275 Keyence VHX 1000 Microscope
276 Keyser CS 120 Compressor
277 Keysight M 9502 A AxIe Chassis
278 Keysight U 4431 A MIPI M-PHY Interface Protocol Analyzer
279 Keysight U 4432 A Flying Lead Probes
280 Keysight 4263 B Inductance, Capacitance, Resistance (LCR) Meter
281 Keysight Keysight 8765 D Coaxial Switch
282 Keysight 33500 B Waveform Generator
283 Keysight 34980 A Multifunction Switch
284 Keysight Multifunction Switch
285 Keysight 34401 A Digital Multimeter
286 Keysight 34970 A Data Acquisition / Data Logger Switch Unit
287 Keysight E 5515 C Wireless Communications Test Set
288 Keysight 86100 C Infiniium DCA-J Wideband Oscilloscope Mainframe
289 Keysight 86100 D Infiniium DCA-X Wide-Bandwidth Oscilloscope Mainframe
290 Keysight PNA N 5225 40GHz PNAs
291 Keysight Test Equipment
292 Keysight N 4693 A ECal Kit
293 Keysight CP 015 Current Probe
294 Keysight DSOX 2002 A Storage Oscilloscope
295 Keysight DSOX 2002 A Oscilloscope
296 Keysight DSOX 3034 T Oscilloscope
297 Keysight U 2001 A USB Power Sensor
298 Keysight 560 98 K 50 Attenuator
299 Keysight 8494 H Attenuator
300 Keysight 8496 H Attenuator
301 Keysight 8648 C Signal Generator
302 Keysight E 4443 A Spectrum Analyzer
303 Keysight 34461 A Digital Multimeter
304 Keysight E 36312 Power Supply
305 Keysight Technologies 1146 B
306 Keytek Instrument 424 Surge Generator / Monitor
307 KH Offload
308 Kikusui PLZ 303 W Electronic Load
309 Kikusui PAD Regulated DC Power Supply
310 Kikusui PLZ 72 W Electronic Load
311 Kikusui PLZ 152 WA Electronic Load
312 Kikusui PAB 8-5 Regulated Power Supply
313 Kikusui Electronics DC Power Supply
314 Kilham 15 Bendit Brake
315 Kilian T 300 Tablet Printing Machine
316 Killion Extruder
317 Kimmon Vdk-150 Wf-Am IPA Dryer & Quartzware
318 Kimmon Vdk-150 Wf-Am IPA Dryer & Quartzware
319 Kimmon Electric Helium Cadmium Laser
320 Kimmon Koha IK 3501 R-G Helium Cadmium Laser Head
321 Kimmon Koha KP 2014 C Helium Cadmium Laser Power Supply
322 Kimmon Koha IKRF Remote Fan Assembly
323 Kimmon Koha OTMF Optical Table Mounting Feet (pair)
324 Kimono Helium Cadmium Laser
325 Kince KWA-1225 Reflow Oven
326 Kinefac TD-21 CNC Lathe
327 Kinefac MC-4 Die Roller
328 Kinergy
329 Kinergy ACS-200 Substrate Sorter
330 Kinergy BM 800 ST Buffing Machine
331 Kinergy Automatic Leadframe Loader
332 Kinergy Deflash 18 LD 300 MI Conservation Kit for Shuttle
333 Kinetek DR 300 C Inspection Scope
334 Kinetic Systems Isolation Table
335 Kinetix 6120 Tester
336 Kinetix 6500
337 King Tiger 8 Site Tester
338 King Tiger KT 2 Pro 8 Site Test System
339 King Tiger KT 3 P 2 Site Test System
340 Kinglands Guillotine
341 Kinney KD 30 Pump
342 Kinney SDV-120 Vacuum Pump
343 Kinney Baldor Oil Pump
344 Kinston HL 1500 Lathe
345 Kirloskar Mcquay Chiller
346 Kistler 5006 Charge Amplipher
347 KJ Lumonics 710 Laser Welder
348 KLA / Tencor LMS IPRO 7 HT Photomask Registration
349 KLA / Tencor SpectraCD – XT / Comet Optical Scatterometry
350 KLA-Tencor ES 20 Inspection System
351 KLA-Tencor ULTRA
352 KLA-Tencor FT 700 Film Thickness Measurement System
353 KLA-Tencor 2132
354 KLA-Tencor 2608
355 KLA-Tencor AIT Metrology
356 KLA-Tencor Candela CS-10 Surface Analyzer
357 KLA-Tencor 8100 / CD CD Measurement
358 KLA-Tencor 8100 / CD CD Measurement
359 KLA-Tencor 8100 Scanning Electron Microsc
360 KLA-Tencor 8100 Scanning Electron Microsc
361 KLA-Tencor AIT Patterned Wafer Inspectio
362 KLA-Tencor AIT Patterned Wafer Inspectio
363 KLA-Tencor FLX-2908 Thin Film Measurement S
364 KLA-Tencor AMRAY 4200 Scanning Electron Microsc
365 KLA-Tencor CRS 1010 Review Station Review Station
366 KLA-Tencor 2132 Bright Field Inspection
367 KLA-Tencor AIT I Darkfield Defect Inspectio
368 KLA-Tencor 2132 Bright Field Inspection
369 KLA-Tencor CRS 1010 Defect Review
370 KLA-Tencor ES 31 E-beam Inspection
371 KLA-Tencor eS 810 E-Beam Inspection
372 KLA-Tencor eS 810
373 KLA-Tencor RS 55 Metrology Tool for RsMap Measurement
374 KLA-Tencor Ultrapointe CRS 1010-S
375 KLA-Tencor Ultrapointe CRS 1010-S Confocal Review Station
376 KLA-Tencor Ultrapointe CRS 1010-S Confocal Review Station
377 KLA-Tencor Ultrapointe CRS 1010-S
378 KLA-Tencor Ultrapointe CRS 1010-S
379 KLA-Tencor UV 1050 Thin Film Thickness Meas
380 KLA-Tencor AIT UV ++ Pattern Inspection
381 KLA-Tencor UV 1050 Thin Film Thickness Measurement
382 KLA-Tencor FP 20 Metrology Tool
383 KLA-Tencor Surfscan 5500 Particle Counter
384 KLA-Tencor KT 2401
385 KLA-Tencor CV 450 Visedge Edge Inspection System
386 KLA-Tencor P 17-0389969 Stylus Profiler
387 KLA-Tencor EV 300
388 KLA-Tencor EV 300 SEM
389 KLA-Tencor 5015 Wafer Particle Measurem
390 KLA-Tencor 216 E – 236 Le – Kla 20 Misc. Parts, Manuals
391 KLA-Tencor Alpha Step AS-IQ
392 KLA-Tencor AIT Patterned Surface Defect I
393 KLA-Tencor ICOS 8250 Automated Optical Inspection (AOI)
394 KLA-Tencor Candela CS 2
395 KLA-Tencor Quantox 64100
396 KLA-Tencor AIT UV+
397 KLA-Tencor AIT I Surfscan
398 KLA-Tencor 8100 Critical Dimension – Scanning Electron Microscopy (CD-SEM)
399 KLA-Tencor 8100 XP Critical Dimension – Scanning Electron Microscopy (CD-SEM)
400 KLA-Tencor 086630 Surface Scan
401 KLA-Tencor ICOS Ci T 120 Auto Inspection & Tape Reel
402 KLA-Tencor PVI 6 1 H 0236 Optical Inspection Chemical Vapor Deposition (CVD)
403 KLA-Tencor PVI 6 Rev 1 FSPI Automated Optical Inspection (AOI) system
404 KLA-Tencor Surfscan 4500
405 KLA-Tencor Candela CS-20 V Surface Analyzer
406 KLA-Tencor Candela CS 2 Surface Analyzer
407 KLA-Tencor Candela CS-10 Surface Analyzer
408 KLA-Tencor ES 32 E-Beam Defect Inspection
409 KLA-Tencor P 10 Surface Profiler
410 KLA-Tencor SP 1-TBi Non Pattern Inspection System
411 KLA-Tencor Surfscan SFS 4500
412 KLA-Tencor P 12 Stylus Profiler
413 KLA-Tencor Candela 7100
414 KLA-Tencor SP 2 Inspection System
415 KLA-Tencor P 11 Surface Profiler
416 KLA-Tencor Archer AIM Overlay
417 KLA-Tencor Surfscan 4500 Wafer Inspection System
418 KLA-Tencor 5100 Overlay
419 KLA-Tencor P 10 Profilometer
420 KleenAir M 1329 Cure Oven
421 Klement High Pressure Torsion Press
422 Klenzaids Laminar Air Flow Booth
423 KLH Water Chiller
424 Klingelnberg Micronic 2
425 Kloe Dilase 650 Optical Lithography Writer
426 Klopper AH 2100 Washer
427 Knauer K 2600 UV Detector
428 Knauer K 1001 HPLC Pump
429 Knauer Interface Box
430 KNF Neuberger PM 14287-813.3 Vacuum Pump
431 KNGY Precision Degator
432 KNGY Sohed Precision Tool System
433 KO Lee
434 KO Lee 034.0056
435 KO Lee 032.0081
436 KO Lee 5618 HG Bevel Grinder
437 KO Lee Bevel Grinder
438 KO Lee BA 960 Grinder
439 Kocat KC-903 Scrubber
440 Kocat KC 903 Gas Scrubber
441 Koehring Crane
442 Kofab BA7 1 Conveyor
443 Koh Young KY 3020 T Solder Paste Inspection (SPI)
444 Koh Young Aspire DL PCB Inspection System
445 Koh Young SPI
446 Kohler GGMB-6211507 Back Up Generator
447 Kohtaki KTS 2 Transfer Molding
448 Kohtaki Molding Press
449 Kokusai DJ-1236 VN-DF Low Pressure Chemical Va
450 Kokusai DD 1206 V-DF Vertical Low Pressure Che
451 Kokusai DD-1223 VN TiN Atomic Layer Depositi
452 Kokusai Quixace DJ-1206 VN-DM SiN Low Pressure Chemica
453 Kokusai Quixace DJ-1206 VN-DM SiN Low Pressure Chemica
454 Kokusai Quixace Ultimate Vertical Low Pressure Che
455 Kokusai Quixace Ultimate Atomic Layer Deposition (
456 Kokusai Quixace Ultimate Atomic Layer Deposition (
457 Kokusai Quixace DD-1236 VN-DF Vertical Low Pressure Che
458 Kokusai Quixace Ultimate DJ-1236 Low Temp Steam Anneal
459 Kokusai Quixace-II Vertical LPCVD Furnace
460 Kokusai BDF 200 LP CVD Furnace
461 KOLB PS 03 Steel Plate Washer
462 Komatsu OBS 80-3 Hot Joggle
463 Komatsu OBW 150 2 Punch
464 Komax Xell-3400 Automatic Welding Machine
465 Komax Xell-Gen 2 Automatic Lay-Up System
466 Komax Xcell 3400 Automatic Welding Machine
467 Komax BY 188 T Cable Twister
468 Komax Alpha 411 Wire Processing
469 Komax Zeta 633 Wire Processing
470 Komax Alpha 411 Cutting machines
471 Komax Xcell 2500 Double Soldering String Cells Station
472 Komo VR 512 TG Router
473 Komo VR 512 Mach One SHO Router
474 Komo VR 612 Mach III Router
475 Koncar Post Couring Oven
476 Kondia FV 1 Milling Machine
477 Kondia CM 60 MA CNC
478 Kondia CM CNC
479 Konecranes Single-Beam Crane
480 Konecranes
481 Kongskilde FC 1200 S Blower
482 Konica Aero DR Standard Flat Panel Detector
483 Konica Sonimage HS 1 Ultrasound System
484 Kontron WW 520
485 Kopf Model 750 Needle / Pipette Puller
486 Kopp Verpackungssystem CO 140 INT Poly Horizontal Bag Forming and Sealing Machine
487 Koppers 105 A Mill
488 Koref KR 10020 Chiller
489 Koref KR 10010 R Water Chiller
490 Koref KR 10030 Y
491 Korvis Post Laser Measurement tool
492 Korvis SPSAI_KRJ
493 Korvis SPSAI_KMG
494 Korvis SPSAI_KDL
495 Kouda FV 1 Drill Press
496 Koyo R 631 DF Surface Grinder
497 Koyo Lindberg VF 100 B Cure Furnace
498 KP Technology SKP 5050 Scanning Kelvin Probe
499 Kramer E 2000-750 Metal Detector / Deduster Combo Unit
500 Kramer Lock Met 30 + Metal Detector / Deduster Combo Unit
501 Kramer E 2000 1000 Metal Detector / Deduster Combo Unit
502 Krass 44 L LQFP Trim System
503 Kratos AXIS Ultra X-Ray Photoelectron Spectrometer
504 Krauss Maffei HZ 1000 Peeler Centrifuge
505 Krauss Maffei KMD 50 K Extruder
506 Krauss Maffei KMD 50 Extruder
507 Krauss-Maffei HZ 800 Centrifuge, Peeler
508 Krohm-Hite 3103 Dual Channel Tunable Filter
509 Krohm-Hite 3202 Dual Channel Tunable Filter
510 Krohm-Hite 4100 A Push Button Oscillator
511 Krohm-Hite 3202 Variable Filter
512 Kruss DSA 25 E Expert Drop Shape Analysis System
513 Ktron Colortronic CEF 50 Doseding Polypropylene
514 Kuka KR 15/2 Pick and Place Robot
515 Kuka KR 5 Robot
516 Kuka KR 100-2 PA Robot
517 Kula Robot
518 KunShan Gaoqiang Indust BUBE 1496 6 ADE 1452 N2 Cabinet
519 KunShan Gaoqiang Indust HSD 718 FD N2 Cabinet
520 KunShan Gaoqiang Indust ADE 726 N2 Cabinet
521 Kunshan Huaye Equipment Etch Hood
522 Kurt J Lesker Film Evaporator
523 Kurt J Lesker PVD 75 Sputter
524 Kurt J. Lesker CMS 24 Physical Vapor Deposition
525 Kurt J. Lesker Pentacene PVD 75 Sputtering System
526 Kuttler Automation V 200 Unloader Deburring Line
527 Kwikool SAC 6021 Portable Cooling System
528 KYB Gas Fill
529 KYB Spin Roll Closer
530 L&L XL 112-FA 24-01-G 407-48 Oven
531 L&L Special Furnace Co GS 1714 Kiln
532 Lab Line Instruments 680 Environmental Chamber
533 Lab Research Products 2220 Lab Freezer
534 Labconco 47700 Fume Hood
535 Labconco Class II Biosafety Cabinet
536 Labconco Fume Hood
537 Labconco Centrivap Concentrator
538 Labconco 117
539 Labconco Laboratory Hood 6′
540 Labconco Laboratory Hood 3′
541 Labconco Table for Hoods
542 Labconco Laboratory Fume Hood
543 Labconco Laboratory Fume Hood
544 Labconco 50600 00 Glove Box
545 Labconco Fume Hood
546 Label King Label Machine
547 Labindia PICO + pH Meter
548 Labindia PICO + Conductivity Meter
549 Labindia DISSO 2000 Dissolution Tester
550 Labindia Disso 2000 Dissolution Test Apparatus
551 Labindia PICO + pH Conductivity Meter
552 Labindia DS 8000 Dissolution Test Apparatus
553 Labline 3499 M-3 Oven
554 Labline 3478 Oven
555 Labline Squaroid Duo-Vac Oven
556 Labline Imperial III 2455 M 3 Oven
557 Labline Imperial III Bake Oven
558 Labline Explosion Proof Frigid Cabinet
559 Labline Ultra-Clean 100 (3594 M- Oven
560 Labline Imperial IV Water Bath
561 Labnet W 1106 Water Bath
562 Labnet Refrigerated Centrifuge
563 Labomed Vision 2000 Binocular Polarizing Microscope
564 LabRepCo LABH 30 DTX Explosion Proof Refrigerator
565 LabSphere CD-610 Spectrometer System
566 Labsphere LED 1100 Spectral / Goniometric Analyzer
567 Laetus Laetus Reader
568 Lagun FTV-1 S
569 Lagun Liberty LL-EC-13 Lathe
570 Lagunmatic 310
571 Laird Technologies PowerCool (AA-150-24-22 Thermoelectric Assembly
572 Laiyin Laser TY 965 B Laser Cutting Machine
573 Lake Erie Hydraulic Press
574 Lake Shore Cryotronics 7400 S Series VSM Magnetometer
575 Lakeshore 410 Gaussmeter
576 Lam 4500 Etcher
577 Lam 4520 Etcher
578 Lam 3622
579 Lam Rainbow 4520
580 Lam 490 B Auto Etcher
581 Lam
582 Lam SIG 450 PROC MOD A Reactive Ion Etch (RIE) Asher FEOL Dry Strip System
583 Lam BEVEL 450 MM Dry Etch System
584 Lam SSM 450 Dielectric ALD / CVD (Atomic Layer Deposition / Chemical Vapor Deposition)
585 Lam SSM 450 Dielectric Plasma-Enhanced Chemical Vapor Deposition (PECVD) System
586 Lam Altus W Chemical Vapor Deposition (CVD) System
587 Lam Remote AC Box / Power Panel for Lam Etch System
588 Lam T Match RF Match Assembly 9400
589 Lam 2300 Flex EL Chamber
590 Lam Etcher
591 Lam Rainbow 4428 XL Poly Nitride Etcher
592 Lam Remote Station
593 Lam Remote Station
594 Lam Remote Station
595 Lam 490 Auto Etch
596 Lam 496 B Plasma Etch
597 Lam D 6 S 3504 AW
598 Lam 2300 ELD WCoP Electorless Deposition
599 Lambada Physik LPX 105
600 Lambda Jan 30 25 Ion Gun Controller
601 Lambda LH 124 Atomic Force Microscope (AFM) Regulated Power Supply
602 Lambda LP 412 FM Power Supply
603 Lambda Dual Regulated Power Supply
604 Lambda LK 345 A Regulated Power Supply
605 Lambda LPD 422 A FM Dual Regulated Power Supply
606 Lambda LPD 415 A FM Dual Regulated Power Supply
607 Lambda LQD 421 Dual Regulated Power Supply
608 Lambda LX 55 016 A 13 20 TDF Development Kit
609 Lambda RS 232 Doser
610 Lambda Physik EMG 101 MSC Vacuum Pump
611 Lambert & Jouty Moving Line
612 Lambert & Jouty Moving Line Stator
613 Lamda XIS Amphibian Laser Lithography System
614 Laminar CAT 130 Flow Hood
615 Laminar Air Flow Hood
616 Landis Precision Grinder
617 Landis OD Grinder
618 Lanfranchi LRPU 1600/8/24
619 Lanfranchi LRPU 1600/8/24
620 Lanfranchi LRPU 2400/12/36
621 Langley EH 102 Elevator Lift
622 Langley EH 102 Vertical Lift
623 Lanpulike UVEC-4 Uv Spot Light Source Curing Machine
624 Lanpulike UVEC-4 Uv Spot Light Source Curing Machine
625 Lanpulike UVEC-4 Uv Spot Light Source Curing Machine
626 Lantech Q 1000 Stretch Wrapper
627 Lantech QA 003186 Machine Wrapper and Rotary Arm
628 Lantech C 2000 Hot Melt Case Erector
629 Lantechnical K 2 21 1 C End Seal
630 Lanze AC Tech Controller
631 Lapmaster 120″ Continuous  Grinder
632 Lapmaster 120″ Continuous  Polisher
633 Lapmaster EL 200 Lapping Machine
634 Lapmaster EL 200 Lapping Machine
635 Lapmaster 48 Precision Optics Polisher
636 Lapmaster 12
637 Larox PP 01 H 2 Filter Press
638 Laser Design Inc (LDI) DS-2020 3D Laser Scanning System
639 Laser Vision Systems Semi – Auto align
640 Laser Vision Systems X 2-220 Baffle Attach System
641 Laser Vision Systems Wafer Stacker
642 Laser Vision Systems Camera Guide Flip Stacker
643 Laser Vision Systems Wafer Stacker
644 LaserScope Venus Erbium YAR Power Supply
645 LaserStar Technologies 525 728 060 Class 4 Laser
646 Lasertec 2 PG 20 Mask Inspection
647 Lasertec 2 PG 20 Mask Inspection
648 Lasertec M 1350 EUV Inspection System
649 Lasertec DP 200 Reticle Handler Dual Pod
650 Lasertec M 2351 Blank Scanner
651 Lasertec DMG LT 65 3 D Additive Manufacturing and Milling
652 Lauda Integral T 1200 Chiller
653 Laurel Spin Coater
654 Laurell M-Ws-400 B-8 Npp-Lite Spin Coater
655 Laurier DS 3000 Pick and Place
656 Laurier HA 225 Plus Hybrid Epoxy Die Bonder
657 Laurier DS 4000 Die Sorter
658 Laurier DS 9000 Die Sorter
659 Lay Concept AXYS 2382 Etching Web Bench and Robot
660 Lay Concept Motorized Trolley for Transport and Loading
661 Layton MCS Modular Cooling System
662 Layup Multi-Line Registration Alignment
663 LC Technology Solutions SPBT-1 Bench Top Solvent Purification Sysytem
664 LCC Automatic Test Equipment (ATE)
665 Leader LBO 51 MA Scope
666 Leader 718-3 D DC Power
667 Leap AH-480 Baking
668 Leap Technologies Robotic Arm Controller
669 Leatus Polyphem 1030 Camera System
670 LeBlond Makino Vertical Mill
671 Leblond / Makino MC 86 CNC
672 Leblond Makino NC Mill
673 Leblond Makino NC Mill
674 Lebold Heraeus A 650 Sputtering system
675 LECO CS 244 Carbon Sulphur Determinator
676 LECO TC 136 Nitrogen Oxygen Determinator
677 Leco PR 22 Pneumatic Mounting Press
678 LeCroy LC 884 Oscilloscope
679 LeCroy CP 150 Current Probe
680 LeCroy AP 015 Current Probe
681 LeCroy LabMaster 945 SZi-A Digital Oscilloscope
682 LeCroy 9314 A Oscilloscope
683 LeCroy 334
684 LeCroy 9314 M Quad Oscilloscope
685 LeCroy LC 534 AL Oscilloscope
686 LeCroy Storage Oscilloscope
687 LeCroy WP 940 Oscilloscope
688 LeCroy LT 374 Oscilloscope
689 LeCroy Wavemaster 8300
690 Lecroy LI 364 Wave Raner
691 Lecroy Waverunner 6050
692 Lecroy Waverunner 6100
693 Lecroy DA 1855 A Differential Amplifier
694 Lecroy CP 015 Current Probe
695 LeCroy WavePro 7200 Oscilloscope
696 Lectra Vector 2500 Automated Fabric Cutting Machine
697 Ledco Film Laminator
698 Ledoux, Eurotole, Hams PLF Autoclave Racks
699 Lee Industries 500 LD 7 T Kettle
700 Lee Industries 20 D 7 T Kettle
701 Lee Industries 3 D Jacketed Vessel
702 Lee Laser Inc 818 T 0 / 20 Laser
703 Leeson Oil Pump
704 Leeson Termination Kiln
705 Lehighton 1510 RP
706 Lehighton 1605 P Mobility Mapper
707 Lehighton 1310
708 Leibert AC Unit
709 Leica EBPG-4 HRV E-Beam Lithography System
710 Leica APEC 3020 Thickness Measurement Tool
711 Leica MZ APO Stereo Microscope
712 Leica Ployvar SC Charge-Coupled Device (CCD) for Polyvar Tr
713 Leica PL APO 150
714 Leica DM LB Microscope
715 Leica DM IRE 2 Microscope
716 Leica TCS SP 2 / DM RXE Microscope Base-DMRXE
717 Leica DM IRE 2 Microscope
718 Leica DM-RM 307-371.010 Microscope
719 Leica Micrioscope Optic
720 Leica S 6 E 6.3 to 40 Zoom Microscope
721 Leica Mz 75 Microscope
722 Leica S 8 A P 0 Microscope
723 Leica INM 100 Confocal Microscope
724 Leica EM IGL
725 Leica TCS SP 2 Confocal Microscope
726 Leica Stereo Zoom Microscope
727 Leica GZ 6 Stereozoom Microscope
728 Leica Reichert Polyvar 2 Microscope
729 Leica MZ APO Stereo Microscope
730 Leica PolyVAR SC Laser Cutter System
731 Leica Polyvar SC Microscope
732 Leica INS 3300 Wafer Inspection System
733 Leica PrecisionPoint VX API Machine
734 Leica VB 6-HR E-Beam Lithography
735 Leica Cambridge S 260 Scanning Electron Microscope (SEM)
736 Leitz 060-642.001 Optical Comparator
737 Leitz Ergolux Film Measurement Tool
738 Lektro Aircraft Tug
739 Lemsys TRD
740 Leo Box Coater
741 Leo / Zeiss DSM 1530 SEM
742 Leo Corporation LTA 130 A Wafer Analyzer
743 Leo Engineering Box Coater
744 Leroy 30 HP Air Compressor
745 Leroy Somper 1 L 590 PR Pump
746 Lesco Super Spot Max UV Lightsource
747 Letelac LTZSG 20 Auto Transformer
748 Lewa Process Technologie Eco Prime 1000-2 Low Pressure Chromatography System
749 Lewco Conveyor Lift Gate
750 Lewco Gravity Conveyor
751 Lewco Gravity Conveyor
752 Lewco Conveyor Lift Gates
753 Lewis/Stoelting WRD Ultrasonic Washing System
754 Lexel 85 Argon Ion Laser
755 Leybold D 65 BCS Vacuum Pump
756 Leybold Turbovac 150 Turbo Pump
757 Leybold Turbovac Tw 220/150 S Turbo Pump
758 Leybold CVD 300 H/6/1/1 UHVCVD (Ultra-High Vacuum Chemical Vapor Deposition) Reactor
759 Leybold Syrus II 3610
760 Leybold Phoenix L 300 Leak Detector
761 Leybold Dryvac DV 650 Special Vacuum Pump
762 Leybold D 90 AC Vacuum Pump
763 Leybold D 25 BDS Vacuum Pump
764 Leybold D 40 B Vacuum Pump
765 Leybold D 90 AC Vacuum Pump
766 Leybold D 25 BCS Vacuum Pump
767 Leybold D 25 BCS / PFPE Vacuum Pump
768 Leybold SiGe Chemical Vapor Deposition (CVD)
769 Leybold Ecodry L 12300
770 Leybold Turbovac 600 Turbo Pump
771 Leybold Turbovac 350 i ISO 100 Turbo Pump
772 Leybold Dryvac 2
773 Leybold Turbo UL 100 Helium Leak Detector
774 Leybold Phoenix L 3001 Leak Detector
775 Leybold UL 500 Leak Detector
776 Leybold D 16 B Vacuum Pump
777 Leybold A 700 QE E-Beam Deposition System
778 Leybold Coolpak Compressor
779 Leybold Turbovac 150 Turbomolecular Pump
780 Leybold Dryvac 100 P
781 Leybold Turbovac Mag 2800 Pump
782 Leybold Turbo Vac 361 C Pump
783 Leybold A 700 Q Evaporator
784 Leybold / Inficon IC 4 Plus
785 Leybold Heraus Trivac Model D 30 A 30 cfm Mechanical Pump
786 Leybold Vacuum Turbovac 1000 C Spare Turbo Pump for RIE
787 Leyland-Gifford Vertical Drill
788 LG 8002 Function Generator
789 Li Lon Shiang Annealing Oven
790 Li Quan Technology VT Hard Tray Mold
791 Li Quan Technology Hard Tray Mold
792 Li Quan Technology VT-S Hard Tray Mold Maker
793 Li Quan Technology J 0297 VT-T Hard Tray Mold Maker
794 Li Quan Technology J 0319 New Mold Making Tool
795 Li Quan Technology J 0335 Aluminum Die Fresh Common Mold Base
796 Li Quan Technology J 0341 Aluminum Mold
797 Li Quan Technology J 0337 Aluminum Die Fresh Common Mold Base
798 Li Quan Technology J 0319-2 Mold Making + Electroplating
799 Li Quan Technology J 0315 Aluminum Mold
800 Li Quan Technology J 0338 Aluminum Die Fresh Common Mold Base
801 Li Quan Technology Mold Maker
802 Li Quan Technology VT-T Mold Maker
803 Li Quan Technology J 0353 Aluminum Die Holder
804 Lianyi CS-6-13 Fiber Adjustments For Reflection
805 Lianyi CS-6-13 Six Dimensional Adjustments
806 Lianyi CS-6-13 Six Dimensional Adjustments
807 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
808 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
809 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
810 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
811 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
812 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
813 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
814 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
815 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
816 Lianyi LD-631-S 1 Straight Through Fiber Adjustments
817 Lianyi CS-6-13 Reflection Fiber Adjustments
818 Libert AP 600 Power Supply
819 Lid Baking Oven
820 Liebherr Litronic Wheeled Excavator
821 Life Technologies Personal Genome Machine (PGM)
822 Life Technologies Ion Chef System
823 Life-Care Equipment Ultrasonic Cleaner
824 Liftrite T 132 K 48042 A Manual Walkie Lift
825 Lighthouse APEX P 3 Particle Counter
826 Lightnin Agitator
827 Lightriar Technology FBT-LJS-001 Optical Coupler Manufacturing Machine
828 Lightriar Technology FBT-LJS-002 Optical Coupler Manufacturing Machine
829 Lightriar Technology FBT-LJS-003 Optical Coupler Manufacturing Machine
830 Lightriar Technology FBT-LJS-004 Optical Coupler Manufacturing Machine
831 Lightriar Technology FBT-LJS-005 Optical Coupler Manufacturing Machine
832 Lightriar Technology FBT-LJS-006 Optical Coupler Manufacturing Machine
833 Lightriar Technology FBT-LJS-007 Optical Coupler Manufacturing Machine
834 Lightriar Technology FBT-LJS-008 Optical Coupler Manufacturing Machine
835 Lightriar Technology FBT-LJS-009 Optical Coupler Manufacturing Machine
836 Lightriar Technology FBT-LJS-010 Optical Coupler Manufacturing Machine
837 Lightriar Technology FBT-LJS-011 Optical Coupler Manufacturing Machine
838 Lightriar Technology FBT-LJS-012 Optical Coupler Manufacturing Machine
839 Lightriar Technology FBT-LJS-013 Optical Coupler Manufacturing Machine
840 Lightriar Technology ZDJ-1000 Fbt Terminal
841 Lightriar Technology FBT-LJS-014 Optical Coupler Manufacturing Machine
842 Lightriar Technology FBT-LJS-015 Optical Coupler Manufacturing Machine
843 Lightriar Technology FBT-LJS-016 Optical Coupler Manufacturing Machine
844 Lightriar Technology FBT-LJS-017 Optical Coupler Manufacturing Machine
845 Lightriar Technology FBT-LJS-018 Optical Coupler Manufacturing Machine
846 Lightriar Technology FBT-LJS-019 Optical Coupler Manufacturing Machine
847 Lightriar Technology FBT-LJS-020 Optical Coupler Manufacturing Machine
848 Lightriar Technology FBT-LJS-021 Optical Coupler Manufacturing Machine
849 Lightriar Technology FBT-LJS-022 Optical Coupler Manufacturing Machine
850 Lightriar Technology FBT-LJS-023 Optical Coupler Manufacturing Machine
851 Lightriar Technology FBT-LJS-024 Optical Coupler Manufacturing Machine
852 Lightriar Technology FBT-LJS-025 Optical Coupler Manufacturing Machine
853 Lightriar Technology FBT-LJS-026 Optical Coupler Manufacturing Machine
854 Lightriar Technology LZ 17001 Fbt Terminal
855 Lightriar Technology FBT-LJS-027 Optical Coupler Manufacturing Machine
856 Lightriar Technology FBT-LJS-028 Optical Coupler Manufacturing Machine
857 Lightriar Technology FBT-LJS-029 Optical Coupler Manufacturing Machine
858 Lightriar Technology FBT-LJS-030 Optical Coupler Manufacturing Machine
859 Lightriar Technology FBT-LJS-031 Optical Coupler Manufacturing Machine
860 Lightriar Technology FBT-LJS-032 Optical Coupler Manufacturing Machine
861 Lightriar Technology FBT-LJS-033 Optical Coupler Manufacturing Machine
862 Lightriar Technology FBT-LJS-034 Optical Coupler Manufacturing Machine
863 Lightriar Technology B 4360 Pull Cone Test System
864 Lightriar Technology B 4360 Pull Cone Test System
865 Lightriar Technology B 4360 Pull Cone Test System
866 Lightriar Technology B 4360 Pull Cone Test System
867 Lightriar Technology B 4360 Pull Cone Test System
868 Lightriar Technology B 4360 Pull Cone Test System
869 Lightriar Technology B 4360 Pull Cone Test System
870 Lightriar Technology B 4360 Pull Cone Test System
871 Lightriar Technology B 4360 Pull Cone Test System
872 Lightriar Technology B 4360 Pull Cone Test System
873 Lightriar Technology B 4360 Pull Cone Test System
874 Lightriar Technology B 4360 Pull Cone Test System
875 Lightriar Technology B 4360 Pull Cone Test System
876 Lightriar Technology B 4360 Pull Cone Test System
877 Lightriar Technology B 4360 Pull Cone Test System
878 Lightriar Technology B 4360 Pull Cone Test System
879 Lightriar Technology B 4360 Pull Cone Test System
880 Lightriar Technology B 4360 Pull Cone Test System
881 Lightriar Technology B 4360 Pull Cone Test System
882 Lightriar Technology B 4360 Pull Cone Test System
883 Lightriar Technology B 4360 Pull Cone Test System
884 Lightriar Technology B 4360 Pull Cone Test System
885 Lightriar Technology B 4360 Pull Cone Test System
886 Lightriar Technology B 4360 Pull Cone Test System
887 Lightriar Technology B 4360 Pull Cone Test System
888 Lightriar Technology B 4360 Pull Cone Test System
889 Lightriar Technology B 4360 Pull Cone Test System
890 Lightriar Technology B 4360 Pull Cone Test System
891 Lightriar Technology B 4360 Pull Cone Test System
892 Lightriar Technology B 4360 Pull Cone Test System
893 Lightriar Technology B 4360 Pull Cone Test System
894 Lightriar Technology B 4360 Pull Cone Test System
895 Lightriar Technology B 4360 Pull Cone Test System
896 Lightriar Technology B 4360 Pull Cone Test System
897 Lightriar Technology B 4360 Pull Cone Test System
898 Lightriar Technology B 4360 Pull Cone Test System
899 Lightriar Technology B 4360 Pull Cone Test System
900 Lightriar Technology B 4360 Pull Cone Test System
901 Lightriar Technology B 4360 Pull Cone Test System
902 Lightriar Technology B 4360 Pull Cone Test System
903 Lilian Milling Machine
904 Lilongxing Annealing Furnace
905 Linberg Kiln
906 Linco ILS-610 H Sputter
907 Lincoln TIG 255 Welder
908 Lincoln Grease Pump
909 Lincoln TEFC AC Motor
910 Lincoln TIG Welder
911 Lincoln Electric Square Wave TIG 255 Electric Welder
912 Lincoln Electric CV-400 Industrial Welder with Wire Feed
913 Lincoln Electric Ultimate E 1 Hydraulic Power Unit
914 Lincoln Motors SSD 2 P 25 TCTM 61 YKL 16
915 Lindberg Laboratory Tube Furnace
916 Lindberg Heavy Duty Horizontal Furnace
917 Lindberg Controller
918 Lindberg / Blue M GO 1340 P 3 A Gravity Oven
919 Lindberg / Blue M HTF 55667 C Tube Furnace
920 Lindberg / Blue M POM-588 C-3 Oven
921 Lindberg / Blue M MO 1440 A-1
922 Lindberg / Blue M CC 58434 C-1 Furnace
923 Lindberg / Blue M Furnace
924 Lindgren RF Enclosures T/T
925 Lindl Tilting Engine
926 Lindl P 50 Tilting Engine
927 Lindstrom PS 501 3 Torque Wrench
928 Linear CKK-15-110 Wafer Lifter
929 Lineberg Kiln
930 Ling Electronics PA 30-3-A 1-1-3844 B
931 Lingburg 54252 Furnace
932 Linicon LV 125 A Vacuum Pump
933 Link Analytical INCA L 300 QI Energy Dispersive X-Ray
934 Link Instruments LA 5240 Logic Analyzer
935 Lintec RAD 2500 M DAF Wafer Mount Tool
936 Lintec LTD-2500 F / 12 Wafer Mounter Peeler
937 Lintec RAD 3010 F 12 Detape for Backside Grind
938 Lintec RAD 2500 F/12 Fully Automatic Tape Mounter & Peeler
939 Lintec RAD 3600 Die Bonding Sheet Lamina
940 Lintec RAD 3500 F/8 LAM
941 Lintec 2500 F Auto Wafer Mounter System
942 Lintec Adwill RAD 2500 M 12 LE Non Contacts Table
943 Lintech 205716 Slide
944 Lintech 205715 Slide
945 Linx NTM 441 OUM Unloader
946 Lion Precision P0-17-4520 Driver
947 Lion Precision P0-16-8800 Probe
948 Lissmac SBM M 1500 Deburring Machine
949 Lissy Robot with Cabinet and Balance and PC
950 LitePoint IQflex
951 LitePoint IQ2010
952 Litron Chiller
953 Little David LD 16 A Case Sealer
954 Little Giant 3 MDX Pump
955 Little Giant 2 MD Pump
956 Little Giant 1-A A-M-D Pump
957 Little Giant 1 AA-MD Pump
958 Little Giant 1-AA-OM Pump
959 Littleford Daymax 100 Mixer
960 Littleford Day W-10 Mixer
961 Livingston & Haven Hydraulic Pump
962 LK G 90 C Calibration Table
963 LMT B 520 Photometer
964 LNS Quick Load Servo 80 S 2 Bar Loader / Feeder
965 LNS Quick Load Servo S 3 Bar Loader / Feeder
966 LNS Quick Load Servo S 2 Bar Loader / Feeder
967 LNS Turbo 57508026
968 Loctite 98760 Conveyer
969 Loctite Posi-Link
970 Loctite Epoxy Dispenser
971 Loctite HYSOL BULK-14 Adhesive Dispenser
972 Loctite EQ RB 15 200 D Gantry Robot
973 Loctite ZETA 7610 MPMA Light Cure Conveyor
974 Loctite Hysol Wheel Coater
975 Loctite 7411
976 Loctrite UVALOC 1000 Light Cure Chamber
977 Lodestar 8203 Power Supply
978 Lodigue M 20 MK Littleford Mixer
979 Logicom Instruments ACS CSTL 20 ACS Liquid Thermal Shock Chamber
980 Logitech 1 DP 41 Wafer Substrate Polisher
981 Logitech 15 1 MOD 1-1 Wire Saw
982 Logitech DL 4 Lapping System
983 Logitech Auto Colimator
984 Logitech AXL 1 Annular Saw
985 Logitech 1 DP 1-5 Polisher
986 Logitech PM 2 A Polisher
987 Logitech PM 2 A Polisher
988 Logitech 1 CM 51 CDP Automatic Chemical Mechanical Polishing (CMP)
989 Logtech Y-SU 45 Keyboard
990 LOH LCM 240 CNC Centering Machine
991 Loh 4322 Centering Machine
992 LOH WG Edge Polisher
993 LOH RXT CNC 17 Grinding Machine for Ophthalmic Lenses
994 LOH Centromatic Micro
995 LOH LZ 80 Centering Machine
996 LOH ACM 15 V Aspherical Robotic Polish
997 LOH Laser Centering Machine
998 LOH Laser Centering Machine
999 LOH Plano Machine – Surface Grinder
1000 LOH Wetzlar PM 3 Three Spindle
1001 LOH Wetzlar Polishing Machine
1002 Loma Scale
1003 Lomar Split Jaw Crimper
1004 Lonati L 46-J Circular Knitting Machine
1005 Long Wei TPR 3003 2 D DC Power Supply
1006 Loomis V 5 Automatic Roller Breaker
1007 Loomis V 5 Automatic Roller Breaker
1008 Loral 042-B 347-A 2 C-7 C 2 Switch RF Coaxial
1009 Lorimer Hydraulic Press
1010 Lorlin T 1 Test Fixture
1011 Lot of Misc Equipment
1012 Lourdes 2100 x Punch Press
1013 LoveShaw Little David Top and Bottom Case Sealer
1014 LPE Epi PE 3061 D Reactor
1015 LPI Screener
1016 LPKF Protomat 95 S Circuit Milling Machine
1017 LPKF Laser
1018 LPKF ZelFlex Z 4 P Pneumatic Stencil Frame
1019 LR Environmental Equipment Temperature Chamber
1020 LSI 45/60 Track
1021 LTX Delta Tester
1022 LTX  Credence Fusion – HF Integrated Circuit Tester T
1023 LTX  Credence TS 88 Test System
1024 LTX / Credence Fusion AC Automatic Test System
1025 LTX / Credence Emiscope-II t
1026 LTX / Credence ASL 1000 Tester
1027 LTX / Credence TS 80 Tester
1028 LTX / Credence Fusion HFI Tester
1029 LTX / Credence Sapphire Tester
1030 LTX / Credence Synchromaster II Tester
1031 LTX / Credence Fusion HFI Tester
1032 LTX / Credence Fusion HFI Tester
1033 LTX / Credence Kalos HEX Memory Tester
1034 LTX / Credence Kalos HEX Memory Tester
1035 LTX / Credence Quartet One Tester
1036 LTX / Credence DX 90 Tester
1037 LTX / Credence PPS Fusion Tester
1038 LTX / Credence PVI Tester
1039 LTX / Credence STS 5020 Domain Tester
1040 LTX / Credence SC Micro Tester
1041 LTX / Credence SC Micro Tester
1042 LTX / Credence Duo Tester
1043 LTX / Credence Quartet Tester
1044 LTX / Credence HFi Tester
1045 LTX / Credence Trillium Deltamaster
1046 LTX / Credence ASL 1000 Tester
1047 LTX / Credence Personal Kalos Tester
1048 LTX / Credence Fusion MX Tester
1049 LTX / Credence ASL 1000 IC Tester
1050 LTX / Credence ASL 1000 Tester
1051 LTX / Credence Quartet One Tester
1052 LTX / Credence Sapphire Tester
1053 LTX / Credence Fusion HF Tester
1054 LTX / Credence TMX 07 Manipulator and Docking Plate
1055 LTX / Credence Fusion CX Tester
1056 LTX / Credence Fusion CX Tester
1057 LTX / Credence Quartet Tester
1058 LTX / Credence LT 1001 Tester
1059 LTX / Credence TS 80 Tester
1060 LTX / Credence Kalos Tester
1061 LTX / Credence Quartet Tester
1062 LTX / Credence Delta D 50 Tester
1063 LTX / Credence Fusion CX Tester
1064 LTX / Credence Manipulator
1065 LTX / Credence Test Head
1066 LTX / Credence Cooling Rack
1067 LTX / Credence Delta D 50 Tester
1068 LTX / Credence Fusion CX Tester
1069 LTX / Credence Manipulator
1070 LTX / Credence Test Head
1071 LTX / Credence Cooling Rack
1072 LTX / Credence LT 1101 Tester
1073 LTX / Credence Kalos Hex 48 Memory Tester
1074 LTX / Credence Fusion MX Tester
1075 LTX / Credence Fusion MX FX 2 Card
1076 LTX-Credence Fusion HF
1077 LTX-Credence Sapphire Tester
1078 LTX-Credence Fusion MX Tester
1079 Lucas 42 B 60 Horizontal Mill
1080 Lufran 090 RE 480 1000 U DI Water Heater
1081 Lufran 090 RE 480 1000 U DI Water Heater
1082 Lumatec SUV DC Curing System
1083 Lumen Dynamic S 1500 A Omnicure UV Curing
1084 Lumentics OptiGauge 13000 Precision Thickness Measurement
1085 Luminex 100 IS Analyzer
1086 Lunaire CEO 932 W 3 Temperature & Humidity
1087 Lust Drive
1088 Lust Drive
1089 Lust Drive
1090 Luxo Microscope
1091 Luxo Magnifying Glass Inspection
1092 Lynx NTM 210 BLBP Vacuum Loader
1093 Lynx Conveyor
1094 Lynx-Spectra Vision System
1095 Lytron MCS 10 G 01 BB 1 Modular Cooling System
1096 M Braun MB 200 G
1097 M&M Compressor
1098 M&R AG 350-6 n-D-S-S-V Mask Aligner
1099 M&R AG 350 Wafer Exposure Machine
1100 M&W RPC 2/28 W-RNB Recirculator – Flowrite
1101 M.B. Tech NC 25 PCB Leadframe Cleaning Machine
1102 M.P.T. Oil Fill
1103 M.P.T. Dual Piston Torque
1104 MAC Bag House
1105 Macbeth SPL 75 B Spectra Light
1106 Macbeth The Answer II Reflection Densitometer
1107 MacGregor DC 1000 Welding
1108 MacGregor DC 1800 Welding
1109 Machin Paper Shredder
1110 Machin Refrigerated Centrifuge
1111 Machin ACM 174 Wrist Action Shaker
1112 Machine Tools
1113 Machines
1114 Machvision CVRS 2824 33 M Verismart Verification System
1115 Machvision CVRS 2 2824 33 M Verismart Verification System
1116 Mactronix AE 2-600 Ae2 Wafer Sorter
1117 Mactronix MCL-325 Wafer Transfer System
1118 Mactronix UKA 625 A 3 Transfer Tool
1119 Mactronix AWI 400 Wafer Stage for Bright Light Set-up
1120 Mactronix Eureka Wafer Transfer System
1121 Mactronix Wafer Sorter
1122 Mada TP 80 EX
1123 Madell Mini-X Automatic Pick and Place
1124 Madell Automatic Pick and Place System
1125 Mag View MVM 040 PN Magnetic Flow Meter
1126 Mag View MVM 040 PA Magnetic Flow Meter
1127 Mag View MVM 200 PN Magnetic Flow Meter
1128 Magic IB L 10 12 D Extrusion Blow Molding Machine
1129 Magic IB L 10 12 D Extrusion Blow Molding Machine
1130 Magic IB L 10 12 D Extrusion Blow Molding Machine
1131 Magic IB L 10 12 D Extrusion Blow Molding Machine
1132 Magna Power DC Power Supply
1133 Magna Power Electronics TSA 20-1250 DC Power Supply
1134 Magna Power Electronics Xrii 100-80
1135 Magnetek 6 357726 01 AC Motor
1136 Magne-Tron Instruments 800 Four Point Probe Resistivity System
1137 Magnus Aqueous Cleaning System
1138 Maguire WSB-100 Series Weigh Scale Blender
1139 Mai Jiun LP 660 UV 2 T
1140 Mai Jiun LP 770 XB
1141 Mai Jiun LP 770 XB
1142 Mai Jiun LP-770 BBDS
1143 Mai Jiun R 25
1144 Maingchau Parts Cleaner
1145 Maingchau Boat Cleaner
1146 Maingchau Tube Cleaner
1147 MAKE MODEL TYPE56
1148 make this model this type this
1149 Makino MC-86 Horizontal Mill
1150 Makino MC-98 Horizontal Mill
1151 Makino 1516
1152 Makino SNC 64 CNC Veritcal Graphite Machining Center
1153 Malcom RCM S Reflow Checker
1154 Malvern Mastersizer 2000 Particle Size Analyser
1155 Malvern CVO 100 Rheometer
1156 Malvern Mastersizer 2000
1157 Malvern Hydrosight Particle Visualization Sampling Unit
1158 Malvern Viscometer
1159 Malvern Hydrosight
1160 Malvern MPT 2 Auto Titrator
1161 Malvern Zetasizer Nano S
1162 Malvern Zetasizer Nano ZS
1163 Malvern MPT 2 Multi Purpose Titrator
1164 Malvern Zetasizer Zen 1600 Nano S Analyzer
1165 Malvern Zetasizer Zen 3600 Analyzer
1166 Malvern Panalytical Morphologi G Particle Measurement
1167 Malvern Zetasizer
1168 Manchester Endformer
1169 Mania Speedy MPP 4504 Flying Probe
1170 Mania Accumatch Optical Inspection (AOI)
1171 Mania Technologie Speedy 580 Probe Tester
1172 Manix TSC 1210 Oven
1173 Manncorp MC 391 V 1-V Pick & Place
1174 MannCorp 290 V Desiccant Cabinet – Dry Box
1175 Manner Tape Transport Dish Washer
1176 Mannesmann MPM 45 E 1 CPA Press
1177 Manson AC 1103 Isolation Transformer
1178 Manson DPS 3030 DC Regulated Power Supply
1179 Manson MPD 1850 DC Regulated Power Supply
1180 Manson MPD 3030 DC Regulated Power Supply
1181 Manson MPD 6015 DC Regulated Power Supply
1182 Mantis MFC
1183 Mantis Vision Equipment
1184 Manual Singulation
1185 Manufacturing Integration Flexisort 600 Die Sorter System
1186 Manufacturing Integration IMT 1200 M Laser Mold Cleaning Machine
1187 Manufacturing Integration MIT_LH 100 SP Laser Mark Machine
1188 Manufacturing Integration MIT_LH 100 TTL Laser Mark Machine
1189 Manufacturing Systems ECG Cable Shield Probe Fixture
1190 Manz Sina XL Chemical Vapor Deposition (CVD)
1191 Manz Automatic Loading and Unlo
1192 Manz Sina 3400 Bilateral Automatic Wafer Loading and Unlo
1193 Manz Z 10 Stackbox Unloader
1194 Manz Z 10 Pallet Loader
1195 Manz Z 10 Pallet Unloader
1196 Manz Z 10 Wafer Loader
1197 Manz Z 10 Wafer Unloader
1198 Manz Zelltester Cell Classification
1199 Manz Loader Stackbox
1200 Manz Loader Back Side Metallization Stackbox to Rotary Table
1201 Manz Pallet Loader
1202 Manz Unloader
1203 Manz Pallet Unloader and Wafer
1204 Manz Unloader Metallization Fast Firing Furnace
1205 Manz Cell Classification
1206 Manz Loader Stackbox
1207 Manz China W 12 1640 Developer
1208 Marason Plasma-Enhanced Chemical Vapor Deposition (PECVD) Abatement System
1209 Marathon Electric FVD 56 T 34 F 5301 J P
1210 Marathon Electric 7 VF 213 TTFW 4020 AD L AC Motor
1211 Marathon Electric EVB 286 TTDC 4026 BB S S AC Motor
1212 Marathon Electric 1 J 405 TTF 56036 AP W XRI High Efficiency AC Motor
1213 March AP 1000 Plasma System
1214 March PX 250 Asher
1215 March AP 1000 Plasma Clean
1216 March FlexTrak In-Line Plasma
1217 March PX 250 Plasma System
1218 March Flextrak Plasma Cleaner
1219 March PX 1000 E 8 3711 Automatic Plasma Clean Machine
1220 Marchant 6 FG Shrinker
1221 Marchant 12 A Shrinker
1222 Marchesini BA 100 Kutulma Alu Alu Packing Line
1223 Marchesini ALU Blister Packaging Line
1224 Marchesini Cartoner
1225 Marchesini BlisterMachine
1226 Marchesini Eye Drop Packaging Line
1227 Marchesini 4 0801550 Blister Machine
1228 Marchesini 4 0801560 796 Leaflet Folding Machine
1229 Marconi 2019 A Signal Generator
1230 Marconi 2024 Signal Generator
1231 Marconi 2026 Signal Generator
1232 Maremont Pin & Plate Crimping Press
1233 Mark-10 Peel Tester
1234 Markem 530 Pad Printer
1235 Markem 606 Laser Marking
1236 Markem U 1471 Marking System
1237 Markem 612 Laser Marker
1238 Markem Imaje Ink Jet Printer
1239 Markperi MPC 1012 RF Welder
1240 Marley 600 Ton Cooling Towers
1241 Martek Automation Yogi Indexer Comb Mold
1242 Martek Automation DBS Lead Twist
1243 Martin B 64-140 Centrifugal Discharge Bucket Elevator
1244 Martin Yale PacMaster S 343-4 IS
1245 Martin Yale 959 Automatic Paper Folder
1246 Marvel 8 Mark II
1247 Mason Technologies Allring Loader & Unloader
1248 Mastech HY 3005 F-3 DC Power Supply
1249 Mastech HY 3020 Mr DC Power Supply
1250 Mastech HY 3005 F 3
1251 Master Appliance HG 501 A Heat Gun
1252 Matchmaker CNC Lathe
1253 Matec GTR 4009 ARN D Gantry System
1254 Matheson Tri-gas (3) cylinder cabinet
1255 Matrical SonicMan SCM 1000-4 Sonicator
1256 Matrix System 10, Model 1178 Plasma Stripper
1257 Matrix Optical Projector
1258 Matrix Asher
1259 Matrix MW Resist 1188 Asher
1260 Matrix Jaguar 300 Asher / Stripper
1261 Matrix System 10 Plasma Stripper
1262 Matrix 206 Asher Plasma Stripper System
1263 Matrix FocalSpot Verifier FSX 130 Pro X-Ray Machine
1264 Matrix Microscience Pathatrix Pathogen Detection
1265 Matsuhiro Shuttle
1266 Matsuura MAM 500 HF PC 2 CNC Horizontal Milling
1267 Matsuura RA 2 F CNC
1268 Matthews iMark EZ Touch C 84 Printer
1269 Mattson Aspen Chemical Vapor Depositio
1270 Mattson Rapid Thermal Process (RTP) Anneal
1271 Mattson TiW Etch Tool Wet Etch tool
1272 Mattson Cygnus 100 Fourier Transform Infrared Spectroscopy (FTIR)
1273 Mattson Laser Power Supply
1274 Mattson Gas Laser
1275 Mattson Satellite FTIR Fourier Transform Infrared Spectroscopy
1276 Maximus 804 Microsystem
1277 Maximus 804 Robot Coater
1278 Maxtek MDC 360 Deposition Controller
1279 Maxtek MDC 360 Deposition Controller
1280 Mazak AJV-25/404 Vertical CNC
1281 Mazak VQC-15/40 Vertical CNC
1282 Mazak 5 Axis Mill Turn Lathe
1283 Mazak
1284 Mazak VTC type 160 A
1285 Mazak For FH 480
1286 Mazak FH 480 X
1287 Mazak Manuel 24″ Lathe
1288 Mazak AJV 25 / 404 N
1289 MB Dynamics Vibration ED Shaker
1290 MBI Wafer Alignment System
1291 MBJ 128 C EL Table
1292 MBJ EL Tester
1293 Mbraun Glove Box
1294 MBraun SPS 800 Solvent Drier
1295 MBtech NC 25 Flux Cleaning Machine
1296 MBTech NC 25 Batch Cleaning
1297 MC USB-1608 FS-PLUS Sampling Card
1298 MC Electronics TES 8653 D IC Tray Handler
1299 MC Electronics (MCE) TES 8653 D IC Tray Handler
1300 McBain ZIII Wafer Inspection System
1301 McBride Machine 9407015-2 A Unwinder
1302 McBride Machine 9407015-2 A Calender
1303 McBride Machine 9407015-2 A Control System of Blanket Tension of the Unwinder
1304 McBride Machine 9407015-2 A Winder
1305 MCP-Pal Vertical Continuous Plating Line
1306 Mcquay 600 Ton Chiller
1307 Mcquay 2100 Refrigerator
1308 McQuay Chiller
1309 MCT 3608 E 3 DIP Handler
1310 MCT 3616.3 DTS DIP Handler
1311 MCT 3608 E 3 DIP Handler
1312 MCT 3608 E 3 DiP Handler
1313 MCT 3616 3 DTS DIP Handler
1314 MCT 3616 E 6 Test Handler
1315 MCT 3608 Handler
1316 MCT 3608 Handler
1317 MCT 3608 Handler
1318 MCT WTS Handler
1319 MCT WTS Handler
1320 MCT 3608 Handler
1321 MCT 3608 Test Handler
1322 MCT Tri-Temp Kit Chiller
1323 MCT 3608 Handler
1324 MCT Handler
1325 MCT 3608 Test Handler
1326 MCT PX 2000 Peeling Machine
1327 MD Intelligent Dehumidifier
1328 MDC CVS 10 Power Supply
1329 MDC E-Vap XY Prog. Sweep Controller
1330 MDC THINFILM Custom E-Beam Evaporator System
1331 MDC 811 CV Hg Probe
1332 Meanwell S 100 5 Power Supply
1333 Meanwell SE 450 12 Power Supply
1334 Measurement Computing Data Acquisition Boards
1335 Meccanica Cambi SRL Diamond Machine
1336 Meccanica Cambi Edging and Beeling Machine
1337 Mech EL 1204 W Wedge Bonder
1338 Mechanical Devices Max TC Temperature Forcing System
1339 Mech-El 827 Wire Bonder
1340 Mech-El 990
1341 Mech-El 1204 W Wedge Bonder
1342 Meco Mobile Incline Conveyor
1343 Meco EPL 2400 Strip Solder
1344 Meco Electrolytic Deflash Assembly
1345 Mecon Bubbler Machine
1346 Medica Instrument Vertical Autoclave
1347 Medica Instruments 7441 FA Vertical Autoclave
1348 Mega Q 2 52 Tester
1349 Mega Q 2 62 Tester
1350 Mega Electronics FAPC 3000 Aqua Klean Aci Washer
1351 Mega Fluid Systems MB 321 LCU 100 Blender
1352 Mega Kinetics Megapure 6001 HC Chemical Delivery System for Electo Plate / Etch tools
1353 Megatest GIII Tester
1354 MEI 709
1355 MEI Evolution Solvent Sink
1356 Meier VPI System
1357 Meiji Sample Preparation Microscope
1358 Meiji Microscope
1359 Meiji 560 Light Box
1360 Meiji Microscope
1361 Meiji Microscope
1362 Meiji Microscope
1363 Meiji Microscope
1364 Meiji Microscope
1365 Meiji Microscope
1366 Meiji Microscope
1367 Meiji Microscope
1368 Meiji Manual Sanding Station
1369 Meiji 400 X Assembly Maintenance Station
1370 Mellen Microtherm Box Furnace
1371 Mellen Tubular Furnace
1372 Melles Griot Optical Table Breadboard
1373 Melles Griot 05-LPM-340-065
1374 Melles Griot 06 DLD 103 Laser Diode Controller
1375 Melles Griot 17 PCW 011 Piezoelectric Controller
1376 Melles Griot 17 NTT 001 MR NanoTrak NTT Controller
1377 Melles Griot NanoMax-HS 17 MAX 605 3-Axis Positioner
1378 Melles Griot 17 PCZ 013 Piezoelectric Controller
1379 Melles Griot 13 SKP 001 Beam Analyzer
1380 Melles Griot Optical Table
1381 Melles Griot Optical Table
1382 Melles Griot Electrical Power Supply
1383 Memcon MCX 26 MPM FW SC Connector
1384 Memmert 100-800 Oven
1385 Memmert SLE 500 Oven
1386 Memmert INP 500 Incubator
1387 Memmert SFP 500 Hot Air Sterilizer
1388 Memmert ULE 400 AO H 4 Universal Oven
1389 Mentor Burn In Chamber for Power
1390 Mentor Technology AI Components Checking Fixture
1391 Mentor Technology Check Lead and ICT Skip Component Fixture
1392 Mentor Technology Bottom and Top Mould
1393 Mentor Technology Hi-Pot Test Fixture
1394 Mentor Technology ATS Test Fixture
1395 Mentor Technology Connector for 12V
1396 Mentor Technology Cable for 5V
1397 Mentor Technology AC Cable
1398 Mentor Technology Cable for Fan
1399 Mentor Technology Burn-In Cooling Fixture
1400 Mentor Technology IC Test Fixture
1401 Mentor Technology Burn-In Test Fixture
1402 Merck Analytical Balance
1403 Merck MAS 100 Air Sampler
1404 Merck Mill Q Advantage A 10 Ultrapure Water System
1405 Messer Griesheim (MG) Apollo 100 Container
1406 Met One 237 B Laser Particle Counter
1407 Met One PCX Particle Counter
1408 Metacrafts Conveyor Belt
1409 Metal Chem Dry Heat Sterilizer
1410 Metalab Scientific Water Bath
1411 Metcal PS 5200 Soldering Systems
1412 Metcal BVX 101
1413 Metfab Engineering Cool Down Rack
1414 Metler Toledo PB 5001 S Precision Balance
1415 Metro C 85-DVN Oven
1416 Metro SMT Component Reel Shelving
1417 Metro Precision Machinin F 13894 00 Snap Preform Fixture
1418 Metrohm 795 KFT Titrator
1419 Metrohm 795 KF Titrator
1420 Metrohm 798 MPT Autotitrator
1421 Metrohm
1422 Metrohm Ti 798 KF Titrator
1423 Metrohm 827 pHmetro
1424 Metrohm 780 pH Meter
1425 Metrohm Titrator Titrino Plus 848
1426 Metronelec Menisco ST 50 Solder Wetting Balance
1427 Metronelec ST 50 Solder Wetting Balance
1428 Metronics Quadra-Chek 200 Digital Readout
1429 Mettler AE 100
1430 Mettler PE 1600
1431 Mettler AE 163
1432 Mettler Toldo Analytical Balance
1433 Mettler PH Probe
1434 Mettler AC 100 Scale
1435 Mettler Garvens S 2 Check Weigher
1436 Mettler Toledo T 50 Excllence Auto Titrate
1437 Mettler Toledo XS 204 Balance with Calibration Weights
1438 Mettler Toledo NewClassic MF MS 1602 S Balance
1439 Mettler Toledo XTC 1001 Weighing Scale
1440 Mettler Toledo XS Hi Speed Check Weigher
1441 Mettler Toledo MN Checkweigher
1442 Mettler Toledo XS 105 Balance
1443 Mettler Toledo Weighing Balance
1444 Mettler Toledo Completed Scales from P026
1445 Mettler Toledo T–401 Electronic Weighing Balance
1446 Mettler Toledo T–402 Electronic Weighing Balance
1447 Mettler Toledo Weighing Balance
1448 Mettler Toledo DL 38 Tritator
1449 Mettler Toledo XP 205 Weight Scale
1450 Mettler Toledo AT Analytical Scale
1451 Mettler Toledo HR 83 Moisture Analyzer
1452 Mettler Toledo MS 603 S Precision Balance
1453 Mettler Toledo Semi-Micro Balance
1454 Mettler Toledo pH/Ion Meter
1455 Mettler Toledo HR 83 Halogen Moisture Analyzer
1456 Mettler Toledo MP 70 Melting Point Apparatus
1457 Mettler Toledo XP 205 Analytical Balance
1458 Mettler Toledo XP 5003 SDR Precision Balance
1459 Mettler Toledo XP 504 Analytical Balance
1460 Mettler Toledo Analytical Balance
1461 Mettler Toledo Weighing System
1462 Mettler Toledo HR 83 P Halogen Moisture Analyser
1463 Mettler Toledo FiveGo Hand pH Meter
1464 Mettler Toledo XS 2
1465 Mettler Toledo TGA DSC 1 Thermogravimetric Analyzer / Differential Scanning Calorimeter
1466 Mettler Toledo AB 204 Precision Scale
1467 Mettler Toledo AB 204 Precision Scale
1468 Mettler Toledo Bohdan Universal Sample Prep Unit
1469 Meuser M 4 L Gear Head Lathe
1470 Mex Quest Engineering Heavy Duty Digital Caliper Assembly
1471 Meyer ICOLAB 36 / 21 Laminator
1472 Meyer Berger DS 271 Wire Saw
1473 Meyer Berger Inner Diameter Saw
1474 Meyer Berger Laminator
1475 Meyer Burger TS 4 Glass Saw
1476 Meyer Burger DS 265 Wire Saw
1477 Meyer Burger NG Series Laminator
1478 Meyer Burger 805 BS Band Saw
1479 Meyer Burger DS-265
1480 Meyer Burger TS-23 ID Saw
1481 Meyer Burger 50 MW Plasma-Enhanced Chemical Vapor Deposition (PECVD)
1482 Meyer Burger SiNA XXL (50 MW) Plasma-Enhanced Chemical Vapor Deposition (PECVD)
1483 Meyer Burger 3 S Laminator
1484 Meyer Burger SINA L Ext Turbo Plate PECVD
1485 MFD Pneumatics MDS 1 GP C 08 Sensors
1486 MGE Comet
1487 MGE Galaxy PW UPS
1488 MGE Galaxy PW UPS
1489 MGE Galaxy PW UPS
1490 MGI Wafer Transfer System
1491 Michael Riedel Transformatorenbau Drive Sonder
1492 Mickle Labs Peach Printer
1493 Micos RS 232 Polarization Controller
1494 Micristar 828 D 00 403 403 000
1495 Micro Automation 1006 Dicing Saw
1496 Micro Automation M 1100 Dicing Saw
1497 Micro Automation M 1100 Dicing Saw Parts
1498 Micro Control ABES-IV Logic and Memory Burn-In System
1499 Micro Control Abes III (10 X) Burn-in Oven
1500 Micro Control Abes IV Burn-in Oven
1501 Micro Control WRP 64 Burn-in Oven
1502 Micro Engineering Rabs Melabs U 2 Programer
1503 Micro Engineering Rabs Melabs U 3 Programer
1504 Micro Instrument 1120 2 10 Power Supply Unit
1505 Micro Instrument 1120 3 10 Power Supply Unit
1506 Micro Joger Temptron
1507 Micro Matic Precision Wafering Machine
1508 Micro Modular System LED VR A A BR Tape & Reel System
1509 Micro Modular System LU 950 Loader
1510 Micro Modular System LU 950 Loader
1511 Micro Modular System LU 950 Loader
1512 Micro Motion MT 2000 Automatic Taping Machine
1513 Micro Technical Industries Thermoprobe
1514 Micro Tool & Machine X-Shear Core Cutting Machine
1515 Micro Vu Vector 250
1516 Micro Vu 24 / 18
1517 Microbar Mini Track Mate Chemical Dispensing System
1518 Microchip Technology Picstart Plus Development Programmer
1519 Microcontact AG P 1040
1520 Microcontrol Leonardo 200 Taper / Detaper
1521 Microdrill DSLS Punch Machine
1522 MicroFiber IG 3 SS 1400 heater
1523 Microfludics M-110 EH-30 Homogenizer
1524 Microline 06-1871 A Gas Cabinet
1525 Microline 06-1870 A Gas Cabinet
1526 Micromanipulator 8860 / 8865
1527 Micromanipulator
1528 Micromanipulator
1529 Micromanipulator
1530 Micromanipulator 9000-VIT Micromanipulator
1531 Micromanipulator 6000 Probe Station
1532 Micromanipulator 1000 DC 12 Internal Prober
1533 Micromanipulator Probe Station
1534 Micromanipulator 6000 Manual Probe Station
1535 Micromanipulator EzLaze 3 Probe Station with Laser
1536 Micromanipulator Probe Station
1537 Micromanipulator HC 1000 CD 12 Internal Probing Machine
1538 Micromass 386 Mass Spectrometer
1539 Micromass MALDI Micro MX (MALDI-TOF-MS) Matrix Assisted Laser Desorption Ionization Time of Flight Mass Spectrometer
1540 Micrometrics AccuPyc II 1340 Gas Pycnometer
1541 Micron Master 15 520 15 inch Airmill
1542 Micron Optics FFP TF 1550 Fiber Perot Tunable Filter
1543 Micronic LRS 230-IT Laser Lithography Tool
1544 Micronic MP 80 Laser Lithography Tool
1545 Microscan MS 860 Scanner
1546 Microsemi Power Supply Unit
1547 Microsemi Burn-In system
1548 Microsemi Burn-In system
1549 Microsense EZ 11 VSM Vibrating Sample Magnetometer
1550 Microsoft Mouse
1551 Microtec MT-2217
1552 Microtec MT-550 TV Screen Printer
1553 Microtech Marangoni Dryer
1554 Microtest CT 8681 Cable / Harness Tester
1555 Microtrac UPA 150 Particle Measurement
1556 MicroVision (Leica) MVT 2080 Inspection Station
1557 MicroVu Matrix M 441 Vision Non Contact Measuring Machine
1558 MicroVu H 14 Optical Comparator
1559 MicroVu Optical Comparator
1560 Micro-Vu 500 HP Optical Comparator
1561 Micro-Vu 24 / 18 Optical Comparator
1562 Micro-Vu Visual Measuring System
1563 Mid Eastern Industries HW-D Series
1564 Miele Industrial Washer
1565 Mighty Comet 2 SHG-A Knee Mill
1566 Mighty Lube 7065 B Automatic Lubrication System
1567 Mikron M 7500 Infared Camera
1568 Mikron VCP 600
1569 Mikron Hob Sharpener
1570 Mikropack SpecEL 2000 VIS
1571 Milara STW 1 Printer
1572 Milestone ETHOS UP Microwave Digestion System
1573 Milestone Ethos Microwave Digestion System
1574 Milipore Water System
1575 Milipore 50155 Filter
1576 Mill Lane Optical Coater
1577 Miller 15 HP Air Compressor
1578 Miller Syncrowave 351
1579 Miller Design & Equipment 4-Point Probe
1580 Milli Q Water Purification System
1581 Millipore ELIX 20 Water Purification System
1582 Millipore Elix 5 Water Distillation System
1583 Millipore Automatic Sanitation Module and Storage Tank
1584 Millipore Chromatographic Columns
1585 MilliPore Simplicity UV System
1586 Milltronics RH 25 Vertical Mill
1587 Milmega RF Power Amplifiers
1588 Milmetco Engineering HA 3630 Oven
1589 Minami MK 878 SV Solder Paste Machine
1590 Minaservices GEN 5 Etching Web Bench and Robot
1591 Ming Yang Branch Tablet Counting Machine
1592 Mini Circuits ZHL-20 W-13 RF Amplifier
1593 Minipack Tunnel 70 Oven
1594 Minipack MP-SWM Stretch Wrap Machine
1595 Minister Machine Press
1596 Minolta CR 321 Colorimeter
1597 Mirae MR 5500 Handler
1598 Mirae 820 Burn In Loader / Unloader
1599 Mirae 9210 Burn In Loader / Unloader
1600 Mirae M 5500 Memory Handler
1601 Mirae M 5500 Memory Handler
1602 Mirae MR 5500 Memory Handler
1603 Mirtec MV 7 Inline AOI System
1604 Mirtec MV 3 L
1605 Mirtec MV 3 L Inspection Machine
1606 Mirtec MV 7 XI In Line 2D AOI
1607 Mirtec MV 7 XI In Line 2D AOI
1608 Mister Presses P 2-60
1609 Mistrello Jumbo A 25 I Racks
1610 Mistry & Brothers 2T Hand Wheel Press
1611 Mistry Engineering Vial Inspection M/C
1612 Mistry Engineering Vial Washing M/C
1613 Mistry Engineering Vial Air Cleaning M/C
1614 Mistry Engineering Filled Vial Inspection Machine
1615 MIT Strip Laser Marking System
1616 Mitsubishi Robot
1617 Mitsubishi SX 20 Electrical Discharge Machining (EDM) tool
1618 Mitsubishi Melsec A 870 GOT Graphic Operation Terminal
1619 Mitsubishi M V 70 E
1620 Mitsubishi RVE 3 J
1621 Mitsubishi RV-4 A-S 311
1622 Mitsubishi 7000 Series Battery Module
1623 Mitsubishi DWC-90 Wire EDM
1624 Mitsubishi KA 97 DV 132 S 4 BMG 5.5 Motor
1625 Mitsubishi FX 20 Wire EDM Machine
1626 Mitsubishi K Wire EDM
1627 Mitsubishi Wire EDM
1628 Mitsubishi Forklift
1629 Mitsui Seiki HU 40 T AWC 5-Axis Mill
1630 Mitsui Seiki HU 40-T-AWC
1631 Mitsui Seiki 7 CN 105 Vertical Jig Boring Machine
1632 Mitsumi KFKEA 4 XT Keyboard
1633 Mitutoyo CMM
1634 Mitutoyo QVX 202
1635 Mitutoyo PH-3500 Comparator
1636 Mitutoyo Coordinate Measuring Machine
1637 Mitutoyo FS 70 Optical Microscope for Active Layers Inspection
1638 Mitutoyo Measuring Microscope
1639 Mitutoyo LH-600
1640 Mitutoyo C 112 CEXB Digital Indicators
1641 Mitutoyo Quick Vision IQUP 202 Vision Measuring Machine
1642 Mitutoyo Microscope Probe Manipulator
1643 Mitutoyo LSM 6200 Display Unit
1644 Mitutoyo LSM 512 S Laser Scan Micrometer
1645 Mitutoyo CV 4500 S 4 Measuring Microscope
1646 Mitutoyo CV 500 Contour Measuring Instrument (Contracer)
1647 Mitutoyo FS 110 Microscope
1648 Mitutoyo PJ 300 Profile Projector
1649 Mitutoyo CRTAC 7106 Coordinate Measuring System
1650 Mitutoyo PH 350 Precision Profile Projector
1651 Mitutoyo PH 350 – 1850 Profile Projector
1652 Mitutoyo PJ 300 Profile Projector
1653 Mitutoyo Quick Vision AVA 404-PRO Vision Measuring System
1654 Mitutoyo PJ 300 Profile Projector
1655 Mixer Direct 500 Gallon Mixing Tank
1656 Mixer Direct 150 Gallon Tank
1657 Miyachi Unitek LMF 2000-SM Laser Marker
1658 Miyachi UB 25 Gold Lead Welder
1659 MJB LM Rework Station
1660 MKS Residual Gas Analyzer
1661 MKS 250 B Controller
1662 MKS 247 C 4 Channel Readout
1663 MKS 600 Series Pressure Controller
1664 MKS 902 B 11014 Vacuum Pressure Transducer
1665 MKS Liquozone Primo 3 Liquid Ozone Generator
1666 MKS AX 7670-19 Gen Fluorine
1667 MKS Instruments FS 100 Flow Scan
1668 MMI DED 0001-10 Flash Lens Equipment Defect Resolution
1669 MMI Systems JI Julian Inspection Machine
1670 MMI Systems JI Julia Inspection Machine
1671 MMI Systems DED 0001-10 Flash Lens Equipment Defect Resolution
1672 MMI Systems DED 0008 Lightpipe Inspection Machine
1673 MMI Systems 100001 Pick N Place Wafer Handling System
1674 MMS Murano-HVTHbM-A A CvC LED Optical Tester
1675 Modescan 1780 Laser Beam Profiler
1676 Modine Heater
1677 Modula Sintes 1 Vertical Lift Module
1678 Modular Conveyor 010-0772 Conveyor
1679 Modular Conveyor 010-0767 Conveyor
1680 Modular Conveyor 010-0773 Conveyor
1681 Modular Process Technolo 600 S Rapid Thermal Process (RT
1682 Modular Process Technolo 600 XP Rapid Thermal Process (RTP)
1683 Modular Process Technolo UV-600 UV-Ozone Cleaning System
1684 Modutek Plating Cell Tanks
1685 Modutek Drag Out Tank & Installation
1686 Modutek Iridium Sht Clean Stn Wet Bench
1687 Modutek RCE 025-1500-02 Water Chiller
1688 Mohawk Lifts Mobile column Lift
1689 Moi Engineering BOPP Film Wrapping M/C
1690 Mokon H 53324 TY Oil Heater
1691 Moldman 8000
1692 Molecular Devices SpectraMax M Series Multi-Mode Microplate Reader
1693 Molecular Devices GENEPIX 4000 B PRO Microarray Scanner
1694 Molecular Devices GenePix 4000 B Microarray Scanner
1695 Molecular Devices Versamax Tunable Microplate Reader
1696 Molecular Devices Spectramax 190 Microplate Reader
1697 Molecular Dynamics Storm 860 Molecular Imager
1698 Molecular Imprints Imprio 55
1699 Molecular Imprints Imprio 55
1700 Molecular Imprints Imprio 55 Nanoimprinting Machine
1701 Molex Crimper
1702 Monarch CKK Lathe
1703 Monarch Pathfinder CNC
1704 Monogram Temperature Meter
1705 Monsanto Tensometer 20 Tensile Testing Machine
1706 Montalva U 4 Controller
1707 Montrac Cleanroom Shuttle
1708 Moore Diamond Turning Machine
1709 Morehouse Cowles 12-30 P Vertical Sand Mill
1710 Mori Seiki M-300 A 1 Power Supply
1711 Mori Seiki MV 65 B/ 50 Mill
1712 Mori Seiki MV 45/40 A Mill
1713 Mori Seiki MV 65
1714 Mori Seiki DL 25 MC CNC
1715 Morse 201 VS 1 Drum Roller
1716 Mosaid MS 4205 Memory Tester
1717 Mosaid MS 4205 Manual Memory Tester
1718 Mosaid MS 4205 Manual Memory Tester
1719 Mosaid MS 4205 ex Engineering Memory Test System
1720 Motic DMB 1 Binocular Microscope
1721 Motic DMWB 3 223 ASC Digital Microscope
1722 Motic MLC 150 C
1723 Motion Analysis Kestrel 2200 Motion Capture Camera
1724 Motion Analysis Raptor-E Motion Capture Camera
1725 Motion Control Component Breakdown
1726 Motoman MRC II SV 3 Robot
1727 Motoman UP 20 XRC Robot
1728 Motoman UP 20-6 XRC Robot
1729 Motoman SK6 XRC Robot
1730 Motoman UP 50 Robot
1731 Motoman SP 100 Robot
1732 Motoman MSK 120 Robot
1733 Motoman UP 50 XRC Robot
1734 Motoman SP 100 XRC Robot
1735 Motorola Lighting BGA MSA 250 A Ball Placement
1736 Motorola Lighting MSA 250 A Ball Placement
1737 Mountz MR 3 Tool Balancer
1738 Movin Cool Class Plus 26 / Cool 3 Chiller
1739 MovinCool 10 SFU
1740 MovinCool Office Pro 24 Portable AC Unit
1741 MovinCool Office Pro 18 Portable AC Unit
1742 Moxa DA 682 Controller
1743 Moyno CDQ / AAA Large Positive Displacement Pump
1744 Moyno Pump on Pallet
1745 Moyno Small Positive Displacement Pump
1746 MPJA DC Supply
1747 MPM UP 2000 HIE Solder Paste Machine
1748 MPM UP 2000 HIE Solder Paste Machine
1749 MPM UP 2000 Screen Printer
1750 MPM AccuFlex Screen Printer
1751 MPM AccuFlex Screen Printer
1752 MPM UP 2000 B Printer
1753 MRC 603 III Sputtering System
1754 MRC Metal Deposition
1755 MRC 943 Sputtering System
1756 MRC 903
1757 MRC 603-II Sputtering System
1758 MRC 603 Sputtering System
1759 MRL 1130 Double Stack Furnace
1760 MRL Single Tube Semiconductor Furnace
1761 MRL FCE 2 TUBE RHL HT 1312 Furnace
1762 MRL MS 7 A 0002 Low Pressure Chemical Vapor Deposition (LPCVD) Furnace
1763 MRL Furnace
1764 MRL LT 1018 Furnace
1765 MRL / Sandvik 1014 Furnace
1766 MRSI 505 Pick and Place Machine
1767 MRSI 605 Die Bonder
1768 MRSI 705 Die Bonder
1769 MRSI 605 Die Bonder
1770 MRSI 705 Die Bonder
1771 MRSI 505 Pick & Place
1772 MRSI 505 Bonder
1773 MRT 1000 Magnetic Annealing Furnace
1774 MSC Milling and Drilling Machine
1775 MSC Manhattan Industrial 951463 Band Saw
1776 MSL Technoven 300 Oven
1777 MSP 2300 XP 1 Particle Deposition
1778 MTA Automation RC 500 TR 300 80 W Iron Robot
1779 MTI STX-202 A Desktop precision wire saw with touch screen control
1780 MTI DSS-822
1781 MTI MSS-816
1782 MTI OTF 1200 X S VT Vertical Furnace
1783 MTI GSL-1100 High Temperature Tube Furnace
1784 MTI Unipol-802 Precision Lapping/Polishing Machine
1785 MTI STX-202 Diamond Wire Saw
1786 MTI Autoscan 200
1787 MTI 150 Low Speed Diamond Saw
1788 MTI MSS 816 Saw
1789 MTI MSS 612
1790 MTI MSS 612 Saw
1791 MTI MSK HRP 03 Press
1792 MTI Instruments ProForma 200 SA Warp & Bow
1793 MTI USA AMS 2000 Trim & Form
1794 MTO MT Stereo Microscope
1795 MTO MT Stereo Microscope
1796 MTO MT Stereo Microscope
1797 MTO MT Stereo Microscope
1798 MTO MT Stereo Microscope
1799 MTO MT Stereo Microscope
1800 MTO MT Stereo Microscope
1801 MTO MS 740 Desktop Microscope
1802 MTO MT Stereo Microscope
1803 MTO MT Stereo Microscope
1804 MTO MS 740 Desktop Microscope
1805 MTO MS 740 Desktop Microscope
1806 MTO MS 740 Desktop Microscope
1807 MTS Nanoindenter II
1808 MTS ReNew
1809 MTS QTest 2/L Test Frame
1810 MTS 810 Tester
1811 Mucci Mixer 2 HP 6 H 16 Mixer
1812 Mucci Mixers 2 HPL 8 14 Mixer
1813 Muehlbauer DS 10000
1814 Mueller Gmbh
1815 Muhlbauer DB 200 WTX-1 Die Bonder
1816 Muhlbauer DS 10000 Tape & Reel Die Sorting
1817 Muhlbauer DS 10000 Pick and Place Die Sorter
1818 Muhlbauer DS 10000 Pick and Place Die Sorter
1819 Muhlbauer SSH 2008 Application Machine
1820 Muhlbauer DS 10000 Die Sorting System
1821 Muhlbauer DS 10000 PNP
1822 Muhlbauer DS 8000 PNP
1823 Muhlbauer DS 10000 PNP
1824 Muhlbauer DS 8000 PNP
1825 Muller Intermediate Bulk Drums
1826 Multilift Floor to Floor Conveyor
1827 Multiline Film Punch
1828 Multiline Automatic Post Image Artwork Punch
1829 Multiline PEP
1830 Multiline Technology ATP 1000
1831 Multimill
1832 Multiplas V 4-85 T-G Injection Molding Machine
1833 Multiplas V3 2R 55T Plastic injection molding machine
1834 MultiPress Se 30
1835 Multiprobe APF II Atomic Force Probe (AFP)
1836 Multiprobe MP 1 Atomic Force Probe (AFP)
1837 Multitest MT 8704 Handler
1838 Multitest MT 8704 Handler
1839 Multitest MT 8704 Handler
1840 Multitest MT 8589 Handler
1841 Multitest 8305 L Handler
1842 Multitest MT 8502 TriTemp Gravity Handler
1843 Multitest MT 8704 Multitest Base Handler
1844 Multitest MT 2168 Pick & Place Handler
1845 Multitest MT 8704 Handler
1846 Multitest 9320 Handler
1847 Multitest 9308 Handler
1848 Multivac Vacuum Sealer
1849 Multivac R 145 Packing Machine
1850 Multiwire Laboratories / S MWL 120 / X-Ran PSU Camera System
1851 Munstermann Curing Oven
1852 Munstermann Oven
1853 Munstermann Drier Line Oven
1854 Munter ComDry Dehumidifier
1855 Murata CDS 450 G 0
1856 Murata CDS 450 G 0
1857 Murata CDS 450 G 0
1858 Murata M 2000-30 Turret Punch
1859 Murata M-2044
1860 Musashi VSU 350 Smart Box Aerojet Jetting System
1861 Musashi SW 3000 SS 3 A Aerojet Jetting System
1862 Mushashi TAD 1000 M Dispenser System
1863 MVP Epoxy Dispenser
1864 Mycronic / Mydata MY 12
1865 Mycronic / Mydata MY 12 Pick & Place
1866 Mycronic / Mydata MY 12 Pick & Place
1867 Mycronic / Mydata MY 9 Pick & Place
1868 Mycronic / Mydata MY 15 Pick & Place
1869 Mycronic / Mydata MY 600 JX Dispensing Machine
1870 Mycronic / Mydata MY 12 E Pick and Place
1871 Mydata MY 600 Jet Printer
1872 MyData My 12
1873 MyData My 12 Pick and Place Machine
1874 Mydata MY 12 Pick and Place
1875 MyData TP 9 UFP Hydra Component Laying Machine
1876 Mydata MY 12 Automatic Placement Machine
1877 Mydata TP 9 UFP Hydra
1878 MYDATA TP 9 UFP Hydra Placement Machine
1879 Mydata MY 9 Pick and Place
1880 Mydata MY 15 e Placement
1881 Mydata M 12 Pick and Place
1882 Myford MG 12 Cylindrical Grinder
1883 Myriad Mask Aligner
1884 Mystaire Air Scrubber
1885 N&F Automation Automatic Pick and Place Machine
1886 N&K Technologies 8000 CD LittleFoot
1887 Nabertherm
1888 Nabertherm Furnace
1889 Nabertherm TS 1 100 Tube Furnace
1890 Nabertherm N 120 / 65 HACS Chamber Furnace
1891 Nabertherm TR 450 / S Drying Furnace for Polymer
1892 Nabertherm N 4010 / 26 / HA Drying Furnace for Li Carrier
1893 Nabertherm NA 120  / 45 S (2) and TR Drying Furnace for Mask and Plates
1894 Nabertherm GmbH LH 216 / 14 Chamber Furnace
1895 Nabertherm GmbH LH 60 / 14 Chamber Furnace
1896 Naberthrom Top 16 / R Kiln
1897 Nachi SW 166-03 Robot
1898 NACS Lower Housing Degator
1899 Nada Tech N 44 Wafer Sorter
1900 Nagel SCD 80 Super Finishing Machine
1901 Nakamura-Tome TMC 30 CNC Lathe
1902 Nakan Techno A 45 A PI Coater
1903 Nakan Techno PB 45 4 P PI Coater
1904 Nakan Techno BF 45 W Postbake
1905 Nalgene 4150 9000 HDPE Dewar Flask Cover for Liquid Nitrogen
1906 NAMS Tech CW 150213-270 Plasma Cleaner
1907 Nanjing DVP 740 Mini Fusion Splicer
1908 Nanjing Hanna GRY 3 ET Infrared Temperature Controlled High Frequency Preheater
1909 Nano Master Swc-3000-C Spin Rinse Dryer (SRD)
1910 Nano Surface SL 910 SFCL Single Diamond Polishing Machine
1911 Nano Surface SL 910 SFCL Single Diamond Polishing Machine
1912 Nanofinder 30
1913 Nanoflash LFA 447
1914 Nanometric CD 50
1915 Nanometrics Nanospec 8300 X Thin Films Measuring syst
1916 Nanometrics M 6100
1917 Nanometrics 6100
1918 Nanometrics AFT 210 Spectroscope
1919 Nanometrics Nanospec AFT
1920 Nanometrics Nanospec AFT
1921 Nanometrics 8300 X Film Thickness Analyzer
1922 Nanometrics Nanoline 50 CD Measurement System
1923 Nanometrics M 6100 Film Thickness
1924 Nanometrix 169 X-Ray
1925 Nanonex NX 2600 BA Mask Aligner Module
1926 Nanospec 210 Inspection Station
1927 Nanotronics nSpec SiC Wafer Inspection Station
1928 NanoWave
1929 Nantong Hunan H 101-2 AS Dry Oven
1930 Nantong Hunan H 101-2 AS Dry Oven
1931 Nantong Hunan H 101-2 AS Dry Oven
1932 Nantong Hunan HN 101-OA Dry Oven
1933 Nanya
1934 Napco 5851 Vacuum Oven
1935 Napson HF-90 R Lifetime Machine
1936 Narda 3752 Coaxial Phase Shifter
1937 Narda 3020 A Bi-Directional Coaxil Coupler
1938 Narda 766 20 Coaxial Attenuator
1939 Narda 769 30 High Power Attenuator
1940 Nardini ND 1560 E Engine Lathe
1941 Nardini MS 1440 E Lathe
1942 Narishige MMO Oil Hydraulic Micromanipulator
1943 Nash NRV-60 Vacuum Pump
1944 Nat Instruments MID 7654 Motor Drive
1945 Nation Electronics Technology Horizontal Cutting Machine
1946 Nation Electronics Technology Manual Vertical Cutting Machine
1947 Nation Electronics Technology Setting Foot Machine
1948 Nation Electronics Technology Electrical Safety Compliance Analyzer
1949 Nation Electronics Technology
1950 Nation Electronics Technology AC Source
1951 National NH 5216 Shear
1952 National Controls 5300 MK-IV Counting Scale
1953 National Electric Coil HP 10 AC Motor
1954 National Instrument NI USV Data Acquisition Module
1955 National Instruments NI USB 6341 X Series Data Acquisition Module
1956 National Instruments SC-2345 Shielded Carriers for SCC Modules
1957 National Instruments GPIB-RS 232 Converter
1958 National Instruments NI USB-6363 BNC Data Logger
1959 National Instruments PXI-1045
1960 National Instruments PXI-4071
1961 National Instruments PXI-5112
1962 National Instruments CB 50 LP I/O Connector Block
1963 National Instruments CB 68 LP I/O Connector Block
1964 National Instruments CB 68 LPR I/O Connector Block
1965 National Instruments FLKM 50 I/O Connector Block
1966 National Instruments PCI 488.2 GPIB Interface Card
1967 National Instruments PCI 6023 E DAQ Card
1968 National Instruments PCI 6503 Digital I/O Card
1969 National Instruments PCI 6509 Digital I/O Card
1970 National Instruments PCI 6722 Analog Output Card
1971 National Instruments PCI DIO 96 Digital I/O Register
1972 National Instruments RS 232 Communication Adaptor
1973 National Instruments USB 6501 Digital I/O
1974 National Instruments 763507 B-01 GPIB 1 Meter
1975 National Instruments NIUSB 6366 152804 C-01 Multifunction
1976 National Instruments SCB 68 Data Acquisition
1977 Nauder Lubrication Unit for Oil ISO VG 32
1978 Naura Diffusion Furnace
1979 Nauset NAU 3 X 10 Down Draft
1980 Nauset NAU 2 X 4 Down Draft
1981 Nauset NAU 2 X 6 Down Draft
1982 Navigator
1983 NBS Technologies WPC 08 A Wafer Transfer system
1984 NCA Systems Ion Exchange Water Treatment
1985 NCB Network NF 200 Furnace
1986 NEC CPS 400 F Soft Solder Die Bonder
1987 NEC CPS 400 Soft Solder Die Bonder
1988 NEC Bestem DO 1 Die Bonder
1989 Nedco BiFlow Conveyor
1990 Nederman Dust Collector
1991 Nederman NFP S 1000 Dust Collector
1992 Needham PB 10 Programmer
1993 Neeltran 3SCIA4640033 Rectifier
1994 Negevtech NT 3100 Bright Field Inspection
1995 Nel 8 Contactless w Mounter System for MSA 840-4021
1996 Nel HR 8500 II Tape Appl
1997 Nel System MSA 840 Mounter
1998 NEMS Tech CW 150830 880 Plasma Cleaner
1999 NEMS Tech APO Plasma Cleaner
2000 Neos N 21040.5 IDS A-O Modulator Driver
2001 Nercon Washdown Conveyor
2002 Neri SL Labeler
2003 Neslab Hx 150 Chiller
2004 Neslab HX-500 Chiller
2005 Neslab HX-300 Chiller
2006 Neslab CFT-75 Refrigerated Recirculator
2007 Neslab HX 300 Recirculating Chiller
2008 Neslab Coolflow CFT 75 Refrigerated Recirculator
2009 Neslab HX 75 Cool Flow Refrigerated Recirculator
2010 Neslab HX 300 Chiller
2011 Neslab Coolflow 50
2012 Neslab HX 300 Chiller
2013 Neslab HX 75 Water Chiller
2014 Neslab HX 75
2015 Neslab HX 300 Chiller
2016 Neslab ThermoFlex 1400 Recirculating Chiller
2017 Neslab HX 750 Air CoolED Chiller
2018 Neslab CFT-75 Chiller
2019 Neslab NX 750 Recirculating Chiller
2020 Neslab HX 750 Air CoolED Chiller
2021 Neslab HX 750 Air Cooled Chiller
2022 Neslab HX 500 Chiller
2023 Neslab RTE 111 Chiller
2024 Neslab RTE 111 Chiller
2025 Nesta Flex Conveyor Belt
2026 Nestal Elion 800-130 M Injection Molding Machine (IMM)
2027 NestFlex 275 Expandable Conveyor
2028 Netstal HP 3500 3550 R
2029 NetTest Walic
2030 Netzsch LME 20 T Mill
2031 Netzsch Attrition Mill
2032 Netzsch STA 449 F 3 ASC Jupiter
2033 Netzsch 230 ISO Process
2034 Netzsch 230 ISO Press
2035 Neutralization Technology Microscope
2036 Neutrix Electrode Grinder
2037 New Brunswick Innova 5000
2038 New Brunswick Scientific G 2 Gyrotory Shaker
2039 New Brunswick Scientific G 25 Incubator Shaker
2040 New Brunswick Scientific BioFlo Pro Fermentor
2041 New Focus 3501 Optical Chopper
2042 New Focus 6428 Telecom Test Laser
2043 New Pig Drip Deck
2044 New Pig Spill Containment Pallet
2045 New Wave Research (ESI) AccuScribe SS 40 Laser Scriber with Jasper Laser
2046 New World Technologies ER 250 Torque Wrench & Controller
2047 New York Blower Series 20 GI Fan
2048 Neware Battery Test System
2049 Newbery Industry Inc V 3-3 CPS Shuttle Injection Press
2050 Newbury Industries V 3-30 ES Molding Machine
2051 Newlong LZ 46 TVA Seal Dispenser
2052 Newlong LS 56 TVA (LZ 1041) Screen Printing Machine
2053 Newmco IMVF 150-24
2054 Newport 1835 C Multi-Functional Optical Meter
2055 Newport FKP-STD Fiber Optic Project Kit
2056 Newport RP Reliance / SL Series Optical Table Breadboard
2057 Newport RS 4000 / I2000 Optical Table Breadboard
2058 Newport 6’x4′ Optical Table
2059 Newport Optical Table
2060 Newport VH 3660 W-OPT Laser Welder Precision Alignment and Laser
2061 Newport Anti-Vibration Isolation Bench Table
2062 Newport Air Suspension Table
2063 Newport 1931 C Optical Power Meter
2064 Newport 4000 Laser Welder System
2065 Newport LW 4200 Sys Laser Welder System
2066 Newport
2067 Newport 5030 Laser Diode Driver
2068 Newport 1835 C Multifunctional Optical Meter
2069 Newport 3040 Temperature Controller
2070 Newport 2936 Power Meter
2071 Newport 1936 Power Meter
2072 Newport 505 Laser Diode Driver
2073 Newport 1830 C Optical Power Meter
2074 Newport M 426 Series
2075 Newport DC Volts
2076 Newport 2832 C Power Meter
2077 Newport 561 Tilt Stage
2078 Newport 818 IR
2079 Newport ESA-C U-Drive Controller
2080 Newport 1835 C Optical Meter
2081 Newport 818 1 Optic Detector
2082 Newport 818 IS 1
2083 Newport 818 IS 1 Universal Fiber Optic Detector
2084 Newport 818 IS 1 Universal Fiber Optic Detector
2085 Newport OTS-SST-510-12-I SmartTable OTS HD
2086 Newport OTS-10 Overhead Table Shelf
2087 Newport OTS-LSC-510 Laser Safety Curtain 5 x 10 foot OTS system
2088 Newport 910 A Compact Five-Axis Spatial Filter
2089 Newport U-13 X UV Objective Lens
2090 Newport 910 A / 910 PH-10 Mounted High-Energy Pinhole Aperture
2091 Newport 910 A / 910 PH-5 Mounted High-Energy Pinhole Aperture
2092 Newport FMS 100 PPHA Metrology Linear Stage, Steeping Motor, Lin
2093 Newport XPS-Q 6 Universal Controller / Driver
2094 Newport Optical Table
2095 Newport 350 / 350 B Temperature Controller
2096 Newport 350 B Temperature Controller
2097 Newport 560 B Temperature Controller
2098 Newport 3150 Temperature Controller
2099 Newport ESP 301 Motion Controller
2100 Newport 11 S I73805 Rotate Stages
2101 Newport 11 S I73805 Controller for Rotate Stages
2102 Newport 66902 Arc Lamp
2103 Newport SP 66912 3823 Arc Lamp
2104 Newport 66923 Arc Lamp
2105 Newport 69920 Power Supply
2106 Newport 69907 Power Supply
2107 Newport LW 4000 Automated Laser Welding Work Station
2108 Newport RS 2000 Anti Vibration Optical Table
2109 Newport 819 D Integrating Sphere
2110 Newport 819 Integrating Sphere
2111 Newport Corp CTXL TRH / N
2112 Newtec Air Compressure Machine
2113 Nexaldes Central Dust Collection System for Drill
2114 Nexen CRD 350 Drives
2115 Nextest Maverick PT-I Tester
2116 Nextest Maverick PT-I NT Tester
2117 Nextest Maverick PT-I Tester
2118 Nextest Maverick PT-I Tester
2119 Nextest Maverick PT-I Tester
2120 Nextest Magnum PV HD Tester
2121 Nextest Magnum PV HD Tester
2122 Nextest Magnum PV HD Tester
2123 Nextest Magnum PV HD Tester
2124 Nextest Magnum Tester
2125 Nextest Magnum HD 512 Tester
2126 Nextest Maverick GTX Tester
2127 Nextest Maverick GTX Tester
2128 Nextest Maverick GT Tester
2129 Nextest Maverick I GT Tester
2130 Nextest Magnum SV-SHO Tester
2131 Nextest Maverick I GT Tester
2132 Nextest Maverick GT Tester
2133 Nextest Maverick GTX Tester
2134 Nextest Maverick GTX Tester
2135 Nextest Magnum PV SCM Tester
2136 Nextest Maverick GT Manipulator
2137 Nextest Magnum PV
2138 Nextest Magnum PV
2139 Nextest Magnum PV
2140 Nextral NE 110 Reactive Ion Etcher (RIE)
2141 Nexx Nimbus Advanced Sputter Deposition System
2142 Nexx Stratus S 300 FX Plater
2143 Nexx Systems Cirrus 150 PECVD
2144 Nexx Systems Cirrus 150 REI Etch
2145 Neytech Qex Furnace
2146 NF 4005 High Speed Power Amplifier
2147 NF 4020 High Speed Power Amp/ Bi-Polar Power Supply X2
2148 NGK Megcon RC 2000 ACDS CO2 MIxer
2149 NH Horizon Ion Fan
2150 Niagara 192 Roll Form
2151 Niagara 180 Roll Form
2152 Niagara DC 2800 SS Dust Collector
2153 Nicchu ZHBNF-155 Aluminum Die Cast Shot Blast Machine
2154 Nicolet NXR 1400 X-Ray Machine
2155 Nicolet X-Ray Machine
2156 Nicolet NXR 1525 X-Ray
2157 Nicolet Impact 420 FTIR
2158 Nicolet Imaging Systems IS 10 X X-Ray System
2159 Nidec Copal Electronics CT 94 Cover Unloading Machine
2160 Nidek FT 17 Laser Interferometer
2161 Nikon MM 40 Microscope
2162 Nikon i 10 Stepper
2163 Nikon 6 C Profile Projector
2164 Nikon NSR 2205 EX 14 C Lithography
2165 Nikon NSR 2205 EX 14 C Lithography
2166 Nikon NSR-2205 EX 14 C Step & Stepper
2167 Nikon S 610 C Lithography
2168 Nikon LK Scanner
2169 Nikon Eclipse L 200 Station
2170 Nikon Eclipse L 200 Station
2171 Nikon Diaphot Microscope
2172 Nikon MM 40 Microscope
2173 Nikon SMZ 445 Lower Power Microscope
2174 Nikon V-16 E Profile Projector
2175 Nikon Eclipe E 400 Microscope
2176 Nikon NSR S 650 D Immersion Scanner
2177 Nikon V 12 Optical Comparator Profile Projector
2178 Nikon NWL 640 Wafer Loader
2179 Nikon Microscope
2180 Nikon LHS-H 100 P 1 Microscope
2181 Nikon Microscope
2182 Nikon Optiphot 100 Metallurgical Microscope
2183 Nikon V 12 Profile Projector
2184 Nikon Otiphot 66 IC Inspection Microscope
2185 Nikon Otiphot 66 IC Inspection Microscope
2186 Nikon OptiPhot 100 Microscope
2187 Nikon Eclipse L 200 Microscope
2188 Nikon TE DH 100 W
2189 Nikon Lasermark Overlap Inspection System
2190 Nikon Objectives
2191 Nikon Interferometer Objectives
2192 Nikon V 12 B Profile Projector / Optical Comparator
2193 Nikon OST 3100 Microscope
2194 Nikon V 12 B Projector
2195 Nikon Profiler
2196 Nikon NSR 1505 G 4 Wafer Stepper
2197 Nikon SMZ 645 Microscope
2198 Nikon XTV 160 Inspection System
2199 Nikon Eclipse ME 600 Microscope
2200 Nikon Optiphot 200 C CFH 200 Z Confocal Microscope
2201 Nikon SMZ 10 A Low Mag Microscope
2202 Nikon L 200 High Power Microscope
2203 Nikon 4562 Comparator
2204 Nikon Stepper
2205 Nikon Profile Projector
2206 Nikon Precision S 204 Scanner
2207 Niles Simmons N 30 MC x 4500
2208 Nilpeter F 3000
2209 Nilson S 1
2210 Nilson S 0
2211 Nilson S 0
2212 Nilson S 2
2213 Nilson S 3 F
2214 Ningbo Haitian HT 780 Gold AIM Molding Machine
2215 Ningbo Haitian HT 530 Gold AIM Molding Machine
2216 NingBo Zhongce Electric DW 4822 Curve Tracer / Oscilloscope
2217 Nippon America AR 5000 VA Automatic AC Voltage Regulator
2218 Nishimura Electric FB 1500 Friction Blower
2219 Nissan RPX 2 W 2 Fork lift
2220 Nissei ASB SBM -PF 6-2 B
2221 Nissei ASB SBM PF 8-2 B
2222 Nissei ASB PF 6-2 B
2223 Nisshin G 6 Square Machine
2224 Nisshin NWSS 250 Truncation Machine
2225 Nisshin NWSS 360 Truncation Machine
2226 Nisshinbo PVS 1222 Solar Simulator
2227 Nissin ED 8439 Spacer Spray
2228 Nissin UFH 00549 PCB Tightening Machine
2229 Nissin UFH 00547 PCB Gel Apply Machine
2230 Nissin Square Machine
2231 Nitto HR 6304 Wafer Detaper
2232 Nitto Nel DSA 840 Taper
2233 Nitto Nel HAS 840 Detaper
2234 Nitto / Denko HMT 840 Manual Defoiler tool
2235 Nitto Denko MA 2008 Wafer Mounter
2236 Nittoku AN 480 12 30 Coil Winding
2237 Nittoku M1 02 4 Coil Vision
2238 Nittoku HJ 2 Coil Welding
2239 Nittoku PRL 02 Coil Loading / Unloading
2240 NJM Final Touch Print & Apply
2241 NJM 311 LSB / 272 Pace Setter Auto Labeling Machine
2242 Nobles 29619.9
2243 Nobles 29619.9
2244 Noisecomm NC 346 B Noise Source
2245 Noiseken ESS-2000 ESD Tester
2246 NOMURA NN-20J CNC Automatic Lathe
2247 Nook
2248 NOR Roll Form
2249 Noran 683 A 1 SPS
2250 Norcimbus Amonia Valve Manifold Box
2251 Norcimbus Dichlorosilane Valve Manifold Box
2252 Norcimbus Sih4 Valve Manifold Box
2253 Nord 9072.1 / 32 Motors & Gear Reducers
2254 Nord Engineering C/P 10-2-2 DCT Twin Spindle Polisher
2255 Nord Engineering SP 6 6 2 DCT Precision Optics Polishing Machine
2256 Nordiko Sputtering Machine
2257 Nordiko System VAT Isolation Valve
2258 Nordson FlexTRAK Plasma Cleaner
2259 Nordson Select Coat IR Oven
2260 Nordson Powder Paint Spray Booth
2261 Nordson Lacquer Machine
2262 Nordson 752
2263 Nordson / Asymtek 31634
2264 Nordson / March AP-1000 Plasma Cleaner
2265 Nordson / March AP 300 Dual Gas Plasma Chamber
2266 Nordson Dima DD-500 Dispense Master
2267 Nordson EFD 7017041 High Precision Dispenser
2268 Nordson EFD Performus V-III Wet Painting Tool
2269 Norgren F 08 000 A 3 D 0 Filter
2270 Norgren F 45 421 AODA Filter
2271 Norgren F 46 424 MAMA Filter
2272 Norlake Transformer
2273 Norlake Scientific Freezer
2274 Norland Fiber Optic Interferometer
2275 Nortel Lot of Phones
2276 Nortel Networks DP 0011001 Channelized Access Processor
2277 North Atlantic 8500 F 141 Angle Position Indicator
2278 North Star Imaging X 50 X-ray
2279 Northamtool Press
2280 Northstar EM1 Emulator Final Test Handler
2281 Norton Chemical Process Products
2282 Norton Roller for Powder
2283 Norton / NRC 0162 Vacuum Diffusion Pump
2284 Nousstar KD LWS 350 ST Wave Solder
2285 Nova Biomedical Bioprofile 400 Analyzer
2286 Novascan Synergy ESPM 3-D AFM
2287 Novastar Wave Solder Machine
2288 Novastar 1200 A Benchtop Reflow Machine
2289 Novastar MPP-11 Manual Placement Machine
2290 Novatec Dehumidified Dryer
2291 Novellus Innova PVD Tool
2292 Novellus Innova PVD Tool
2293 Novellus Inova PVD PVD Cu Barrier-Seed Syste
2294 Novellus Concept Three Altus MAX Etch
2295 Novellus Sabre NeXT Cobalt Plating System
2296 Novellus Systems Inova PVD Sputter
2297 Novtek Spyder NTS 4358
2298 Novx 5315
2299 Novx 500 Tester
2300 Noyes OPM 4-2 Power Meter
2301 Noyes OPM 4-4 C Power Meter
2302 NP Photonics RFLM 100 3 C 31 0 003 Fiber Laser
2303 NP Photonics RFLM 100 3 C 31 0 003 Fiber Laser
2304 NP Photonics FLM 25 3 C 31 0 Fiber Laser
2305 NP Photonics Fiber Laser
2306 NRC Vacuum Diffusion Pump
2307 NRC 0161 2 Vacuum Diffusion Pump
2308 NRC Equipment  Corp NRC 3114 Vacuum Coater Evaporator
2309 NSI PN 1 COB Assembly Machine
2310 NSI HP 125 Assembly Machine
2311 NTC NTC 442 DW Wire Saw
2312 NTC PV 500 FD Diamond Wire Slicer
2313 NTC PV 500 D Diamond Wire Slicer
2314 NTC PV 600 D Diamond Wire Slicer
2315 NTE 296 S PCBA Cutter
2316 NTS 4 C 320 Wax Mounting Machine
2317 NTS 36 G DMP SL 910 AFCL Diamond Polishing Machine
2318 NTSCO HWK 750 PTSB 2 Water Cooler
2319 NTX NT 116 Handler
2320 Nu Tech Swift Plus Server
2321 Nuaire NU-425-600 Hood
2322 Nuaire NU-430-600 Hood
2323 Nuaire NU-430-400 Hood
2324 NuAire Vertical Airflow Cabinet
2325 Nuarc Shooters Xxposure Unit
2326 NuArc FT 40 APRNS Flip Top Exposure System
2327 Nuarc FT 26 V 3 UPNS Stencil / Screen Maker
2328 NuArc Flip Top FT 26 V Platemaker
2329 Nuflare Technology EMB 7000 E-Beam
2330 Nutek NTM 0411-M-400-1 Magazine Unloading Conveyor
2331 Nutek NTM 4417-UM Feeder
2332 Nutek NTM 4416-CUM Remove Cap Machine
2333 Nutek M 4410 LM-1 K Feeder
2334 Nutek NTM 4410 UM-1 K Unloader Advanced Platform
2335 Nutek Linking Conveyor
2336 Nutek 1000 MM SRCXL Chain Conveyor
2337 Nutek NTM 0501 X 1000 2 1M Inspection Conveyor
2338 Nutek Conveyor
2339 Nutek Buffer
2340 Nutek NTM 650 Buffer
2341 Nutek NTM 210 Destaker
2342 Nutek Shuttle
2343 Nutek Conveyor
2344 Nutek Inverter
2345 Nutek Conveyor
2346 Nutek Workbench Conveyor
2347 Nutex NTM 610 SL
2348 Nutex NTM 210 BLBP
2349 Nutex NTM 610 SLDT
2350 O.E. Labs LDLS 02 Laser Light Source Module
2351 O.E. Labs LDLS 02 FP LD Source
2352 O.E. Labs LDLS 02 FP LD Source
2353 OAI Hybralign Series 200
2354 OAI Hybralign 400 Large Area Mask Aligner and Exposure System
2355 OAI 5006 Mask Aligner
2356 OAI Optical Associates LS 30 / 5 Exposure Tower
2357 Oak River Technology 0400 3337 Laser Ablation
2358 Oaumann LWS 8-80
2359 Objet Connex 350 3D Printer
2360 Objet Alaris 30 3D Printer
2361 Ocean Optics Maya 2000 PRO Spectrophotometer
2362 Oceanhood NMM 820 RF Microscope
2363 OCREV Electrical Transformer
2364 OCREV Transformer
2365 Odawara EV HEV Tractor Stator Winding System
2366 OFI EP 613 DC Power Supply
2367 OGP Smartscope 400 ZIP
2368 OGP Smartscope 400 ZIP Coordinate Measuring Machine
2369 OGP Smartscope Flash Video Measurement System
2370 OGP Avant 200 B&W
2371 OGP Smartscope Flash 200
2372 Ohaus Adventure Pro AV 412 Scale
2373 Ohaus CD 11 Scale
2374 Ohaus Champ Scale
2375 Ohaus D 10-00 Scale
2376 Ohaus C 305 S Scale
2377 Ohaus Portable Balance
2378 Ohaus GT 4800
2379 Ohaus EB Series Digital Scale
2380 Ohaus Weights
2381 O’House Explorer Pro
2382 OI Analytical Aurora 1030
2383 Okamoto ACC 16-32 DX OD Saw
2384 Okamoto ACC 20-40 DX OD Saw
2385 Okamoto PFG 500 P Surface Grinder
2386 OKI MFX-2206 XX Fume Extractor
2387 OKI BVX 100 Fume Extraction
2388 Oki Microline 420 Printer
2389 Okidata Microline 320 Turbo Printer
2390 OKK Manual Horizontal Miller
2391 Oktek G 5001 A Multi-Function SMD Chip Counter
2392 Okuma Lathe
2393 Okuma LC-20 M CNC Lathe
2394 Okuma Cadet-Mate Spindle
2395 Okuma Cadet V Spindle
2396 Okuma LU-35 Lathe
2397 Okuma GI 20 N Grinder
2398 Okuma & Howa Lathe
2399 OLAMEF Cortadora
2400 Olamef TP 6 / A Axial Lead Forming Machine
2401 Olamef TP/LN 500 / 2 Machine Material Preparation
2402 Olamef TP/V-PR/ 2 Machine Material Preparation
2403 Olec AP 30 Accuprint Exposure Unit
2404 Oliver M 4045.004 A 001 Table Saw
2405 OLS SB-RT-2 S/MB
2406 Olympian G 15 U 3 Generator
2407 Olympic 3018 E Kiln
2408 Olympus BX 41 Microscope
2409 Olympus Tokyo Microscope
2410 Olympus SZX 12 Microscope
2411 Olympus MX 50 A F Microscope
2412 Olympus AL 110 C 96 Wafer Auto Loader
2413 Olympus CH-2 Binocular
2414 Olympus SZ 51 40 X Microscope
2415 Olympus PMG 3 Microscope
2416 Olympus OLS 1100 Video Microscope
2417 Olympus Optical Microscope for Passive Layers Inspection
2418 Olympus BX 60 M Microscope
2419 Olympus BHMJL Microscope
2420 Olympus IX 70 Microscope
2421 Olympus BH 2 Metallurgical Microscope
2422 Olympus 8 Microscope System
2423 Olympus OLS 1200 Microscope
2424 Olympus SZH Multiple Objectives
2425 Olympus SZ 60
2426 Olympus SMZ 800 Stereomicroscope
2427 Olympus TH 4-200 Power Supply Unit
2428 Olympus STM 6 F 10 3 Microscope
2429 Olympus MX 50 A-F High Power Microscope
2430 Olympus Measuring Stereo Microscope System
2431 Olympus FR 3220 IR Infrared Microscope
2432 Olympus BH 2 UMA Microscope
2433 Olympus Scope
2434 Olympus Measureing Microscope
2435 Olympus SZ 40 Microscope
2436 Olympus Semiconductor Inspection Microscope
2437 Olympus 1626 Microscope
2438 Olympus MX 40 Inspection Microscope
2439 Olympus MX 50 Conformal Microscope
2440 Olympus SZX 10 Low Mag Stereozoom Microscope
2441 Olympus Microscope
2442 Olympus Microscope
2443 Olympus CX 31 Microscope
2444 Olympus (Nanometrics) Microscope
2445 O-M VL 6 NT Vertical Turn Machine
2446 Omada RC 25 CNC Press Break
2447 Omano OM 2300 S-JW 11 Stereo Boom Microscope
2448 Omano OM 2300 S-JW 11 Microscope
2449 Omega HH 509 Thermometer
2450 Omega Hot Plate
2451 Omega WT 2000 Thermal Wind Tunnel
2452 Omega FMA 1002 R V 1 Thermal Wind Tunnel
2453 Omega OMB-DAQ-2416 24 BIT IS Data Acquisition Module
2454 Omega OMB-DAQ-2416-4 AO Data Acquisition Module
2455 Omega OMB-DAQ-2416 Demo System, Data Acquisition Module
2456 Omega CT 485 CWF
2457 Omega 650 Digital Thermometer
2458 Omega CN 6072 A P 2 Temperature Controller
2459 Omega MDSS 41 TC Benchtop Thermometer
2460 Omega BB 4 A 230 Black Body Calibrator
2461 Omega LHS 722 A Digital Hotplate
2462 Omega OM 45-5 Freezer
2463 Omegameter OM 700 Ionic
2464 Omni FRTF-W Powered Conveyor
2465 Omni Powered Conveyor
2466 Omnicure S 1500 A UV Curing Machine
2467 Omnitech Spring and Clip Machine
2468 OMP Adhesion Promoter Handler
2469 Omron VT-WIN 2 Solder Inspection
2470 Omron 3 F 5 VT-WIN Solder Inspection
2471 Omron VT WIN 2 M VH Solder Inspection Equipment
2472 Omron 2982 W 2 Relay Base
2473 Omron MY 2 IN Relay
2474 Omron 9302 Video Microscope
2475 Omron / Adept Cobra S 600 Robot
2476 ON Semiconductor 1020 Waste Collection Cabinet
2477 ON Semiconductor Boron Trichloride Cabinet
2478 On Site N 175 Nitrogen Generator
2479 Onan Emergency Generator
2480 Onan / Cummings 180 Genset Diesel Generator
2481 Oneac CD 31200 Power Conditioner
2482 Oneac CD 31200 Power Conditioner
2483 Oneac 31500
2484 ONEAC Power Conditioner
2485 Onon DGDB-3382572 Generator
2486 Onset Weather Station
2487 Onsrud L 35102 Lazy Arm Router
2488 Onsrud A 1136 A Pin Router
2489 Ophir NanoModeScan M 2 Measuring System
2490 Ophir Nova Laser Power Meter
2491 Ophir RF 5300674 Power Amplifier
2492 OPK Manual Lifter
2493 Opportunity YMS 50 Laser Cutting Machine
2494 Optec Micromaster Laser Polymer Micromaching System
2495 Optek VideoMic 713 V
2496 Optel Phamaproof Controller Cabinet
2497 Optel Pharmaproof Vision System
2498 Optel Pharmaproof Vision System Expansion
2499 Optel Vision Line 1
2500 Optel Vision Line 2
2501 Optel Vision Line 3
2502 Optellent OptoBERT 06001-10 Bit Error Rate Tester
2503 Optimum Opti D 240 x 500 G Glass Lathe
2504 Optipro PX 200 Polisher
2505 Optipro Optisonic 830 CNC
2506 Opto WMSS 2000 LED Chip Tester
2507 Opto Control Optrix 3 D
2508 Opto Speed Sled 1550 S 10 A Power Supply
2509 Optomec AJ 300 CE Aerosol Jet System
2510 OptoTech ASP 200 CNC-D Polishing Machine
2511 OptoTech SMP 500 CNC-D
2512 Optotech Lens Grinder
2513 Optotech ASM 80 CNC-TC Aspherical Freeform
2514 Orbiform Crimping Machine
2515 Orbit / FR AL 4587 3 Axis Antenna Positioner
2516 Orbital Systems G 08 Grooving Machine
2517 Orbital Systems Crimping Machine
2518 Orbot WF 720 Defect Scanner
2519 Orbotec Film Plotter
2520 Orbotech Symbion S 22 Automated Optical Inspection (AOI)
2521 Orbotech Symbion S 36 22 Automated Optical Inspection (AOI)
2522 Orbotech
2523 Orbotech PC 1450 E AOI System
2524 Orbotech ABC 10.0 2 D 2 Y AOI System
2525 Orbotech AIO 2D
2526 Orbotech Symbions 36 AOI
2527 Orbotech Symbions 22 AOI
2528 Orbotech DP 100 SL Laser Printer
2529 Orbotech Discovery 8200
2530 Orbotech LP-9-HS Laser Plotter
2531 Orbotech Verismart Verifine
2532 Orbotech Asia LP 7008 E/S Plotter
2533 ORC HMW-680 GW-CB 2
2534 ORC HMW-201 B- 5 K Exposing Unit
2535 ORC HMW 680 GW CB Exposing Unit
2536 ORC Exposing tool
2537 ORC HMW-201 B 5 K Exposure
2538 ORC Manufacturing Exposure System
2539 ORDI ICT
2540 Orgin Electric OS-1200 Parallel Seam Sealer
2541 Oriel Scanning Spectrometer/Spectrograph
2542 Oriel 84350 Exposure Workstation
2543 Oriel 60005 Arc Lamp
2544 Oriel 60076 Arc Lamp
2545 Oriel 68806 Power Supply
2546 Oriel 69920 Power Supply
2547 Origin Electric P-3 A Spot Welder
2548 Orion RKE 1500 B-V-G-SP Inverter Chiller
2549 Orion RKS 750 F-V Chiller
2550 Orionics FW 310 Fusion Splicer
2551 Orizio CMO 2 Circular Knitting Machine
2552 Ortery Photosimile Photo Booth
2553 Orthodyne 20 Heavy Wire Wire Bonder
2554 Orthodyne M 20 Heavy Gauge Wire Bonder
2555 Orthodyne M 3600 Wire Bonder
2556 Orthodyne M 360 Al Wire Bonder
2557 Orthodyne M 360 C Al Wire Bonder
2558 Orthodyne 360 Bonder
2559 Orthodyne M 360 C Wire Bonder
2560 Orthodyne M 360 C Wire Bonder
2561 Orthodyne M 360 C Wire Bonder
2562 Orx 662 Function Generator
2563 Oryx 11000 EX
2564 Oryx Orion CDM System
2565 Osaka TG 2400 Turbo Pump
2566 Osaka Kiko MH-3 II Milling Machine
2567 Osaka Vacuum TS 443 Helical Grooved Pump
2568 Osaka Vacuum TG 200 Compound Molecular Pump
2569 Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump
2570 Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump
2571 Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump
2572 Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump
2573 Oscar MC 109 Sonicator
2574 OSI Metra 2000 Patterned Wafer Inspection system
2575 Osram Mercury Arc Lamp
2576 Osram TL Luminux T 2 Control Station
2577 OTC Revolver 1750 LTX Test Head Stand
2578 OTC Revolver 1750 LTX Test Head Stand
2579 Overbeck Machine Tools 1 B Twister Speed Lathe
2580 Oxford Plasmalab 800+ PECVD
2581 Oxford Plasmalab Etcher
2582 Oxford Ionfab 300 Plus
2583 Oxford ICP PECVD
2584 Oxford ES-50 Dewar
2585 Oxford CMI 950 Xray Fluorescence Spectrometer
2586 Oxford Plasmalab 133 Plasma-Enhanced Chemical Vapor Depositio
2587 Oxford Plasmalab 133 Plasma-Enhanced Chemical Vapor Deposition (PECVD)
2588 Oxford INCA mics Electron Backscatter Diffraction Equipment
2589 Oxford Wave Wavelength Dispersive X-Ray Spectrometer
2590 Oxford INCA Energy EDS Analysis for SEM
2591 Oxford 7582 EDX LN2 Dewar
2592 Oxford Flexal MkII Plasma Assisted Atomic Layer Deposition (ALD)
2593 Oxford 1020 A Cryopumps
2594 Oxford Cryoplex 10 Cryopumps
2595 Oxford M 600 A Cryopump Compressor
2596 Oxford M 600 B Cryopump Compressor
2597 Oxford 300 Plus 2-Target Ion Beam Deposition System
2598 Oxford Ionfab
2599 Oxford M 600 Compressor for cryo pump
2600 Oxford Plasmalab 100 ICP-RIE
2601 Oxford 700 Nuclear Magnetic Resonance (NMR) Active
2602 Oxford Level Meter
2603 Oxford EDS
2604 Oxford CMI 900 XRF
2605 Oxford Ionfab 300 Plus Ion Beam Etch IBE
2606 Oxford IonFab 300 Plus Coater
2607 Oxydry Powdering Machine
2608 OxyProbe Polarographic Dissolved Oxygen Sensor
2609 P&H 10 Ton Hoist
2610 P&T PT SCH 635 Guillotine Shear
2611 P&T Accucutter 3001 Guillotine Shear
2612 PAC 30 PL Plastic Bag Sealer
2613 PAC Machinery PV HG TWIN Wafer Carrier Vacuum Bag
2614 Pace FT 2700 Rework Station
2615 Pace PPS 85 A Rework Soldering Tool
2616 Pace Sodrtek HW 50 Iron
2617 Pace ST 70 Power Module Controlled Soldering Station
2618 Pacific 29610 Transformer Unit
2619 Pacific Nanotechnology Nano-R Atomic Force Microscope
2620 Pacific Ozone 9 M 24 Ozone Generator 230V 540g/h/28.5#/day@
2621 Pacific Precision Laborator 5000 High Precision Measurement
2622 Pacific Scientific Hiac Royco 4150 Particle Counter
2623 Pacific Scientific 247 Particle Counter
2624 Pacific Scientific Instrume Hiac Royco Auto Flow Chemical Sampler
2625 Pacific Western System P 5 NMS 6 Wafer Prober
2626 Pack I Camera Vision System
2627 Pack Leader Labeling M/C
2628 Pack West Top Drive Auto 120 Torquer
2629 Pack West Auto 200 Torquer
2630 Packaging Aids (PA) PVS-HG 60 Sealer
2631 Packard A 202400 Instant Imager Electronic Autoradiography System
2632 Packard A 202400 Instant Imager Electronic Autoradiography System
2633 Packard A 202400 Instant Imager Electronic Autoradiography System
2634 Packo Inox 200 LT Tank
2635 Padelt-Therm KOE 650 B Electric Chamber Kiln
2636 PAL ECP Y 14063 ECP
2637 Pal KCE TTAB Plating
2638 Palatek 15 DK Air Compressor
2639 Palomar 3500 II
2640 Palomar Automatic Bonder
2641 Palowar HTT 1000 Hot Bar Bonder
2642 Pam Pac Cartonator
2643 Pamminger Flyer 2.7 Pallet Wrapping Machine
2644 Panalytical PW 3830 X-ray Generator
2645 Panalytical PW 3040 Spin Rinse Dryer – Materials Research Diffraction (SRD – MRD)
2646 Panasonic HW Wire Bonder
2647 Panasonic Robot for Welding
2648 Panasonic SP 60 P
2649 Panasonic SP 60 P
2650 Panasonic SP 80
2651 Panasonic DMX-401 Die Bonder
2652 Panasonic Inkine SMT Conveyor
2653 Panasonic Conveyor
2654 Panasonic Welder
2655 Panasonic HW 26 B Automatic Wire Bonder
2656 Panasonic MSR Feeder
2657 Panasonic MSR Feeder
2658 Panasonic SP 60 Squeegee Holders
2659 Panasonic SP 60 Pass Support Pin Holders
2660 Panasonic SP 60 Squeegee Top Plates
2661 Panasonic MSR / HT Feeder
2662 Panasonic CM 602 L + CM 402 L Placement Machines
2663 Panasonic CM 402 Placement Machine
2664 Panasonic MV 2 F Placement Machine
2665 Panasonic MPAV 2 B Placement Machine
2666 Panasonic NM 2934 F Conveyor
2667 Panasonic NM 2934 F Conveyor
2668 Panasonic NM MD 35 Placement Machine
2669 Panasonic NPM D 2 Loader
2670 Panasonic NPM D 2 Unloader
2671 Panasonic Wire Bonder Machine
2672 Panasonic Pick and Place
2673 Panasonic HDP G 3 DB 00 A Glue Dispenser
2674 Panasonic MP AG 3 XL MB 15 A Surface Mount Machine
2675 Panasonic MV 2 VBXL HA 55 A Surface Mount Machine
2676 Panasonic TV Monitor
2677 Panasonic BM 221 Pick & Place Machine
2678 Panasonic NM EFF 1 A Flip Chip Bonder
2679 Panasonic NM EFF 1 A Flip Chip Bonder
2680 Panasonic NM EFF 1 A Flip Chip Bonder
2681 Panasonic NPM-D 2 Nutek-NTM 441 Loader
2682 Panasonic NPM-D 2 Nutek-NTM 441 Unloader
2683 Panasonic MDP 300 Flip Chip Bonder
2684 Panasonic CM 301 DKXF – 4 H 4 C Chip Mounter
2685 Panasonic DT 40 T – 40 Multi Tray Feeder
2686 Panasonic SP 60 P-L KXF-1 G 4 C Screen Printer
2687 Panasonic 2559 MB High Speed Chip Component Mounting Ma
2688 Panasonic MA 05 A Multifunctional Placement Machine
2689 Panasonic NM MD 35 MSF HS MultifunctionalPlacement Machine
2690 Panasonic VR 006 Welder
2691 Panavise 308 Helping Hands Vice
2692 Paragon Tester
2693 Paragon Test Boards
2694 Parker Boiler with Water Softener System
2695 Parker Nitrogen Generator
2696 Parker Engineering Vertical Light Soaks
2697 Parker Hannifin N 22 Nitrogen Gas Generator
2698 Parkson M 1200 Universal Milling Machine
2699 Parkson MFP-320-100 Filter Press
2700 Parlec TMM 900 Optical Tool Presetter
2701 Parlec P 950 Tooling Height Presetter
2702 PARR 3780 Small Pressure Reactor
2703 Particle Measuring System Lasair III 5100 Laser Particle Counter
2704 Particle Measuring System Lasair III 350 L Particle Counter
2705 Particle Measuring System Lasair III 5100 Particle Counter
2706 Particle Measuring System Lasair III 350 L Particle Counter
2707 Particle Measuring System Lasair III 5100 Particle Counter
2708 Particle Measuring System APSS 2000
2709 Partner PTV 1405 DU Temperature Chamber
2710 PAS STS 2000 Heat Staking Machine
2711 Pasadena Hydraulics QD 30 / 3322 Press
2712 Pasan 3 b Sun Simulator
2713 Pascal 2015 SD Vacuum Pump
2714 Pasco TD 8551 A Mechanical Equivalent of Heat
2715 Pathak C S Shah Muffle Furnace
2716 Patricia LA-950 A 2 Particle Analyzer
2717 Patterson Kelly LB 4448 Liquid Solid Blender
2718 Paul Muller Company Hopper 23 CF Hopper
2719 Paul Otto Webber PW 10 HS Nano Imprint Hydraulic Press
2720 PBS Subsurface Defect
2721 PBT ModuleClean Cleaner
2722 PCI Analytics ZAG 01 Total Organic Carbon (TOC) Air Generator
2723 Peak ALM-Mini Ambient IC Test Handler
2724 Peak Systems AL 15000 RTA
2725 Peddinghaus Ocean Avenger Plus MDL CNC Drill
2726 Pedersen Clicker Press
2727 Peixin Semi-auto De-Carrier M/C Box Changer
2728 Pekin Elmer Lambda 900 Spectrometer
2729 PEL Strip to Strip Tin Plating Line
2730 PEM 727 Traveling Saw
2731 Pemserter PS 500
2732 Penia Drive Mixer
2733 Penn Engineering Pemserter Series 4 Manual Press
2734 Pennsylvania Transformer C 02405-5-1 Substation
2735 Pentamaster PM 6320 Handler
2736 Pentamaster PM 10 Inspection Machine
2737 Pentamaster Solutions PSSP 0036 Manual Lens Focusing Test Station
2738 PEO 601
2739 Perani CBTH 100 Technical Freezer
2740 Perkin Elmber Elan 6000 ICP MS
2741 Perkin Elmer Spectrum Custom GX
2742 Perkin Elmer Lambda 9 Spectrophotometer
2743 Perkin Elmer Paragon 500 Fourier Transform IR Spectrometer (FTIR)
2744 Perkin Elmer Flexar FX-10 UHPLC
2745 Perkin Elmer Spectrum 100 Filter FT-IR
2746 Perkin Elmer TGA 7 / TAC 7 Thermogravimetric Analyzer / DX Thermal A
2747 Perkin Elmer Pyris 1 DSC Scanning Calorimeter
2748 Perkin Elmer Spectrophotometer
2749 Perkin Elmer 4410 Sputtering Tool
2750 Perkin Elmer Analyst 800 AA Double Beam Spectrometer
2751 Perkin Elmer Aligner
2752 Perkin Elmer Avio 200 ICP Optical Emission Spectrometer
2753 Perkin Elmer 2100 ICP-OES (Inductively Coupled Plasma – Optical Emission Spectrometer)
2754 Perkin Elmer TAC 7 / DX Thermal Analysis Controller
2755 Perkin Elmer TGA 7 Thermogravimetric Analyzer
2756 Perkin Elmer TMA 7 Thermomechanical Analysis
2757 Perkin Elmer DMA 7 Dynamic Mechanical Analysis
2758 Perkin Elmer DSC 7 Differential Scanning Calorimetry
2759 Perkin Elmer 430 p Molecular Beam Epitaxy (MBE)
2760 Perkin Elmer Spectrum GX
2761 Perkin Elmer 783 IR Spectrophotometer
2762 Perkin Elmer UV Lamp Supply Parts
2763 Perkin Elmer Lambda 850 Spectrometer
2764 Perkin Elmer 783 IR Spectrophotometer
2765 Perkin Elmer Lambda 900 Spectrometer
2766 Perkin Elmer PHI 660 Scanning Auger Microprobe
2767 Perkin Elmer 4450 Sputtering System
2768 Perkin Elmer 4450
2769 Perkin Elmer GX Custom Spectrophotometer
2770 Perkin Elmer DSC 4000 Differential Scanning Calorimeter (DSC)
2771 Perkin Elmer Lambda 25 Spectrophotometer Uv-Vis
2772 Perkin Elmer PE 2000 Atomic Absorption system
2773 Perkin Elmer 16 PC FT-IR FAR IR Spectrophotometer
2774 Perkin Elmer 2400 8 L Sputtering System
2775 Perkin Elmer 2400 Sputtering System
2776 Perkin Elmer 4450 Sputtering System
2777 Perkin Elmer Lambda 9
2778 Perkin Elmer Analyst 200 Atomic Absorption Spectrometer
2779 Perkin Elmer Lambda 25 UV Spectrophotometer
2780 Perkin Elmer Lambda 25 Fluorescence Spectrophotometer
2781 Perkin Elmer 4450 Pallet Sputter Tool
2782 Perkin Elmer Lambda 900 Spectrophotometer
2783 Perkin Elmer DSC 7 Differential Scanning Calorimeter
2784 Perkin Elmer TMA 7 Thermomechanical Analyzer
2785 Perkin Elmer Pyris 6 DSC
2786 Perkin Elmer Operatta Imaging System
2787 Perkin Elmer Lambda 950 Spectrometer
2788 Perkin Elmer Lambda 9 Spectrometer
2789 Perkin Elmer PHI 670 Auger Electron Spectroscopy Nanoprobe
2790 Perkin Elmer Wizard 2 2470 Automatic Gamma Counter
2791 Perkin Elmer Tri Carb 2910 TR Liquid Scintillation Analyzer
2792 Perkin Elmer Clarus 580 Gas Chromatograph
2793 Perkin Elmer Analyst 200 Atomic Absorption Spectrometer
2794 Perkin Elmer Infrared Spectrophotometer
2795 Perkin Elmer Lambda 900 UV/VIS/NIR Spectrometer
2796 Perkin Elmer 1600 Spectrometer
2797 Perkin-Elmer Lambda 35 UV Spectrophotometer
2798 Perkin-Elmer 1000 Series Digital Gas Flow Meter
2799 Perkin-Elmer DMA 8000 Humidity Generator and Controller
2800 Perkin-Elmer Lambda 950 Spectrometer
2801 Perkin-Elmer RX 1 FTIR
2802 Perkins 3 C Press
2803 Perkins 5 C Press
2804 Perkins JR Press
2805 Perkins 5 C Press
2806 Perkins 5 C Press
2807 Perkins Press
2808 Perkins Flattener Press
2809 Pester PEWO-form-EVP Pick and Place Robot
2810 Pester Pewo Form UV P 2 Case Packer
2811 Peter Wolters AL-1 Lapping Machine
2812 Pettingell Planish Hammer
2813 Pfauter P 1250 Gear Hobbing Machine
2814 Pfeiffer MVP 015-2 Diaphragm Membrane Pump
2815 Pfeiffer TCP-5000 Electronic Drive Unit for TPH 2200
2816 Pfeiffer TPH 2200 Turbomolecular Pump
2817 Pfeiffer WKP 1000 A/AD Roots Pump
2818 Pfeiffer Classic 500.1 Physical Vapor Deposition (PVD) Evaporator
2819 Pfeiffer ADP 122 P
2820 Pfeiffer TPH 2200 Turbo Pump
2821 Pfeiffer Turbo Pump
2822 Pfeiffer TPU 200 Turbo Pump
2823 Pfeiffer TPU 510 Turbo Pump
2824 Pfeiffer OKTA 2000 A Pump
2825 Pfeiffer D 35614 Asslar
2826 Pfeiffer Duo 2.5 Rotary Vance Vacuum Pump
2827 Pfeiffer TMH 520 Turbo Molecular Pump
2828 Pfeiffer TMH 260 Turbo Molecular Pump
2829 Pfeiffer TCP 380 Turbo Pump Controller
2830 Pfeiffer TCP 120 Turbo Pump Controller
2831 Pfeiffer RGA for Process Control
2832 Pfeiffer / Adixen OKTA 2000 A High Performance Roots Pump
2833 PGW 753 i Scale
2834 Pharma Lab PSSD 224 AS Steam Sterilizer
2835 Pharmalab Autoclave
2836 Pharmalab Pure Steam Generator
2837 Pharmalab Multicolumn Distillation Plant
2838 Pharmalab SS Storage Tank
2839 Pharmalab Distilled Water Recirculation Pump
2840 Pharmalab 15-16 / SJ / 000159 Propeller Mixer
2841 PHD 53625 Sensor
2842 PHD 55804 1 02 Sensor
2843 PHD 5900 Sensor
2844 PHD 60417 Accessories
2845 PHD 6047 Sensor
2846 Phi Lam Press
2847 Phi Lam Press
2848 Philips EBPG 4 HRV E-Beam Lithography System
2849 Philips PD 7400 DCDM DCDM X-Ray Diffractometer
2850 Philips PD 7405/18 DCD Pro, XRD Wafer Mapper
2851 Philips PA 131302 GEM Emerald X Pick and Place & Accessories
2852 Philips EM 420 TEM
2853 Philips PM 6507 Transitor Curve Tracer
2854 Philips Impulse 300 B Laser Based Film Measure
2855 Philips NT 5 0.5 T Gyroscan
2856 Philips Intera 1.5 T Gyroscan
2857 Philips X’Pert Pro MRD X-ray Diffraction System
2858 Philips CM 200 UT TEM
2859 Philips EM 400 TEM
2860 Philips 501 SEM
2861 Philips 700/18 DCD Pro X-ray Diffractor (XRD)
2862 Philips CSM Pick & Place
2863 Philips PM 3350 A Oscilloscope
2864 Philips / Assembleon Sapphire Pick and Place Chip Shooter
2865 Philips / Horiba PZ 2000 Ellipsometer
2866 Philips ATL Ultramark 400 C Ultrasound
2867 Philips Orion PA 130900 Pick and Place
2868 Philips Orion PA 130900 Pick and Place
2869 Philips Techno TREX 610 T X-ray Metallic Analysis Tool
2870 Phillips CM 12 TEM
2871 Phoenix Digital Tech NPS 510 OEM Printer
2872 Photodyne 22 XLC Fiber Optic Multimeter Power Supply
2873 Photon Nono Mode Scan Scanning Silt Beam Profiler
2874 Photon Control 200 NanoTrak Controller
2875 Photon Tech Int Igniter
2876 Photonetics Tunics-Pri Wavelength Turnable Laser Diode Source
2877 Photonetics Tunic Wavelength Turnable Laser Diode Source
2878 Photonetics Walic
2879 Photonetics Tunic-PRI Wavelength Turnable Laser Diode Source
2880 Photonetics Tunics 1550
2881 Photonics Industries DSH 355 UV Nanosecond Pulse Laser
2882 Physical Electronics 670 Field Emitter Scanning Auger Electronic System
2883 PI E 662 LVPZT Amplifier
2884 Pico 5244 A Picoscope
2885 Picometrics DIS VU 02
2886 Picosun R 200 Atomic Layer Deposition (
2887 Picotech PicoCurrent Module Multiprobe
2888 Piece Maker P 2 45 Minster
2889 PILL Export 250 Resist Development Equip
2890 Pillar Uniblock Classic 500 KVA Universal Power Supply
2891 Pillar Wash Line Equipment
2892 Pillarhouse Soldering Machine
2893 Pinette Emidecau Industries Laboratory Press
2894 Pinte Oven
2895 Pioneer 818 T 0 / 20 Ion Chromatography (IC) Laser Machine
2896 Pioneer FA LCP 1100 LED Chip Prober
2897 Pioneer FA LED Chip Prober
2898 Piovan THW 112 F Thermolators
2899 Pipan Press
2900 Pitney Bowes XPV 04 CD Input Channel Muller
2901 PK Liquid Solids Blender
2902 PK Twin Shell Dry Blender
2903 PK Technology 2210
2904 PK Technology FK 11 STD Fiber Cleaver
2905 Plas Labs 4-Glove Glove Box
2906 Plasma Etch PE 75 Benchtop System
2907 Plasma Quest ECR Etcher
2908 Plasma Technology 80 Reactive Ion Etch (RIE)
2909 Plasmatherm 720 Chlorine Etcher
2910 Plasmatherm 720 Chlorine Etcher
2911 Plasmatherm VII BatchTop Etcher
2912 Plasmatherm 790 PECVD
2913 Plasmatherm / Unaxis Shuttleline ICP System
2914 Plasmatherm / Unaxis Clusterline 300 PVD, UBM sputter Tool
2915 Plastic Design 150 D Console Fume Hood
2916 Plastic Systems Wrist Strap / Footwear Tester
2917 Pluriservice S.R.L. Pumiflex Pumice
2918 Pluritec GIGA 8 Spindle Driller
2919 Pluritec Scrubbex 2000 Scrub
2920 Pluritec Espuma Flex Scrubbing Machine
2921 Pluritec GIGA Golden 8888 CNC Drilling
2922 PMC Dataplate Digital Hot Plate
2923 PMI PYC G 100 A Gas Pycnometer
2924 PMS SAS-3600-XP
2925 Pneuma Tech PCA 100 Water Chiller
2926 PNY USB Connector Laser Etch Machine
2927 Polariod D 305 L Interfermeter
2928 Polaris C-100 LD Conveyor
2929 Polaris Plate Heat Exchanger
2930 Polaris Accuweld
2931 Polaroid ED-10 Microscope
2932 Polaron Scanning Electron Microscope (SEM) Coatin
2933 Polaron Instruments E 5100 Scanning Electron Microscope (SEM) Coater
2934 Poly-clip FCA 80
2935 Polycold PFC-660 ST Cryogenic Refrigeration Unit
2936 Polycold
2937 Polycold PFC-660 ST Cryogenic Refrigeration Unit
2938 Polycold 550 ST Chiller
2939 Polycold 500 XC Chiller
2940 Polycold P 500 II Chiller
2941 Polycold PFC-550 ST
2942 Polycold PFC 330 ST
2943 Polycold
2944 Polycold Chiller
2945 Polycold PFC 331 ST Cryogenic Refrigeration Unit
2946 Polycold PFC 672 Cryogenic Refrigeration Unit
2947 Polycold PFC 660 HC Cryogenic Refrigeration Unit
2948 Polyflo H 123 Horizontal Tube Cleaner
2949 Polyflow S-620 OMNI CLEAN
2950 Polyflow S-620
2951 Polyflow S-620
2952 Polyflow Twin Sink Acid Parts Cleaner Bench
2953 Polyflow Vertical Quartz Cleaner
2954 Polymer Laboratories GPC 220 Gel Permeation Chromatography Instrument
2955 Polyscience VWR Recirculating Chiller
2956 Polysoude P 4
2957 Polysoude PS 164 2 Orbital Welder
2958 Polytec OFV 3001 S Scanning Laser Doppler Vibrometer
2959 Polyvac E 1000 Optical Emission Spectrometer
2960 Polywatt Slurry Blender
2961 POP 5200 Air Riveter
2962 Pope Scientific Nutsche Filter
2963 Porter CPLKC 7080 V 2-1 Air Compressor
2964 PosiCharge SVS 80
2965 Positector 6000 NS 1 Coating Thickness Measuring Instrument
2966 Powatec P 200 Manual Wafer Mounter
2967 Power Con Oneac
2968 Power Design 5015 S DC Power Supply
2969 Power Design TW 5005 DC Power Supply
2970 Power Design 2005 Precision Power Source
2971 Power Design 2020 B Precision DC Source
2972 Power Design 5015 T Regulated DC Power Source
2973 Power Design TP 325 DC Power Supply
2974 Power Design TW 5005 D Power Supply
2975 Power Design TW 5005 W Twin Power Supply
2976 Power Design 6050 A Universal DC Source
2977 Power Design TW 4005 Transistorized Twin Power Supply
2978 Power Design TW 5005 D Twin Power Source
2979 Power Design Power Supply
2980 Power Designs 5020 Precision DC Source
2981 Power Designs 2020 B Precision DC Source
2982 Power Designs 6050 A Universal DC Source
2983 Power Designs C 500 Precision DC Source
2984 Power Designs 2020 Precision DC Source
2985 Power Designs 1570 High-Voltage DC Power Source
2986 Power Designs 1570 High Voltage Calibrated DC Power Source
2987 Power Designs TP 325 DC Power Supplies
2988 Power Mate PA 40 D Regulated Power Supply
2989 Power Mate BP 2 C DC Power Supply
2990 Power Mate BPA-20 E Regulated Power Supply
2991 Power Team P 300 Filter Press
2992 PowerEx 5 HP
2993 Powermatic 81 Saw
2994 Powermatic 1200 Drill Press
2995 Powerstat 116 BU Variable Autotransformer
2996 Powerstat F 136 Variable Autotransformer
2997 Powervar 2000 GPI Microscope
2998 PPT Solvent Purification System
2999 PR Hoffman 1500 Double Sided Lapping Machine
3000 PR Hoffman Double Sided Polisher
3001 PR Hoffman PR 1 Lapper Polisher
3002 PR Hoffman 2300 Lapping & Polishing
3003 PR Hoffman 2400 Lapping & Polishing
3004 PR Hoffman Double Sided Grinder
3005 PR Hoffman Double Sided Polisher
3006 PR Hoffman ME 135
3007 PR Hoffman ME 136
3008 PR Hoffman ME 137
3009 Praxair Ultrapurge 100 Gas Cabinet
3010 PRC Laser STS 2002 Laser
3011 Precision Hot Air Oven
3012 Precision / Quincy 82-650 T Oven
3013 Precision Boilers ST 240 DJ 600 D 480 150 Electric Boiler
3014 Precision Cryogenic Systems Vacuum Dewar
3015 Precision Optics Gen III Manual Text Fixture
3016 Precision Valve PVA
3017 Precision Valve NY 12065 PVA
3018 Precision Valve Curing Oven
3019 Precision Valve Coating
3020 Precitech Flycutter
3021 Precitech Nanoform 600 Diamond Turning Machine
3022 Precitech Nanoform 700 Diamond Turning Machine
3023 Precitech MicroFinish 300
3024 Preco FlexStar UV Laser System
3025 Premier Outer Weighing Balance
3026 Premier Colour Matching Spectrophotometer
3027 Premier MHML 1.5 Beadmill
3028 Premier Mill MPVDV 60
3029 Premtek International 9920 Semi-Auto Probe Station
3030 PressTek 52 DI AC Printing Machine
3031 Presstek 52 DI-AC Offset Press
3032 Presto Lift
3033 Presto Lift
3034 Presto Lift
3035 PreWaClean Preclean Wet Bench
3036 Price Pump A 10-300 B Centrifugal Vacuum Pump
3037 Price Pump XT 100 AB 525 21211 300 Centrifugal Vacuum Pump
3038 Primatics System
3039 Primaxx Vapor HF Release Tool
3040 Princeton Applied Researc HR-8 Lock-In Amplifier
3041 Princeton Instruments (Roper Scientific) CCD Detector
3042 Prior Diesel LN 2 Converter
3043 Pro Beam EBG 40-80 Electron Beam Generator
3044 Pro Plastics PPHF HF Fumer
3045 Procut Cutting Plotter
3046 Prodigit PAL 200 Electronic Loads
3047 Prodigit PAL 250 Electronic Loads
3048 Proditec Automatic Visual Inspection System Tablets
3049 Professional Instrument C 4 R Air-Bearing Spindle with Integral Brushless-DC Motor
3050 Progressive Tape Winder
3051 Projet 3500 HD Max 3D Printer
3052 Prometrix FT 650
3053 Promotion ECC 700 Conveyor
3054 Prosain Profile Press-Framing Session
3055 Prosain L 603 Solar Module Laminator
3056 Protec Zeus Automatic Dispenser
3057 Protec Ecomax X-Ray Film Processor
3058 ProTec Pellet Dryer
3059 Protec FDS 5000 M Precision Dual Independent Dispenser
3060 Protec Innovation-MS Dual Independent Dispenser
3061 Pro-Tec RGK 4000
3062 Protek 3201
3063 Protek 3006 B Power Supply
3064 Protemp Sirius 200 2 Furnace
3065 ProtoMat H 100 e 40
3066 Proton 54-0102-0003 Rev H Hogan Hydrogen Generator
3067 Proton 54-0102-0003 Rev K Hogan Hydrogen Generator
3068 Proton 54-0102-0003 Rev I Hogan Hydrogen Generator
3069 Proton 54-0102-0003 Rev L Hydrogen Generator
3070 Proton 54-0102-0003 Rev K Hydrogen Generator
3071 PRW 3196 2 X 3 10 Centrifugal Pump
3072 Pryor Laser Markers
3073 Prysme CCN 0025-01 Automated Masking-Unmasking Equipment
3074 Prysme ROBO 8002 Automated Masking-Unmasking Equipment
3075 PSC Quick Check 500 Barcode Verifier
3076 PSI Water Systems 066 Y-72 Evaporator
3077 PSI Water Systems 066 Y-72 Evaporator
3078 PSK NASTRA Reactive Ion Etch (RIE) BEOL Dry Strip System
3079 PSS Nicomp Accusizer 780 ASD
3080 PTC 314 C Surface Thermometer
3081 PTE Warpage 3 D Wafer Bow Management System
3082 PTS 3200 Frequency Synthesizer
3083 Pulsa VSA 1000 Vacuum Seal
3084 Puma PK-6060 V Industrial Air Compressor
3085 Puma Mobility Base
3086 Puma 762 Robot
3087 Pure Aire Laminar Hood
3088 Pureaire Laminar Flow Bench
3089 Pureguard WM 1 Wall Mount Purifier
3090 Purex North America 926 Fume Extraction System
3091 Purex North America 9000 5000 i Volume Fume Extraction System
3092 PVA Delta 6 Coating Machine
3093 PVA / Tepla 650 SMT Underfill Machine
3094 PVA / Tepla GIGA 690 Plasma
3095 PVA Tepla / Technics MIM TLA 20 Ion Mill
3096 PWS P 4
3097 Pyradia LF 363635 Furnace
3098 QC Optics API-3000/5 Reticle Inspection Tooling
3099 QES DIS 8000 Optical Inspection System
3100 Qiagen Qia Symphony SP / AS Instrument
3101 Qiagen TissueLyser II
3102 Qiagen BioRobot 9600
3103 Qiagen QIAsymphony AS
3104 Qiagen QIAsymphony SP
3105 Qiagen QiaSymphony SP/AS
3106 Qindao Jinlidun 7000 Chain Furnace
3107 Qingdao Hidicon HDC 2000 Diffusion Furnace
3108 Qingdao Sairuida SRD 165 / TV 4 Diffusion Furnace
3109 Qingdao Sairuida Equipme SDR KS 1 2 Ni Sintering Furnace
3110 Qinhuangdao Boostsolar P BSL 2236 OAC Ⅲ Laminating
3111 Qinsi QS 5100 Lead Free Reflow Oven
3112 Qiruida Automation Equip 7440 IV Tester
3113 QMC ELMS 1000 Laser Lift Off
3114 Quad QSV 1 Intelligent Feeders
3115 Quad IV C 90
3116 Quad ZCR Reflow Oven
3117 Quad QSA 30
3118 Quad QSA 30 V Pick and Place
3119 Quad Systems UP 100 Screen Printer
3120 Quadro Comil
3121 Quadrom Q 0182
3122 Quadtech 1730 LCR DigiBridge
3123 Quadtech 1865 Megohmmetter
3124 Quadtech 1867 Megohmmetter
3125 QuadTech 1865 IR Tester
3126 Quadtech Sentry 50 Ground Bond Tester
3127 Quali-Line QLC 8500, ZQLC 7504 FM- Chemical Monitoring System
3128 Qualitau EM system-X PC Board Test
3129 Qualitau EM system-Z PC Board Test
3130 Qualitau HCT system PC Board Test
3131 Qualitau EM system-Y PC Board Test
3132 Qualmark OVS-2.5 LF Halt Chamber
3133 Qualtech QPI 2018 B Haze Meter
3134 Quan Ding Enterprise CP 12001 Automatic Washing Machine
3135 Quan Tech 315 B Resistor Noise Test
3136 Quan Tech 420 Noise Generator
3137 Quantachrome SI-MP-6 Quadrasorb SI
3138 Quantachrome ASIQA 3 F 200-4 Autosorb IQ
3139 Quantachrome FVD-2 Flovac Degasser
3140 Quantachrome Autosorb BET Surface Analyzer
3141 Quantachrome Instrumen Sieving Riffler Rotary Sam Sieving Reiffler
3142 Quantachrome Instruments Autotap
3143 Quantotest 36000 XRE Spectrometer
3144 Quantronix Laser Symbolizer
3145 Quantronix 116 Laser
3146 Quantum 60 PC Reflow Belt Oven
3147 Quantum Focus Three Head System
3148 Quantum Storage Systems QSB 105 CON Storage Bin
3149 Quartet Mechanics AVI 200 Automated Visual Inspect
3150 Quartet Mechanics AVI 200 Automated Visual Inspector
3151 Quasi Force JL 3060 Smoothing Machine
3152 Qubo Smoke Absorber
3153 Quesant Q-350 CI AMF Q-Scope
3154 Quicher NJ 23 Screw Dispenser
3155 Quick Quick 6101 Extractor Hood
3156 Quicksun 540 LA Solar Tester
3157 Quincy QNWG-502-D Air Compressor
3158 Quincy 25 HP Compressor
3159 Quincy QGV-50 Air Compressor
3160 Quincy QGS 30 Compressor
3161 Quincy QSI-750 Air Compressor
3162 Quincy QGV 75 Compressor
3163 Quincy QGS 30 Compressor
3164 Quincy Compressor
3165 Quincy QGD-40 Compressor
3166 Quincy 20 GC Oven
3167 Quincy QSV 40 Oven
3168 Quincy Lab 20 GC Lab Oven
3169 Quincy Lab 10 GC Lab Oven
3170 Quincy Lab 10 GC Lab Oven
3171 Quincy Lab 10 GC Lab Oven
3172 Quincy Lab 30 GC Lab Oven
3173 Quincy Lab 20 GC Oven
3174 Quincy Labs 20 AF Lab Oven
3175 Quintel Ultra u Line 7000 Series Mask Aligner
3176 Quintel Q 4000 Mask Aligner
3177 Quintel NXQ 4006 Mask Aligner
3178 Quintel 6000 S Mask Aligner
3179 R2D Comet Wafer Loading Machine /
3180 Racal Dana 9087 Signal Generator
3181 Rackmount Solutions Humidity Chamber Frame
3182 Raco Vetbatim VSS Call Box
3183 Radiant Technology LA 306 Reflow Oven
3184 Radiometer Copenhagen ABL 800 Flex Blood Gas Analyzer
3185 Radyne Power Supply
3186 Rakesh Engineering Conveyor Belt
3187 Rambaudi RamSpeed 827 L
3188 Ramgraber PA Flux Cleaning Bench + Spin Dryer
3189 Randcastle Extruder
3190 Ranger Intelliscan Metal Detector
3191 Rannie
3192 Ransco 7107-1 Thermal Shock Chamber
3193 Ransco 7207-1 M Temperature-Humidity Chamber
3194 Ransohoff 2 stage Cell-U-Clear washer
3195 Rapid Granulator GK 50 C
3196 RapidMRI RF Coil for MRI
3197 Rasco SO 1000 Handler
3198 Rasco SO 2000 Handler
3199 Rasco WTC-D 188-ANL(RI) Chiller
3200 Rasco SO 2000
3201 Rauma Stoup Chipper
3202 Rave / Eco-Snow MC 150 Mask Clean
3203 Raymond Lab Mill Table Hammer Mill
3204 Raymond 233 OPC 2 T Forklift
3205 Raymond 10 F 40 L Pallet Jack
3206 Raymond 201 4 DR 40 TT Lift Truck
3207 Raymond 4 D-E 3 RTN Lift Truck
3208 Raymond 470 C 60 Fork Lift
3209 Raymond 470 C 50 Fork Lift
3210 RaySafe i 2
3211 Raytek RXW-1226 SFI Automatic Wafer Edge De
3212 Raytheon QRS 540-7.5 Power Supply
3213 RD Automation M 8 Flip Chip Bonder
3214 RDN ECC Syncro Cutter
3215 RDN Manufacturing 1C.5/206-1 Puller
3216 Re SYS Inc Titan 3 RO Water System
3217 Recco R 458 BD Oven
3218 Recif SPP 200 S Single Wafer Sorter
3219 Recif SPP 8 Wafer Transfer Tool
3220 RedLux Optilux – SD Measurement Inspection
3221 Reeco R 8 H Annealing Oven
3222 Reedholm RI 50 Parametric Tester
3223 Reel-Tech LM-4000 YAG Laser Micromachining
3224 Regal Beloit 5 KC 49 RN 0666 X Pump
3225 Rehm
3226 Reid Ashman OM 3650 SL Manipulator
3227 Reid Ashman OM 1420 1000 Manipulator
3228 Reid Ashman OM 1725 1001 Manipulator
3229 Reid Ashman D 10 Manipulator
3230 Reid Ashman SV Manipulator
3231 Reid Ashman SV Manipulator
3232 Reid Ashman OM 1015 1000 Tester
3233 Reid Ashman OM 1015 1000
3234 Reid Ashman OM 1015 1000
3235 Reid Ashman OM 1015 1000
3236 Reid Ashman OM 1015 1000
3237 Reid Ashman OM 1015 1000
3238 Reid Ashman OM 1015 1000
3239 Reid Ashman OM 1015 1000
3240 Reid Ashman OM 1015 1000
3241 Reid Ashman OM 1015 1000
3242 Reis SPS RV 30-26 6-Axis Robot
3243 Reis Robot
3244 Reis RV 20-16 Robot
3245 Reis / Kuka KR 360 2 Auto Crop Robot
3246 Reis / Kuka KR 240 2 2000 Beam Robot
3247 Reis / Kuka KR 240 2 2000 Depoding Robot
3248 Reis / Kuka KR 240 2 2000 Pod Glue Robot
3249 Reis / Kuka KR 240 L 180 2 2000 CFG Loading Robot
3250 Reis / Kuka KR 240 L 180 2 2000 Post Grind Robot
3251 Reis / Kuka KR 240 L 180 2 2000 SFG Loading Robot
3252 Reis Robotics RV 30-26 Robot
3253 Reitz KXE 100-016030-00 Radical Fan
3254 Reliance FM 1000 High Intensity Mixer
3255 Reliance 182 TC Vacuum Water Pump Frame
3256 Reliance Electric Duty Master AC Motor
3257 Reliance Electric Duty Master XE Energy Eff AC Motor
3258 Relm 424 R
3259 Reltech Rel 3000 Burn-in System
3260 Reltron PV EL / PL Inspection
3261 Remcor Liquid Cooling Systems
3262 Remec 203424 001
3263 Remstar 250 2800 X 622 NT Vertical Storage System
3264 Ren Thang NTG-520 N PCB Depaneling Machine
3265 Rena CTOXE: Main Tool
3266 Rena CTOXE: Electrical Cabinet
3267 Rena CTOXE: Blower Cabinet
3268 Rena 8 L
3269 Rena 50 MW In-Line Texturing System
3270 Rena 50 MW In-Line Edge Isolation
3271 Rena 50 MW Automation CTC Wafer Transfer
3272 Rena 50 MW ATX Etching Texturing
3273 Rena Inox HT In-line Edge Isolation machine(IOX)
3274 Rena InTex HT In-line Texturing system(ITX)
3275 Rena FAB D 50 MW In-line Edge Isolation machine (IOX)
3276 Rena FAB D 50 MW In-line Texturing system (ITX)
3277 RENA A 2449 Retrofitted Texture Etch Bench
3278 RENA A 2451 Retrofitted Texture Etch Bench
3279 RENA Texture Wet Bench
3280 RENA A 2451
3281 RENA A 2449 Semiconductor Grade HF
3282 RENA A 2451 Semiconductor Grade HF
3283 RENA Polycrystalline Fleece Machine
3284 RENA Polycrystalline Fleece Machine
3285 Rena Intex Multicrystalline Silicon Texture Cleaning Machine
3286 Rena Niak 2 InTex Polycrystalline Fleece Machine
3287 Rena Niak 2 InOxSide Wet Etching Machine
3288 Rena
3289 Rena
3290 Rena LT 080096 Inpolish
3291 Renishaw Invia Reflex RAMAN Spectrometer
3292 Renishaw Raman RM 100 Microscope
3293 Research Devices Illuminator Assembly
3294 Resina Capper
3295 RESys Aqua-Loop DI Water Generator
3296 Retsch PM 100 Planatoy Ball Mill
3297 Retsch PM 100 Planetary Ball Mill
3298 Retsch GrindoMix GM 200
3299 Reuland Electric HP 2.2-5 AC Motor
3300 RevCo D 8507-SCF 14 Freezer
3301 Revera Veraflex HKMG Measurement Syst
3302 Revera RVX 5000 Film Thickness and Compo
3303 Rexson 6000 Type X Ink Solvent Dispenser
3304 Reynoldstech Maintenance Wet Bench
3305 RF Plasma Products RF 10 Generator
3306 RF Power Products 7520426011 LF 10 RF Generator
3307 RH Strasbaugh Polisher
3308 RH Strasbaugh Single Spindle Polisher
3309 RH Strasbaugh 60 E 00-4 Four Spindle Polisher
3310 RH Strasbaugh 6 CX Single Spindle Polisher
3311 RH Strasbaugh 6 CA Polisher
3312 RH Strasbaugh Polisher
3313 RheTech ST-240 Spin Rinse Dryer (SRD)
3314 RHK UHV 3500 VT AFM / STM (Atomic Force Microscope / Sca
3315 Rhode & Schwarz SML 01 Signal Generator
3316 Rhode & Schwarz SM 03 Diode Power Sensor
3317 Rhode & Schwarz SM 03 Signal Generator
3318 Riber Main Flange Gasket
3319 Riber Ti Filaments
3320 Richmond No 2 Universal Milling Machine
3321 Ricoh 4000 FL Shredder
3322 Riedel PC 1612 L 6 L 99070 Chiller
3323 Riedhammer TSR 56-210-50 G
3324 Rifocs Test System
3325 Rigaku 3272 Diffractometer
3326 Rigaku DPGS X-Ray Goniometer
3327 Rigaku 3640 Metal Thickness Tool
3328 Rigaku GXR 300 EUV X-Ray Thin Film Analyzer
3329 Rigaku DmaxA
3330 Rigaku FSAS III X-Ray Diffractometer
3331 Rigaku MiniFlex X-Ray Diffractometer (XRD)
3332 Rigaku Rotaflex RU 200 BH X-Ray Source
3333 Rigaku Dmax X-Ray Diffraction
3334 Rigaku X-Ray Diffractor (XRD)
3335 Rigol DG 1032 Waveform Generator
3336 Rigol DG 1062 Waveform Generator
3337 Rigol DG 5102 Waveform Generator
3338 Rinchen RQ-20 HZ Corners Pressurized Fiber Polishing Machine
3339 Rinchen RQ-20 HZ Corners Pressurized Fiber Polishing Machine
3340 Rinco Standard 3000 Ultrasonic Welding Machine
3341 Ring Quotient ST 1501 Manual Blasting Machine
3342 Ring Quotient Automatic Sand Blasting Machine
3343 Rion KS-40 AF FOUP Dimension/Particle Metrology
3344 Riotech Bevel Machine
3345 Rite Track / SVG 90-S Coat / Develop System
3346 Rite Track / SVG 88 Spinner Dual Track System
3347 Rite Track / SVG 88
3348 Rittal Top Therm SK 3303100 High Voltage Source
3349 Riyixin
3350 RK Precision 1787 B Programmable DC Power Supply
3351 RLC Innovation Automatic Placement & Welding
3352 RMC PT-PC PowerTome Ultramicrotomes
3353 Roach Conveyor
3354 Roach Elevator
3355 Roach Flexible Conveyor
3356 Robland 2320 Table Saw
3357 Robopac Helix H 30
3358 Robson 625 F Multitrace System
3359 Robson Technologies MultiTrace Test Fixture
3360 Robt Fork Car
3361 Robust Foil Cutter
3362 Robust LQL 17 Devanadora / Unwinder
3363 Roche NimbleGen 4 Slide Hybridization system
3364 Roche NimbleGen FLX Genome Sequencer Instrument
3365 Roche NimbleGen 4 Slide Hybridization system
3366 Roche NimbleGen MS 200 Microarray Scanner
3367 Rockwell Gang Drill Press
3368 Rockwell Drill
3369 Rockwell 66 340 Drill
3370 Rockwell / Allen Bradley PanelView 1400 e
3371 Rofin Starmark Laser Marker
3372 Rofin Sinar Laser Marker
3373 Rofin Laser Scribing Tool
3374 Rofin Sinar Laser Marking
3375 Rofin Sinar RS Marker
3376 Rofin Sinar Evertech LS-323 Laser Marking Machine
3377 Rofin-Baasel RSM IC-FS Marker Power Line
3378 Rofin-Baasel RSG 1010 Laser Marking Machine
3379 Rogers & Clark RC B 25 Generator
3380 Rogers & Clark G 300
3381 Rogers & Clarke G 150 Curve Generators
3382 Rogers & Clarke G-300 Curve Tracer
3383 Rogers & Clarke G 300 Curve Generator
3384 Rogers & Clarke Polisher
3385 Rohde & Schwartz RF Step Attenuator
3386 Rohde & Schwartz ZVT 8
3387 Rohde & Schwarz SME 03
3388 Rohde & Schwarz FSP 7 Spectrum Analyzer
3389 Rohde & Schwarz CMD 55 Digital Radio Communication Tester
3390 Rohde & Schwarz 1038.600203 Signal Generator
3391 Rohde & Schwarz SMIQ 04 B Vector Signal Generator
3392 Rohde & Schwarz SMT 03 Analog Signal Generator, 5kHz to 3.0GHz
3393 Rohde & Schwarz ZVC Vector Network Analyzer
3394 Rohde & Schwarz FSP 7 Spectrum Analyzer
3395 Rohde & Schwarz SME 03 RF Signal Generator
3396 Rohde & Schwarz SMA 100 A
3397 Rohde & Schwarz CRTU-G Radio Communication Test Set
3398 Rohde & Schwarz CMW-CU Control Unit
3399 Rohde & Schwarz ABFS Fading Simulator
3400 Rohde & Schwarz FSEA Spectrum Analyzer
3401 Rohde & Schwarz FSV 7 Spectrum Analyzer
3402 Rohde & Schwarz OSP 120 Switch Unit
3403 Rohde & Schwarz SMA 100 A Signal Generator
3404 Rohde & Schwarz SMHU Signal Generator
3405 Rohde & Schwarz SMHU 0836.4161.02 Signal Generator
3406 Rohde & Schwarz Spectrum Analyzer
3407 Rohde & Schwarz HMC 8043 G Power Supply
3408 Rohde & Schwarz ZVB 4 Network Analyzer
3409 Rohde & Schwarz SMIQ 03 B Signal Generator
3410 Rohde & Schwarz FSW 26 Signal Spectrum Analyzer
3411 Rohner CPS-40-72-12 Prep Cabin
3412 Rohwedder Automatic Molder
3413 Roland MDX-540 4 Axis CNC Mill
3414 Roland MDX 40 Milling Machine
3415 Rolenn EP 200 D Transfer Molding Press
3416 Romer Cimcore 231 Measuring Arm
3417 Ronan May 24 25 Power Supply
3418 Rong Shen RG 3040 A Hot Melt Machine
3419 Rongfong 6050 XDZK-20 L-T Vacuum Dry Oven
3420 Rongshen RG 3040 A Hot Melt Machine
3421 Rood Technology LeadStar 800 Conditioning TSOP
3422 Rood Technology Proliner 900 Conditioning / Scan TSOP
3423 Roos Instruments RI 7100 A Tester
3424 Roots RGSHV Rotary Positive Vacuum Pump
3425 Roots Multiclean Vacuum Cleaner
3426 Roper Whitney PH 52 A Electric Sheer
3427 Rorze RV 201-F 05-202 CE-1 Wafer Load Port
3428 Rorze RV 201-F 05-202 CE-1 Wafer Load Port
3429 Rorze Automation FORS 300 12″ Wafer EFEM
3430 Rosenthal STXL 3 Sheet Master
3431 Rosler R 125 EC Parts Tumbler
3432 Rosler Z 800 HA Turbo Water Circulation System
3433 Ross Engineering Stainless Steel Tank
3434 Ross Engineering Stainless Steel Tank
3435 Rossental Rosenthal Sheeter 2010 Foil Cutter
3436 Roth & Rau Sina XXL Plasma Coating
3437 Roth & Rau Plasma Coating
3438 Roto Finish ER 8 LRB Roto Burr
3439 Roto-Finish RHF 36 144 Deburr Vibratory
3440 Royal Intertrade CNC Engraving Machine
3441 Royal Master Centerless Grinder
3442 Royce MP 300 Auto Placer
3443 Royce 580 Shear Tester
3444 Royce P 35
3445 Royce System 226
3446 Royce DE 35 i 6 Die Sorter
3447 Royce 620 Universal Shear and Peel Tester
3448 RS Pro IPS 2010 Power Supply
3449 RSM MP 209 Mechanical Platform
3450 RTA Nobreak
3451 RTA Nobreak
3452 RTA Nobreak
3453 RTA Nobreak
3454 RTA Nobreak
3455 RTC Reflow
3456 RTMA 110 Resistance Box
3457 Ruben A 21 Main Reactor
3458 Ruben J 2101 Monomer Delay Tank
3459 Ruben J 2102 Catalyst Delay Tank
3460 Rucker & Kolls Manual Prober
3461 Rucker & Kolls Microscope
3462 Rucker & Kolls 260 Manual Probing Station
3463 Rucker & Kolls 260 Manual Probing Station
3464 Rucker & Kolls 260 Manual Probing Station
3465 Rucker & Kolls 199 Manual Sanding Station
3466 Rucker & Kolls 199 Manual Sanding Station
3467 Rudach 300
3468 Rudolph FE-VII
3469 Rudolph Auto EL Automatic Ellipsometer
3470 Rudolph Auto EL Ellispometer
3471 Rudolph S 300 Inspection System
3472 Rudolph SpectraLaser 200 XL Spectral Ellipsometer
3473 Rudolph Macro Defect WV 320 YVS YVS Server
3474 Rudolph Waferview 320 Macro Defect
3475 Rudolph AutoEL III Ellipsometer
3476 Rudolph Auto pol IV Polarimeter
3477 Rudolph Autopol V Polarimeter
3478 Rudolph F 30 Optial Defect Review System
3479 Rudolph Auto EL Ellipsometer
3480 Rudolph FE-IV Ellipsometer
3481 Rudolph NSX 105 Wafer Die Inspection
3482 Rudolph AutoEL Automatic Ellipsometer
3483 Rudolph NSX 105 2D Inspection
3484 Rudolph AXI 935 D Defect Inspection
3485 Rudolph FEVII Ellipsometer
3486 Rudolph FEIII Ellipsometer
3487 Rudolph / August NSX 90 Inspection System
3488 Rudolph / August NSX 90 Inspection System
3489 Rudolph / August NSX 105 Inspection System
3490 Rudolph / August NSX 115 D 1 Wafer Die Inspection
3491 Rudolph / August NSX 115 Inspection System
3492 Rudolph / August NSX 115 Inspection System
3493 Rudolph Research AutoEL SS 1 4 D Automatic Ellipsometer
3494 Rudolph Research AutoEL II 1 4 Automatic Ellipsometer
3495 Rudolph Tech AXI 935 D Macro Defect InspectionS
3496 Ruhlamat WCE 2000 Auto Wire Embedding Machine
3497 Ruhlamat BE 3 ZM Gong BS Housing Assembly
3498 Ruhlamat Saishuai Ji Housing Assembly
3499 Rui Yi Technology Pre-Bending Tool
3500 Rui Yi Technology VFH C 3.0 Bending Tool
3501 Rui Yi Technology VT-H C 3.0 Auto Machine
3502 Rui Yi Technology VT-S Tooling
3503 Rui Yi Technology VT-T Tooling
3504 Rui Yi Technology NH C 5.0 Prebend Tooling
3505 Rui Yi Technology NH C 4.0 Prebending Tooling
3506 Rule 25 Sb Pump
3507 RuLong SS-511MS Shaper
3508 Rush MX 203
3509 Rutil Vertical Inspection
3510 Ruwac Vacuum System
3511 Ruwac DS 1150 C-B 1 Vacuum Unit
3512 Ruwac Fume Extraction
3513 RVSI LS-5700 DB Lead Scanner
3514 RVSI LS-5700 DB Lead Scanner
3515 RVSI LS-5700 DB Lead Scanner
3516 RYOBI 1802 M Cordless Drill
3517 Ryobl DP 102 L Drill Press
3518 Ryobl DP 121 L Drill Press
3519 RZ Enterprises Stepper
3520 S & A 4220 A Test Handler
3521 S C Energy SC LSS 3000 CS Cell Edge Isolation Machine
3522 S&A 4220 A Test Handler
3523 S3 CDC Photoresist Spinner
3524 Sadai-Sekiki Edger
3525 Safeline SL 2000 Metal Detector
3526 Safeline SL 2000 Metal Detector
3527 Safeline Tablex 2 S Metal Detector
3528 Safeline PH 2 Metal Detector
3529 Safeline PH 4 Metal Detector
3530 Safeline PH 2 V 3 Metal Detector / Deduster Combo Unit
3531 Safeline Tabletex Tablet Metal Checker
3532 Safeline PH 2 Tablet Metal Checker
3533 Safeline Metal Detectors
3534 Safeline Metal Detector Tablet
3535 Safeline Tabletex Metal Checker Table
3536 Safeline PH 2 Metal Checker Tablet
3537 Safety Speed H 4 Panel Saw
3538 Sage EKC 382 Wet Bench
3539 SAGE Enterprises Theta 150 Thermal Resistance Tester
3540 Sager EPP-150-12 Power Supplies
3541 Sagitta ECP 2000 Cross-Section System
3542 Sagitta Ne ECP 2000 Cross Section System
3543 Saico MX 36 Spraying Room
3544 Saico Drying Oven
3545 Saida TRS 150 Edging / Centering Machine
3546 Saintech Ion Systems ST 3000 2200 W Ion Beam System
3547 Saintech Ion Systems Ion Gun
3548 Saki BF 18 D P 40 Automated Optical Inspection (AOI)
3549 Saki BF Voyager AOI Automated Visual Inspection
3550 Saki BF Voyager Desktop AOI
3551 Salland IDPS 65 Power Supply
3552 Salon Teknopaja Drop Tester
3553 Samco PC 1100 Photoresist Striper Asher
3554 Samil TO-220 Trim System
3555 Samil TO-220 Form/Singulation System
3556 Samil TO-220 LG Trim/Form Module
3557 Samil TO-220 LG Singulation Module
3558 Samil Tech Adhesion Promoter
3559 Samsung
3560 Samsung
3561 Samsung
3562 Samsung
3563 Samsung CP 50 CM
3564 Samsung 52 / 520 DX Monitor
3565 Samsung M 34 Oxygen VSA Plant
3566 Samsung CP 40 Pick and Place
3567 Samsung SMT Line
3568 Samsung WS P 500 Auto Air Control Window Press
3569 Samsung HT 5508 Automatic OCTA Disassembler
3570 Samsung HT SS 08 Automatic OCTA Disassembler
3571 Samsung GH 81-15294 A Air Window Press
3572 Samsung
3573 Samsung PC Monitor
3574 Samsung LD 300 E Magazine Loader
3575 Samsung CC 800 Connection Conveyor
3576 Samsung SM 481 Advanced High Speed Flexible Mounter
3577 Samsung SRF 70 i 82 Reflow Oven
3578 Samsung WT 200 XLE Inspection Table
3579 Samsung Conveyor Output
3580 Samwell ALP-12 D Central Pressurized Polishing Machine
3581 Samwell ZQ-200 A Corners Pressurized Fiber Polishing Machine
3582 Samwell ALP-12 D Central Pressurized Polishing Machine
3583 Samwell ALP-12 D Central Pressurized Polishing Machine
3584 Sandusky Cabinet
3585 Sandvik LT 1012 Oxide Furnace
3586 Sanf Pharma Pressure Vessel
3587 Sankyo SWH 80 Wet Salicide Strip Bench
3588 Sankyo SWH 80 WET Oxide Etch Bench
3589 Santa Clara Acid Wet Station
3590 Santa Clara Plastics (SCP) Bench
3591 Santec 3 Axis Proto Trax Milling Center
3592 Santec TSL 210 Tunable Semiconductor Laser
3593 Santec Automation Dejunk System
3594 Santec Dejunk Die Set Module
3595 Santec PLCC DJK Master
3596 Santec Trim Form System
3597 Sanyo Fitotron Growth Chamber
3598 Sanyo MLR 351 H Growth Chamber
3599 Sanyo 3500 Feeders
3600 Sanyo / GSM Feeders
3601 Sanyo Medicool MPR-161 D Pharmaceutical Refrigerator
3602 Sapphire Bespoke System
3603 Sapphire Automation IPESCAN 005 / IRIV 03 v 0 Wafer ID Laser Writer Reader
3604 Sapratin RPN 4000 Oven
3605 Sarget Thermonitor IBM Voltammetric Analyzer
3606 Sartorius Precision Balance
3607 Sartorius ME 235 S Balance
3608 Sartorius CP 323 S 0 CE Precision Balance
3609 Sartorius Quintix Precision Balance
3610 Sartorius pHBasic pH Meter
3611 Sartorius Balance
3612 SAS Air Filtration System
3613 SAS SS-400-DCH-C
3614 Satisloh T 04 Parts Washer
3615 Satisloh T 10
3616 Satisloh SPS-55 4-Axis Polisher
3617 Satisloh 150 Vacuum Deposition System
3618 Satorius Analytic 210 P Digital Scale
3619 Satorius Lab Instruments SECURA 513-1 S Powder Balance
3620 Saunders & Associates 4210 A Test Chamber
3621 Savage 500 Ton Press
3622 Savin C 5050
3623 Savin 9033
3624 Saw Tech Engineering Large Bandsaw
3625 SBD MG 100 / 3000 Bending Machine
3626 SBT MS 508 Disc Punch
3627 SC SC-SM 0202 A Cleaning Machine for Graphite Boat
3628 SC SC-SY 0201 B Cleaning Machine for Quartz Boat
3629 SC DS 300 A High-Temperature Diffusion Furnace
3630 SC DC 062000 Cleaning Equipment for Removing PSG
3631 SC PD 380 Tube PECVD
3632 Scanditronix / Wellhofer LX Chroma Color Photometer
3633 Scannertech Ball / Lead Scanner
3634 Schaefer EPS 2001 Crimp Press
3635 Schaefer / Megomat ASM 3300 Wire Cutter
3636 Schaevitz C 3 A Rotary Accelerator
3637 Schalz JU 45 E DUMMI Easy Lift
3638 Schalz JU 45 E DUMMI Easy Lift
3639 Schenck High Speed Balancing Machine
3640 Schenck High Speed Balancing Machine
3641 Scheugenpflug C 3437
3642 Schleuiger UniStrip US 2300
3643 Schleuniger PS 9500 Cutting Machine
3644 Schleuniger TM 66 Cable Tie Binder
3645 Schleuniger FO 7045 Cable Stripper
3646 Schleuniger HotStamp 4140
3647 Schleuniger PF 4350 Pre-Feeder
3648 Schleuniger AFS-5000
3649 Schlumberger S 9000 GX
3650 Schlumberger IDS 10000 Tester Workstation
3651 Schmalz JumboFlex Crane
3652 Schmid SP 7000 Etch
3653 Schmid Combi Line Chrome Etcher
3654 Schmid Combi Line Aluminum Etcher
3655 Schmid 56 09 0398 Acid Texture Wet Bench
3656 Schmid 56 09 0399 Acid Texture Wet Bench
3657 Schmid 56 09 0400 Acid Texture Wet Bench
3658 Schmid 57 09 0401 Phosp. Glass Removal Wet Bench
3659 Schmid 57 09 0403 Phosp. Glass Removal Wet Bench
3660 Schmid 57 09 0405 Phosp. Glass Removal Wet Bench
3661 Schmid 57 09 0407 Phosp. Glass Removal Wet Bench
3662 Schmid 58 07 458 Final Clean Wet Bench
3663 Schmid 58 07 459 Final Clean Wet Bench
3664 Schmid 58 09 0397 Final Clean Wet Bench
3665 Schmid 61 08 0091 Phosp. Glass Removal Wet Bench
3666 Schmid 61 08 0091 Acid Texture Wet Bench
3667 Schmid 61 08 0153 Etch Isolation Wet Bench
3668 Schmid 61 09 0402 Etch Isolation Wet Bench
3669 Schmid 61 09 0404 Etch Isolation Wet Bench
3670 Schmid 61 09 0406 Etch Isolation Wet Bench
3671 Schmid 61 09 0408 Etch Isolation Wet Bench
3672 Schmid 56 Texture Etching
3673 Schmid 61 Chemical Etching
3674 Schmid Phosphor Doper
3675 Schmid Chemical Etching
3676 Schmid Texture Etch
3677 Schmid 25 Cleaning Machine
3678 Schmid Tech Doper
3679 Schmidt 29 314 01 Press
3680 Schmoll MX 6-21 CNC Drill
3681 Schneider SCG 121 Aspheric Grinder
3682 Schneider SLC 40 High Speed Machine
3683 Schneider Tilt Spindle
3684 Schneider GDF 6 C 2 Bank Spindle
3685 Schneider 6 UR 8 8 Bank Spindle
3686 Schneider 4 Bank Spindle
3687 Schneider ALG 200 CNC
3688 Schneider SLG 301-CNC
3689 Schneider Electric NSYCU 3100 W 400 VR Air Conditioning
3690 Schneider Electric Mushroom PB Guards
3691 Schneider Electric E Stop Actuators
3692 Schneider Electric Momentary Push Button
3693 Schneider Electric KA Contact Block
3694 Schneider Electric KA 2 Contact Block
3695 Schneider Electric KA 3 Contact Block
3696 Schneider Electric Selector Switch
3697 Schneider Electric 9001 KM 38 Lamp
3698 Scholtz Autoclave
3699 Scholtz V 68100 L Autoclave
3700 Scholtz V 50000 L Autoclave
3701 Schott AVS 350
3702 Schreiber 2000 AC Chiller
3703 Schreiber 1000 AC Chiller
3704 Schreiber 500 AC Chiller
3705 Schumacher Bubble Housing
3706 Schumacher 237020 Liquid Dispense System (LDS)
3707 Schumacher 237021 Liquid Dispense System (LDS)
3708 Schumacher (Air Products 223346
3709 Schumacher (Air Products 216790
3710 Schumacher (Air Products 218215
3711 Schunk Cat 50 Hydraulic Tool Holders & Reducing Collets
3712 Schutte WU 305 CNC Grinding
3713 Schutte Buffalo Hammerm WA 25 H Hammermill 40
3714 Sciencetemp 40-9.4 Freezer
3715 Sciencetemp 40-3.1 Freezer
3716 Scienceware Gas Hood
3717 Scienfific Test ST 5000 E Electrical Characteristics Tester
3718 Scienscope View-X X-Ray
3719 Scienscope X-Scope 2000
3720 Scientech SP-W 813 Oxide Etch
3721 Scientek Technology Stacis 2100
3722 Scientek Technology MSP 2300 XP Particle Deposition
3723 Scientific Sealing MV 2200 CE
3724 SciGene GenePix 4200 A Air Flow Machine
3725 Sciion Contact Nano Contact Printer with PC Control
3726 Scilogex OS 20 S Stirrer for Hot Water Bath
3727 Scilogex MS-H-S Hot Plate
3728 Scintag X 1 X-ray Diffractometer
3729 Scotchman Abrasive Cut Off Saw
3730 Scott Equipment Automatic Plastic Index Tab Machine
3731 Screen WS 820 L
3732 Screen AS 2000 Scrubber
3733 SculpSure
3734 SDL Atlas M 229 P PnuBurst Digital Bursting Tester
3735 SDL Atlas M 008 HE PowerTear High Energy Elmendorf
3736 SDL Atlas M 232 Spray Rating Tester
3737 SDL Atlas M 240 I Pick Glass with Point
3738 SDL Atlas M 247 B Scorch / Sublimination Tester
3739 Seagear
3740 Sealed Air Speedy Packer Insight Foam Bagging Machine
3741 Sealersales W 455 A Automatic Sealer
3742 SEC 860 Flip Chip Bonder
3743 Seebrez
3744 Seho 1135 C Wave Soldering
3745 Seho 4435
3746 Seho 1000 / Type 1135C Wave Soldering Machine
3747 Seho Lotenlagn 4435 Reflux Oven
3748 Seho Lotenlagn 1135 C Wave Welder
3749 Seho Lotenlagn 4435
3750 Seho Lotenlagn 1135 C
3751 Seiko SEA 5220 XRF
3752 Seiko SEA 5120 A XRF
3753 Seiko DSC 6200
3754 Seiko / Epson NS 6040 Handler
3755 Seiko / Epson G 6 Robot
3756 SELA EM 2 Automated TEM and SEM Sample Preparati
3757 Semco DF 550-8 3 Tube Stack Diffusion Horizontal Furnace
3758 Semco Sinter Oven Furnace
3759 Semco Diffusion Oven Furnace
3760 Semco Oxidation Oven Furnace
3761 Semi Gas Ammonia Gas Storage Cabinet
3762 Semi Gas Ammonia Gas Storage Cabinet
3763 Semi Gas Cabinets
3764 Semicaps SOM 3000 Scanning Optical Microsco
3765 Semicaps SOM 4000 Inverted Analytical and Tester-Docked Scanning Optical Microscope System
3766 Semicaps Spems 1550 Thermal Imaging System
3767 Semiconductor Equipmen 430 Hot Gas Jet Module
3768 Semiconductor Equipmen 430 Hot Gas Jet Module
3769 Semiconductor Equipmen 4000 HGMS Hot Gas Die Bonder
3770 Semiconductor Equipmen 830 Pick and Place System
3771 Semiconductor Equipmen 3150 Manual Wafer Mounter
3772 Semiconductor Equipmen 360 UV Exposure System
3773 Semiconductor Systems In NCDNC (2)-105 Track System
3774 Semiconductor Technolog MGE 2000 A Amplifier & Cables
3775 Semicore E-Gun Thermal Evaporator
3776 Semicore SC 8667 Sputter Deposition System
3777 Semics Opus 2 Wafer Prober
3778 Semics OPUS II Prober
3779 Semics Opus II Wafer Prober
3780 Semi-Gas Systems CS 350 A Cylinder Scale
3781 Semi-Gas Systems Helium-Freon 116 Purge System
3782 Semi-Gas Systems TEOS Cabinet
3783 Semilab WT-2500 Lifetime Tester
3784 Semilab WT-2500 Lifetime Tester
3785 Semilab Epimet 2 Thin Film Epi Measureme
3786 Semilab WT 2000 D Lifetime Tester
3787 Semilab WT 2000 D HS-CLT
3788 Semilab IR 50 Flaw Detector
3789 Semilab WT 2000 P LT
3790 Semilab IR 3100 S Micro-spot Spectraocopic
3791 SemiProbe SA 8 Semiautomatic Probe Station
3792 Semistar RIE PECVD
3793 Semitest X 0015 Epimet 2 Wafer Resistivity Monitoring System with Treatment
3794 Semitool VTP 1500 Furnace
3795 Semitool VTP 1500 Furnace
3796 Semitool VTP 1500 Low Pressure Chemical Va
3797 Semitool VTP 1500 Low Pressure Chemical Va
3798 Semitool SAT 5 Acid Clean Station
3799 Semitool SAT 6 Acid Clean Station
3800 Semitool SAT 10 Acid Clean Station
3801 Semitool SAT 2 Acid Clean Station
3802 Semitool Paragon LT-210 Plating Tool
3803 Semitool SAT 2140 S
3804 Semitool Spin Rinse Dryer (SRD)
3805 Semitool Spin Rinse Dryer (SRD)
3806 Semitool Spin Rinse Dryer (SRD)
3807 Semitool 880 Spin Rinse Dryer (SRD)
3808 Semitool R 310 FMC 2 Semitool Raider Electroetc
3809 Semitool Raider GT ECD Copper Plating Tool
3810 Semitool Equinox Plater
3811 Semitool Spin Rinse Dryer (SRD)
3812 Semitool Wet Bench
3813 Semitool Sirius Ozone Photo Resist Strip
3814 Semitool Sirius Ozone Photo Resist Strip
3815 Semitool Srius Ozone Photo Resist Strip
3816 Semitool Spin Rinse Dryer (SRD)
3817 Semitool SD 2700 Spin Dryer
3818 Semitool ST 2600 Spin Rinse Dryer
3819 Semitool ST 260 Spin Rinse Dryer (SRD)
3820 Semitool Spin Rinse Dryer (SRD)
3821 Semitool Equinox EQ 212 PMPLTNG 150
3822 Semitool 328 Upright Dryer
3823 Semitool Raider M
3824 Semitool Equinox
3825 Semitool 260
3826 Semitool VTP 1500 Low Pressure Chemical Vapor Deposition (L
3827 Semitool Dual Stack Spin Rinse Dryer
3828 Semitool 840 SRD
3829 Semitool SST 221 (25 08) 0 U Spray Solvent Tool (SST)
3830 Semitool Magnum
3831 Semitool 8600 Spin Rinse Dryer (SRD)
3832 Semitool 4600 Spin Rinse Dryer (SRD)
3833 Semitool 262 08 Spin Rinse Dryer (SRD)
3834 Semitool 8600 Spin Rinse Dryer (SRD)
3835 Semitool ST 260 D Spin & Dry Tool
3836 Semitool Spin Rinse Dryer (SRD)
3837 Semitool Spin Rinse Dryer (SRD)
3838 Semitool Spin Rinse Dryer (SRD)
3839 Semitool Spin Rinse Dryer (SRD)
3840 Semitool Spin Rinse Dryer (SRD)
3841 Semitool Raider NiFe Plating
3842 Semitool S 28 SR 15 F 11111 Spin Rinse Dryer (SRD)
3843 Semitool Spin Rinse Dryer (SRD)
3844 Semitool SRD 4300 S Spin Rinse Dryer
3845 Semix Tazmo 6132 L Sog Coater
3846 Semix Tazmo 6132 L Sog Coater
3847 Semix Tazmo 8131 SOG
3848 SEMSYSCO Galaxy Batch Resist Strip Tool
3849 Senju Reflow Oven 7 Zones
3850 Sensata iQ Phase
3851 Sensortek TS 4 ER Controller
3852 Sensovation Electroluminescence (EL) Camera
3853 Sentech SE 800 Spectroscopical Ellipsometry
3854 Sentrex PCLC 650 Power Conditioner
3855 Sentro Tech Oven
3856 Sentry 20
3857 Sentry 21 Tester
3858 Sentry 21 Tester
3859 Sepragen Superflo
3860 Serbig Air Coil Winding Machines
3861 Serialtek U 3051 C SAS/SATA 3G/6G Protocol Analyzer
3862 Serop RIES Equipment Automatic Cutting Station for Batteries Wafers
3863 Serop Cover, Substrate, Battery Trays
3864 Serop Test Tooling (Back Planes, Substrate Probe Cards, Stiffners)
3865 Serveno Type 500 Peel Off Test Machine
3866 Servo / ASA 808 Omega
3867 Servo / ASM DTFS System
3868 Sessco
3869 Sessco Technologies M 28 Test Handler
3870 Setra Quick Count High Resolution Counting Scale
3871 Setra E 1-2005
3872 SFI Endeavor 8600 SFI Cluster Sputtering Tool
3873 SFI Endeavor
3874 SFR 1130659 Surface Analysis System
3875 SG 2002 E 60 Water Purification System
3876 SG GW 4050 Water Purification System
3877 Shandon Histocentre 2 Embedding Center
3878 Shandong Gaotang Jieshen Equipment Etch Hood
3879 ShangHai HG 1400 Potting Machine
3880 Shanghai China Regulating Transformer
3881 Shanghai Espec Environm SE TH A 040 L
3882 Shanghai Espec Environm SE TH Z 062 UF
3883 Shanghai Hyperway Electr HW DM 306 Die Demounter
3884 Shanghai Jiele Electric GST-EL 10000 A EL Tester
3885 Shanghai JieLe Technology GST-EL-10000 A EL Tester
3886 Shanghai JieLe Technology GST-EL-10000 AI EL Tester
3887 Shanghai Jintai Automatic Cutting Machine
3888 Shanghai Junda & AE DMM 4020 & PS / EQ 003 Dark Current Tester
3889 Shanghai Meiyin Equipme SH 05 3 Magnetic Stirrer / Heater
3890 Shanghai Pubei PAT 990 AFL Auto Frame Loader
3891 Shanghai Shengpu Machin SPZ-210 G Border Glue Machine
3892 Shanghai Shenhang Import and Export Fleece, Automatic Loading and Unloading m
3893 Shanghai Taiyuanpack Equ ORGAPACK Packaging Machine
3894 Shanghai Taiyuanpack Equ TP-9011 Packaging Machine
3895 Shanghai Xinhao Packagin OR-T 250 Packaging Machine
3896 Shanghai Xinjian Equipme QT 2 Curve Tracer / Oscilloscope
3897 Shanghai Yihen Tech DZF 6020 Vacuum Oven
3898 Shanghai Yinhuan ED 005 F / ZD 005 F Baking Oven
3899 Shanklin S 24 B Sealer
3900 ShaoYang Huabao Radio DF 4810 Curve Tracer / Oscilloscope
3901 Sharp HMV Vertical Turret Milling Machine
3902 Sharp 2060 C Gap Bed Lathe
3903 Sharp Lathe
3904 Sharp LMV 48 Verticle Mill
3905 Sharp 3 HV Vertical Horizontal Mill
3906 Sharp RD 1600 Radial Drill
3907 Sharples Mark 111 Centrifuge
3908 SHB Instruments MESA Magnetic Field Measurem
3909 Sheetmaster SM 30 Rosenthal Film Cutter
3910 Sheffield D-8 CMM
3911 Sheffield Cordax RM-30 Coordinate Measuring Machine (CMM)
3912 Shel Lab SL Oven
3913 Shel Lab Oven FX 2 Lab Oven
3914 Sheldon 53201 504 Oven
3915 Sheldon 1430 Vacuum Oven
3916 Sheldon 1350 F 2 Atmospheric Oven
3917 Sheldon 1430 Vacuum Oven
3918 Sheldon Manufacturing V 1326 Oven
3919 Shell Lab Vacuum Oven
3920 Shen Wai SW 900 GH Lathe
3921 ShengPu Machinery Manu SPZ 210 G Border Rubber Injection Machine
3922 Shengzhen Hans Laser YAG 50 Laser Maker
3923 Shenkeda S 2 3-IUTBA Flex / PCBA Bonding Machine
3924 Shenyang CA 6140 A Lathe
3925 Shenzhen Niak 2 Polycrystalline Fleece Machine
3926 ShenZhen PengChengTong PCT-W200 Washing Machine
3927 Shenzhen S.C
3928 Shenzhen Sidea Semicond PT 301 MAP D Wafer Probe
3929 Sherr-Tumico P-2500 Optical Comparator
3930 Shibarua CDE-80 N Chemical Drying Etcher
3931 Shibaura HCIW LWSRHC L Cleaner After Rubbing
3932 Shibuya SBM 360 Ball Drop
3933 Shijiazhuang Yidunsm Homothermal Hot Plate
3934 Shimadzu TOC-L TOC-LCSH
3935 Shimadzu GC-14 A Gas Chromatography
3936 Shimadzu AA-6701 Atomic Absorption Flame Emission Spectrophotometer
3937 Shimadzu TMA-50 Thermomechanical Analyzer
3938 Shimadzu GC-14 A Gas Chromatograph
3939 Shimadzu TOC-5000 A Total Organic Carbon Analyzer
3940 Shimadzu Spectrophotometer
3941 Shimadzu ALIS 100 X 26 C LC Filling
3942 Shimadzu UV 2450 UV Spectrophotometer
3943 Shimadzu 1700 UV Spectrometer
3944 Shimadzu IR Prestige Fourier Transform Infrared Spectroscopy (FTIR)
3945 Shimadzu UV 1700 UV Spectrophometer
3946 Shimadzu GC-17 A Gas Chromatograph
3947 Shimadzu QP-2010 Gas Chormatograph
3948 Shimadzu GC-2010 Gas Chormatograph
3949 Shimadzu RF 1501 Flourophotometer
3950 Shimadzu UV-2600 Spectrophotometer
3951 Shimadzu UV 2401 Optical Bench & Fluorescence Spec
3952 Shimadzu Liquid Chromatograph Mass Spectrometer (LCMS)
3953 Shimadzu Gas Chromatograph (GC)
3954 Shimadzu EDX-720
3955 Shimadzu SLPC TB AHU TB Plasma-Enhanced Chemical Vapor Deposition (PECVD)
3956 Shimadzu XRD 6000 X-ray Diffractometer
3957 Shimadzu RF 5301 PC Fluorescence Spectrophotometer
3958 Shimadzu RF 6000 Fluorescence Spectrophotometer
3959 Shimadzu RF 6000 Fluorescence Spectrophotometer
3960 Shimadzu QP 2010 S GCMS System
3961 Shimadzu A 15 Transformer
3962 Shimadzu ALIS 100 X 26 II (S)
3963 Shin Etsu SE LCAS Panel Assembly
3964 Shin Uel SU 6118 6 Tank Auto Cleaner
3965 Shin Uel SU-4040 Ink Removing Soak
3966 Shincron CES 3 Coater
3967 Shingawa SUS 47 Al Wire Bonder
3968 Shingawa SWB FA US 7 Ai Wire Bonder
3969 Shingawa ACB 35 Au Wire Bonder
3970 Shini HS 221 Compound Dryer Machine
3971 Shinkawa UTC 2000 Wire Bonder
3972 Shinkawa ACB 400 Wire Bonder
3973 Shinkawa ACB 35 Automatic Ball Bonder
3974 Shinkawa ACB 1000
3975 Shinkawa SPA 300 Die Bonder
3976 Shinkawa SPA 300
3977 Shinkawa SPA 200 Bonder
3978 Shinkawa UTC 2000 Bonder
3979 Shinkawa UTC 3000 Bonder
3980 Shinkawa DAF SFA 01 Laminating Machine
3981 Shinkawa UTC 200 BI Wire Bonder
3982 Shinkawa UTC 1000 Super Wire Bonder
3983 Shinn Uel SU-4336 Deflux
3984 Shin-Ohtsuka HFE In-Line Ultrasonic Cleaning Machine
3985 Shin-Ohtsuka SK-12 Y-1524 B
3986 Shin-Ohtsuka YMPT-SK-13 &-1524 Ultrasonic Cleaner
3987 Shiqiya GU 30 B 100 A Grinder
3988 Shiung Gu Main Power Feeder Control Starter
3989 Shiung Gu Wet Scrubber System
3990 Shoda Techtron Double Corner Rounder
3991 Shoda Techtron Vacuum Extractor
3992 Shonan Centering Machine
3993 Shonan BE-WF 802 SU-SUM Centering Machine
3994 Shore Western Vibration Test Unit
3995 Showa Iron Works MC 45 23 PI Maincure
3996 Shrader Scientific CV DOT Sputtering System
3997 Shurflo 2088-594-154 Pump
3998 Shuttleworth Two Roller Conveyor
3999 Shuwa SW-08 One-side Precision Polishing (Lapping) Mac
4000 Shuwa SW-07 One-side Precision Polishing Machine
4001 Siacin SCLD-LF
4002 Sidai Spindle Bank
4003 Sidel SBO 4 Generation 2
4004 Sidel SBO 4 Series 2
4005 Sidel SBO 2 F
4006 Sidel SBO 2 F
4007 Siecor OTS 300 Optical Tester
4008 Siemens 80 S 23 Pick and Place Machine
4009 Siemens Siplace S 25 HM
4010 Siemens Siplace S 20
4011 Siemens 80 S 20 F 502
4012 Siemens 80 F 4
4013 Siemens Siplace 80 F 4
4014 Siemens Siplace Feeders
4015 Siemens Optrix 3D
4016 Siemens BN ProSpec
4017 Siemens MVC 2011 Power Supply
4018 Siemens D 5000 X-Ray Diffractometer
4019 Siemens J-VAP Extension to JWI Membrane Filter Press
4020 Siemens 470 mm Pilot Press Membrane Filter Press
4021 Siemens Simatic S 7 PLC
4022 Siemens F 4
4023 Siemens S 7 / 414 CPU
4024 Siemens 6 ES 7 407-0 KA 01-0 AA 0 AC Power Supply
4025 Siemens 6 ES 7 414-2 XG 03-0 AB 0
4026 Siemens 6 ES 7 422-1 BL 00-0 AA 0 Digital Output Module
4027 Siemens 6 ES 7 421-1 BL 00-0 AA 0 Digital Intput Module
4028 Siemens 6 SE 2108-3 AA 21 Simovert  P Converter Drive
4029 Siemens 6 SE 2103-3 AA 21 Simovert  P Converter Drive
4030 Siemens Siplace S 25 HM
4031 Siemens Feeder
4032 Siemens Feeder
4033 Siemens Feeder
4034 Siemens Feeder
4035 Siemens D 5000 Powder XRD
4036 Siemens Single Crystal Instrument
4037 Siemens Feeder
4038 Siemens Siplace HS 50 Pick and Place
4039 Siemens Siplace 80 F 5 Pick and Place
4040 Siemens / ASM Siplace CA 4 Chip Assembly and Placem
4041 Siemens ASM SMT Feeders
4042 Siemens-Allis HP 3 Induction Motor
4043 Sierra Instruments Innova-Flo Vortex Flow Meter
4044 SierraNet T 328
4045 SierraNet T 328
4046 SierraNet T 328
4047 Sievers 355 Sulfur Chemiluminescence Detector (SCD)
4048 Sievers 1010 Total Organic Carbon Analyzer
4049 Siglent SDS 1052 DL Oscilloscope
4050 Sigma Mixer
4051 Sigma 4-16 Centrifuge
4052 Sigma Intest M 173 Air Calibration System
4053 Sigma Intest M 173 Air Calibration System
4054 Sigma Systems Thermal Cycle Chamber
4055 Sigma Systems TP 781 G
4056 Sigma Systems TP 294
4057 Sigma Systems TPQ 294
4058 Sigmameltec Metron Tech SFB 3000 Post Exposure Bake
4059 Sigmatech UltraMap S-100
4060 Signal Test Ascott S 450 Salt Spray Corrosion Test Chambers
4061 Signatone S-250-6 Probe Station
4062 Signatone S-250
4063 Signatone S-1160 Probe Station
4064 Signatone S-1160 Probe Station
4065 Signatone S 250 Manual Probe Station
4066 Signode Spirit V E 3000 Strapping machine
4067 SII XV 300 DB Particle Measurement Sys
4068 Sikama Falcon 5 C Reflow Oven
4069 Sikama Falcon 5 x 3 Reflow Oven
4070 Sikama International Ultra Profile 2000 Reflow Oven
4071 Sikama International M:Falcon 8500 Curing Oven
4072 Sikora Diameter Control System
4073 Silicon Valley Group Micrascan II+ Wafer Stepper
4074 Silicon Valley Group AVP 8000 AP Vertical Furnace
4075 Siltec 860 Silicon Crystal Furnace
4076 Siltec 860 Silicon Crystal Furnace
4077 Silver Temperature Meter
4078 Simasv Super Bar Bender
4079 Simatic S 5 PLC Rack
4080 Simco AerostatXT
4081 Simco Telecom Holdover Tester
4082 Simco Aerostat 43455 ESD System
4083 Simkom Simkon Rails
4084 Simplimatic A 1132 Conveyor
4085 Simplimatic 3040
4086 Simplimatic Automation 8190 Mini Magazine Loader
4087 Simplimatic Automation 8170 Flat Belt Conveyor
4088 Simpson 420 Portable Function Generator
4089 Simpson 229-2 AC Leakage Current Tester
4090 Simri, Noel DLF Autoclave Racks
4091 Simtech Parameter Inspection Machine
4092 Singold KV 250 E Shutter
4093 Singulus Silex Cleantex 2800 Wet Station
4094 Singulus Silex DHF 2800
4095 Singulus PCE ISO 3000 Silicon Recycle Etch Bench
4096 Singulus Timaris PVD Cluster Tool
4097 Sinometer HY 3003 D 3 DC Power Supply
4098 Sinto LCD 4 Seal Dispenser
4099 Sinton Lifetime Tester
4100 Sinton Lifetime Tester System
4101 Sipa SFL 4
4102 Sitek Spin Rinse Dryer (SRD)
4103 Sitek Spin Rinse Dryer (SRD)
4104 Sitek Photoresist Track System
4105 Sitek Litho Mask Cleaner
4106 SJ Inno Tech HP 620 S Screen Printer
4107 SJ Inno Tech HPX 1300 S Screen Printer
4108 Skar 3327 1 G 2 V 1 D Hot / Cold Chamber
4109 Skidmore Wilhelm Torque Tension Tester
4110 SKT AC PCB 100 Aqueous Conveyor Cleaning System
4111 Skye Washing Machine
4112 Skye Slicer
4113 Skyray EDX 3000 X-Ray Fluorescence Spectrometer
4114 Slee SV10 M Leak Detector
4115 Sloan
4116 Sloan 1800 Bell Jar
4117 Sloan SL 1800 Coating System
4118 Sloan E-Beam Evaporator
4119 Smart Power Systems Uninterruptible Power Supply (UPS)
4120 Smart Sonic Ergosonic Stencil Cleaner
4121 Smart Sonic ErgoSonic-SR Stencil Cleaner
4122 SMC CBW 1026 Electric Heat Cage Washer
4123 SMC HRZ 001 L 1
4124 SMC HRZD 020 WS WS Thermo Chiller
4125 SMC INR 496 003 D Thermo Chiller
4126 SMC INR 498 016 C Thermo Chiller
4127 SMC INR 498 012 D X 007 Thermo Chiller
4128 SMC D A 53 L Sensor
4129 SMC D K 59 SAPC Sensor
4130 SMC HRZ 010 WS Z Chiller
4131 SMC Snap Chamber Controller for Endeavor Cluster Tool
4132 SMC HRG 015 W SMC Temperature Controller
4133 SME S 6000 BGA / LGA Rework Bench
4134 SME ZX 600 Eylet Inserter
4135 SME S 5100 Pallet Cleaner
4136 SME S 5100 Pallet Cleaner
4137 Smeg GW 4050 Glassware Washer
4138 Smithy GN-1324 Mill / Lathe
4139 SMT Opti-Print 2020 SMT Screen Printer
4140 SMT HTT 4.0 SMT Oven
4141 SMT SL 2220 Semi-Automated Welding Machine
4142 SMT HTT 4.0 Curing
4143 SMT 2436 BAM Semi-Automatic Solder Paste Brush Machin
4144 SMT SL 2220
4145 SMT SL 2220 Screen Printer
4146 SMT XXS N 2 Reflow Oven
4147 SMT Maschinen Und Vertr HTT 4.0 KZ 1.0 Oven
4148 SMT Technologies SMT 2020 Printer
4149 SMTech 100 MV Screen Printer
4150 SMTech Screen Printer
4151 Smtech UP 400 Solder Paste Machine
4152 SMW Spacesaver 2003 Bar Loader / Feeder
4153 SNA WMS Slice Degumming Cleaner
4154 Snorkel TB-A 60 RF 0 Boom Lift
4155 So Low DHS 401 Deep Freezer
4156 So Low Premiere Freezer
4157 So Low C 40 5 Ultra Low Freezer
4158 Sofray MFS 4080 E Micro Focus X-ray Inspection System
4159 Sofray Compax 150 X-ray
4160 Soft Switching Technologies Prodysc (Asml Power Switch)
4161 Soft Switching Technologies Prodysc Dynamic Sag Corrector
4162 Softex SFX-90 X-Ray
4163 Softronix 7613 Oscilloscope
4164 Soiltec BPM 2000 HMDS Primer
4165 Sokudo RF-300 A Resist C/D
4166 Sokudo RF-300 A Photoresist
4167 Solar Swiss System (3S) 3621 Laminator
4168 Solar Swiss System (3S) 3s 3622 Laminator
4169 Solar Swiss System (3S) 3s 3621 Laminator
4170 Solaris 10223216 Sputtering System
4171 Solaris 10223799 Sputtering System
4172 Solaris 10223949 Sputtering System
4173 Solaris 10223956 Sputtering System
4174 Solaris 10223957 Sputtering System
4175 Solaris 10223958 Sputtering System
4176 Solaris 10223959 Sputtering System
4177 Solaris 10223960 Sputtering System
4178 Solartec 3000 Atomic Layer Deposition (ALD) system
4179 Solartron SI 1260 Impedance/Gain-Phase Analyzer
4180 Solartron Schlumbereger 1250 Frequency Response Analyzer
4181 SoLayTec ALD Back Passivation Feeding machine
4182 SoLayTec ALD Back Passivation, Loading and Unloading machine
4183 Solenoid 34B-L00-GD Valve Kit
4184 Solid State Cooling System ThermoCube 600 L Liquid to Liquid Recirculating Chiller
4185 Solidscape MM PM 1 Pattern Master
4186 Solitec 5100 Wafer Mount Station
4187 Solitec 820-SJX Auto Wafer Scrub Jet / Transfer System
4188 So-Low U40-13 Freezer
4189 Soltec Lifter
4190 Soltec Nutek Conveyor
4191 Soltec Maxi Wave Soldering Machine
4192 Soltec Fume Extractor
4193 Soltech Sonica 5200 M Ultrasound Bath
4194 Somont Welding Machine
4195 Somont 3 S 3622 CP Laminating Machine
4196 Somont Rapid 2 Cell Stringer Tool
4197 Somont String Solder Table
4198 Song Hong BH Shuttle
4199 Sonitek TS 500 Thermal Press
4200 Sonix HS 1000 Scanning Acoustic Microscope
4201 Sonix Quantum 350 Ultra High Resolution Scanning Acoustic Microscope
4202 Sonix UHR 2001
4203 Sonix UHR 2001 SAM Scanning Acoustic Microscope
4204 Sonoscan D 6000 CSAM
4205 Sonoscan C-Sam 300 DX
4206 Sonoscan D 9000 Acoustic Microscope
4207 Sonoscan Sonix
4208 Sony UP D 895 Digital Graphic Printer
4209 Sony UP D897 Video Graphic Printer
4210 Sony SRX 610 Auto Frame Loader for 4L K-Pack Part Misc-01 PD97115
4211 Sony – Tektronix 370 Curve Tracer
4212 Sony Textronics 336 Digital Storage Oscilloscope
4213 SOPRA SE 200 Inspection System
4214 Sopra EP 12 Dielectric Porosity Measur
4215 Sopra Inspection Tool
4216 Sopra GESP 5 Thin Film Characterization Station
4217 Sorensen DLM 60-66E Test
4218 Sorensen XHR 60-18 DC Power Supply
4219 Sorensen DCR 40-25 B Power Supply
4220 Sorensen DCR 80-6 B Power Supply
4221 Sorensen QRS 40-.75 Power Supply
4222 Sorensen DCS 8-125 E
4223 Sorensen DCS 20-50 E
4224 Sorensen DCS 80-15 E
4225 Sorensen DCS 40-75 E
4226 Sorensen DCS 150-7 E
4227 Sorensen DCS 60-18 E
4228 Sorensen SFA 60/167
4229 Sorensen SFA 60/83
4230 Sorensen SFA 60/250
4231 Sorensen SFA 160/62
4232 Sorensen 1030-20 H.V. Supply
4233 Sorensen XT 7 6 Regulated DC Power Supply
4234 Sorensen DCS 60 18 E Power Supply
4235 Sorenson DCS 600
4236 Sorvall GSA Fixed Angle Rotor
4237 Sorvall Instruments RC-3 B Refrigerated Centrifuge
4238 Sotax TD 2 Tapped Density Tester
4239 Sotax TBC Dissolution Media Dispenser
4240 Sotax MPS Media Preparation Station
4241 Sotax AT 7 Smart Dissolution Device
4242 Sound Technology 1710 A Distortion Measurement System
4243 South Bay Technology 660 Diamond Saw
4244 South Bay Technology 920 Lapping and Polishing Machine
4245 South Bend CL 187 AB Lathe
4246 South Coast Enterprise Ultrasonic Washing Machine
4247 South Pend Lathe
4248 Southbend 183 B Lathe
4249 Southwest Science SBV 1000 Vortex Mixer
4250 Sowotech PL 29 Mylar Peeler
4251 SP Devices SPD 01006 Digitizer
4252 SpanTech Curved Conveyor
4253 Spark A 540 Tester
4254 Spark Extraction Unit Lab
4255 Spark Plasma Sintering
4256 Spark Pulsed Power Supply
4257 Sparrow MTS 30 In Circuit Tester (ICT)
4258 Spartanics Punch
4259 SparTec Norma 400 TU 4 Mitre Saw
4260 Spea 4040 Flying Probe Tester
4261 Spea Comptest 3020 MX Semiconductor Tester
4262 Spea Easytest 105 A Bed of Nails Tester
4263 Spea Easytest 500 AD Bed of Nails Tester
4264 Spea Unitest 500 ADP Bed of Nails Tester
4265 Spea Comptest 322 MX Semiconductor Tester
4266 Spea C 320 MXPS Tester
4267 Spea H 3560 Automation Tester & Application
4268 Spea H 3560 Inbound FRT SAP Test P&P Handler
4269 Spea H 3560 Julian Automation Tester and Application
4270 Spea Handler
4271 Spea H 3560 Julia Automation Tester
4272 Spea Handler
4273 Spea EE Mylar Separation Station
4274 Spec SBXAT 5-80 Wet Process
4275 Spec SBXAT 1080 Wet Process
4276 Spec SBXAT 1080 Wet Process
4277 Special Transfo Electrical Transformer
4278 Specialty Coating Systems Precisioncoat
4279 Spectra Can STS 200 Foot Test
4280 Spectra Diode Labs SDL 830 Laser Diode Driver
4281 Spectra Physics 69931 Power Supply
4282 Spectra Physics 69907 Power Supply
4283 Spectral Dynamics SD 1825 Vibration Controller
4284 Spectra-Physics Ion Laser
4285 Spectra-Physics Nd: YV O4 Laser Systems
4286 Spectra-Physics MAI TAI HP Sapphire Laser
4287 Spectro X linker XL 1500
4288 Spectroline DM 254 HA UV Meter
4289 Speed Air 3 JR 77 Compressor
4290 Speedaire Air Compressor
4291 Speeder HBS 916 A Band Saw
4292 Speedfam Grinder
4293 Speedfam CMP
4294 Speedfam Auriga Oxide CMP
4295 Speedfam Auriga Oxide CMP
4296 SpeedFam Double Sided Lapper
4297 SpeedFam Double Side
4298 Speedfam A 06 H PI Remover
4299 Speedfam SP 800 Single Sided Polisher
4300 Speedfam 9 B
4301 Speedfam Polisher
4302 Speedfam / Westech / Ipe 472 CMP Polishers
4303 Speedline Bravo 8 Reflow Oven
4304 Speedline Vectra Elite Wave Solder
4305 Speedline Accuflex Stencil Machine
4306 Speedline Accuflex Stencil Machine
4307 Speedline Accuflex Stencil Machine
4308 Speedline / Electrovert Microcel S 2
4309 Speedline / Electrovert Wave Solder
4310 Speedline / Electrovert Vectra 450 F Wave Soldering Machine
4311 Speedline / Electrovert Econpak Gold Wave Solder
4312 Speedline / Electrovert Econopak Plus 400 / F Wave Solder Machine
4313 Speedline / Electrovert Econopak Plus 400 / F Wave Solder Machine
4314 Speedline / Electrovert Aquastorm 200 In-Line Cleaner
4315 Speedline / Electrovert Omni Flo 5 Reflow Oven
4316 Speedline / Electrovert OMNI 7 E Reflow Oven
4317 Speedline / Electrovert Omni 10 Reflow Oven
4318 Speedline / Electrovert Vectra Elite VC 2 Wave Solder
4319 Speedline / Electrovert Vectra Elite VC 2 Wave Solder
4320 Speedline / MPM UP 2000 Screen Printer
4321 Speedline / MPM Ultraprint 2000 Surface Mount Technology (SMT) Screen Printer
4322 Speedline / MPM SPM / B Solder Paste Printer
4323 Speedline / MPM UP 2000 Stencil Printer
4324 Speedline / MPM SPM Screen Printer
4325 Speedline / MPM UP 3030 Solder Paste Printer
4326 Speedline / MPM UP 3030 Solder Paste Printer
4327 Speedline / MPM Accuflex Screen Printer
4328 Speedline / MPM UP 2000/b Screen Printer
4329 Speedline / MPM UP 2000/hie Screen Printer
4330 Speedline / MPM Accuflex AFMMI Screen Printer
4331 Speedline / MPM Accuflex AFMMI Screen Printer
4332 Speedline / MPM Accuflex Screen Printer
4333 Speedline / MPM SPM Screen Printer
4334 Speedline / MPM UP 2000 A Screen Printer
4335 Speedline / MPM UP 2020 Screen Printer
4336 SpeedMixer DAC 150 FVZ-K
4337 Speroni STP 46 V Tool Presetter
4338 SPEX Freezer / Mill
4339 Spire PVL 1837 Solar Module Laminator Machine
4340 Spirent SmartBits 600
4341 Spitfire SP-360/5-420 P Double-Sided Polisher
4342 Spitfire SP-360/5-420 L Double-Sided Grinder (Lapping) System
4343 Spitfire SP-F 888-24 PN Single Sided Lapper
4344 SPR R 201 Auto Cutting Machine
4345 SPR R 201 Auto Cutting Machine
4346 Sproam SA 1200 AR Amplifier
4347 SPV Station 1010
4348 SPX SPX-FP Inline Strainer
4349 SPX HT 953524 Inline Strainer
4350 SPX FERR 11 D 0293 Inline Strainer
4351 SPX CAPM 4 Y 9 Inline Strainer
4352 Square D 15 T 6 HCT Transformer
4353 Square D 45 T 3 H Transformer
4354 Square D 34349-17212-064 KVA-75 Transformer
4355 Square D Company EE 750 T 79 H KVA Transformer
4356 SRM STV 322 Handler
4357 SRM S 328 Handler
4358 SRM XD 208 R Handler
4359 SRM XD 326 Finishing Machine
4360 SRM XD 326 Change Kit
4361 SRM Handler
4362 SSE Evergreen Cleaner
4363 SSEC M 3302
4364 SSEC 2400 e Welder
4365 SSEC 176 Mask Scrubber
4366 SSEC 3300 Trillenium
4367 SSEC Series 2 Wafer Cleaner
4368 SSEC Trilanium Wafer Scrubber
4369 SSEC 3302 Resist Removal and Wafer Cleaning Machine
4370 SSEC M 3302 Resist Removal and Wafer Cleaner
4371 SSEC 1000 Seam Sealer
4372 SSI 150 Dual Track
4373 SST
4374 SST 5100 Programmable Vacuum/Pressure Soldering
4375 ST Industries 20 4400 Comparator
4376 ST Supertec G 60 A – 80 CNC Cylindrical Grinder
4377 STA – Warm Y 50 S Optical Pitch Large Melting Pot
4378 Stag P 801 Programmer
4379 Stag P 301 Programmer
4380 Stalker Pro II Radar
4381 Standridge Granite Black Granite Scientific Surface Palte
4382 Stanelco STA 45 RF Generator
4383 Stanely G-10 P Pedestal Riveting Machine
4384 Stanford Doctor
4385 Stanford Research SR 620 Universal Counter, 11-Digit
4386 Stanford Research SR 620 Universal Counter
4387 Stanford Research SR 510 Lock-In Amplifier
4388 Stanford Research DG 535 Pulse Generator
4389 Stanford Research SR 720 LCR Meter
4390 Stanford Research System DS 345 Synthesized Function Generator
4391 Stanford Research System SR 570 Low-Noise Current Preamplifier
4392 Stanford Research System SR 510 Lock-in Amplifier
4393 Stanford Research System SR 830 Lock In Amplifier
4394 Stanford Research System FS 725 Rubidium Frequency Standard
4395 Stangl HEPA Fume Hood
4396 Stanley 2K Stak System Storage Racking
4397 Stanley Vidmar File Cabinet
4398 Stanstead Fluid Power FPG7 260 / 620 Cold Isostaic Press
4399 Star CNC Lathe
4400 Star Micronics SR 16
4401 STAR-Dundee Mk 2 Link Analyzer
4402 Starrett Precision Stone on a cart
4403 Starrett 440 Z-3 L Depth Micrometer
4404 Starrett HD 400 Horizontal Benchtop Optical Comparator
4405 Starrett Granite Inspection Surface Plate
4406 Startrite EFI Single Pillar Drilling Machine
4407 State Technology Dirty Visual Inspection Machine
4408 Statebourne Bio 34
4409 Staubli 593163-01
4410 Staubli Robots
4411 STC L 155 Slicing Saw
4412 STC 155 ID Saw
4413 STC C&A Industries L 155 Saw
4414 STC C&A Industries L 155 Saw
4415 Steag / Mattson AST 10 Rapid Thermal Processor (RTP)
4416 SteelSentry 32” x 72” Heavy Duty Workstation
4417 Stefor 1000 Surface Grinder
4418 Steinbichler Comet LED 2M Stero 3D Scanner
4419 Steris Basil 1000 Vivarium Bottle Filler
4420 Steris SV-136 H Autoclave
4421 Steritech Parts Washer
4422 Steritech Parts Washer
4423 Steritech Creon Parts Washer
4424 Sterlco SMCA 3.5 Chiller
4425 Sterrad 100 NX Low Temperature Sterilizer
4426 STI AT 168
4427 STI Octa Integrated Packing Machine
4428 STI Octa Sprint Integrated Packing Machine
4429 STI TR 48 Tape and Reel
4430 STI Quadrio 5-60 Plasma & Nutek Handler
4431 STI / Integra Hexa Whizz
4432 Still EXP 16 High Lift Pallet Jack
4433 Stock Konstruktion NLP 220 HS-3 Lapping / Polishing Machine
4434 Stoe STADI Rontgen-Dioffrakto x-ray generator
4435 Stoe STADI X-Ray Diffractometer (XRD)
4436 Stoelting Cleaner Washer
4437 Stokes 1743 Vacuum Pump
4438 Stokes Microvac Pump
4439 Stokes Dual Pressure Press
4440 Stokes Vacuum Oil Pump
4441 Stopa Material Storage and Processing
4442 Stopa Material Storage / Processing Tower
4443 Strands S 68 Drill Press
4444 Strapping Chopper
4445 Strasbaugh 6 BL Polisher
4446 Strasbaugh CMP Tool
4447 Strasbaugh 6 DF-DC-1 Polisher
4448 Strasbaugh 7 H Edger
4449 Strasbaugh 6 TV Edge Polisher
4450 Strasbaugh 6 AV Cadmium Fluoride Beveler
4451 Strasbaugh Tilt Spindle
4452 Strasbaugh Spindle
4453 Strasbaugh 6 DE 4 4 Bank Spindle
4454 Strasbaugh 6 UR 1 6 Bank Spindle
4455 Strasbaugh 6 UR 4 4 Bank Spindle
4456 Strasbaugh 6 DEDCZ 2 Bank Spindle
4457 Strasbaugh 6 AA 10 Bank Spindle
4458 Strasbaugh Single Spindle
4459 Strasbaugh 6 DE 2 2 Bank Spindle
4460 Strasbaugh 6 SWSX 6 6 Bank Spindle
4461 Strasbaugh 7 E Grinder
4462 Strasbaugh 7 J Grinder
4463 Strasbaugh 7 H Precision Edger
4464 Strasbaugh G 0 J-72 Single Sided, Lapping & Polishing
4465 Strasbaugh 7 AA-SP Grinder
4466 Strasbaugh 7 AA Wafer Grinder
4467 Strasbaugh 7 AA Wafer Grinder
4468 Strasbaugh 7 AA Wafer Grinder
4469 Strasbaugh 7 AF Grind Wheels
4470 Strasbaugh 6 CA Polisher
4471 Strasbaugh 7 AA Grinder
4472 Strasbaugh 6 UR-6
4473 Strasbaugh 6 DE-4 4 Head
4474 Strasbaugh 6 UR 1 Single Spindle Polisher
4475 Strasbaugh 6 BL Polisher
4476 Strasbaugh 7 H Edger
4477 Strasbaugh 6 EC CMP
4478 Strasbaugh 6 UR 6 Polisher
4479 Strasbaugh 6 Y 4
4480 Strasbaugh 10 H Cutoff Saw
4481 Stratasys uPrint SE Plus 3D Printer
4482 Stratasys Eden 260 V 3D Printing System
4483 Stratasys Objet 30 Prime 3D Printer
4484 Stratasys Fortus 450 mc 3D Printer
4485 Stratasys Mojo 3D Printer
4486 Strippit 12 MXP / 30 30 Ton CNC Mechanical Turret Fabricator Machine
4487 Strippitt 1250 MXP/30 30-Ton CNC Mechanical Turret Fabricator
4488 Struers TegraPol-21 Polisher
4489 Struers CitoPress-10 Cold Mounting
4490 Struers TegraDoser-5 Dispenses Polishing Slurry
4491 Struers TegraForce-5
4492 Struers Uniforce Sample Mounter/ Leveler
4493 Struers Accutom Cut Off Machine
4494 Struers ProntoPress 10
4495 Stryco D 24-30 Spot Welder
4496 STS Multiplex AOE Etcher
4497 STS Multiplex PECVD System
4498 STS
4499 STS ICP-RIE (Inductively Coupled Plasma – React
4500 STS Multiplex Plasma-Enhanced Chemical Vapor Depositio
4501 STS Deep Advanced Silicon Etc
4502 STS RIE Etcher
4503 STT BB 001 Vacuum Pump
4504 Stuart STR 4 Rotator Drive Mixer
4505 Studer S 36 Outside Grinding Machine
4506 Studer S 36 Grinding Machine
4507 Studer S 36 Grinding Machine
4508 Studer S 36 Grinding Machine
4509 Studer Favorit CNC 1042 OD Grinder
4510 Subcon Cypress 3000 Plasma Machine
4511 Submicron Systems SPA 400 D-2 RF Generator
4512 Suitmate Centrifugal Dryer
4513 Sullair ES 6-7.5 XH/A Air Compressor
4514 Sullair Air Compressor
4515 Sullair SA 283 Air Compressor for Factory
4516 Sullair LS-20 T Air Compressor
4517 Sullair Air Compressor
4518 Sullair 10-25 Air Compressor
4519 Sullair 12 BS-50 H Compressor
4520 Sullivan Palatek 25 DTW Compressor
4521 Sullivan Palatek 25 DT Compressor
4522 Sullivan Palatek 25 D Compressor
4523 Sumco Bare Si Wafers
4524 Sumco Bare Si Wafers
4525 Sumimoto O3 Generator
4526 Sumitomo Sumitomo TYPE-39 Fusion Splicer
4527 Sumitomo Sumitomo TYPE-39 Fusion Splicer
4528 Sumitomo RDK 408 D 2 Cold Head
4529 Sumitomo CSW 71 C Helium Compressor Unit
4530 Sumitomo Type 36 Fiber Optic Fusion Splicer
4531 Sumitomo T 65 Mass Fusion Splicer
4532 Sumitomo SWA 90 GD Laser Anneal
4533 Sumitomo Eaton / Nova Implanter Source
4534 Sumitomo Heavy Indus SWA-90 GD Laser Anneal
4535 Summit T 34
4536 Sun Ultra 5 Control Computer for Endeavor Cluster Tool
4537 Sun Microsystems Sparc 20 Workstation
4538 Sun Microsystems Ultra 5 Workstation Computer System
4539 Sun Microsystems V 210 Control Computer for Endeavor Cluster Tool
4540 Sun Yang ATF 27 A
4541 Sung Woo Micron Auto Cut Top Rail Cutter
4542 Sunic 8940 T Sputter Tool
4543 Sunnen MMRB 1660 Precision Honing Machine
4544 Sunny Instruments MX 6 R High Power Metallurgical Microscope
4545 Sunol Sciences LP 760 Test Antenna
4546 Sunred Conductive Reflow Furnace
4547 Sunrise Telecom SunLite GigE Tester
4548 Sunrise Telecom SunLite GigE Responder
4549 Sun-Tec ST-TMS-SA
4550 Sunyang Marking Vision System
4551 Sunyang 3 Ram DTFS System
4552 Sunyang Automatic Module Integrated system
4553 Sunyang Automatic Integrated Dambar / Dejunk system
4554 Sunyang Auto Loader Subse Trim Machine
4555 Sunyang Inline System for TSSOP (20/24/48/56L) Ma
4556 Sunyang DTFS for 80L SOIC Matrix
4557 Super Max FV 56 A YCM-FV 56 A
4558 Super Unit SUT 10 D 6021-30-01 Remote Hydraulic Power Unit
4559 Superior Automation SA 100 Wet Bench
4560 Superior Automation SA BCMDS 230 Mix and Bulk Fill
4561 SuperVac GK 113 G Vacuum Sealer
4562 Suragus EddyCus TFLab 2020 Non-Contact Sheet Resista
4563 Surface Optics SOC-210 BDR Bidirectional Reflectometer Ellipsometer (Scatterometer)
4564 Surface Science Integratio Solaris 150 Rapid Thermal Anneal (RTA)
4565 Suruga Seiki B 43 38 R + B 54 + 40 V + Rotation Stage
4566 Susalum Backend
4567 Suzhou AirTech SW CJ 2 FD Laminar Flow Hood
4568 Suzhou AirTech SH 130 Laminar Flow Hood
4569 Suzhou Jufu EPO 150 SP Oven for MO Glop Top
4570 Suzhou MEP 280 HH Band Saw
4571 Suzhou Purification SJ 1381 E Dehumidifier
4572 Suzhou Taisite Electronic SG 255 G Impulse Surge Generator
4573 Suzuki SMT 2500 Pick & Place
4574 Suzuki SMT 2500 Pick & Place
4575 Suzuki SMT 2500 Pick & Place
4576 Svecia Solder Mask Squeeze Sharpener
4577 SVG 90 SE Photoresist
4578 SVG 90 SE Polyimide
4579 SVG 8632 CTD / 8635 HPO Coater
4580 SVG 8800 Developer
4581 SVG 8800 Developer
4582 SVG 8800 Developer
4583 SVG 8800 Developer
4584 SVG 8800 Developer
4585 SVG Microscan II DUV Stepper
4586 SVG 90 S Deep Ultraviolet (DUV) Sy
4587 SVG 8100 Promis Coater 1-2 Coater
4588 SVG 8800 Promis Coater 19-20 Coater
4589 SVG 8800 Developer
4590 SVG VTR 7302 SN
4591 SVG 8136
4592 SVG 8838
4593 SVG 86 Track
4594 SVG 8600 Track Coat & Development System
4595 SVGL Micrascan III+ Scanners (Parts)
4596 SVGL MS III Lithography System
4597 SVTA MBE Thin Film Deposition System
4598 Swagelok SS 43 S 6 LL
4599 Swagelok SS 6 TF 7
4600 Sweco M-45 L Vibro-Energy Grinding Mill
4601 Sweco Separator
4602 Sweco M 18 L Grinding De-Burring Shaker
4603 Sweco M 18 L Grinding De-Burring Shaker
4604 Sweco / Baldor Screener
4605 Swissturn BZ 18 LIII
4606 Swivel BS 712 MS Hydraulic Metal Cutting Band Saw
4607 Sycon STC-200/SQ Deposition Controller
4608 Sycon STM-100/MF Thickness Rate Monitor
4609 Syil X 5 Speedmaster Mini CNC Milling Machine
4610 Symbol Bar Code Scanner
4611 Symmorphix Physical Vapor Deposition (PVD) Tool
4612 Symons Cone Crusher
4613 Symtek 201
4614 Symtek 340 HC Handler
4615 Symtek 300 Hander
4616 Symtek 1211 Hander
4617 Symtek 300 Handler
4618 Sym-Tek 300 HC 55
4619 Symtek / Schmid SZ-500 3-Axis Robot
4620 Synax SX 3100 Handler
4621 Synax SX 1201 Handler
4622 Synax SX 141 Handler
4623 Synax SX 1211 Handler
4624 Synax SX 1201 Handler
4625 Synax 141 Handler
4626 Synax SX 1008 R Test Handler
4627 Synax 2400 Handler
4628 Synax SX 1211
4629 Synax 12xx Handler
4630 Syneo Accu-Feed CPF Auto Hooper / Coiler
4631 Synergy H 4 Hybrid Reader
4632 Syntron J 1 B Jogger
4633 Syntron SMS Manual Press
4634 System Division RB 1 SDI Brush
4635 System General All Writer Programmer
4636 Systemation ST-485 Tape and Reel Machine
4637 Systemation TP 150 Peel Test Analyzer
4638 Systemation ST 60 Tape and Reel
4639 Systems Chemistry Acid Waste Collection Unit
4640 Systron Donner MRC 40-50 OV Super Mercury Power Supply
4641 SZEGVARI Attritor S-1 Union Process Attritor
4642 T&S / Vestil AHS-8-10-14 QIT 8 Crane
4643 Ta Chen Solder Printer
4644 TA Instruments Q 50 Thermogravimetric Analyzer
4645 TA Instruments Q 2000 DSC
4646 TA Instruments DSC 2920 Differential Scanning Calorimeter
4647 TA Instruments DSC 2920 Modulated Differential Scanning Calorimeter
4648 TA Instruments Q 20 DSC Calorimeter
4649 Tab Machine Hardness Tester
4650 Tabai AC Humidity Chamber
4651 Tabai STPH 201 M Backing Oven
4652 Tabai PH 200 Cure Oven
4653 Tabai STPH 201 M Baking Oven
4654 Tabai Espec TPC 421 Pressure-Temp & Humidity Chamber
4655 Tabai Espec LC 113 Cure Oven
4656 Tabai Espec PHH 200 Cure Oven
4657 TAC Instruments ELD 400 Loader
4658 TAC Instruments EUD 400 Receiver
4659 Tacchi Deep Hole Gun Drill
4660 Tachen Marking Machine
4661 Taeha Corporation THE 100 Adhesive Dispenser
4662 Taejin Rotogravure TJ GRP 2 Gravure Printer
4663 Taiei TI L 730 Manual Stamping Machine
4664 Tailored Lighting ColorView LightBooth
4665 Taiwan Chain Logic LEDA 6 S Wafer Prober
4666 Taiwan East Electric AFM 1561 Automatic Folding Crystal Sticky Machine
4667 Taiwan East Electric AFM 1561 Die Bonder
4668 Taiwan East Electric AFM 1561 Die Bonder
4669 Taiwan Fulin FU 20 PEB Evaporator
4670 Taiwan Fulin Tech FU 16 PEB IRP Plasma Etching
4671 Taiwan More Technology MTS 8600 Developing Track
4672 Taiwan Poworld Electronic TVR 7000 Electrical Characteristics Tester
4673 Taiwan Sampoong Instrum Quick Vision Apex 302 L 1 Portrait Measuring System
4674 Taiwan Sampoong Instrum Quick Vision Apex 302 L 1 Portrait Measuring Machine
4675 Taiwan Semi Auto PK 316 H Straping Machine
4676 Taiwan Think Technologie DS 168 Die Sorter
4677 Taiwan Think Technologie TK 170 – 2 K Electrical Characteristics Tester
4678 Taiwan Think Technologie TRR 170 – 3 K Electrical Characteristics Tester
4679 Taiwan Think Technologie TK 168 D Electrical Characteristics Tester
4680 Taiwan Think Technologie TK 170 – 3 K Electrical Characteristics Tester
4681 Taiwan Think Technologie TK 168 DQ – 3 K Electrical Characteristics Tester
4682 Taiwan Think Technologie TK 170 TVS Electrical Characteristics Tester
4683 Taiwan Think Technologie TK 168 RST – 3 K Electrical Characteristics Tester
4684 Taiwan Think Technologie MM 878 SCR Electrical Characteristics Tester
4685 Taiwan Think Technologie VC 168 1.5 KE Vc Clamping Tester
4686 Taiwan Think Technologie VC 168 5 KE Vc Clamping Tester
4687 Taiyo Nippon Sanso SR 4329 KS MOCVD Epitaxy Machine
4688 Taiyo Nippon Sanso SR 4329 KS MOCVD Epitaxy Machine
4689 Takaki Tools ETM 537 Taping Machine
4690 Takatori 812 SD Wire Saw
4691 Takatori MWS 812 SD Down Cut Multi Wire Saw
4692 Takatori MWS 612 SD Down Cut Multi Wire Saw
4693 Takatori ATM 3100 EF Automatic Wafer Laminati
4694 Takatori ATRM 4000 A Automatic Wafer De-lami
4695 Takatori MWS 34 SN Wire Saw
4696 Takatori Bar Code Printer / Labber
4697 Takatori ATM 1100 G Wafer Mounter
4698 Takatori ATRM 2300 Wafer Mounter
4699 Takikawa LDM 1000 Laser Micrometer
4700 Takisawa TCC 2000 L 3 Lathe
4701 Tamadenshi MFD-K Data Processing
4702 Tamadenshi MFD-K Data Processing
4703 Tamadenshi MFD-K Data Processing
4704 Tamarack Scientific PRX 500 / 1000
4705 Tamarack Scientific 155
4706 Tamponcolor Backside Metallization
4707 Tamponcolor Backside Metallization Dots
4708 Tampoprint Hermetic 90 Pad Printer
4709 Tamura HC 33 27 SF Wave Solder Machine
4710 Tamura SPD 11-300 Wave Solder Machine
4711 Tantec Cable Treater
4712 Tapping System Shipper Sealing Machine
4713 Tauring ALFA 50 CNC 8/7 Coil Winder
4714 Taylor Y 15 WS Forklift
4715 Taylor Hobson Tally Surf 120 L
4716 Taylor Wharton 25 LD Cutting Edge Tester
4717 Taylor-Wharton 25 LDB Nitrojeno Container
4718 TazmoTrack SF 8001 Spin Coater
4719 TCL M5 100 Accessories
4720 TCR Electronics Measurem 60054 5 Power Supply
4721 TD Industries 8600 Track
4722 TDK GEN 30 Power Supply
4723 TDK-Lambda GEN 50-30-LAN Power Supply
4724 TDK-Lambda GENH 12.5-60-U Power Supply
4725 TDK-Lambda GEN 50-200-IEMD-3 P 400 Power Supply
4726 TDK-Lambda GEN IU 2.4kW Programmable DC Power Supply
4727 TDK-Lambda GEN 30-170 DC Power Supply
4728 TE AMP 3 K / 40 Terminator Bench Top Crimping Terminator
4729 TE Crimper
4730 Team Technik Automation TT 1200 Stringer
4731 Team Technik Automation TT 200 HS Stringer
4732 Team Technik Automation Somont Stringer
4733 TEC H 18 X 8 Flat Belt Conveyor
4734 TEC Minneapolis Blower Door Kit
4735 Tec Lighting TRS 16 Elevator
4736 Tec Lighting Air System
4737 Teca TPX 350 Pad Marking Machine
4738 Tecan Genesis 100 Workstation
4739 Tech Pro Rheotech RE Oscillating Die Rheometer (ODR)
4740 Techarmonic EHTVS Abatement
4741 Techarmonic EHTVS Abatement
4742 Techarmonic MOJAVE Abatement
4743 Techcon Systems Displacement Pump
4744 Techline Industries Bottle Orientator M/C
4745 Techmatron USB-2416-4 AO Data Acquisition Module
4746 Technic PE Sputtering System
4747 Technical Devices Mark V Radial Lead Trimmer
4748 Technical Instrument 1100 S Wafer Stage / Controller
4749 Technical Machine Product Press
4750 Technical Manufacturing MICRO-g 63 18162 Air Table Bench
4751 Technics Micro RIE Series 800 Reactive Ion Etch (RIE)
4752 Technics Planar Etch II Plasma Cleaner
4753 Technics PE-II A
4754 Technics Planar Etch II
4755 Technics Micro-RIE Series 800-IIC
4756 Technics PP 300 E
4757 Techno Digm CFM 1000 Fluid Centrifugal Aerator
4758 Technofour Check Weigher
4759 Technologies CC 8 M 1 Conveyor
4760 Technologies MCC 5 M 1 LT Conveyor Unloader
4761 Technologies Conveyor
4762 Technologies CC IM 1 Conveyor
4763 Technologies MCC 1 M 2 Conveyor
4764 Technology Conveyor
4765 Technology MCW LM 1-1/1 SC 5 D Conveyor
4766 Technology MCC IM 2 Conveyor
4767 Technology MFIFO 6 M 300 FIFO
4768 Technology MFIFO 6 M 30 2 CFT 12 FIFO
4769 Technology MCW 1 M-1-1 / 1 SC 50 Conveyor
4770 Technos TREX 610 Total Reflection Analyzer
4771 Tecnau 200.14.1 Bookmaking System
4772 Tecnau 100.12 Bookmaking System
4773 Tec-sem Practor-300 2 T Wafer Handling
4774 Tegal 915
4775 Tegal 981 Etcher
4776 Tegal 903 E Etcher
4777 Tegal 901-E Etcher
4778 Tegal 701
4779 Tegal 901 E
4780 Tegal 6540
4781 Tegal 901
4782 Tegal 901
4783 Tegal 905 E
4784 Tegal 901 e
4785 Tegal 903 E
4786 Tek Matrix X-ray
4787 Teka THZ 250 High Speed Pan Mixer
4788 Teka THZ  500 High Speed Pan Mixer
4789 Tekena TDRC-SA 2100 DS-ULT-STD Web Cleaning System
4790 Tekena TDRC-A 2100 SS-ULT-STD Web Cleaning System
4791 Tekena TDRC-SA 2100 DS-ULT-STD Web Cleaners
4792 Tekena TDRC-A 2100 SS-ULT-STD Web Cleaners
4793 Teknik Tabber and Stringer
4794 Tekronix 465 Oscilloscope
4795 Tektronics TSG 100 TV Generator
4796 Tektronics DPO 3034 Portable Oscilloscope
4797 Tektronix TDS 6124 C Digital Storage Oscilloscope
4798 Tektronix TDS 3054 Oscilloscope
4799 Tektronix AWG 610 Arbitrary Waveform Generator
4800 Tektronix AWG 710 Arbitrary Waveform Generator
4801 Tektronix DSA 70804 C Scope
4802 Tektronix Oscilloscope
4803 Tektronix P 5102 High Voltage Probe
4804 Tektronix HFS 9009 Stimulus System
4805 Tektronix 2235 Oscilloscope
4806 Tektronix 485 Oscilloscope
4807 Tektronix 2430 Oscilloscope
4808 Tektronix 2230 Digital Storage Oscilloscope
4809 Tektronix DSA 71254 B Scope
4810 Tektronix DTG 5274 Data Generator
4811 Tektronix DTGM 30 Module
4812 Tektronix Multi-Instrument Chassis
4813 Tektronix TDS 3012 B Curve Tracer / Oscilloscope
4814 Tektronix DPO 2012 B Curve Tracer / Oscilloscope
4815 Tektronix TYPE 577 Curve Tester
4816 Tektronix TYPE 576 Curve Tester
4817 Tektronix Curve Tester
4818 Tektronix 5103 N Oscilloscope
4819 Tektronix 2430 A Oscilloscope
4820 Tektronix 370 A Programmable Curve Tractor
4821 Tektronix PS 280 DC Power Supply
4822 Tektronix TDS 460 A Digi Oscilloscope
4823 Tektronix TLA 720 Logic Analyzer
4824 Tektronix 2235 Oscilloscope
4825 Tektronix 485 Oscilloscope
4826 Tektronix 2430 Oscilloscope
4827 Tektronix TDS 1012 Oscilloscope
4828 Tektronix 2467 Oscilloscope
4829 Tektronix MSO 2024 B Oscilloscope
4830 Tektronix MSO 2004 B Oscilloscope
4831 Tektronix PWS 2323 DC Power Supply
4832 Tektronix DP 02024 B Osciloscope
4833 Tektronix TCP 0030 Current Probe
4834 Tektronix DPO 3014 Osciloscope
4835 Tektronix DPO 4034 B Osciloscope
4836 Tektronix TDS 644 B Data Generator
4837 Tektronix 2467 Oscilloscope
4838 Tektronix 644 B
4839 Tektronix TDS 3034 Four Channel Color Digital Phosphor Oscilloscope
4840 Tektronix TLA 704 Logic Analyzer Color Portable Mainframe
4841 Tektronix TLA 7 M 4 136 Channel LA Module with MagniVu Acquisition
4842 Tektronix TLA 715 Logic Analyzer Dual Monitor Portable Mainf
4843 Tektronix TLA 7 L 3 102 Channel LA Module with MagniVu Acquisition
4844 Tektronix TLA 5203 Logic Analyzer
4845 Tektronix TDS 8000 Digital Sampling Oscilloscope
4846 Tektronix 80 C 12 Optical Sampling Module
4847 Tektronix 80 A 05 Clock Recovery Module
4848 Tektronix Lot of Test Equipment
4849 Tektronix 80 C 00 Optical Module
4850 Tektronix DSA 8300 Digital Sampling Oscilloscope
4851 Tektronix 11801 A Digital Sampling Oscilloscope
4852 Tektronix 11801 B Digital Sampling Oscilloscope
4853 Tektronix 200 C Scope Mobile Tektronix Cart
4854 Tektronix 2225 Oscilloscope
4855 Tektronix 2230 Digital Storage Oscillscope
4856 Tektronix 2235 Oscilloscope
4857 Tektronix 2430 A Digital Oscillscope
4858 Tektronix 2431 L Digital Oscillscope
4859 Tektronix 2445 A Oscilloscope
4860 Tektronix 2465 Oscilloscope
4861 Tektronix 2465 Oscilloscope
4862 Tektronix 2465 A Oscilloscope
4863 Tektronix 2465 A Oscilloscope
4864 Tektronix 2465 A Oscilloscope
4865 Tektronix 2465 B Oscilloscope
4866 Tektronix 2465 B Oscilloscope
4867 Tektronix 464 Storage Oscilloscope
4868 Tektronix 465 Oscilloscope
4869 Tektronix 465 Oscilloscope
4870 Tektronix 475 Oscilloscope
4871 Tektronix 576 Curve Tracer
4872 Tektronix 577 Curve Tracer
4873 Tektronix 577 Curve Tracer
4874 Tektronix 577 D 1 Curve Tracer
4875 Tektronix 7854 Oscilloscope
4876 Tektronix 7904 Oscilloscope
4877 Tektronix AM 5030 Programmable Amplifier
4878 Tektronix AM 503 B Current Probe Amplifier
4879 Tektronix CPS 250 Power Supply
4880 Tektronix IPA 310 Interconnect Parameter Analyzer
4881 Tektronix SM 11 Multi Channel Unit
4882 Tektronix TDS 520 A Oscilloscope
4883 Tektronix TDS 644 A Oscilloscope
4884 Tektronix TDS 644 B Oscilloscope
4885 Tektronix TDS 684 B Oscilloscope
4886 Tektronix TM 501 Function Generator
4887 Tektronix TM 502 A
4888 Tektronix 2465 B Oscilloscope
4889 Tektronix 7844 Oscilloscope
4890 Tektronix TDS 340 Oscilloscope
4891 Tektronix TLA 704
4892 Tektronix TDS 460 Oscilloscope
4893 Tektronix PS 222 Portable Oscilloscope
4894 Tektronix 2445 A Digital Oscilloscope
4895 Tektronix TDS 2012 Digital Storage Oscilloscope
4896 Tektronix TX 3 Multimeter
4897 Tektronix TM 506 A Mainframe / Chassis
4898 Tektronix AM 502 Differential Amplifier
4899 Tektronix DC 504 A Counter / Timer
4900 Tektronix 11MHz Function Generator
4901 Tektronix PS 503 A Dual Power Supply
4902 Tektronix 370 A Curve Tracer
4903 Tektronix TDS 7054 Oscilloscope
4904 Tektronix DPO 4034 Digital Oscilloscope
4905 Tektronix DPO 3014 Digital Phosphor Oscilloscope 100 MHz 4 Channel
4906 Tektronix MSO 4104 Mixed Signal Oscilloscope
4907 Tektronix AFG 3021 Single Channel Arbitrary/Function Generator
4908 Tektronix TM 502 A
4909 Tektronix P 6201 900 Mhz FET Probe
4910 Tektronix PS 280 DC Power Supply
4911 Tektronix TDS 784 D Oscilloscope
4912 Tektronix TDS 420 Oscilloscope
4913 Tektronix TDS 210 Digital Real-Time Oscilloscope
4914 Tektronix TDS 340 A Digital Real-Time Oscilloscope
4915 Tektronix 2221 Digital Storage Oscilloscope
4916 Tektronix TDS 620 B Oscilloscope
4917 Tektronix TDS 360 Oscilloscope
4918 Tektronix 2213 A Oscilloscope
4919 Tektronix 2213 A Oscilloscope
4920 Tektronix 2235 A Oscilloscope
4921 Tektronix 2235 A Oscilloscope
4922 Tektronix 2235 A Oscilloscope
4923 Tektronix 2236 A Oscilloscope
4924 Tektronix MSO 4104 Signal Scope
4925 Tektronix 1103 TekProbe Power Supply
4926 Tektronix THDP 0200 Test Probe
4927 Tektronix 2215
4928 Tektronix PRO 8000 Laser Diode
4929 Tektronix 184 Time Mark Generator
4930 Tektronix TDS 784 D Digital Phosphor Oscilloscope
4931 Tektronix DG 2040 Data Generator
4932 Tektronix TDS 6804 B
4933 Tektronix 2235 Analog Oscilloscope
4934 Tektronix TDS 320 Digital Oscilloscope
4935 Tektronix TDS 3034 B
4936 Tektronix DPO 7354 Scope
4937 Tektronix DM 44 Oscilloscope
4938 Tektronix 2201 Digital Storage Oscilloscope
4939 Tektronix 576 Curve Tracer
4940 Tektronix P 6015 A High Voltage Probe
4941 Tektronix TDS 5054 B Oscilloscope
4942 Tektronix TDS 460 Digital Oscilloscope
4943 Tektronix TDS 1002 C-SC Digital Oscilloscope
4944 Tektronix TCA 300 Amplifier AC/DC Current Probe
4945 Tektronix TDS 1012 Oscilloscope
4946 Tektronix TDS 220 Oscilloscope
4947 Tektronix A 622 Current Probe
4948 Tektronix AFG 3102 Oscilloscope
4949 Tektronix MD 03024 Oscilloscope
4950 Tektronix TBS 2000 Digital Oscilloscope
4951 Tektronix DPO 2024 Digital Phosphor Oscilloscope
4952 Tektronix 22819 Digital Oscilloscope
4953 Tektronix MSO 4034 Mixed Signal Oscilloscope
4954 Tektronix 177 Standard Test Fixture
4955 Tektronix 11801 B Oscilloscope Mainframe
4956 Tektronix 577 Curve Tracer
4957 Tektronix TDS 640 A Oscilloscope
4958 Tektronix SD 24 Sampling Head
4959 Tektronix SD 26 Sampling Head
4960 Tektronix TLA 704 Logic Analyzer
4961 Tektronix TBS 2104 Digital Storage Oscilloscope
4962 Tektronix P 7350 Differential Probe
4963 Tektronix TCP 202 A Current Probe
4964 Tektronix TCP 202 A Current Probe
4965 Tektronix TDP 3500 Differential Probe
4966 Tektronix P 67 SA 08 x4 Midbus Probe
4967 Tektronix TCP 202 Current Probe
4968 Tel Act 12
4969 Tel P 8 XL Wafer Prober
4970 Tel 78 S Automatice Wafer Prober
4971 Tel Alpha 8 S Vertical Furnace, LPCVD N
4972 Tel Alpha 8 S Vertical Low Pressure Che
4973 Tel Expedius
4974 Tel Lithius Stand alone Lithius Stand Alone
4975 Tel P-12 XLn+ Auto Wafer Prober
4976 Tel TE 8500 PE Oxide Etch
4977 Tel 78 S Probe
4978 Tel 8500 PE RATC Oxide Etcher
4979 Tel Expedius Clean / Strip
4980 Tel Trias CVD
4981 Tel 8500 PE Etch
4982 Tel 8500 PE Etch
4983 Tel 8500 PE Oxide Etch Tool
4984 Tel 8500 PE Etch
4985 Tel 8500 PE Etch
4986 Tel 8500 PE Etch
4987 Tel 8500 PE Etch
4988 Tel TE 8500 Etching System
4989 Tel Telius SP 304 poly Etch
4990 Tel TSP 308888 SSSS Deep Trench Etch system
4991 Tel Lithius I-Line Photoresist
4992 Tel 78 S Probe
4993 Tel Telius 308 S SCCM DT Deep trench Si etch proce
4994 Tel Trias CVD
4995 Tel Trias UVRF/High-k CVD/ SPA-N/
4996 Tel Trias TI/TiN ALD
4997 Tel Minibatch Furnace
4998 Tel OfenTel Minibatch
4999 Tel Act 12 Stand Alone Track
5000 Tel Unity M 85 TD Etcher
5001 Tel Act 12 SOD
5002 Tel SPS 450 BEOL Surface Prep, Wafer Clean System
5003 Tel Trias ALD
5004 Tel Lithius 1.0 Clean Track
5005 Tel Telius UD Deep SI Etch
5006 Tel UI 2604 Horizontal Sinter / Anneal
5007 Tel P 8
5008 Tel Mark 7 SS 2 Wafer Scrubber
5009 Tel Alpha 8 S Polymide Furnace
5010 Tel Tactras RLSA Chamber
5011 Tel Operator Platforms for Tel Mark 8 Photo Resist Coat / Develop Systems
5012 Tel Mark V Coater / Developer
5013 Tel Alpha SSE Furnace
5014 Tel Precio 12 XLN Wafer Prober
5015 Tel Precio 12 XLn Prober
5016 Tel Alpha 303 i Cu Anneal Vertical Furnac
5017 Tel Alpha 303 i Vertical Furnace
5018 Tel Alpha 8 S Low Pressure Chemical Va
5019 Tel UW 300 Z Wet Bench
5020 Tel P 12 XLN Prober
5021 Tel Alpha 303 i K Vertical Furnace
5022 Tel P 8 Prober
5023 Tel Alpha 8 SC Furnace
5024 Tel ACT – M Developer
5025 Tel Mark 8 Track System
5026 Tel Precio Octo Prober
5027 Teledyne HSF T 328 128 X Protocol Analyzer
5028 Teledyne Summit T 34 Analyzer
5029 Teledyne PCI Express SFF 8639
5030 Teledyne Summit T 34 Analyzer
5031 Teledyne SierraNet M 408 Net Protocol Suite
5032 Teledyne WAVERUNNER 640 ZI
5033 Teledyne WaveSurfer 10 M
5034 Teledyne WAVEACE 1001
5035 Teledyne WAVEJET 354 T
5036 Teledyne WAVERUNNER 8404 M
5037 Teledyne WAVESURFER 10
5038 Teledyne WAVESURFER 3024 Oscilloscope
5039 Teledyne WAVESURFER 3034 Oscilloscope
5040 Teledyne WAVESURFER 3054 Oscilloscope
5041 Teledyne WAVEACE 1002
5042 Teledyne WAVEACE 204
5043 Teledyne ThermoCube Chiller
5044 Teledyne UA 6
5045 Teledyne Wavesurfer 3034 Z Oscilloscope
5046 Teledyne 44 MXS-B Oscilloscope
5047 Teledyne AP 022 Active Fet Probe
5048 Teledyne AP 1 M Adapter for WM
5049 Teledyne AS SYNC ArbStudio Sync Cable
5050 Teledyne D 1605 A Amplifier
5051 Teledyne D 300 A AT Differential Adjustable Tip Module
5052 Teledyne D 350 ST Differential Small Tip Module
5053 Teledyne WaveLink D 400 A-AT Adjustable Tip Probe
5054 Teledyne WaveLink D 420
5055 Teledyne D 500 PT Differential Positioner
5056 Teledyne D 600 A AT Differential Adjustable
5057 Teledyne WaveLink D 600 A AT Adjustable Tip Probe
5058 Teledyne D 600 ST Small Tip Module
5059 Teledyne WaveLink 610 Module
5060 Teledyne D 830 PS Differential Probe System
5061 Teledyne DA 101 Passive Attenuator
5062 Teledyne DA 1855 A Probus Differential Amp
5063 Teledyne DX 10 HiTemp Temperature Cables
5064 Teledyne DX 10 SI Replacement SI Lead Set
5065 Teledyne DX 20 HiTemp Replacement Cables
5066 Teledyne DX 20 PT Replacement Tip
5067 Teledyne WaveLink DX 20 PT Kit Replacement Tip for D320/D620
5068 Teledyne DX 20 SI 0 HiTemp Replacement Tip for DX20 Temp
5069 Teledyne DXC 100 A Differential Pass Probe Pair
5070 Teledyne DXC 5100 Probe Pair
5071 Teledyne HDO 4032
5072 Teledyne HVFO 103 HV Fiber Optic Probe
5073 Teledyne LPA K A Pro-Link to K Adapter
5074 Teledyne LSIB 2
5075 Teledyne PCI Express LSIB Hostcard
5076 Teledyne MCMZI
5077 Teledyne ME 15 Module Extender
5078 Teledyne MS 250 Mixed Signal Oscilloscope
5079 Teledyne MS 500 Mixed Signal Oscilloscope
5080 Teledyne MSO-MICTOR, D 0-D 35 Mictor Cable
5081 Teledyne OE 425 Optical to Electrical Converter
5082 Teledyne Phoenix PER R 0008-S 01 PeRT System
5083 Teledyne PP 066 Probe
5084 Teledyne PPE 5 KV High Voltage Probe
5085 Teledyne RP 4030 Voltage Rail Probe
5086 Teledyne DA MCM ZI A Master Control Module
5087 Teledyne TF-PCIE 4-CTRL CBB Controller
5088 Teledyne TF-SATA-C SATA Compliance Test Fixture
5089 Teledyne TF-SATA-C-KIT SATA Compliance Test Kit
5090 Teledyne TF-USB 3 SuperSpeed USB Text Fixtures
5091 Teledyne TF-USB-B Test Fixture
5092 Teledyne TPA 10 TekProbe to ProBus Adapter
5093 Teledyne Waveace 1001 Digital Storage Oscilloscope (DSO)
5094 Teledyne Waveace 1002 Digital Storage Oscilloscope (DSO)
5095 Teledyne Waveace 2024 Digital Storage Oscilloscope (DSO)
5096 Teledyne WavePro 404 HD Digital Storage Oscilloscope (DSO)
5097 Teledyne Waverunner 8404
5098 Teledyne Waverunner 9404 MS
5099 Teledyne Wavesurfer 3034 Z
5100 Teledyne Wavesurfer 44 MXS B Digital Storage Oscilloscope (DSO)
5101 Teledyne WaveJet Touch Rack Mount Kit
5102 Teledyne Wavelink Platform Kit
5103 Teledyne Wavelink Platform Kit Case
5104 Teledyne WM 8 ZI-RACKMOUNT Rack Mount Accessory for  WM8Z
5105 Teledyne WPHD-UPG-32 GBRAM 32GB RAM Upgrade for WPHD
5106 Teledyne WR 6 ZI-8 CH-SYNCH
5107 Teledyne WR 8 K-RACK Rack Mount Accessory for WR8K
5108 Teledyne WS 3 K-SOFTCASE Soft Carrying Case for WS3000
5109 Teledyne WSTA-RACK Wavestation Rackmount Kit
5110 Teledyne ZS 1500 Active Probe
5111 Teledyne ZS 1500-QUADPAK Probe
5112 Teledyne ZS 2500 Prober
5113 Teledyne Leeman Labs OES Profile Plus ICP Machine
5114 Telemark 820 Optical Monitoring System
5115 Telemark TI 10/15
5116 Telemark Controller PWRS
5117 Telemark ST 6
5118 Telemark TT 10 HV Control Module
5119 Telequipment Curve Tracer
5120 Tellkamp 4 RTO Incinerator
5121 Tellkamp 10 RTO Incinerator
5122 Telmac Scoring tool
5123 Telops Inc OTC 1 5 W
5124 Telosense ACM Air Composition Monitor Cabinet
5125 Telosense ACM 5000-10030 Air Composition Monitor Cabinet
5126 Telstar BIO II A Class 2 Cabinet
5127 Temescal BJD 1800 Thermal Evaporator
5128 Temescal 4 25 CC Spare Pocket Electron Beam Gun
5129 Temescal BJD 2000 Evaporator
5130 Tempress 2 X Omega Diffusion Furnace
5131 Tempress 2 X Omega Low Pressure Chemical Vapor Deposition (L
5132 Temp-Tek Heater/Chiller Unit
5133 Temptronic TPO 4300 B – 8 x 32-3
5134 Temptronic TPO 4300 B – 8 x 32-4
5135 Temptronic TPO 4300 B – 3 C 32-4
5136 Temptronic TPO 4010 A – 3 C 20-2
5137 Temptronic TPO 4010 A – 3020-2
5138 Temptronic ThermoStream TP 0412 A
5139 Temptronic ThermoStream
5140 Temptronic TPO 4000 A-2 B 21-2 Temperature Forcing System
5141 Temptronic ThermoStream X-Stream Thermal Chamber
5142 Temptronic TPO 4300 A 3 C 32 4 Ther Thermal Inducing System
5143 Temptronic TPO 4000 A 2 B 21 2 Temperature Forcing System
5144 Temptronic Thermostream TPO 4000 A
5145 Temptronic TPO 4010 A Thermostrea Temperature Forcing System
5146 Temptronics TP 04000 A-2 B 21-2 Thermal Induction System
5147 Temptronics TPO 412 A
5148 Temptronics TPO 412 A
5149 Temptronics TPO 4000 A
5150 Temptronics T 2500 Precision Temperature Forcing System
5151 Tenma 72-6696 Spectrum Analyzer
5152 Tenma DC Power Supply
5153 Tenma 72 410 A True RMS Digital Multimeter
5154 Tenma 72-7245 Lab DC Power Supply
5155 Tenma 72-2015 DC Power Supply
5156 Tenma 72-4045 A Triple Output DC Power Supply
5157 Tennant 5680 Floor Scrubber
5158 Tennant Nobles Speed Gleam 604206 Rechargeable Commercial Floor Burnisher
5159 Tenney Environmental Chamber
5160 Tenney Burn-In Oven
5161 Tenney T 14 RG Thermal Chamber
5162 Tenney BTC Thermal Chamber
5163 Tenney Environmental Chamber
5164 Tenney TJR Environmental Chamber
5165 Tenney T 20 RS
5166 Tenney TFO 32 Burn-In Oven
5167 Tenney Oven
5168 Tenney BTRC-XP Temperature / Humidity Chamber
5169 Tenney JR
5170 Tenney Space Jr Vacuum Chamber
5171 Tenney BTRC E-Chamber
5172 Tenney Space Jr
5173 Tenney Chamber
5174 Tenney T 10 RC
5175 Tenney TUJR Temperature Test Chamber
5176 TennSmith Manual Shear
5177 Tenny Jr
5178 Tenny Environmental Chamber
5179 Tenny Oven
5180 Tepla 400 Cleaner
5181 Teradyne IP 750 EX Tester
5182 Teradyne J 937 Tester
5183 Teradyne A 585 Tester
5184 Teradyne Ultra Flex Boards
5185 Teradyne Ultra Flex Boards
5186 Teradyne J 937 Tester
5187 Teradyne J 972 Test System
5188 Teradyne J 973 Test System
5189 Teradyne J 973 Test System
5190 Teradyne J 973 Test System
5191 Teradyne J 973 Test System
5192 Teradyne J 973 Test System
5193 Teradyne J 973 Test System
5194 Teradyne J 973 Test System
5195 Teradyne J 973 Test System
5196 Teradyne A 580 Tester
5197 Teradyne A 567 Tester
5198 Teradyne A 567 Tester
5199 Teradyne A 360
5200 Teradyne Tiger Tester
5201 Teradyne GIII Tester
5202 Teradyne Catalyst Tester
5203 Teradyne A 530 Tester
5204 Teradyne A 535 Tester
5205 Teradyne A 585
5206 Teradyne A 585
5207 Teradyne 971
5208 Teradyne J 971 SDT Test System
5209 Teradyne J 971 Tester
5210 Teradyne A 585 Advanced Analog VLSI Test System
5211 Teradyne A 580 Machine Tester
5212 Teradyne J 994 Tester
5213 Teradyne A 580 Tester
5214 Teradyne Catalyst Pogo Tower
5215 Teradyne Microflex DIB Stiffener
5216 Teradyne Microflex Probe Card Stiffener
5217 Teradyne Ultra flex Tester
5218 Teradyne Analog LSI A 370 Series Test System
5219 Teradyne J 973
5220 Teradyne A 360 Tester
5221 Teradyne Tiger Tester
5222 Teradyne Tiger Tester
5223 Teradyne Catalyst Tester
5224 Teradyne Catalyst Tester
5225 Teradyne Catalyst Tester
5226 Teradyne Catalyst Esmo Phoenix for Catalyst
5227 Teradyne Catalyst Reid-Ashman for Catalyst
5228 Teradyne Magnum Tester Frame
5229 Teradyne Ultra Flex DCIO Board for Tester
5230 Teradyne Catalyst Tester
5231 Teradyne Catalyst Tester
5232 Teradyne Catalyst Tester
5233 Teradyne J 750 PV Frame
5234 Teradyne J 750 EX Tester
5235 Teradyne J 750 EX Tester
5236 Teradyne J 750 EX Tester
5237 Teradyne J 750 Tester
5238 Teradyne J 750
5239 Teradyne J 750
5240 Teradyne J 750
5241 Teradyne J 750
5242 Teradyne J 750 Tester
5243 Teradyne J 750 Tester
5244 Teradyne A 360 Tester
5245 Teradyne J 750 EX 512 Tester
5246 Teradyne J 750 EX 512
5247 Teradyne J 750 EX DSMTO Card
5248 Teradyne J 750 Tester
5249 Teradyne J 750 Tester
5250 Teradyne J 750 Tester
5251 Teradyne J 750 EX 1024 Tester
5252 Teradyne J 750 Tester
5253 Teradyne J 750 Tester
5254 Terasolar Laser Scanning System
5255 Termco
5256 Termo Oven
5257 Terotest Linx Backplane Tester
5258 Terra Universal Lab Stability Chamber
5259 Terra Universal Desiccators
5260 Terra Universal 1570 PP 01 Vibration Free Work Station
5261 Terra Universal Portable Clean Room for Backgrind
5262 Terra Universal Desiccator
5263 Terra Universal 9101-44 A Wire Bond Pull Tester
5264 Terumo ELUTRA
5265 Tes 6210 Power Supply
5266 TES SVS MSX 1000 Automatic Coating and Developing Machine
5267 Tesa Visio 500 Video Based Measuring Machine
5268 Tesa 3.10001 Micrometer
5269 Tesa 590093 Caliper
5270 Tesa 590095 Caliper
5271 Tesa Micro Hite CMM
5272 Tesam HN 2655
5273 Tescom 44 5262 24 V 016 Regulator
5274 Tesec Test Box Manual
5275 Tesec High Current Unit
5276 Tesec 8115 PU Power Supply Unit
5277 Tesec 8114 KT VBE Tester
5278 Tesec 9214 KT DVDS Tester
5279 Tesec 7818 HV HV Tester
5280 Tesec 8713 PH Test Handler
5281 Tesec 9306 HT Handler
5282 Test
5283 Test Equity 3007 C Temperature Chamber
5284 Test Equity 1000
5285 Test Equity 1000 H
5286 Test Equity 1000 H Series Temperature / Humidity Chamber
5287 Test Equity 123 H Temperature / Humidity Chamber
5288 Test Equity 1020 C Temperature Chamber
5289 Test Equity TE 107 Benchtop Thermal Chamber
5290 Test Equity 1007 S Temperature Chamber
5291 Testech Focus-7600 SHF Burn In Chamber
5292 TestEquity 115 A Temperature Chamber
5293 TestFOS FOS-1740 Burn In Chamber
5294 TestFOS FOS-1740 Burn In Chamber
5295 TG Energy E 2000 HT 300 5 Diffusion Furnace
5296 THA Germany WLP-VISION
5297 Thai Robot IMS-Xy-5001 L-Ink-On-V 1 Laser Marker System
5298 ThalesNano H-Cube Hydrogenator
5299 The Baker Co Sterigard Fume Hood
5300 The Furnace Store LLC 390 Annealing Oven
5301 The Welsh Scientific Co 1398
5302 Thermal Care Chiller
5303 Thermal Care AQOAO 604 Water Chiller and Tank
5304 Thermal Care SQ 2 A 1004 Acuchiller
5305 Thermal Care Aqua Therm RA 090804 Aquatherm Chiller
5306 Thermal Dynamics PAK 2 XT Plasma Cutter
5307 Thermal Dynamics Pak Master 75 Plasma Cutter
5308 Thermal Engineering Bake Oven
5309 Thermal Product Solutions Vacuum Oven
5310 Thermal Product Solution TL-02-70-F
5311 Thermal Product Solution DCC-206 Oven
5312 Thermal Scientific FB 1415 M Lab Muffle Furnace
5313 Thermal Scientific Lindberg Blue Seal Bake Oven
5314 Thermal Technologies TTL-5.5-0-24-3 B-J 6204 Anneal Furnace
5315 Thermal Technology 1000-3560-FP 20 Vacuum Furnace
5316 Thermal Technology 161632 W Tungsten Hot Zone Furnace
5317 Thermal Technology 1000-6580-FB 40 Furnace
5318 Thermall 160 C 100 Pellet Preheater
5319 Thermco MB-80 Mini Brute Furnace
5320 Thermco 4100 Diffusion Furnace
5321 Thermco MB-81
5322 Thermco 6204 Horizontal Diffusion Furna
5323 Thermco 4304 Furnace
5324 Thermco 4104 Furnace
5325 Thermco 4300 Furnace
5326 Thermco MB 80 Mini Brute
5327 Thermcraft TransTemp Gold Coated Transparent Furnace
5328 Thermex Thermatron F 10 25 RF Generator
5329 Thermo Nicolet 6700
5330 Thermo Trace DSQ GC MS Gas Chromatography-Mass Spectrometer (GC-MS)
5331 Thermo LCQ Mass Spectrometer
5332 Thermo Trace GC Ultra Gas Chromatograph
5333 Thermo HP 133425 Hot Plate
5334 Thermo HP 141925 Hot Plate
5335 Thermo Niton XLT7 97 WZ
5336 Thermo Niton XLT 79 7WX
5337 Thermo LCQ Fleet Mass Spectrometer
5338 Thermo Sorvall RC 6 Plus
5339 Thermo Electron IRIS Intrepid II XSP ICP
5340 Thermo Electron D 37520 Osterode Biofuge
5341 Thermo Electron TYP 003 4558 Chiller
5342 Thermo Fischer R 421 FA 15 Explosion Proof Refrigerator
5343 Thermo Fisher IRIS Intrepid II XUV ICP System
5344 Thermo Fisher Ion Torrent Personal Genome Machine (PGM)
5345 Thermo Fisher Ion One Touch ES
5346 Thermo Fisher HPLC Thermo Spectra System
5347 Thermo Fisher Spectra Chrom CF-1 Fraction Collector
5348 Thermo Fisher Accel 250 Chiller
5349 Thermo Fisher ThermoFlex 900 Cooling System
5350 Thermo Fisher RSK 600 SD 5 Chiller
5351 Thermo Fisher GeneAmp 9700 PCR System
5352 Thermo Fisher Scientific Fluoroskan Ascent
5353 Thermo Jarrell Ash Smith Hiefte 22 Spectrometer
5354 Thermo NESLAB Merlin Series m 75 Recirculating Chiller
5355 Thermo Neslab CFT 33 Refrigerated Recirculator
5356 Thermo Nicolet Nexus 470 Fourier Transform Infrared Spectroscopy (F
5357 Thermo Orion Three Star pH Meter
5358 Thermo Orion Three Star pH Meter
5359 Thermo Scientific Nicolet 6700 Analytical MCT spectrometer
5360 Thermo Scientific Research Grade EBSD System for EDS/WDS
5361 Thermo Scientific Research Grade EDS System
5362 Thermo Scientific WDS System with UltaDry SDD
5363 Thermo Scientific Nicolet 380 Infrared Spectrometer
5364 Thermo Scientific NanoDrop 3300 Fluorospectrometer
5365 Thermo Scientific Precision 180 Water Bath
5366 Thermo Scientific Catalyst 5 Robotic Arm
5367 Thermo Scientific Sorvall RC 6+ Centrifuge
5368 Thermo Scientific Fourier Transform Near-Infrared (FT NIR)
5369 Thermo Scientific Forma Series II Water Jacket
5370 Thermo Scientific HP 130915 Q Hot Plate
5371 Thermo Scientific SP 131325 Q Hot Plate
5372 Thermo Scientific Cellomics ArrayScan VTI HCS system
5373 Thermo Scientific XL 3 t-700 S Portable Handheld XRF RoHS Analyzer
5374 Thermo Scientific Forma 3961 Chamber
5375 Thermo Scientific Niton XLt 7972
5376 Thermo Scientific Orion Star pH Meter
5377 Thermo Scientific iS 10 Fourier Transform Infrared Spectroscopy (FTIR)
5378 Thermo Scientific D-37520 Osterode
5379 Thermo Scientific Sorvall Legend Micro 21
5380 Thermo Scientific Niton FXL 970 XRF
5381 Thermo Scientific HP 11515 B Hotplate
5382 Thermo Scientific Matrix PlateMate Plus Microplate Stacker
5383 Thermo Scientific 1300 A 2 Safety Cabinet
5384 Thermo Scientific Oven Cure
5385 Thermo Scientific ARL Advant’X Sequential XRF
5386 Thermo Scientific Heratherm OMH 60 S Oven
5387 Thermo Scientific KeyTek ECAT Control Center
5388 Thermo Scientific / Nicole Nexus 6700 Fourier Transform Infrared Spectrometer (F
5389 Thermo Scientific / Nicole Magna 760 Fourier Transform Infrared Spectrometer (F
5390 Thermo Shandon Cytospin 4 Thin Layer Cell Preparation Centrifuge
5391 ThermoFisher MagMAX Express 96 Magnetic Particle Processor
5392 Thermofisher Ultimate 3000
5393 Thermofisher Ultra Gas Chromatography
5394 ThermoFisher iCAP Q ICP-MS
5395 ThermoFisher Flash 2000 Elemental Analyzer
5396 Thermofisher Scientific 6540 Thelco Lab Oven
5397 Thermofluor TF 384 ELS Microplate Reader
5398 Thermokey Air Cooled Condenser
5399 Thermokey TME 660/2 Evaporator
5400 Thermokey TME 385/2
5401 Thermoline Laboratory Oven
5402 Thermolyn Kiln
5403 Thermolyne HP 88725 Hot Plate
5404 Thermolyne 2200 Hot Plate
5405 Thermolyne 2200 Hot Plate
5406 Thermolyne HP 46825 Stirrer Plate
5407 Thermonic T 2420 Precision Temperature Forcing System
5408 Thermo-Nicolet Nexus 670 Fourier Transform Infrared Spectroscopy (F
5409 Thermonics Temperature Forcing System
5410 Thermonics T 2820 Precision Temperature Forcing System
5411 Thermonics Temperature Forcing System
5412 Thermonics T 2500 HFE Precision Temperature Forcing System
5413 Thermonics T 2425
5414 Thermonics T 2500 SE
5415 Thermonics T 2427 75 Temperature Forcing System
5416 Thermonics T 2420 S 7 Temperature Forcing System
5417 Thermonics T-2600 BV Heat Injector
5418 Thermonics ThermoStream T-2425
5419 Thermonics Precision Temperature Forcing System
5420 Thermonics T 2820 Precision Temperature Forcing System
5421 Thermoscientific Genesys 10 uv
5422 Thermoscientific Genesys 10 uv
5423 ThermoScientific ICS 1600 Pyrophosphate Ion Chromatography System
5424 ThermoSteam
5425 ThermoStream Eco 810 M Temperature Forcing System
5426 Thermotron WP-1372-CH-40/40 Chamber
5427 Thermotron SE-1415
5428 Thermotron SM-8-2800
5429 Thermotron SM-8-3800 Thermal Chamber
5430 Thermotron Environmental Chamber
5431 Thermotron FM-10-CH-705 Environmental Chamber
5432 Thermotron S-1.2 C
5433 Thermotron S-16-3800 High and Low Temperature Oven
5434 Thermotron F 72 CHV 30 ESS Chamber – Air Cooling
5435 Thermotron WP 867 TCM 4-25 ESS Chamber – Water Cooling
5436 Thermotron Temperature cycling chamber
5437 Thermotron SM-16-1600 Environmental Chamber
5438 Thermotron SM 4 S-1600 Environmental Chamber
5439 Thermotron XSE 3000-7.5 Environmental Chamber
5440 Thermotron ATS 900 Y 15-15-LN 2 Thermal Shock Stress Test Chamber
5441 Thermotron S 27 Oven
5442 Thermotron ATS 900 v 25-25 LN 2 Test Chamber
5443 Thomas Swan 31×2 MOCVD Reactor
5444 Thor Labs S 3 FC 1550 Distributed Feedback (DFB) Laser Source
5445 Thor Labs LDC 500 Laser Diode Control
5446 Thorlabs LDC 4005 Laser Diode Controller
5447 Thorlabs ASE FL 7002 C 4 Broadband ASE Light Source
5448 Thorlabs S 1 FC 1550 Laser Source
5449 Thorlabs S 3 FC 1550 DFB Laser Source
5450 Thorlabs ASE FL 7002 Light Source
5451 Thorlabs APT Nanotrak Auto-Alignment Controller
5452 Thorlabs SIFC 1550 Laser Source
5453 Thorlabs MC 2000 Optical Chopper
5454 Thorlabs MC 2000 B Optical Chopper
5455 Thorlabs MC 2000 B-EC Optical Chopper
5456 Thorlabs MDT 693 B Piezo Controller
5457 Thru-Med Annealing Furnace
5458 Thru-Med Annealing Furnace
5459 Thurlby Thandar PL 33008 DC Power Supply
5460 Thurlby Thandar PL 330 QMT DC Power Supply
5461 Thurlby Thandar PL 33 OP DC Power Supply
5462 Thwing-Albert D 47 Inkometer
5463 Thwing-Albert B 45 Inkometer
5464 TI AT 4000
5465 TianJin VML 300 Optical Image Measuring Instrument
5466 Tianjin EG 1700 Stand Blaster
5467 Tianjin Danaher PM 3000 Electronic Scale
5468 Tianjin Danaher BL 301 A Electronic Scale
5469 Tianlongguang GF 1046 Denon Band Saw
5470 Time Group Ultrasonic Flaw Detector
5471 Time Saver 2211-23-0 Deburring Machine
5472 Timesavers 3111 11 0
5473 Timesavers 237-MPLW Deburring Machine
5474 Tinius Olsen Super L Universal Testing Machine
5475 Tissue Tek VIP 5 AF 1 Tissue Processor
5476 Titan LEO-6180 T 6 Tank Auto Cleaner
5477 Titan De-Film Machine
5478 TKC K 2 SD Vertical Injection Machine 15 T
5479 TKD Power Supplies
5480 TMC Stacis Anti-Vibration Platform
5481 TMC Stasis 2100 Active Vibration Cancellation System
5482 TMC 784-659-02 R Anti VIbration Optical Table Top
5483 TMElectronics Worker Integra Leak Detector
5484 TMI UV Fab Test Lens 4 Area
5485 TnR Handler
5486 Todaka TLR 421 R Lead Repair Machine
5487 Toellner TOE 8735 Power Supply
5488 Tofflon Powder Charging
5489 TOK TSE-306 W Plasma Asher
5490 Tolomatic 1164 0725 Screw Actuator
5491 Tomco TE 1000 Vector Impedance Analyzer
5492 Tonghui TH 2828 RG Tester
5493 Tongtai TRM-122-CCD Routing
5494 Tonkaflo SS 1806 Pump
5495 Tonkaflo SS 1806 X RO Pump
5496 Tonkaflo SS 19 X Pump
5497 Topack R-65 GT Horizontal FFS High Speed Packaging Machine
5498 Topack R-35 GT Horizontal FFS High Speed Packaging Machine
5499 Topcon WM 5000 FOUP Wafer Surface Analyzer
5500 TopoMetrix Accurex II AFM
5501 TopoMetrix Atomic Force Microscope (AFM)
5502 Toptec Auto Glass Loader
5503 Toptec Cell Layup Station
5504 Topward TPS-4000 Dual Tracking DC Power Supply
5505 Topward 3303 A DC Power Supply
5506 Topward 6306 D-10 DC Test Power Supply
5507 Topward 6306 D DC Test Power Supply
5508 Topward 3185 D DC Test Power Supply
5509 Topward 3185 D DC Power Supply
5510 Topward 6306 D Dual-Tracking DC Power Supply
5511 Topward 6306 D-10 Dual-Tracking DC Power Supply
5512 Topward 6303 D Power Supply
5513 Topward 6603 D DC Power Supply
5514 Topward 6302 D DC Power Supply
5515 Topward 8140 Function Generator
5516 Topward TPS 4000 DC Power Supply
5517 Topward 33010 D DC Power Supply
5518 Topward 3601 D DC Power Supply
5519 Topward 3603 D DC Power Supply
5520 Topward Electric Instruments DC Power Supply
5521 Toray FG 3000 Fully Automatic Bonder
5522 Torch T 300
5523 Torit SDF 6 Downflow Dust Collector
5524 Tormach PCNC 1100 Small CNC Milling Machine
5525 Torrey Pines HP 51 A Hot Plate
5526 TorrVac E-Beam Evaporator
5527 Toshiba USM-20 A (F) Wafer Dicing Machine
5528 Toshiba SR 654 H Auto Leadframe
5529 Toshiba SR 654 H Auto Frame Loader for GTS 2000 Device
5530 Toshiba TH 650 A Auto Frame Loader for Automold Single Ch
5531 Toshiba ISGT 1150 W Injection Molding Machine
5532 Toshiba SR 654 HSP Auto Frame Loader for GTS 2000 device
5533 Toshiba TH 650 A Auto Frame Loader for GTS-SE Package
5534 Toshiba FREI NH 15008 Gas Mixer
5535 Toshiba TH 650 A Auto Frame Loader
5536 Tosok Die Bonder
5537 Tosok DBD 4600
5538 Tosok DBD 3550 Soft Solder Die Bonder
5539 Tousimis Automegasamdri 915 B Critical CO2 Release
5540 Towa FFT 1030 W Molding System
5541 Towa Asia Pacific TF PO Machine for VSOP 20 P
5542 Townsend 1447 Shrinker
5543 Tox 8-16 KN KFW 016.001 Hand Press
5544 Toyo TI 35 BS Injection Molding Machine
5545 Toyo TI 35 BS Inection Molding Machine
5546 TOYO T SM 200 A Inline Slicer
5547 Toyota 7 FBCU 18 Forklift
5548 Toyota 7 HBW 23 Pallet Jack
5549 Toyota L&F VY 60 / 61 Box Creating Machine
5550 TPI 376 Infrared Thermometer
5551 TPS CEO 932-3 Humidity Header
5552 TPS BTC Oven
5553 TQC Sheen AB 5005 Washability Tester
5554 TR Systemtechnik 20.FOS03 Needle Staking Machine
5555 Trace Bed of Nails Test
5556 Trane CGAF C 504 AEA 1 Chiller
5557 Trane Chiller
5558 Trane TACBO 483 XBOA 31120 HVAC Unit
5559 Trane TACBO 243 XBOA 31120 HVAC Unit
5560 Trane Water Chillers
5561 Trane CHHA 240 FAVON 197 NN Compressor
5562 Trane DWA 1210 LDJK 3 CBWA Fancoil
5563 Trane Air Handler
5564 Trane RTAA 125 Chiller
5565 Trane CGAM 026 F 2 E 02 Chiller
5566 Transfer Engineering Wafer Loadlock System
5567 Transheat TFF 141 Furnace
5568 Transistor Devices DLM 130-5-100 Electronic Load
5569 Transistor Devices DLM 50-20-100 Electronic Load
5570 Traub A 25 Screw Machine
5571 Traub A 60 Screw Machine
5572 Traub TNL 12/7 TX 8 i CNC Long Tuning tool
5573 Travaini EVO 10 M Vacuum Pump
5574 TRE / Electromask Mask Maker
5575 TRE / Electromask / Inters MM 958 5X I-line Semiconductor Photolithography Machine
5576 Tree CNC Mill
5577 Tree TC 615 Lathe
5578 Tree Machine Tool J 425 Journeyman CNC Mill
5579 Tree Machine Tool J 425 Journeyman CNC Mill
5580 Trek 158 Charge Plate Monitor
5581 Trek Triton IV Mil SMT Washer
5582 Trendelkamp TASK 4.1 L Screen Changer
5583 Trent Dryer
5584 Trextonic DSA 8200 Digital Serial Analyzer
5585 TRI TR 7100 AOI System
5586 TRI 7006
5587 TRI TR 7500
5588 TRI 7006
5589 TRI TR 7100 Optical Inspection Components
5590 TRI TR 7500 AOI
5591 TRI TR 7500 AOI
5592 Triangular M 3025 Vertical Grinder
5593 Triathlon 901 1401 1 Precision AC Source
5594 Trilogy Systems T 4 Ds 84-6 ADKS Linear Motor
5595 Trimech Pinotech TM-101 PR-MK III Pulse Heating FCB Machine
5596 Trimech Technology TM 101 PR-MK III Pulse Heat Machine
5597 Trimech Technology TM 90 MK III ACF Pre-Attachment Machine
5598 Trimos Fowler Horizon Premium 500
5599 Trion Phantom II Reactive Ion Etch (RIE)
5600 Trion Plasma-Enhanced Chemical Vapor Depositio
5601 Trion Phantom Reactive Ion Etch (RIE)
5602 Trioptics ImageMaster PRO 4 Tester
5603 Trioptics ImageMaster PRO 4 Tester
5604 Trioptics U 200 UV Illuminator
5605 TrioTech HAST 6000 X HAST System
5606 Trio-Tech G 203 A Bubble Leak Detector
5607 Trio-Tech A 244 MPV Die Penetration
5608 Trio-Tech A 244 Autoclave Testing System
5609 Tripod LED Tester
5610 Tripod LED Tester
5611 Tripod LED Tester
5612 Tripod Tube to Tape & Reel
5613 Tripod Tube to Tape & Reel
5614 Tripod LED Bowl Feeder into Tube
5615 Tripp Lite 1000 VA Smartonline UPS 163587 U 1000 VA Rackmount, 163587 U Series
5616 TriTek Crystal Pro HT
5617 Triton EU 420 B ESD Safe Work Chair
5618 Trivac D 16 A Vacuum Pump
5619 Trivac D 16 A Vacuum Pump
5620 Trivac D 16 B Vacuum Pump
5621 Trontec Laser Speedy 300 FLEXX Laser for Micro Batteries Materials
5622 Trump CNC Milling Machine
5623 Trumpf Laser Marking Systems
5624 Trumpf TLC 1005 Laser
5625 Trumpf Laser Mark Machine
5626 Trumpf Trumabend V 855 Brake
5627 Trumpf Trumabend V 130 Brake
5628 Trumpf Trubend 5230 CNC Press Brake
5629 Trumpf Trubend 5085 CNC Press Brake
5630 Trumpf Trumatic L 3050 CNC Plate Laser
5631 Trumpf Liftmaster Robotic Load System
5632 Trumpf L 3050 Laser Cutter
5633 Trumpf HL 204 P Laser
5634 Trumpf HL 506 P Laser
5635 Trumpf HF 506 P Laser Welding
5636 TSC Tushar AS
5637 TSC Tushar AS
5638 TSI 3772 Condensation Particle Counter
5639 TSI 9201 Beam Separator
5640 TSI 9253-350 Laser Fiber Optic Probe
5641 TSI 3772 Condensation Particle Counter
5642 Tsugami Lathe
5643 Tsugami BS 18 LII Screw Machine
5644 Tsugami B 012 C III Lathe
5645 TT Vision F 240 XL Inspection Machine
5646 TTI EX 354 T Triple Power Supply
5647 Tulsa Power Respooler
5648 Tulsa Rewinder
5649 Turbo Conveyor 6275 8551 Robodrill Chip Conveyor
5650 Turbotronik Turbo Controller
5651 Tuthill T Series 5.3 Gear Pump
5652 Tuthill T Series 5.3 Gear Pump
5653 Tuthill T Series 5.3 Gear Pump
5654 Tuttnauer 2340 M Autoclave Steam Sterilizer
5655 Tyco SMD Laser Marker
5656 Tyco / Quad QSV-1 plus Pick and Place
5657 Tyco Electronics 528000 7 Crimper
5658 Tykma Zetalase Laser Marking System
5659 TyrellTech 2525 CNC Router Engraving Equipment
5660 U.S. Electrical Motors R 900 AC Motor
5661 U.S. Electrical Motors 0103934 Direct Current Motor
5662 UC Stat UC TE 502 Static Tester
5663 UCT CMW 8018 – 3971 Cleaning Machine
5664 UDT S 370 Optometer
5665 Ueno Seiki N 402 MT TF Separation Machine
5666 UHT MP 5200 Z SL 0 Punching Machine
5667 UL Portable High-Shear Mixer
5668 Ulmatec DDE 3200 Dust Collector / Vacuum for Lissmac
5669 Ultra Clean Products 1600 VA Clean Room Shoe Cleaner
5670 Ultra Compressor TA 120 Air Compressure Machine
5671 Ultra Pointe LIS 1000 Laser Imaging System
5672 Ultra Pointe 500 Particle Detection
5673 Ultra T IRL 400 In-Line CO2 Reionizer
5674 Ultra T FSC 132 Substrate Cleaner
5675 Ultra T PSC 605 Spin Coater, Vertical Double Sided Substrate Cleaner
5676 Ultra T Equipment SCS 125 Sapphire Wafer Cleaning u
5677 Ultraclean 1600 VA Clean Room Shoe Cleaner
5678 Ultra-Kool 1812-LVS Liquid Vapor Degreaser
5679 Ultramatic Tumbler
5680 Ultraphot Optical Instrument
5681 Ultrasonic T 40
5682 Ultrasonic Cleaning Line of items
5683 Ultrasonic Engineering UFB 00594 Wire Bonder
5684 Ultrasonic Engineering UFB 00595 Wire Bonder
5685 Ultrasonic Engineering UFB 00596 Wire Bonder
5686 Ultrasonic Power Corp BT-90 H
5687 Ultrasonic Systems Prism Ultra Coat 500 Ultrasonic Spray
5688 Ultrasonix Sonicator
5689 UltraTec Blue Mill Milling Machine
5690 UltraTec Ultraslice Diamond Saw
5691 Ultratech 1500 Stepper
5692 Ultratech UT 1500 Stepper
5693 Ultratech 1000 Stepper
5694 Ultratech 1500 Wafer Stepper
5695 Ultratech 1100 Stepper
5696 Ultratech Pellicle Mounter
5697 Ultratech 1500
5698 Ultratech UT 1500 Stepper
5699 Ultratech UT 100 Stepper
5700 Ultratech UT 1100 B
5701 Ultratech / Veeco LSA 201 Stepper / Spike Anneal
5702 Ultraviolet Technology, IN M 150 Fluid Sterilizer
5703 Ultraviolet Technology, IN H-100 Ultraviolet Disinfection System
5704 Ultron UH 101
5705 Ultron UH 114 Wafer Mounter
5706 Ultron UH 130 Expansion for Shielding UH 130
5707 Ultron UH 117
5708 Ultron UH 114 Saw Tape Mounter
5709 Ultron UH 114 Tape Mounter
5710 Ultron Systems UH 114
5711 Ultron Systems USI UH 114 Wafer Framer / Frame Fill Mounter
5712 Ultron Systems UH 108 Film Frame Laminator
5713 Ulvac Phoenix Asher
5714 Ulvac Phoenix Asher
5715 Ulvac Phoenix Asher
5716 Ulvac Phoenix Asher
5717 Ulvac Phoenix Asher
5718 Ulvac Phoenix Asher
5719 Ulvac Entron EX W 450 PVD / CVD (Physical Vapor Deposition / Chemical Vapor Deposition) System
5720 Ulvac ZX 1000
5721 Ulvac Enviro 2 CH
5722 Ulvac Z 1000 DC 2 CH
5723 Ulvac ZX 1000
5724 Ulvac ZX 1000 RF 2 CH & DC 1 CH
5725 Ulvac CE 300 i RIE Machine
5726 Ulvac ZEM-3
5727 Ulvac Enviro I Resist Stripper
5728 Ulvac Enviro I Resist Stripper
5729 Ulvac CE-300l Load Lock Type Etching Machine (ICP etcher)
5730 Ulvac Phi Adept 1010 Scanning Electron Microscope (SEM)
5731 Ulvac G 100 D Oil Free Sliding Rotary Vane Vacuum Pump
5732 U-Mets MP 202 F Form System
5733 UMSCO Board Handling
5734 Unaxis / Nextral 860 Plasma Etcher
5735 Unaxis Balzers MSP 1000 Platform Coating Machine
5736 Unaxis-Nextral NE 860 HDP Etcher for De-Layer
5737 Uncopiers PS 10 Particle Scout
5738 Uncopiers PS 20 Particle Scout
5739 Uni Plane Orienting Rolls
5740 Uni Wash Wet Dust Collector
5741 UniMac UW 35 PVQU 1 D Commerical Washer
5742 Union Hisomet II Measuring
5743 Uniscan / Bio-Logic SECM 270
5744 Unisen ECC 01 Alessi Prober Control Unit
5745 UNI-T UT 50 A Digital Multimeter (DMM)
5746 UNI-T UT 60 G Digital Multimeter (DMM)
5747 Unitechnologies / MTA TR 300 Robot
5748 United States Enterprise KE-40 B 1 Controller
5749 United States Enterprise KZ-30 W 2 Syringe Sampler
5750 United States Enterprise SK-13 Y-1524 B
5751 United States Enterprise KS-42 C Liquid Particle Sensor
5752 Unitek Bonding Machine
5753 Unitek Unibond 1-124-05
5754 Unitek 9 001 01
5755 Unitek 2 127 05
5756 Unitek 1 124 05
5757 Unitek 1 162 02
5758 Unitek 1 191 02
5759 Unitek MP 4 / 6 099 03 01
5760 Unitek MP 4
5761 Unitek 6 099 03
5762 Unitek 2-152-02
5763 Unitek 1 191 01
5764 Unitek 6 095 06 Wire Bonder
5765 Unitek 250 Dual Pulse Power Supply
5766 Unitek 6-095-04 Pull Tester
5767 Unitek / Miyachi ML-2150 A Laser Welder Maker
5768 Unitek / Miyachi UB 25 Resistance Welding System
5769 Unitek / Miyachi LW 5 AG SHG 2013
5770 Unitek / Miyachi HF 25 DC Resistance Welder
5771 Unitek / Miyachi 350 Electronic Weld Head
5772 Unitek Miyachi LW 10 Laser Welder
5773 Unitek Miyachi Uniflow 2 1-292-01-01 Pulsed Thermode Control (HotBar)
5774 Unitek Miyachi 80 / E 2 2-143-04-23 Thin Line Weld Head
5775 Unitek Miyachi LW 52 Compact Yag Laser System
5776 Unitek Peco DC 25 Linear DC Resistance Welding Control
5777 Unitek Peco Phasemaster 7 Welder
5778 Unitek Peco Phasemaster 7 Welder
5779 Unitek Weldmatic 1 132 01 DC Welder
5780 Unitron Microscope
5781 Universal US 66-RA 2610 Wet Process
5782 Universal GSM 2
5783 Universal GSM
5784 Universal GSM 1 Pick and Place
5785 Universal PTF
5786 Universal ADB 1240
5787 Universal ADC 100 C
5788 Universal 4982 C / AX 72
5789 Universal Conveyor
5790 Universal GC 60 Placement
5791 Universal AC 72 Placement
5792 Universal GSM 2 Placement
5793 Universal AC 30 L Placement
5794 Universal GSM 2 Placement
5795 Universal 5423 i Line Loader & Bare Board Loader
5796 Universal 5423 i Line Unloader
5797 Universal 6285 20600 CHS 1432
5798 Universal
5799 Universal 6285 20600 CHS 1432
5800 Universal 8222 30042800 LSI 4139
5801 Universal 4983 A Pick and Place
5802 Universal Lift Conveyor
5803 Universal Placement
5804 Universal Instruments S 362 I GSM Pick and Place System
5805 Universal Instruments 2351 Reel to Reel Axial Counter
5806 Universal Instruments 6285 20600 CHS 1432 Axial Inserter
5807 Universal Instruments 8222 30042800 LSI 4139 Axial Inserter
5808 Universal Instruments Insertion Axial Machine
5809 Universal Instruments Pick and Place and Precision Dispenser
5810 Universal Plastics Solvent Bench Solvent Bench
5811 Universal Plastics Megasonic Wet Bench
5812 Universal Plastics Etch Bench
5813 Universal Robots G Series UR 3 Robot Arm
5814 Universal Shielding RFI / EMI Shielded Enclosure
5815 Universal Systems Us 96-Fume-2035 Feme Hoods
5816 Univex Mixer
5817 Uniweld 9793.020 Pump
5818 Up Semi Wet Process
5819 UPRtek Portable Spectrometer
5820 US Baird 3 24 Vertislide
5821 US Baird 0
5822 US Electrical Motors XA 900-01 Lathe
5823 Ushio SP 7-250 DB Uv Light Curing System
5824 Ushio SP 7-250 DB Uv Light Curing System
5825 Ushio UMA-2003-H 120 F Resist Bake UV Cure
5826 Ushio USH-205 DP UV Mercury Lamp
5827 USI P 350-2-280 Ink Coating
5828 USI Tape Remover
5829 USI UH 114-8
5830 UST 202 TL Solderability Tester
5831 UST Microvision 853
5832 Usun Technology Separate Plate Scrubbing Machine
5833 UTI A 2-162 Curve Tracer
5834 Utopia Camera Vision System
5835 uTWS Wafer Transfer System
5836 UV Doctors Proofcure 400 SRHO
5837 UVEXS SCU 110 B Spot Cure System
5838 Uvitron Intelliray 600 UV 0832 UV Oven
5839 Uvitron International Intelliray 600
5840 VAC HE-493 Laboratory Glove Box
5841 VAC Nexus Glove Box
5842 Vac Lam Equipment
5843 VAC Nexus Glove Box
5844 Vac Long VSG B 200 High Temperature Furnace
5845 Vac-Long VSG-B 200 Furnace
5846 Vacutec Plasma-Enhanced Chemical Vapor Depositio
5847 Vacutec Plasmasystem Etch / CVD
5848 Vacutec 1500
5849 Vacuum Research Gate Valve
5850 Vaisala Weather Station
5851 Valad Hot Plate
5852 Valhalla Scientific 2100 Digital Analyzer
5853 Valhalla Scientific 2100 A Digital Power Analyzer & Power Factor Meter
5854 Vamo Foot Grinding
5855 Van Dorn Newbury 200 VTCR 9-0114 Injection Molding Machine
5856 Variac W 20 Mt 3 A Autotransformer
5857 Varian 3190
5858 Varian 3180 Sputtering System
5859 Varian 3190 Sputtering System
5860 Varian 936-40 Leak Detector
5861 Varian Cary 100 BIO UV/Vis Spectrophotometer
5862 Varian Ion Implanters
5863 Varian Cary 500 UV-VIS-NIR Spectrophotometer
5864 Varian 3190 Sputter System
5865 Varian 3180 Sputter System
5866 Varian 3190 Metal Sputter
5867 Varian 3180
5868 Varian Mercury VX 400 Console with a SMS 50 Autosampler
5869 Varian 180 XP High Current Ion Implanter
5870 Varian 979 Helium Mass Spectrometer Leak Detector
5871 Varian 430-GC
5872 Varian CP-4900
5873 Varian Cary 50 Bio UV-VIS Spectrophotometer
5874 Varian V 70 Turbo Controller
5875 Varian TV 70 Turbopump
5876 Varian 4001 XL Load and Lock
5877 Varian DS 102 Turbo Pump
5878 Varian 3180
5879 Varian 3190
5880 Varian Diffusion Pump
5881 Varian 430 GC
5882 Varian CP 4900
5883 Varian Cary 7000
5884 Varian Inova Spectrometer System
5885 Varian 3180 Sputter
5886 Varian 3180 Sputter
5887 Varian Auto HCN PFG Probe
5888 Varian HCN XYZ
5889 Varian 700 TTR/PFG
5890 Varian SD 200 Vacuum Pump
5891 Varian Mercury 300 Spectrometer System
5892 Varian Pump
5893 Varian HS 2 Diffusion Pump
5894 Varian 3800 / 3380 GCMS System
5895 Varian Saturn 2000 GCMS System
5896 Varian 3800 / 3380 GC/MS Ion Trap
5897 Varian Saturn 2000 GC/MS Ion Trap
5898 Varian 3800 Chromatography System
5899 Varian MR 15 Helium Leak Detector Mass Spectrometer
5900 Varian 820 MS Inductively Coupled Plasma Mass Spectrometers (ICP-MS)
5901 Varian DS 102 9499315 Vacuum Pump
5902 Varian SD 200 0421 P 1211 07 Vacuum Pump
5903 Varian SD 450 0423 p 1231 307 Vacuum Pump
5904 Varian 820 MS ICPMS
5905 VarioKlav VarioKlav Steam Sterilizer
5906 Varioklav Steam Sterilizer
5907 Variomag Multipoint HP 15 Stirrer
5908 VAT Gate Valve
5909 VAT Gate Valve
5910 VAT Gate Valve
5911 VAT 04312-BA 24-AAG 2/0001 Slot Valve
5912 VAT Vakuumventile AG 29032-KA/H/E/11/21/31/ Angle & Incline Valve with soft-pump function
5913 VAT Vakuumventile AG 610 PM-26 AC-AAW 1 Controller PM-2
5914 Vatec GIS
5915 VATech TABs 170 Current Transformer
5916 VATech TVBs 170
5917 VATech GDHB 1-170 HB 7 Circuit Breaker
5918 VATech TVBs 170 Voltage Transformer
5919 VATech TVBs 170 Voltage Transformer
5920 VATech GIS
5921 Vector Scrubber 01 Gas Scrubber
5922 Vector 1 Scrubber
5923 Veeco Nxp 55 PM 2 Reactive PVD Module
5924 Veeco K 465 MOCVD Reactor
5925 Veeco E 400 MOCVD Reactor
5926 Veeco E 400 MOCVD Reactor
5927 Veeco E 400 MOCVD Reactor
5928 Veeco FCA
5929 Veeco Step Height Standards
5930 Veeco Nexus-LDD Ion Beam Deposition System
5931 Veeco CP II Atomic Force Microscope (AFM)
5932 Veeco 770 Automatic Vacuum Controller
5933 Veeco FPP 5000 Four Point Probe
5934 Veeco Gen II MBE Growth system
5935 Veeco Gen II MBE Growth system
5936 Veeco D 180 LDM MOVPE System
5937 Veeco 210 IBD
5938 Veeco 210 IBD
5939 Veeco Ion Mill
5940 Veeco Ion Mill
5941 Veeco E 300 Reactor
5942 Veeco K 465 i Reactor
5943 Veeco Dekak V 200 i Profiler
5944 Veeco DUVX 200 Automated Atomic Force Microscope (AFM)
5945 Veeco 1001 Ion Mill
5946 Veeco / Bruker Dektak II A Profilometer
5947 Veeco / Bruker Dektak II A
5948 Veeco / Bruker Dektak XT
5949 Veeco / Bruker Dektak 400 Stylus Profiler
5950 Veeco / Bruker Sloan Dektak II A Profilometer
5951 Veeco / Bruker Dektak II A
5952 Veeco / Bruker Dimension 3100 Atomic Force Microscope (AFM)
5953 Veeco / Bruker Dektak XT Surface Profiler
5954 Veeco / Burker Dektak Profilometer
5955 Veeco / Burker Dektak II Profilometer
5956 Veeco / Commonwealth Mark II Ion Controller
5957 Veeco / Digital Instrument Dimension 9000 Atomic Force Microscope (AFM)
5958 Veeco / Digital Instrument D 9000 Scanning Probe Microscop
5959 Veeco / Digital Instrument D 9000 Atomic Force Microscope
5960 Veeco / Digital Instrument Dimension 5000 Scanning Probe Microscope
5961 Veeco / Digital Instrument Nanoscope III A
5962 Veeco / Digital Instrument Nanoscope III A NS 3 A
5963 Veeco / Wyko NT 8000 Optical Profiling System
5964 Vektrex APS 1001-01
5965 Velonex 345 High Power Pulse Generator
5966 Veltop VIP 100 B Wire Bonding Machine
5967 Vender Scientific PM 400 Retsch Planetary Ball Mill
5968 Vereq Spin Rinse Dry (SRD)
5969 Verifier CDM Semiconductor Analysis Test System
5970 Verimation M 4900 C Portable Conductivity Tester
5971 VersaDoc 1000 Gel Documentation
5972 Verson 1648 Brake with Safety Curtain
5973 Verson HTC 10 Ton Mini Brake
5974 Verson 270 MN-0.9 3 Hydro Press
5975 Verson 41000 R Wheelon Hydro Press
5976 Verson 250 S 2 84 Press
5977 Verteg Mark V 2000 Centrifuge
5978 Verteq 1600-55 M Spin Rinse Dryer (SRD)
5979 Verteq 1600-55 M Spin Rinse Dryer
5980 Verteq 1800-6 Spin Rinse Dryer (SRD)
5981 Verteq 1600 Spin Rinse Dryer (SRD)
5982 Verteq C / ASSL Spin Rinse Dryer (SRD)
5983 Verteq 1800-6 Spin Rinse Dryer (SRD)
5984 Verteq 1800-6 Spin Rinse Dryer (SRD)
5985 Verteq Liquid Filtration System
5986 Verteq ST 600 Ultrasonic Cleaning Tank
5987 Vesca Clim H-E Vim Tempering Zone Heat Exchanger
5988 Vesta PRO Gluer for Corrugates Cases
5989 Vesta PRO Bocket Former
5990 Vestil SWA-48-R Shrink Wrap Machine
5991 Vestil Forklift Boom
5992 VG STEM
5993 Vi Technology SPI 3D Solder Paste Inspection
5994 Viavi Portable IR Spectrometer
5995 Viavi MicroNIR Handheld Spectrometer
5996 Vibco US RD 24 x 24 Vibrating Table
5997 Vibco Vibrator Table
5998 Victor 2480 T Lathe
5999 Victor 1440 G
6000 Videojet 1520 Inkjet Printer
6001 Viewsonic 15699 GS 3 Monitor
6002 Viewsonic VA 702 b Monitor
6003 Vijay Engineering Standard Weightbox Trolley
6004 Viking Masek Stick Pack ST 400 Packaging Machine
6005 Viking Semiconductor 1061 Wafer Prober
6006 Viking Semiconductor Equ 1042 Pick and Place Die Sorter
6007 Villa Precision Int’l C 69514 051 Laser Repair System
6008 VimTec Bending Annealed
6009 VimTec Fragment Test
6010 VimTec Bending Annealed
6011 VimTec Zebra + Light Screen
6012 Vincent Technologies HBM 650 G Bake Oven
6013 Viron International VHS 3036-FRP-Z Air Scrubber
6014 Virtual Measurements & C VW 330 C Scale
6015 Viscom MX 2000 IR IR Control System
6016 Viscom S 3088 Basic AOI Defect Detection
6017 Viscotek VE 2001 GPC Solvent / Sample Module
6018 Vision VSO-2 CM-00 Substrate PreBake / BStageCure
6019 Vision VE 810 All Purpose Engraver
6020 Vision Semicon 88 D Pro + M Plasma Cleaning Machine
6021 Vision Engineering Lynx 5/10 Microscope
6022 Vision Engineering Lynx Microscope
6023 Vision Engineering Stereo Dynascope
6024 Vision Plasma Cleaning VSP 88 D Pro
6025 Vision Semicon VSO 2 CM Bake Oven
6026 Vitronic SMR 520 A Unitherm Curing Machine
6027 Vitronics 6622 CC Solder Machine
6028 Vitronics XPM 820 Reflow Oven
6029 Vitronics Multi-Pro 306 4 Zone Reflow Furnace
6030 Vitronics Multi-Pro 306 4 Zone Reflow Furnace
6031 Vitronics Multi-Pro Reflow Oven
6032 Vitronics XPM 2 1030 Reflow Oven
6033 Vitronics GP 104 Convection
6034 Vitronics XPM 2 Oven
6035 Vitronics Soltec 6721 GG Wave Solder
6036 Vitronics Soltec 6622 CC Wave Soldering Machine
6037 Vitronics Soltec 6622 CC Wave Soldering Machine
6038 Vitronics Soltec 6622 CC Wave Soldering Machine
6039 Vitronics Soltec MR 933 + SMT Oven
6040 Vitronics Soltec XPM 1030 Oven
6041 Vitronics Soltec XPM 2 730 Reflow Oven
6042 Vitronics Soltec 6622 CC Delta Wave Solder Machine
6043 Vitronics Soltec 6745 Selective Soldering System
6044 Vitronics Soltec 6745 Selective Solder
6045 Vitronics Soltec XPM 820 Reflow Oven
6046 Vitronics Soltec 6745 Selective Soldering System
6047 Vitrox V 510 AOI
6048 Vitrox V 510 AOI
6049 VJ Electronix SRT Micra SMT
6050 VJ Electronix / SRT Summit 1100 Rework Station
6051 VJ Electronix / SRT Summit 1100 Rework Station
6052 VLSI Standards 100 Particle Deposition System (PDS)
6053 VMR 1300 U Gravity Convection Oven
6054 Voith Triplex
6055 Volpak SL 140
6056 Volpi Intralux 4000 1 Light Source Fiber Optic Illuminator
6057 Voltech PM 1200 AC Power Analyzer
6058 Voltech PM 100 Power Analyzer
6059 Voltech AT 3600 Transformer Tester
6060 Voltech PM 100 Power Analyzer
6061 Voltech PM 101 Power Analyzer
6062 Voltech Instruments PM 3000 A Universal Power Analyzer – Unstables
6063 Von Ardenne Xeanova Physical Vapor Deposition (PVD) system Inline Sputtering system
6064 Voorwood S 60 18 18 Z Slitting Machine
6065 Votsch VT 7033 Environmental Chamber
6066 Votsch VC 0057 Humidity Chamber
6067 Votsch VT 7030 S 2 Temp Cycle
6068 Votsch HT 7012 S 2 Temp Cycle
6069 Votsch 5102 Environmental Thermal Chamber
6070 Votsch 7012 S 2 Temp Cycle
6071 Voyantic Radio Frequency Identification (RFID) Measurement Cabinet
6072 VPI Dry Type Transformers
6073 VPT Optical Coating Machine
6074 VPT Optical Coating Machine
6075 VRC Pneumatic Gate Valve
6076 VSKO 200 Oil Hydraulic Transfer Molding Press
6077 VSKO 250 Oil Hydraulic Transfer Molding Press
6078 VSM 5200 Sinter Furnace
6079 VSM Phosphine-Phosphine-Nitrogen Gas Cabinet
6080 V-Tek TM 220 Stamp Reel
6081 V-Tek TM 20
6082 V-Tek TM 200 Taping Machine Controller
6083 V-Tek PT 35 Tape Peel Force Tester
6084 VWR DL 53 DRY-line Convection Oven
6085 VWR DRY-Line DL 53 Drying Oven
6086 VWR 1330 FM Temperature Chamber
6087 VWR 1675 HAFO
6088 VWR 1601 Environmental Chamber
6089 VWR Oven
6090 VWR 97042 618 Sargent Welch Hot Plates
6091 VWR 1300 Oven
6092 VWR 1370 F Oven
6093 VWR Lab Refrigerator
6094 VWR 1410 Vacuum Oven
6095 VWR 1410 Vacuum Oven
6096 VWR Magnetic Stir Plate
6097 VWR 1380 FM Oven
6098 VWR Labconco Lab Hood
6099 VWR Oven
6100 VWR MR 406 GA 14 Undercounter Refrigerator
6101 VWR 1325 F Oven
6102 VWR 1327 F Oven
6103 VWR Oven
6104 VWR Incubator
6105 VWR Incubator
6106 VWR International 1685 Oven
6107 VWR Scientific 1310
6108 VWR Scientific Clean Room Oven
6109 VWR Scientific Heat Block
6110 VWR Scientific 1410 Vacuum Oven
6111 VWR Scientific 1180 A Chiller
6112 VWR Scientific Products 2300 CO2 Incubator
6113 Vytek Laser System Printing
6114 Vytran GPX 3000 Fusion Splicer
6115 W.M. Welch Duo Seal Vacuum Pump
6116 Wabash Genesis 15 Ton Press
6117 Wabash V 75 H-24-BCX Hot Press
6118 Wabash DA 50-1818-2 TM 50 Ton Press
6119 Wabash PC 100 2424 4 TM Press
6120 Wafab WHRV 1212-134 A Heat Exchanger
6121 Wafab 48” SST Polish- Solvent Fume Hood
6122 Wafab 72” 304 SST Rear Access Demount Bench
6123 WAFAB Wafer Processing Equipment
6124 WAFAB International 72-32-70-Vlf 72″ Wet Bench Station Clean
6125 WAFAB International 72-32-70-Vlf 72″ Wet Bench Station Clean
6126 WAFAB International 72-32-70-Vlf 72″ Wet Bench Station Developer
6127 Wafer Mark 345 Laserscribe
6128 Wafermark Differential Scanning Calorimeter (DSC) Scri
6129 Wafios RB-20 CNC Bender
6130 Wafios FS 02 Spring Coiler
6131 Waftech WL 200 03 L Auto Laser Marking Machine
6132 Waftech WL 200-03 L Auto Laser Marking Machine
6133 Walker National
6134 Wallace M 837 FA 500 KG 11 Gantry Crane
6135 Wallace Hydraulic Driven Pipe Bender
6136 Walter Lemmen Gold / Ni Plating Line
6137 WAM VLQ 0300 L 1 Slide Gate
6138 Wan Run RK MCM 720 Automatic Box Changing Machine
6139 Wan Run 2D Plant Inspection Machine
6140 Wan Run Box Replacement Machine
6141 Wan Run Double Track Roller Feeder
6142 Wan Run Feeder
6143 Wan Run Lane Change
6144 Wandel & Goltermann SF 60 Jitter Analyzer
6145 Wanrun Technology Boat to Tray
6146 Wanrun Technology Allring RK-IBT 2000 Transposed Machine
6147 Wanrun Technology Allring Load / Unload for Plasma
6148 Ward Seiki Stamping Machine
6149 Warner & Swasey 2 SCL Lathe
6150 Wascomat 9881130204 E Flatwork Ironer
6151 WASP WCS 3900 Scanner
6152 Waters 515 HPLC Pump
6153 Waters 717 Autosampler
6154 Waters Delta 600 HPLC System
6155 Waters 2695 Separation Module
6156 Waters 2475 Multi Fluorescence Detector
6157 Waters Preparative HPLC
6158 Waters 600 Controller
6159 Waters 717 Plus Autosampler
6160 Waters 996 HPLC PDA
6161 Waters 484 Tunable Absorbance Detector
6162 Waters 501 HPLC Pump
6163 Waters 2695 Gel Permeation Chromatography
6164 Watkins Johnson (WJ) 999 R
6165 Watson Payoff Module
6166 Wavelength Electronics LFI 3551 Temperature Controller
6167 Wavetek Model 801 Pulse Generator
6168 Wavetek Model 802 Pulse Generator
6169 Wavetek 907 Signal Generator
6170 Wavetek 1271 Selfcal Digital Multimeter
6171 Wavetek 801 Pulse Generator
6172 Wavetek Pulse Generator
6173 Wavetek 180 Function Generator
6174 Wavetek 270 Programmable Function Generator
6175 Wayne Yellow Jacket
6176 Wayne Kerr F 1 J 3260 B Magnetic Anaylizer
6177 Wayne Kerr Magnetic Anaylizer
6178 Wayne Kerr SMD 2 Magnetic Anaylizer
6179 Wayne Kerr Magnetic Anaylizer
6180 Wayne Kerr Magnetic Anaylizer
6181 Wayne Kerr Magnetic Anaylizer
6182 Wayne Machine & Die Extruder
6183 Web Technology QT Test Handler
6184 Web Technology 6000 Bubble Detector
6185 WEC BL 175 C Conveyor Unloader
6186 WEC BC 100 LG Conveyor
6187 WEC UL 210 C Conveyor
6188 WEC BL 176 C Conveyor Unloader
6189 Weco K 2 TV 504 Angular Gear Box
6190 Wecon PB 220 A 316 003 Spot Tester Chip
6191 Wecon PB 220 Spot Measuring Machine
6192 Wegoma PVC Corner Welder
6193 Wei Chen Industrial KSY-015 H Punching Machine
6194 Weigmann PB 03 WE 30 MM PB Enclosure
6195 Weijin Technology MUT 500 Tester
6196 Weiler Engineering Mold
6197 Weiler Engineering Mold
6198 Weinschel 8310
6199 Weiss TS-120 Thermal Shock Chamber
6200 Weiss WK-2500 Thermal Humidity Chamber
6201 Weiss WK3-180 / 40-S Temperature Cycler
6202 Weiss WKL-100 / 40 Temperature Cycling Machine
6203 Weiss VK 3-180 / 40 Constant Temperature and Humidity Cabin
6204 Weiss TS 130 Temperature Shock Test Chamber
6205 Weiss WMF 290 V-F Lathe
6206 Weiss Technik TS 130 TCT
6207 Welch DuoSeal 1374 Vacuum Pump
6208 Welch W Series
6209 Welch 1397 Mechanical Pump
6210 Welch 1402 Pump
6211 Welch 1397 Vacuum Pump
6212 Welch 1397 Vacuum Pump
6213 Welch 1402 B 01 R Vacuum Pump
6214 Welch 1402 B 10 Vacuum Pump
6215 Welch 1405 B 01 Vacuum Pump
6216 Welch 1405 B 1 Vacuum Pump
6217 Welch 1405 B 10 Vacuum Pump
6218 Welch Duoseal 1376 B 01 Vacuum Pump
6219 Welch Duoseal 1402 B 01 Vacuum Pump
6220 Weldan NWS 2005 Shuttle Table
6221 Weldan NWS 200 S Shuttle Table
6222 Weldan NWS 200 S Shuttle Table
6223 Weldan 260 Shuttle Table
6224 Weldan Hugo Finger
6225 Weldan 266 Shuttle Table
6226 Welding King WSEM 315 P Argon Welding tool
6227 Welding King MBC 300 A Welding
6228 Weldlogic Welder
6229 Weldlogic AWS-200 N
6230 WELL 3242 Precision Diamond Wire Saws
6231 Well 3242 Precision Diamond Wire Saw
6232 Well Expediting WMS SSA 10 Vacuum Wax Bonding Machine
6233 Weller WSA-1 Solder
6234 Weller WE S 51
6235 Weller WXT WXMT WDH 50 Soldering Station
6236 Weller Fume Extractor
6237 Weller WES 51 Soldering Station
6238 Weller WHP 1000
6239 Weller EC 1002 Soldering Station
6240 Weller WES 51 Soldering Iron
6241 Wells F 15 Horizontal Dual Post Saw
6242 Wenco Coiler Machine
6243 Wenglor MLWL 144 3D Scanner
6244 Wenlin WL FA 7500 Laminate Machine
6245 Wentworth 2803 Power Supply Unit
6246 Wentworth Pegasus S 200 Prober
6247 Wentworth Pegasus S 200 Prober
6248 Wentworth Manual Probe Station
6249 Werner Electric MCS 202 E Unwiding Section
6250 Werth VideoCheck IP 400 Coordinate Measuring Machine
6251 West Bond 7372 B Bonder
6252 Westar FPM 520 Flat Panal Performance Measurement System
6253 Westbond 70 PTM
6254 Westbond 7400 A-26-28-44 B-45
6255 Westbond 7700 AA-25 A-45
6256 Westbond 2416 B
6257 Westbond Gold Wire Bonder
6258 Westbond 7372 E Ectectic / EWpoxy Die Bonder
6259 Westbond 74762-39 Wire Bonder
6260 Westbond 7200 CR 79 C
6261 Westbond 7200 CR 79
6262 Westbond K 1200 D
6263 Westbond 70 PTE 75 A
6264 Westbond 1200 D
6265 Westbond 7476 EX
6266 Westbond 242627 B Bonder
6267 Westbond 7476 D – 79 Wire Bonder
6268 Westeck Spin Drier
6269 Westeck Spin Dryer
6270 Westinghouse RAR-6083 Substation
6271 Westinghouse HP 3 AC Motor
6272 Westinghouse Minisplit
6273 Westover CleanBlast Fiber Optic Connector Clean System
6274 Wexxar WFT-S Case Former, Case Sealer
6275 Whirlpool Refrigerator
6276 Whitlock DH 3 Desiccant Air Dryer
6277 Whitney Punch
6278 Wickes Boiler Co 70,000 lb/hr natural gas-fired (with fuel oil
6279 Wideband 5017 D Power Sensor
6280 Wieland IS 76 Vacuum Cleaner (Tempering)
6281 Wild Makroskop M 420 Low Mag Microscope
6282 Wild Heerbrug Lower Power Microscope
6283 Wild Heerbrugg M 5 A Binocular
6284 Wild HeerBurgg M 8 Microscope
6285 Wilmat 312 S Electric Hydraulic Lift Hoist
6286 Wilson Instruments Tukon 300 Hardness Tester
6287 Wilton 8201 Band Saw
6288 Wiltron 6617 B Sweep Generator
6289 Wiltron 561 Scaler Analyzer
6290 Wiltron 9361 B Signaling Test Set
6291 Wintek Vacuum Skid
6292 Wisys WS 2000 Inspection Machine
6293 Wittmann Micro 160
6294 Wittmann Battenfeld MicroPower 15 Injection Molding Machine
6295 Wolverine PrePreg Treater
6296 Woolam VUV 400 R 02 VUV-VASE Spectroscopic E
6297 Workplace Solutions Series 4500 Module Workbenches
6298 Workplace Solutions 25115 B Module Workbenches
6299 Worthington Old Centrifugal Pump
6300 Wotan Mill
6301 WPI 1209 Attach Tape
6302 WTW Oxi 340 i Dissolved Oxygen Meter
6303 Wuhan HG Tech LDF 20 W Laser Cutter
6304 Wuhan Sunic Photoelectri SCT-B Singe Piece Solar Cells Separator
6305 Wuxi Fanhua Electromech SVG 86 Al Coating Track
6306 Wuxi Radar Equipment MG 001 Etch Hood
6307 Wuxi Radar Equipment MG 002 Etch Hood
6308 Wuxi Radar Equipment MG 003 Etch Hood
6309 Wuxi Radar Equipment MG 004 Etch Hood
6310 Wuxi Radar Equipment MG 005 Etch Hood
6311 Wuxi Radar Equipment N2 Cabinet
6312 Wuxi Ruida Batch Develop Hood
6313 WuXi Soiflong IMI 1510 U Image Measurement
6314 Wuxi Xibin Opto-Electroni JP 40.2 B Two Axis Grinding and Polishing Machine
6315 WWOPT Wiresaw Cutting MB
6316 WWOPT Lapping Machine
6317 Wyko HD 3300 Optical Profiler
6318 Xantrex XHR 40-25 DC Power Supply
6319 Xantrex XFR 40-30 DC Power Supply
6320 Xantrex XHR 60-18
6321 Xantrex XHR DC Power Supply
6322 Xantrex XDL 35-5 P DC Power Supply
6323 Xantrex XFR 100-12 Programmable DC Power Supply
6324 Xantrex XT 120-0.5 DC Power Supply
6325 Xantrex XT 60-1 Regulated DC Power Supply
6326 Xceltran XCT-100
6327 Xennia XenJet 4000 Ink Delivery System
6328 Xenogen IVIS Biophotonic Imaging System
6329 Xenon UV Cure
6330 Xenon RC 847-LH 910 UV Curing System
6331 Xenon Max 302 Light Source
6332 Xerox Docucolor 2060
6333 Xevios Spacer Sprayer (glass sphe
6334 Xi An Electric Power Electr DBC 2242 M SCR Electrical Characteristics Tester
6335 Xiang Qing SCIV LF Turning Machine
6336 Xiangqin SCLD 66 Buffer Maintenance
6337 Xiangqin SCWT 2 M Tack Maintenance
6338 Xiangqing SCLD 66 Buffer Maintenance
6339 Xiangqing SCWT 2M Tack Maintenance
6340 Xianjinyiqigz High Precision Manual Coupling Alignment System
6341 XiHu ZB 512 Drill Press
6342 XiHu JZS 16 Drill Press
6343 Xin Li Industrial VO 70 Oven
6344 Xin Ze XINZE-C 2 Vacuum Machine
6345 Xinix 1014 Endpoint Controller
6346 Xinjie ST 500 Tape System
6347 Xiudro SMIU Assembly Line
6348 Xiudro CAB 265 AIM Assembly Line
6349 Xiudro CAB 357 / 358 AIM Assembly Line
6350 Xiudro CAB 234 AIM Assembly Line
6351 Xiudro CAB 329 / 417 AIM Assembly Line
6352 Xiudro CAB 329 / 417 AIM Assembly Line
6353 Xkchem Technology(Shan HTJBD 200 Glue Machine
6354 XMT Hydraulic Die Cutting Machine
6355 XPect Solutions Static Robotic Tester
6356 X-Rite MA 68 II Multi-Angle Spectrophotometer
6357 XRL 1225 HP Laser System
6358 XTM Cu Punching Machine
6359 Xylon Cougar VXP X-Ray
6360 Xyron 2500 Laminator
6361 Yamada RH 87 2 Trim System
6362 Yamada DE 62 Oven
6363 Yamaha SCARA Robot
6364 Yamaha KX 2 X-Ray
6365 Yamaha KJK-M1500-010 Feeder
6366 Yamaha KJK-M1300-010 Feeder
6367 Yamaha EG 200 Imaging Unit
6368 Yamato DKN 600 Natural Convection Oven
6369 Yamato DX 600 Oven
6370 Yamato DNE 610 Bake Oven
6371 Yamato DP 63 Oven
6372 Yamato Curing Oven
6373 Yamato #IC 600 Incubator
6374 Yamato DKN 600 Constant Temperature Oven
6375 Yamato Scientific DKN 400 Oven
6376 Yamato Scientific BH 300 Thermo Elite Measurement Process Machine
6377 Yambo LT 102146 Etching Machine
6378 Yan Cheng M 3025 Buffering System
6379 Yang Analytical Prober Unit
6380 Yang Electronic System YAF-1000 PM-GLR Prober
6381 Yangfa YF-4050 HP Water Cleaner
6382 Yangfa Industrial MCB-3000 CMOS Cleaner
6383 Yangzhou Shekonic Electr WWL LDX Power Supply
6384 Yangzhou Shuanghong Ele WWL PD Power Supply
6385 Yant Ultrasonic Cleaning Machine
6386 Yaskawa ES 165 N Robot
6387 Yaskawa ES 165 N Robot
6388 Yaskawa Moter Mixer
6389 Yasnac MRC II / SV 3 Robot
6390 Yasnac MRC II / SV 3 Robot
6391 Yasnac MRC Robot
6392 Yeong Shin Digital Circulating Heated Water Bath
6393 Yes 58 Oven
6394 Yes 15 Vapor Prime Oven
6395 Yes LP III HMDS Vapor Primer Oven
6396 Yes 5 Hexa Methyl Di Silazane (HMDS) Oven
6397 Yes 3 Oven
6398 Yes 58-SM Image Reversal Oven
6399 Yes 58 Oven
6400 Yes LP III M 3 Hexa Methyl Di Silazane (HMDS) Vacuum Ba
6401 Yes 310 Oven
6402 Yes 6112 HMDS Oven
6403 Yes 58 SM Hexa Methyl Di Silazane (HMDS) Vacuum O
6404 Yes Tech B 2 AOI AOI
6405 Yestech YTX-3000 Imaging System
6406 Yestech YTV 2000 Automated Optical Inspection (AOI) system
6407 Yestech YTV F 1 Automated Optical Inspection (AOI) system
6408 Yestech F 1 AOI
6409 Yestech 2020 Automated Optical Inspection (AOI) system
6410 Yestech 2010 Automated Optical Inspection (AOI) system
6411 Yestech YTV F 1 Automated Optical Inspection (AOI) system
6412 Yestech YTV 2000 AOI
6413 Yestech YTV F 1 Automated Optical Inspection (AOI)
6414 Yestech YTV F 1
6415 Yi Chuan ZX 600 DH Eyelet Inserter
6416 Yidelong SP-982 Dispenser
6417 Yidelong SP-982 Dispenser
6418 Yidelong SP-982 Dispenser
6419 Yihong S 3000 N Electron Microscope
6420 Yingkou Jinchen Machinery Laminating
6421 Yingkou Jinchen Machinery Automation Line
6422 Yingkou Kinah Machinery 300 MW Assembly Line
6423 Yishi VP 800 Steam Furnace
6424 Yishi VP 800 Steam Furnace
6425 Yitong YTO 06 A Chiller
6426 YMJ ICP 6000 PVC Punching Machine
6427 YMJ ICP Chip Punching Machine
6428 YMJ Index Hold Punching Machine
6429 YMJ Wire Bonding Machine
6430 Yokagawa DL 1540 C Oscilliscope
6431 Yokagawa Electrical Work 2041 Portable Single Phase Watt Meter
6432 Yokogawa MT 6060 Probe
6433 Yokogawa M 530 Line Computer
6434 Yokogawa W 3000 Power Analyzer
6435 Yokogawa TDLS 8000 Oxygen Laser Analyzer
6436 York Chiller
6437 York Y K Q 2 Q 1 H 1 Chiller
6438 York Roof Top Commercial Heat Air Ventilation Cooling System (HAVC)
6439 York Technology FK 11 STD Fiber Cleaver
6440 Yoshida TSL 60 S Thermal Shock
6441 Yoshikawa Rotary Grinder
6442 Youcheng Printing 8002
6443 Youfeng CCD
6444 Young Jin AYD 012 Y Conveyor
6445 Young Jin ACC 60 Y Conveyor
6446 Young Jin AGI 80 Y Conveyor Gate
6447 YRSC Roll to Sheet Cutting Machine
6448 Yuan Tiansheng YTS 100 DHD Automatic Slicer
6449 Yuan Xing Wafer Packaging Machine
6450 Yujin Discom SW-15003 Automatic Screen Printer
6451 Yumex THC 09 W H 2 S Water Cooled Chamber
6452 Yumex THC 09 W H 2 S Water Cooled Chamber
6453 Yunnan Machine Tool Wo CY 6266B X 1500 Lathe
6454 YUP Bench
6455 Yushin Robot
6456 Z Corp Z 450 3D Printer
6457 Zanchetta Roto Cube Lab
6458 ZBV Wafer Stacker
6459 Zebra ZUPS 1000 N UPS Unit
6460 Zebra S 600 Label Printer
6461 Zebra Printer
6462 Zebra PAX 410 Printer
6463 Zebra Label Printer
6464 Zebra 110 xilll Labeling
6465 Zebra 170 I Thermal Bar Code Printers
6466 Zebra ZM 600 Printer
6467 Zebra Z 4 M
6468 Zebra ZM 400 Printer
6469 Zebra Printer
6470 Zecchetti PAL 300 B PET
6471 Zed L-3 Vacuum Forming Machine
6472 Zeeko IRP 100 CNC Polisher
6473 Zeiss DB 900 CMM
6474 Zeiss Axiotron 2 Optical Inspection Micros
6475 Zeiss Axiotron Optical Inspection Micros
6476 Zeiss Axiotron II Inspection Microscope
6477 Zeiss Axiotron II Inspection Microscope
6478 Zeiss Axiotron II Inspection Microscope
6479 Zeiss Axiotron II Optical Inspection Micros
6480 Zeiss Axiotron 2 Microscope
6481 Zeiss H 8
6482 Zeiss CDC 200 Critical Dimension Control
6483 Zeiss Apotome
6484 Zeiss DSM 940 Digital Scanning Microscope System
6485 Zeiss 510 Inverted Confocal Microscope
6486 Zeiss Microscope
6487 Zeiss Universal Research Microscope
6488 Zeiss LSM 20 Confocal Microscope
6489 Zeiss Axioscope 40 Optical Microscope
6490 Zeiss Imager M 2 m High Mag Microscope
6491 Zeiss / Leo 1560 SEM
6492 Zeks 160 ZPA 1 HE 000 Compressor
6493 Zeks 125 HSEA 200 Dryer
6494 Zenith Ultrasonics 260 2 Passivation Tank
6495 Zero BNP 720 900 R & DL Blast Cabinet
6496 Zero BNP 520 Blast Cabinet
6497 Zero 1642 Blast Cabinet
6498 Zevatech / Juki TR 3 D
6499 Zevatech / Juki FM 760
6500 Zevatech / Juki FS 750
6501 Zezatech FM-740
6502 Zhejiang 4020 Sawing Machine
6503 Zhejiang Xiling ZXJ 7016 Bench Drill
6504 Zheng Qi ESCA 35 Tape Heavy Industry Machine
6505 Zhong Ni International Nano Dry Independent Drying Equipment: SUS 316
6506 Zhongdian Technology Laser Repair
6507 Zhongye Electronics(Suzh 7440 IV Tester
6508 Zhuhai Bojay Electronics Bojay E 2 Tester
6509 Zhuhai Bojay Electronics BF 00047 E2E Tester
6510 Zinter Gantry Crane
6511 Zippe SD 300604 S Dustproof Rotary Restributer
6512 Zippe Mixer
6513 Zwick Top Load Device or Vertical Compression Tester
6514 Zygo
6515 Zygo Mark II Interferometer
6516 Zygo Mark III-01
6517 Zygo NewView 7200 Profilometer
6518 Zygo Newview 5000
6519 Zygo NewView 5000 Optical Profiler

Please contact us for more information on the product:

[dynamichidden dynamichidden-813 "CF7_URL"]

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

ID-SS5816-1-1-/3

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers