Top

Equipment Parts

Category:

Description

The following Equipment Parts are only for end user. Please contact us if you have any questions. Subject to prior sale without notice. Appreciate your time!

Condition: Used

1 3 S 3622 Laminator
2 3 S 3621 Laminator
3 3D Systems ProJet 3510 HD Plus 3D Printer
4 3D Systems ProJet 1200 3D Printer
5 3D Systems Projet 6000 3D Printer
6 3M 29200 Case Sealer
7 3M 716 Wrist Strap Tester
8 3M 963 E Benchtop Air Ionizer
9 3M 960 Mini Air Ionizer
10 3M Counterweight Fall Protection System
11 3M A 80 Top & Bottom Case Sealer
12 3M Matic 200 A Case Sealer
13 3M Matic A 80-10700 Case Sealer
14 3S Swiss Solar S 1815 E Laminator
15 4Probes RTS 5 Sheet Resistance Tester
16 A & A Sheet Metal Produc Se-Cur-All Storage Cabinet
17 A & B Process Systems Mixer Tank
18 A&D FG 30 K Scale
19 A&D FC 50 Ki Counting Scale
20 A&D SV 10 Vibro Viscometer
21 A.O. Smith P 56 P 2 T 11 B 3 AC Motor
22 A.O. Smith S 56 C 23 A 01 AC Motor
23 AAF N RotoClone
24 AAF N Rotoclone ARR D Dust Collector
25 Abaxis Vetscan HM 5 Hematology Analyzer
26 Abaxis Vetscan VS 2 Chemistry Analyzer
27 Abaxis i-Stat Specialty Analyzer
28 Abaxis VSpro Coagulation Analyzer
29 ABB IRB 6400 R Robot
30 ABB SACE 1600 A Circuit Breaker
31 ABB Screenmaster RVG 200 Paperless Recorder
32 Abbe Applied Technology V 6 – 70 CS Roller Laminator
33 Abbott ARC L 2000 SR
34 Abbott ARC L 2 SR
35 Abecon Conveyer
36 ABM Mask Aligner
37 Abrasive Engineering Manual Blasting
38 Abrasive Finishing 2016 Tumbler
39 AC Tech Variable Frequency Drive (VFD)
40 Accela Printer
41 Accent Caliper Elan Overlay Q 300 Overlay Measurement
42 Accent Optical Caliper Q 300 Overlay Measurement Sys
43 Accent Optical Caliper Q 300 Biorad Overlay Measurement
44 ACCO P 1130 Hydraulic Press
45 Accraply 206 LH Labelizer Machine
46 Accraply ALS 206 LH Labelizer Machine
47 Accretech / TSK Win-Win 50 / 1600 Bright Field Inspection Sys
48 Accretech / TSK X 1412 Prober Inking System
49 Accretech / TSK MHF 6000 Manipulator
50 Accretech / TSK MHF 6000 Manipulator
51 Accretech / TSK APM 90 A Prober
52 Accretech / TSK AD W 5000 A Dicing Saw Machine
53 Accretech / TSK AD W 300 T Dicing Saw Machine
54 Accretech / TSK S-LM 116 GC Saw
55 Accretech / TSK UF 3000 Wafer Prober
56 Accretech / TSK UF 200 Prober
57 Accretech / TSK UF 200 A Probers
58 Accretech / TSK UF 200 Prober
59 Accretech / TSK PG 300 RM Wafer Back Grinder
60 Accretech / TSK UF 2000 Wafer Prober
61 Accretech / TSK UF 3000 Wafer Prober
62 Accretech / TSK UF 200 S Wafer Prober
63 Accretech / TSK UF 200 SA Wafer Prober
64 Accretech / TSK APM 90 A Wafer Prober
65 Accretech / TSK UF 2000 Prober
66 Accretech / TSK UF 3000 Prober
67 Accretech / TSK UF 3000 Prober
68 Accretech / TSK UF 3000 Prober
69 Accretech / TSK UF 3000 Prober
70 Accretech / TSK UF 3000 Prober
71 Accretech / TSK UF 3000 Prober
72 Accretech / TSK UF 3000 Prober
73 Accufiber 100 C
74 Accuglass 399 Sensor
75 Accura Sterile Garments Cupboard
76 Accura Visitors Gown Cupboard
77 Accura Technics CNC Grinding Machine
78 AccuRate Small Feeder
79 ACD Technologies 30 IS Solder Mask Developer
80 Ace Gragon AH-270 Oven
81 Acer Supra-1020 Surface Grinder
82 Acer M-S 48 Mouse
83 ACF Applied Critical Fluide GmbH Tube Set
84 ACME PS 2 L-1500 Electronic Load
85 ACME PS 2 L-1000 Solid State Load
86 Acme Electric Welder
87 Acme Electric T-2-52707-1 Autotransformer
88 Acme Electric DTGA 0274 S Transformer
89 ACME Transformer 61466 Universal Photonics Oven
90 Acopian A 48 MT 180 Power Supply
91 ACP 120 Vacuum Pump
92 Acra GH 1440 A Conventional Lathe
93 Acra VS 08 CNC FG 3 Knee Mill
94 ACS DES Line
95 ACS Standalone Resist Stripper Filtration System
96 ACT Chiller Machine
97 Acteon Carrier Test Jig
98 Acteon Tool Load Port Test Jig
99 Acterna HCU 400 PathTrak Module Controller
100 Acterna RSAM 5600 Remote Service Analyzer Module
101 Acton SP 2150 i Monochromator / Spectrograph
102 Adam 500L Mixer
103 Adam CR 50 KG Mixer
104 Adam Equipment PGW 753 i Scale
105 Adam Fabriwork HM 500 Mixer
106 Adapt MB-10 M Accumulator
107 ADCO Packaging Machine
108 ADE 9300
109 ADE Nanomapper
110 ADE CR 80 Wafer Inspection System
111 ADE CR 81 Wafer Inspection System
112 Adept Robotic Arm
113 Adisen Vacuum Pump
114 Adixen IS 0179 + 2033 C 2 Pumps
115 Ador iDUS Deep Cooled Spec CcD
116 ADS Laminaire Ivgel 12 Hood
117 ADS Laminaire MAV Universe Hood
118 ADT 7200 ProDice Dicing Saw
119 ADT 7200 Megadice Fully Automatic Dicing System
120 ADT 7200 Wafer Saw
121 ADT 977 D Wafer Coating System
122 ADT 977 Wafer Washer System
123 ADT / K&S Precision 7100 Dicing Saw
124 Adtech MPDR 82
125 Adv Micro Product WL 200 Wafer Backside Inspection
126 Advance SR 1100 Floor Sweeper
127 Advanced Cooling Tower S AT 5
128 Advanced Cutting Systems CNC Plasma Cutter
129 Advanced Energy ID 2500
130 Advanced Energy MDX-L 12 M Power Supply
131 Advanced Energy MDX-6 Power Supply
132 Advanced Energy PE-II-10 K Power Supply
133 Advanced Energy Slave Generator
134 Advanced Energy Cesar 136 RF Generator
135 Advanced Energy Cesar 1312 RF Generator
136 Advanced Energy Cesar 4010 RF Generator
137 Advanced Energy Cesar 405 RF Generator
138 Advanced Energy Cesar Lot of RF Generator
139 Advanced Energy Crystal 60 Power Supply
140 Advanced Energy RF 30 S Generator
141 Advanced Energy RF 20 Generator
142 Advanced Energy RF 10 Generator
143 Advanced Energy Generators
144 Advanced Plasma Systems B Series 8
145 Advanced Power Systems AR 12-200/D-F ARC Power Supply
146 Advanced Power Systems HS-7v2  B-40/100 BIAS Power Supply
147 Advanced Spin System SV-702 Spin Rinse Dryer (SRD)
148 Advanced Techniques PRO 1600 Reflow Oven
149 Advanced Vacuum Vision 310 Plasma-Enhanced Chemic
150 Advantage M 1-1.5 A-21 HFX Chiller
151 Advantage Lab Freeze Dryer
152 Advantage M 1 D 15 AF Chiller
153 Advantage Engineering SK-1035 HEP-41 D1-300 Water Temperature Controller
154 Advantec SF 3120
155 Advantec XPLO D 28 Semi Automatic Wafer Detaper
156 Advantech FSP 700-80 PSA Power Supply
157 Advantek Industrial CPU
158 Advantek Tape Laminator
159 Advantek UTP 400 Tape Delaminator
160 Advantek Tape Laminator
161 Advantek ATR 1000 Manual Taping Machine
162 Advantest T 5371 Tester
163 Advantest T 5571 P Parametric Wafer Tester
164 Advantest T 5771 Test Equipment
165 Advantest Q 8163 Optical Polarization Scrambler
166 Advantest M 6761 AD Dynamic Test Handler
167 Advantest M 6771 AD Dynamic Test Handler
168 Advantest T 5365 Tester System
169 Advantest T 5501 Tester System
170 Advantest T 5581 H Tester System
171 Advantest T 5585 Tester System
172 Advantest M 4541 Handler
173 Advantest Tr 6846 Digital Multimeter
174 Advantest T 5592
175 Advantest R 3371 A Spectrum Analyzer
176 Advantest R 3162 Spectrum Analyzer
177 Advantest M 6541 AD Dynamic Test Handler
178 Advantest T 5365 TAG 5 Tester Mainframe
179 Advantest T 5571 Tester Mainframe
180 Advantest V 3308 Tester
181 Advantest R 3162 Spectrum Analyzer
182 Advantest 93000 Tester
183 Advantest 93000 Tester
184 Advantest 93000 Tester Frame
185 Advantest M 4500 Handler
186 Advantest 93000 Tester
187 Advantest 93000 Tester
188 Advantest T 5723 Memory Tester
189 Advantest V 3300 Tester
190 Advantest E 3630 Photomask CD SEM
191 Advantest T 2000 Tester
192 Advice DF 173158 GA DC Power Supply
193 Advice P 3625 T Triple DC Power Supply
194 Adwill RAD 2500 Package Mounter
195 AEC G 1012 P 19705 Granulator
196 AEG Thyrobox H 2 DC Process Power Supply
197 AEG Throbox H 2 Power Supply
198 Aehr Burn-In Oven
199 Aehr Z 00324249 Burn In Oven
200 Aehr MAX-2 Dynamic Burn-In System
201 Aehr Burn-In Oven
202 Aehr Test Systems Max Oven
203 Aehr Test Systems Max 2 Oven
204 Aero 250 Resist Development & Drying Line
205 Aero 4060 Post Mold Treatment for LFGA
206 Aero 450 Etching Horizontal Line
207 Aero 650 Blue Ink Stripping System
208 Aero 950 R Immersion Tin Plating Line
209 Aero GPE 5 K Resist Exposure Machine
210 Aero Motive Table
211 Aeroflex LTE 7100 Digital Radio Test Set
212 Aeroflex PXI
213 Aeroflex 1430-4 High Power Coaxil Termination
214 Aero-Go BX 64
215 Aerotech PS 05 Metrology
216 Aeroton Aero 886 Immersion Plating Line
217 AES 2011053-00 Forming Gas Panel
218 AES FSK 011-12 Ammonia Gas Cabinet
219 AES Ammonia Gas Cabinet
220 Aetec Thermal Cyiling Test Machine
221 Aetec ALX-P 718-1 SR Thermal Cycling Chamber
222 Aetec ALX-P 718-2 SR Heat Cycle Tester
223 Aetrium 5050 T Handler
224 Aetrium 5050 T Handler
225 Aetrium 55 V 6
226 Aetrium A 5050 T Handler
227 Aetrium 6000 Bubble Detector
228 Aetrium 5050 Handler
229 Aetrium 505 DTS Handler
230 Aetrium 5050 Handler
231 Aetrium V 16 Handler
232 Aetrium Test Handler
233 Aetrium Media Handler
234 Aetron Luminous Mini Thin-Layer Chromatography (TLC) Documentation System
235 Aetruim 5050 QTS Test Handler
236 Aetruim 5050 DTS Test Handler
237 Aetruim 5050 S Test Handler
238 Aetruim 5050 QTS Test Handler
239 Aetruim 5050 DTS Test Handler
240 Aetruim 5050 S Test Handler
241 AFC BBS 15/16 D-TS Ultra Broad High Power Fiber Source
242 AFC BBS 1310 High Power Broadband Light Source
243 AFC BBS 1550 Broadband Light Source
244 AFC BBS 980 Broadband Light Source
245 Affinity PAE-020 L BE 38 CB 04 Chiller
246 AFL CL 1000
247 AG Associates Heatpulse 210 RTP
248 AG Associates Heatpulse 410
249 AG Associates Heatpulse 210 Oven
250 Agar Low Speed Diamond Saw and Polisher
251 Agaram Industries Refractometer
252 AGC Ling 72KVA Amplifier Cabinet
253 Aget 60 SN 70 D 2 SP Cyclone Dust Collector
254 Agie Agiecut 100 Wire EDM
255 Agilent VSMD 301 Leak Detector
256 Agilent 7004 A BERT and Pattern Generator and Detector Measurement System
257 Agilent 86116 C Electrical Module
258 Agilent Spectrum Analyzer
259 Agilent 8662 Signal Generator
260 Agilent E 4411 B Spectrum Analyzer
261 Agilent 3577 A Network Analyzer
262 Agilent 87510 A Grain-Phase Analyzer
263 Agilent 6611 C Power Supply
264 Agilent 2645 A
265 Agilent DC Test Platform
266 Agilent F 330 Test Platform
267 Agilent 34401 A Digital Multimeter
268 Agilent E 3632 A Power Supply
269 Agilent 8720 C Network Analyzer
270 Agilent 8720 C Network Analyzer
271 Agilent 8510 C Network Analyzer
272 Agilent 8714 C
273 Agilent 33120 A Function / Wave Form Generator
274 Agilent 81594 B
275 Agilent 81578 A
276 Agilent 81595 B
277 Agilent 8166 A
278 Agilent 8166 B
279 Agilent 8133 A Pulse / Pattern Generator
280 Agilent Logic Analyzer
281 Agilent 6012 Power Supply
282 Agilent E-6000 OTDR
283 Agilent 34401 A
284 Agilent E 5250 A
285 Agilent 6035
286 Agilent 6035 A Power Supply
287 Agilent 54815 A Infinium Oscilloscope
288 Agilent 4145 B Semiconductor Parameter Analyzer
289 Agilent 54502 A Digitizing Oscilloscope
290 Agilent 6205 B DC Power Supply
291 Agilent 8648 B Signal Generator
292 Agilent 3562 A Dynamic Signal Analyzer
293 Agilent 4195 A Network / Spectrum Analyzer
294 Agilent 54503 A Digitizing Oscilloscope
295 Agilent 8714 C Network Analyzer
296 Agilent U 2751 A
297 Agilent U 2761 A
298 Agilent U 2331 A
299 Agilent U 2004 A
300 Agilent U 8002 A
301 Agilent N 1922 A
302 Agilent U 2121 A
303 Agilent U 2353 A
304 Agilent U 2802 A
305 Agilent 4155 C
306 Agilent 54510 B Oscilloscope
307 Agilent 54512 B Oscilloscope
308 Agilent 8656 B Signal Generator
309 Agilent 8901 B Modulation Analyzer
310 Agilent 85662 A Spectrum Analyzer Display
311 Agilent 8568 B Spectrum Analyzer
312 Agilent 8753 A Network Analyzer
313 Agilent 5371 A Frequency and Time Interval Analyzer
314 Agilent E 3610 DC Power Supply
315 Agilent E 3616 DC Power Supply
316 Agilent 8082 A Pulse Generator
317 Agilent 6624 A Power Supply
318 Agilent Lightwave Component Analyzer
319 Agilent 5 DX X-ray Machine
320 Agilent 7694 Headspace Sampler
321 Agilent 5 DX X-Ray
322 Agilent Triscroll Pump
323 Agilent 5 DX Series 5000 X- Ray
324 Agilent 8960
325 Agilent 34401 A Digital Multimeter
326 Agilent 86100 A Infiniium DCA Wide-Bandwidth Oscilloscop
327 Agilent 8133 A Pulse Generator
328 Agilent 1653 B Logic Analyzer
329 Agilent Infinium Oscilloscope
330 Agilent 6624 A System DC Power Supply
331 Agilent 4278 A LCR Meter
332 Agilent 4268 A LCR Meter
333 Agilent 4288 A LCR Meter
334 Agilent 16801 Network Analyzer
335 Agilent N 9360 A
336 Agilent E 3634 A Power Supply
337 Agilent E 3648 A Power Supply
338 Agilent N 6700 B Modular Power System Mainframe
339 Agilent E 1410 A Digit Multimeter, VXI
340 Agilent E 3648 A Power Supply, DC (IP)
341 Agilent E 3646 A Power Supply, DC (EEC)
342 Agilent 34980 A Data Acquisition / Switch Unit (380-0092)
343 Agilent 34980 A Data Acquisition Unit (380-0088)
344 Agilent 34980 A Data Acquisition / Switch Unit (380-0110)
345 Agilent 6626 A System DC Power Supply
346 Agilent 54622 D Mixed Signal / Oscilloscope
347 Agilent 1631 D Logic Analyzer
348 Agilent 3400 A RMS Voltmeter
349 Agilent 3466 A Digital Multimeter
350 Agilent 3575 A Gain-Phase Meter
351 Agilent 4192 A LF Impedance Analyzer
352 Agilent 4262 A Digital Multi Frequency Lcr Meter
353 Agilent 4262 A LCR Meter
354 Agilent 436 A Power Meter
355 Agilent 437 B Power Meter
356 Agilent 5316 A Universal Counter
357 Agilent 5328 A Universal Counter
358 Agilent 5328 B Universal Counter
359 Agilent 5335 A Universal Counter
360 Agilent 5335 A Universal Counter
361 Agilent 54520 A Oscilloscope
362 Agilent 6186 B DC Current Source
363 Agilent 6201 B DC Power Supply
364 Agilent 6203 B DC Power Supply
365 Agilent 6207 B DC Power Supply
366 Agilent 6216 A Power Supply
367 Agilent 6217 A Power Supply
368 Agilent 6227 B Dual AC Power Supply
369 Agilent 6236 B Power Supply
370 Agilent 6274 B DC Power Supply
371 Agilent 6282 A DC Power Supply
372 Agilent 6551 A DC Power Supply
373 Agilent 6552 A DC Power Supply
374 Agilent 6826 A Power Supply
375 Agilent 8175 A Digital Signal Generator
376 Agilent 8180 B Data Generator
377 Agilent 8620 C Sweep Oscillator
378 Agilent 8753 A Network Analyzer
379 Agilent Digital Voltage Source
380 Agilent 6274 B Power Supply
381 Agilent E 3640 A Power Supply
382 Agilent 1664 A Logic Analyzer
383 Agilent 59501 B DAC Programmer
384 Agilent 4195 A Network / Spectrum Analyzer
385 Agilent 6671 A DC Power Supply
386 Agilent DS 05304 A Digital Storage Oscilloscope
387 Agilent 33120 A Function / Waveform Generator
388 Agilent E 3631 A Triple Output DC Power Supply
389 Agilent 3456 A Digital Voltmeter
390 Agilent Pattern Generator / Error Detector
391 Agilent 4395 A Network/Spectrum/Impedance Analyzer 10 Hz to 500 MHz
392 Agilent N 5106 A PXB Baseband Generator and Channel Emulator
393 Agilent 2100 Bioanalyzer DNA, RNA, Protein and Cell Analysis
394 Agilent 8960 Phone Tester
395 Agilent E 4411 B Spectrum Analyzer 1.5GHz
396 Agilent EISA-L 1500 A Spectrum Analyzer 1.5GHz
397 Agilent 89441 VSA
398 Agilent 4142 B Modular DC Source / Monitor
399 Agilent 54542 A Oscilloscope
400 Agilent 6030 A System Power Supply
401 Agilent 8592 B Spectrum Analyzer
402 Agilent 8568 A Spectrum Analyzer
403 Agilent 5 DX X-Ray Machine
404 Agilent 6221 TOF Source
405 Agilent 6224 TOF Source
406 Agilent SH 110 Screw Vacuum Pump
407 Agilent 70004 A Display Computer
408 Agilent 8504 B Precision Reflectometer
409 Agilent 83438 A Erbium ASE Source
410 Agilent 86061 C Lightwave Switch
411 Agilent 86060 C Lightwave Switch
412 Agilent 5316 A Universal Counter
413 Agilent 6516 A DC Power Supply
414 Agilent E 3632 A DC Power Supply
415 Agilent 6515 A DC Power Supply
416 Agilent 6206 B DC Power Supply
417 Agilent 6205 B Dual DC Power Supply
418 Agilent 200 CD Wide Range Oscillator
419 Agilent 6522 A DC Power Supply
420 Agilent 2457 A
421 Agilent 6634 B System DC Power Supply
422 Agilent 5316 B Universal Counter
423 Agilent 8903 B Audio Analyzer
424 Agilent 34401 A Multimeter
425 Agilent 54610 B Oscilloscope
426 Agilent 8116 A Pulse / Function Generator
427 Agilent 3488 A Switch Control Unit
428 Agilent 6295 C Dual DC Power Supply
429 Agilent 4947 A Transmission Impairment Measuring Set
430 Agilent Harrison 6253 A Dual DC Power Supply
431 Agilent Harrison 6428 B DC Power Supply
432 Agilent E 366 XA, E 390 XA Series, Electric Cabinet
433 Agilent AT 82357 B USB to GPIB Connector Interface
434 Agilent 34401 A Multimeter Digital
435 Agilent 34970 A Data Acquistion / Switch Unit
436 Agilent 8561 B Spectrum Analyzer
437 Agilent 8656 A RF Signal Generator
438 Agilent 6216 A DC Power Supply
439 Agilent 8563 E Spectrum Analyzer
440 Agilent 8590 L Spectrum Analyzer
441 Agilent 7683 B ALS Injector
442 Agilent G 2912 A ALS Controller
443 Agilent 33220 A Waveform Generator
444 Agilent 33220 A Waveform Generator
445 Agilent E 3634 A Power Supply
446 Agilent E 3634 A Power Supply
447 Agilent E 3634 A Power Supply
448 Agilent E 3634 A Power Supply
449 Agilent E 3634 A Power Supply
450 Agilent N 3300 A Electronic Load Test Equipment
451 Agilent N 3306 A Electronic Load Test Equipment
452 Agilent N 3306 A Electronic Load Test Equipment
453 Agilent 34410 A Multimeter
454 Agilent 9207 Network Analyzer
455 Agilent 80525 A Optical Head
456 Agilent 81521 B Optical Head
457 Agilent 81524 A Optical Head
458 Agilent 81533 B Optical Head Interface
459 Agilent 81533 B Power Meter
460 Agilent 81534 A Return Loss Module
461 Agilent 8153 A Lightwave Multimeter
462 Agilent 81553 SM Laser Source
463 Agilent 81618 A Power Meter
464 Agilent 81619 A
465 Agilent 81623 B+KA Power Meter Detector Head
466 Agilent 8163 A Lightwave Mainframe
467 Agilent 8163 A + 81618 A + 81623 Lightwave Multimeter
468 Agilent 81654 A Laser
469 Agilent 86142 B Optical Spectrum Analyzer
470 Agilent 81625 A, 81625 B
471 Agilent 86037 C Chromatic Dispersion Test Solution System
472 Agilent 81533 B Interface Module
473 Agilent 81525 A Optical Head
474 Agilent 86142 A Optical Spectrum Analyzer
475 Agilent E 5574 A Optical Loss Analyzer
476 Agilent 81533 A Interface Optical Head
477 Agilent 8594 E Spectrum Analyzer
478 Agilent E 3611 A DC Power Supply
479 Agilent E 3620 A Dual Output Supply 6603 D
480 Agilent 6209 B DC Power Supply
481 Agilent 712 C Power Supply
482 Agilent E 3620 A DC Power Supply
483 Agilent E 3620 A DC Power Supply
484 Agilent 6209 B DC Power Supply
485 Agilent 6002 A DC Power Supply
486 Agilent 6227 B DC Power Supply
487 Agilent 712 C Power Supply
488 Agilent 7550 High Speed Plotter
489 Agilent 7090 A Plotting
490 Agilent 8007 A Pulse Generator
491 Agilent 3497 A Data Acquisition System
492 Agilent 1980 A Digital Oscilloscope
493 Agilent 3200 B VHF Oscillator
494 Agilent 3300 A Function Generator
495 Agilent N 5181 A Analog Signal Generator
496 Agilent 53181 A Frequency Counter
497 Agilent E 4406 A VSA Series Transmitter Tester
498 Agilent 53132 A Universal Counter
499 Agilent 6624 Power Supply
500 Agilent 53230 A
501 Agilent 34401 A
502 Agilent 8753 E
503 Agilent Infiniium 54825 Oscilloscope
504 Agilent 1620 Logic Analyzer
505 Agilent 8133 A Pulse Generator
506 Agilent 53310 A Modulation Domain Analyzer
507 Agilent 6030 A
508 Agilent 6038 A
509 Agilent N 6736 B CFG 001
510 Agilent N 6776 A-MY 45000840 DC Power Module
511 Agilent 6655 A DC Power Supply
512 Agilent 6614 C
513 Agilent 5400 5 DX S 3 X-ray Inspection
514 Agilent 86120 B Multi-Wavelength Meter
515 Agilent 8164 B Lightwave Measurement System
516 Agilent 81576 A Variable Optical Attenuator Module
517 Agilent 86120 C Multi-Wavelength Meter
518 Agilent 86103 A
519 Agilent 86130 A BitAlyzer Error Performance Analyzer
520 Agilent 83493 A Single-Mode Clock Recovery Module
521 Agilent 8163 A Lightwave Multimeter
522 Agilent 86120 C Multi-Wavelength Meter
523 Agilent 83493 A Single-Mode Clock Recovery Module
524 Agilent 83493 A Single-Mode Clock Recovery Module
525 Agilent 83493 A Single-Mode Clock Recovery Module
526 Agilent 83493 A Single-Mode Clock Recovery Module
527 Agilent 83493 A Single-Mode Clock Recovery Module
528 Agilent 8510 B Network Analyzer
529 Agilent 4291 A Impedance Material Analyzer
530 Agilent 4195 A Network Analyzer
531 Agilent 86100 A Infinium Wide Band Oscilloscope
532 Agilent 8163 A Lightwave Multimeter
533 Agilent E 4402 B Spectrum Analyzer
534 Agilent E 6607 A EXT Wireless Communication Test Set
535 Agilent E 4416 A Power Meter
536 Agilent 3561 A Dynamic Signal Analyzer
537 Agilent 8565 A Spectrum Analyzer
538 Agilent 8754 A Network Analyzer
539 Agilent 8643 A Synthesized Signal Generator
540 Agilent 34401 A Multimeter
541 Agilent 355 C VHF Attenuator
542 Agilent 355 D VHF Attenuator
543 Agilent 3561 A Dynamic Signal Analyzer
544 Agilent 3611 A Power Supply
545 Agilent 5382 A Frequency Counter
546 Agilent 58503 A GPS Reference Receiver
547 Agilent 59306 A Relay Actuator
548 Agilent 6205 B Dual DC Power Supply
549 Agilent 6205 C DC Power Supply
550 Agilent 6218 A Power Supply
551 Agilent 6234 A Power Supply
552 Agilent 7470 A Plotter
553 Agilent 8012 B Pulse Generator
554 Agilent 8013 B Pulse Generator
555 Agilent 8350 B Sweep Generator
556 Agilent 83522 A RF Plug-In
557 Agilent 8481 A Power Sensor
558 Agilent 8484 A Power Sensor
559 Agilent 8530 B Sweep Oscillator
560 Agilent 8656 B Signal Generator
561 Agilent 8780 A Vector Signal Generator
562 Agilent 33220 A
563 Agilent 81130 A
564 Agilent 8665 A
565 Agilent 8720 ES
566 Agilent E 4405 B
567 Agilent E 4432 B
568 Agilent 16903 A
569 Agilent 34401 A
570 Agilent 6031 A
571 Agilent 66321 D
572 Agilent 6632 A
573 Agilent 8903 B
574 Agilent E 3630 A
575 Agilent E 3631 A
576 Agilent Infiniium
577 Agilent 16500 C Logic Analyzer
578 Agilent 16702 B Logic Analyzer
579 Agilent 16717 A Timing Analyzer
580 Agilent 33220 A Waveform Generator
581 Agilent 33250 A Waveform Generator
582 Agilent 54602 A Oscilloscope
583 Agilent AT 33522 A-M 1 Waveform Generator
584 Agilent E 4407 B-OPTS 21 Spectrum Analyzer
585 Agilent Infiniium 54855 A DSO Oscilloscope
586 Agilent 8510 Network Analyzer
587 Agilent 8515 A Network Analyzer
588 Agilent 8562 A
589 Agilent 8719 C
590 Agilent 8453 A UV-Visible Spectrophotometer
591 Agilent 86100 A Infiniium DCA Wide Bandwidth Oscilloscope
592 Agilent 8163 A Lightwave Multimeter
593 Agilent Spectrum Analyzer
594 Agilent 347 B Power Meter
595 Agilent 34970 A Data Acquisition
596 Agilent 436 A Power Meter
597 Agilent 437 A Power Meter
598 Agilent 437 B Power Meter
599 Agilent 54502 A Oscilloscope
600 Agilent 8350 B Sweep Generator
601 Agilent 8350 B Sweep Oscillator
602 Agilent 8620 C Sweep Generator
603 Agilent 8720 C Network Analyzer
604 Agilent 87520 Scalar
605 Agilent 8757 A Scalar Analyzer
606 Agilent 8757 A Scalar Network Analyzer
607 Agilent 8757 D Scalar Network Analyzer
608 Agilent 8970 B Noise Figure Meter
609 Agilent 16702 A Logic Analyzer
610 Agilent 16702 B Logic Analyzer
611 Agilent 16500 C Logic Analyzer
612 Agilent 3497 A Data Acquisition Control Unit
613 Agilent 1631 D Logic Analyzer
614 Agilent 6890 N Gas Chromatograph
615 Agilent 6632 A System DC Power Supply
616 Agilent 8656 B Signal Generator
617 Agilent 8657 A Signal Generator
618 Agilent DS 302 Rotary Vane Vacuum Pump
619 Agilent 4145 A Semiconductor Parameter Analyzer
620 Agilent 34401 A Digital Multimeter
621 Agilent 3478 A Digital Multimeter
622 Agilent 6206 B DC Power Supply
623 Agilent 6623 A DC Power Supply
624 Agilent 6625 A DC Power Supply
625 Agilent 6634 A DC Power Supply
626 Agilent 6642 A DC Power Supply
627 Agilent 6643 A DC Power Supply
628 Agilent 6653 A DC Power Supply
629 Agilent 6654 A DC Power Supply
630 Agilent 34401 A Digital Multimeter
631 Agilent 34970 A Aquisition / Switch Unit
632 Agilent E 3611 A DC Power Supply
633 Agilent 8757A Scalar Network Analyzer
634 Agilent 8155 A Laser Source
635 Agilent 3438 A Digital Multimeter
636 Agilent 8153 A Lightwave Multimeter
637 Agilent 8157 A Optical Attenuator
638 Agilent 6269 Power Supply
639 Agilent 6269 LCR Meter
640 Agilent 4262 A
641 Agilent 8903 A Audio Analyzer
642 Agilent 33210 A Arbitrary Function Generator
643 Agilent 8153 A Lightwave Multimeter
644 Agilent 86060 C Lightwave Switch
645 Agilent 3435 A Digital Multimeter
646 Agilent 70004 A Optical Spectrum Analyzer
647 Agilent 34401 A Desktop Digital Multimeter
648 Agilent 34970 A Data Collector
649 Agilent 9009 Keyboard
650 Agilent 9109 Keyboard
651 Agilent 34401 A Multimeter
652 Agilent 34970 A Data Acquisition / Switch Unit
653 Agilent Compaq D 530 CMT CPU
654 Agilent Compaq DC 5100 SFF CPU
655 Agilent Compaq DC 5750 CPU
656 Agilent Compaq DX 2200 CPU
657 Agilent XW 4600 CPU
658 Agilent KB 0133 Keyboard
659 Agilent KB 0306 Keyboard
660 Agilent KB 0316 Keyboard
661 Agilent KB 57211 Keyboard
662 Agilent Mofyuo Mouse
663 Agilent M-S 0006 O Mouse
664 Agilent M-SBF 96 Mouse
665 Agilent Surestore DAT 24
666 Agilent Surestore DAT 25
667 Agilent M-S 0005 O Mouse
668 Agilent EX 9698805 M 001 Turbo Pump
669 Agilent 11693 A Limiter
670 Agilent 16702 A Analysis System
671 Agilent 1670 D Deep Logic Analyzer
672 Agilent 1682 AD Logic Analyzer
673 Agilent 34410 A Digital Multimeter (DMM)
674 Agilent 437 B Power Meter
675 Agilent 53181 A Frequency Counter
676 Agilent 6205 C DC Power Supply
677 Agilent 6626 A DC Power Supply
678 Agilent 66332 A Measurement DC Source
679 Agilent 8481 A Power Sensor
680 Agilent 85024 A High Frequency Probe
681 Agilent 8562 EC Spectrum Analyzer
682 Agilent 8647 A Signal Generator
683 Agilent 8657 A Signal Generator
684 Agilent DSA X 91604 A Signal Analyzer
685 Agilent DSO 1014 A Oscilloscope
686 Agilent DSO 1024 A Oscilloscope
687 Agilent DSOX 2024 A Storage Oscilloscope
688 Agilent E 4402 B Spectrum Analyzer
689 Agilent E 4411 B Spectrum Analyzer
690 Agilent E 4420 B Signal Generator
691 Agilent FRG 700 Vacuum Gage
692 Agilent FRG 702 Vacuum Gage
693 Agilent Infiniium DCA 86100 A Oscilloscope
694 Agilent 33120 A Waveform Generator
695 Agilent 6629 A DC Power Supply
696 Agilent 8131 A Function Generator
697 Agilent 83484 A Two Channel Module for Oscilloscope
698 Agilent 6624 A DC Power Supply
699 Agilent E 3611 A
700 Agilent 54645 A
701 Agilent 54622 A
702 Agilent 8012 B
703 Agilent 5334 A
704 Agilent 6237
705 Agilent 11667 A Power Splitter
706 Agilent 11708 A Attenuator
707 Agilent 11878 A
708 Agilent 22411 Switch / Control Unit
709 Agilent 33120 A Function Generator
710 Agilent 34401 A Digital Multimeter
711 Agilent 346 C Noise Source
712 Agilent 432 A Power Meter
713 Agilent 436 A Power Meter
714 Agilent 53181 A Low Frequency Counter
715 Agilent 54810 A Oscilloscope
716 Agilent 8478 B Thermistor Mount
717 Agilent 85038 80002
718 Agilent 86205 A Directional Bridge
719 Agilent Design Jet 500 Chart Printer
720 Agilent K 486 A Thermistor Mount
721 Agilent N 4694 60001
722 Agilent P 281 C Coaxial Adapter
723 Agilent R 486 A Thermistor Mount
724 Agilent 5 DX Series 5000 / 5400 Loki X-ray Tool
725 Agilent 4284 A Precision LCR Meter
726 Agilent 8164 A Lightwave Measurement System
727 Agilent 33120 A Function / Arbitrary Waveforem Generator
728 Agilent 3421 A Data Acquisition / Control Unit
729 Agilent 3852 A Data Acquisition / Control Unit
730 Agilent 5890 Series II Gas Chromatograph
731 Agilent 8591 E Spectrum Analyzer
732 Agilent 3478 A Multimeter
733 Agilent 6890 Gas Chromatograph
734 Agilent SJ 5000 PCB Inspection System
735 Agilent SP 50 PCB Inspection System
736 Agilent 3314 A Function Generator
737 Agilent 4329 A High Resistance Meter
738 Agilent 608 Monitor
739 Agilent 7004 Display
740 Agilent 16058 A Test Fixture
741 Agilent 3325 A Synthesizer / Function Generator
742 Agilent 3478 A Multimeter
743 Agilent 4145 B Analyzer
744 Agilent 53132 A Universal Counter
745 Agilent 6623 A DC Power Supply
746 Agilent 70311 A Clock Source
747 Agilent 70841 B Pattern Generator
748 Agilent 70842 B Error Detector
749 Agilent 8133 A Pulse Generator
750 Agilent 86112 A
751 Agilent Cerjac 156 MTS E 4480 A Sonet Maintenance Test Set
752 Agilent E 2809 A Operator Console
753 Agilent E 3620 A Power Supply
754 Agilent 6012 B
755 Agilent 54501 Digitizing Oscilloscope
756 Agilent GTA 120 Graphite Tube Atomizer
757 Agilent E 4438 C ESG Vector Signal Generator
758 Agilent 16500 B Logic Analyzer Mainframe
759 Agilent 16500 L Logic Analyzer Interface Module
760 Agilent 16520 A Pattern Generator
761 Agilent 16555 A Timing Card
762 Agilent 34401 A Multimeter
763 Agilent 34401 A Multimeter
764 Agilent 3468 A Multimeter
765 Agilent 3478 A Multimeter
766 Agilent 6114 A Precision Power Supply
767 Agilent 6615 A Precision Power
768 Agilent 6516 A DC Power Supply
769 Agilent 9499308 Vacuum Pump
770 Agilent DS 3402 Vacuum Pump
771 Agilent E 3611 A DC Power Supply
772 Agilent E 3631 A DC Power Supply
773 Agilent E 3641 A DC Power Supply
774 Agilent Harrison 6205 B Dual DC Power Supply
775 Agilent Triscroll PTS 03001 UNIV Dry Vacuum Pump
776 Agilent 2200 Tape station
777 Agilent 4156 B Precision Semiconductor Parameter Analyz
778 Agilent SJ 50 Automated Optical Inspection (AOI) system
779 Agilent 8751 A Network Analyzer
780 Agilent 8665 A Synthesized Signal Generator
781 Agilent E 4406 A Transmitter Tester
782 Agilent 6627 A DC Power Supply
783 Agilent 8341 A Synthesized Sweeper
784 Agilent E 4433 B Signal Generator
785 Agilent / HP 8640 B Signal Generator
786 Agilent / HP 16500 A Logic Analyzer
787 Agilent / Keysight E 8267 D PSG Vector Signal Generator
788 Agilent / Keysight M 8190 A Arbitrary Waveform Generator
789 Agilent / Keysight N 9030 B PXA Signal Analyzer
790 Agilent / Varian 700 ICP-OES
791 Agilent / Varian CP 2003 Gas Liquid Chromatograph
792 Agilent / Varian 7500 ICP-Mass Spectrometer
793 Agilent / Varian Triscroll PTS 300 Dry Scroll Pump
794 Agilent / Varian 5890 Series II Gas Chromatography Plus
795 Agilent / Varian Cary 500 Scan UV-vis-Near Infrared (NIR) Spectrometer
796 Agilent / Varian Cary 14 UV-VIS-NIR Spectrophotometer
797 Agilent / Varian 7500 cs-C ICP MS
798 Ai Lai Z 5040 A Vertical Drilling Machine
799 Ai Qualitek Optic 2 Atas Injector
800 AIBe 150 H Extruder
801 Ailtech RT 20 R Ratio Transformer
802 Aim-TTi TGR 1040 RF Generator
803 AIO Corporation Sonic Fog Oem Sf 608-4 R A10 Dryer
804 AIP CP 460 Isotatic press
805 Air Clean 5000 Vent Hood
806 Air Control 4 F-31-8 Wet Station
807 Air Control Microvoid II Laminar Flow Bench
808 Air Hydraulics AH Model 5 Ton Press
809 Air Liquide Chemfeed Chemical Dispensing Unit (CDU)
810 Air Liquide Chemfeed Chemical Dispensing Unit (CDU)
811 Air Liquide Chemfeed Chemical Dispensing Unit (CDU)
812 Air Liquide Solvent Chemcollect Acid Waste Unit
813 Air Liquide Chemcollect Solvent Waste Unit
814 Air Master Systems Corpo 48 MAF (EH-111-48) Single Pane Fume Hood
815 Air Mite AP 7 Press
816 Air Pac Laminar Air Flow
817 Air Pac Laminar Air Flow Booth
818 Air Products Dichlorosilane / Nitrogen Gas Cabinet
819 Air Products Amonia – Nitrogen Gas Cabinet
820 Air Products Nitrogen Cabinet, Single Bottle Cabinet
821 Air Products Gasgaurd 500
822 Air Products Gasgaurd 500
823 Air Products Gasgaurd 500
824 Air Products Gasgaurd 500
825 Air Products Gasgaurd 500
826 Air Products Gasgaurd 500
827 Air Products Gasgaurd 500
828 Air Products
829 Air Products
830 Air Products
831 Air Products
832 Air Products
833 Air Products Gasgaurd 500
834 Air Products
835 Air Products
836 Air Products 801-4703342 Gas Cabinet
837 Air Products 801-4701596 Gas Cabinet
838 Air Products 801-4700821 Gas Storage Cabinet
839 Air Products 881-4701695
840 Air Products 801-4701723
841 Air Products 801-4701725
842 Air Science Purair P 25 Ductless Fume Hood
843 Air Science Purair P 5 24 Fume Hood
844 Air Technical Jib Crane
845 Air Vac DRS 25 BGA
846 AirClean 600 Workstation Ductless Hood
847 AirClean Systems Powder Safe AC 775 C Hood
848 Airco Compressor
849 Airco / Temescal BJD 1800 E-Beam System
850 Airco / Temescal Chamber
851 Airco / Temescal SCT-BJD 1800 Coating System
852 Airco / Temescal FCE-3200 Evaporator
853 Airco / Temescal CV 8 Power Supply and Transformer
854 Airco / Temescal CV-10 Electron Beam Power Supply
855 Airco / Temescal Sputter Deposition System
856 Airco / Temescal CV 8 Controller
857 Airco / Temescal Power Supply for CV 8
858 Airco / Temescal BJD 1800 E-Beam Evaporator
859 Airco / Temescal BJD 1800 Evaporator
860 Airco / Temescal Electron Beam
861 Airco / Temescal VES 2550 E-beam Evaporator
862 Airco / Temescal VES 2500 Evaporator
863 Airco / Temescal CV 14 111 Electron Beam Power Supply
864 Airco / Temescal CV 8 110 Electron Beam Power Supply
865 Airco / Temescal CV 14 222 Electron Beam Power Supply
866 Airco / Temescal 3200 E-Beam Evaporator
867 Airdus Fume Extractor
868 Airgas Manifold
869 AirGuard 900123 Fume Wet Scrubber
870 Airsource 5600 Ionizer Blower
871 AirVac Soldering Machine
872 Air-Vac DRS 26 Rework Station
873 AIS 600
874 Aixtron G 5 Spare Parts
875 Aixtron G 4 Reactor
876 Aixtron HW 2400 Epitaxial Silicon Deposition (EPI) Reactor
877 Aixtron CRUIS-R Metalorganic Chemical Va
878 Aixtron R 6 MOCVD Reactors
879 AJA International ATC Orion 5 UHV Sputteri Sputter Gun
880 AJA International Inc ATC Orion 5 UHV Sputtering System – Sputter Gun
881 Ajax Cleveland Horizontal Milling Machine
882 Akita NI Reflow Oven
883 Akita AKP C 350 SF Wave Soldering Machine
884 Akrion HL 2000
885 Akrion Gama Wet, Solvent Dryer
886 Akrion V 3 System USG Removal system for PWAx Wafers
887 Akrion Gama
888 Akrion Velocity Goldfinger Wafer Cleaning
889 AKT 1600 Plasma-Enhanced Chemical Vapor Depositio
890 Alameda 7/16-20 UNF 2 B Thread Gage, Plug
891 Alameda .750-40 UNS-2 B Thread Gage, Plug
892 Alameda 7/16-14 UNC 2 A Thread Gage, Plug
893 Alcatel 601 E Etcher
894 Alcatel ASM 110 Turbo
895 Alcatel GIR 300 Reactive Ion Etch (RIE)
896 Alcatel Helium Leak Detector
897 Alcatel Speeder 100 Si ICP DRIE
898 Alcatel Pascal 2005 SD Vacuum Pump
899 Alcatel ASM 110 Leak Detector
900 Alcatel 2460 RF Sputter Coater
901 Alcatel A 103 P Series Vacuum Dry Pump
902 Alcatel ADP 122 P Vacuum Pump
903 Alcatel ASM 180 TD+ Helium Leak Detector
904 Alcatel / Adixen Pascal 2015 SD Pump
905 Alcatel / Adixen Pascal 2005 SD Pump
906 Alcatel / Adixen 2033 C 2 & ROOTS 301 B 1 Vacuum Pumps
907 Alchemy Spetec AP Seal 500 Packing Machine
908 ALD SCU 400 Ingot Furnace
909 Allen Bradley SA 3100 Variable Frequency Drive
910 Allen Bradley SAP 1336 Variable Frequency Drive
911 Allen Bradley Variable Frequency Drive (VFD)
912 Allen Bradley Kinetix 6500 Servo Drive
913 Allen Bradley SLC 500 PLC
914 Allen Bradley AB-MPL-B 860 D-MJ 24 AA Laminator
915 Allen Bradley 1756-L 55 M 24 Contrologix Processor
916 Allen Bradley 1756 L 63 Laminator
917 Allen Bradley 1336-B 005-EOD
918 Allen Bradley PLC 5
919 Allen Bradley Industrial Programming Terminal
920 Allen Bradley Model 1333 VFD
921 Allen Bradley 1746-A 4 SLC 500 PLC Rack
922 Allen Bradley 1746-HSTP 1 SLC 500 Stepper Control Module
923 Allen Bradley 1746-IA 8 SLC 500 Input Module
924 Allen Bradley 1746-NI 4 SLC 500 Input Module
925 Allen Bradley 1746-OG 16 SLC 500 Output Module
926 Allen Bradley 1746-P 1 SLC 500 Power Supply
927 Allen Bradley 1747-L 53 SLC 5/03 CPU
928 Allen Bradley 700-HA 32Z24 Relays
929 Allen Bradley 746-IG 6 SLC 500 Input Module
930 Allen Bradley 747-L 5 SLC 500 Professor Unit
931 Allen Bradley 747-SN SLC 500 Remote I/O Scanner
932 Allen Bradley 1769-IF 4 Compact I/O Input Module
933 Allen Bradley PanelView 300 Micro
934 Allen Bradley 1746-OA 8 SLC 500 Triac Output Module
935 Allen-Bradley Kinetix 6000 Components
936 Allen-Bradley 1756-A 7 B 7 Slot Chassis
937 Allen-Bradley 1756-PA 72 / C LAC Power supply
938 Allen-Bradley ControlLogix 5561 Ethernet Device Driver
939 Allen-Bradley EtherNet/IP Network System
940 Allen-Bradley MPL-A 4540 F-MJ 72AA Rotary Servo Motor
941 Alliant Mill
942 Allied MultiPrep Polisher
943 Allied Automation RDC 30 VCMSTR Die Cutter
944 Allied High Tech PN 70-30010 Low Speed cut-off Saw
945 Allied High Tech M-Prep 5 Polisher
946 Allied High Tech 5-5300-230
947 Allied High Tech 5-5300-230
948 Allied High Tech Techprep Sample Preparation Polisher
949 Allis Chalmers HP 1 Induction Motor
950 Alloy Products Helium Bombing Chamber
951 Allring Lane Changer
952 Allring Auto Unload
953 Allring Box Changing Machine
954 Allring Double Track Roll Feeder
955 Allring Automatic Feeder
956 Allring Automatic Take-Up Machine
957 Allring Unloader
958 Allsteel 3/8 12 Shear
959 Allteq LFI 5000 R 3rd Optical Inspection Machine
960 Allteq 3010 Inspection Station
961 Allwin21 AccuThermo AW 610
962 Allwin21 AW 610 Rapid Thermal Anneal (RTA)
963 Almatec PMP 2 A 0038 Diaphragm Pump
964 Almemo 2590 Digital Thermometer
965 Alpha 1010 Variable AC/DC Power Supply
966 Alpha Innotech FlouorChem HD 2
967 Alpha Metals Omega Meter 700
968 Alphasem SL 9006 (M) Die Bonder
969 Alphasem E 8003 Easy Line
970 Alphasem E 8003 Easy Line
971 Alphasem SL 9022 Die Bonder
972 Alphasem Die Attach Sorter
973 Alpine 200 Air Jet Sieve
974 Alpsitec Chemical Mechanical Polis
975 ALSI ICA 1204 Laser Ablation Tool
976 Alsident Fume System Parts
977 Altech CTOXE: Automation
978 Altech Roll Proct
979 Altech Backend
980 Altix Automatech Acura Pattering, Exposure
981 AM Technology ADL 1000 Lapping Machine
982 Amada AE 2510 NT CNC Punch
983 Amada Pega 345 CNC
984 Amada HA 250 W Saw
985 Amada Miyachi MX 2000 Seam Sealer
986 Amada Miyachi Benchmark AF 8500 / AF 1 Autoflow LidPlacement, Tack andSeam Sealing Systems
987 Amada Pega 244 Punch Press
988 Amadyne Sam 42
989 AMB Wastegate, Flip Wheel Buffer
990 AMB Buffer
991 Amera / Seiki VAS-6 M
992 American HMT Lathe
993 American Industrial STS 120 SP 1103 Shell and Tube Heat Exchanger
994 American Industrial Microwave Power Supply
995 American Maplan TS 88
996 American Maplan TS 88 Motor
997 American Microsystem Nox 10 Waste Nox Gas Treatment System
998 American Optical Microstar / Epistar Microscope
999 American Pacemaker Lathe
1000 American Process Systems Ribbon Blender
1001 American Reliance PPS-1322 Programmable DC Power Supply
1002 American Specialty Products (ASP) Hotplate
1003 American Ultraviolet AETEK Ultrapak UV System
1004 American Ultraviolet UV Conveyor Oven
1005 Amerigon Cooling Oven
1006 Amerimade WPS-8 FT-PP Plating Bench
1007 Amerimade Raptor S-4 Wet Etch Semi-Automated Tool
1008 Amerimade Wet Bench
1009 AmerivacS CAVN 20 Vacuum Sealer
1010 Amersham Biosciences 810-UNV Light Box Image Eraser
1011 Amersham Biosciences M 6038 B Synthesizer
1012 Ametek DR 823 BB 72 Industrial Blower
1013 Ametek T 50 G-TC Force Gage
1014 Ametek TC 6000-1 Torque Check
1015 Ametek TC 6000-12 Torque Check
1016 Ametek KM 005-3 KS-8-2 Pressure Transducer
1017 Ametek Brookfield MRVT 115 / MLVT 115 Viscometer DV2T Extra
1018 AMI MSP-885 PC Screen Printer
1019 AMI 24 S Conveyer Belt Dryer
1020 AMI MCD 2400 Conveyor Dryer System
1021 AMI Conveyor Dryer System
1022 AMI MCD 2400 Conveyor Dryer System
1023 AMI 645 Screen Printer
1024 Amicra ADB 2000
1025 Amicra Automatic Waferinker AIS
1026 Amicra ADB 2000
1027 Amicronix 400 IC Test System
1028 Amit NT Omega Scope
1029 AML 04 Wafer Bonder
1030 AMP 69358-2 Pull Test Tool (T)
1031 AMP 69358-2 Pull Test Tool
1032 AMP K Crimping Machine
1033 Ampak 200 S Heat Sealer
1034 Ampco ZP 1-015 SM Transfer Pump
1035 Ample Scientific Champion F 33 D Centrifuge
1036 Amplifier Research 150 L Amplifier
1037 Amray 1654 Turbo Scanning Electron Microscope (SEM)
1038 Amrel PEL 150-2 Programmable Electronic Load
1039 AMS SL 2000 Ray to Tray 3D Vision Inspection
1040 AmScope SE 400-Z Stereo Microscope
1041 Amscope MicroScope
1042 Amscope FMA 050 USB Camera
1043 Amscope FMA 050 USB Camera
1044 Amstech VS 1000 Inspection
1045 AMT 7810 Epi Reactor
1046 Anacom Smart Box
1047 Analytic Jena ZEEnit 700 High End Compact AA Spectrometer
1048 Analytik Jena Total Organic Carbon (TOC) Analyzer
1049 Analytik Jena Specord 200 Plus Spectrophotometer
1050 Anatech 600 Plasma Cleaner Etcher
1051 Ando AQ 6317 B Optical Spectrum Analyzer
1052 Ando AQ 6317 Optical Spectrum Analyzer
1053 Ando AL 6090 Tester
1054 Ando AF 8642 D 1 Burn In Oven
1055 Ando Aq 8423 Z Optical Amplifier Analyzer
1056 Ando AQ 8203 Halfsize Frame
1057 Ando AQ 2141 Optical Multimeter Expansion Frame
1058 Ando AQ 3540 Optical Channel Selector
1059 Ando AQ 2140 Optical Multimeter
1060 Ando AQ 1115 Power Meter
1061 Ando AQ 6317 Optical Spectrum Analyzer
1062 Ando AQ 4310 (155) Optical Mltimeter Expansion Frame ASE
1063 Ando Electric AL 6090 Memory Test System
1064 Ando Electric AL 6090 Tester
1065 Andor iXon 3 885 EMCCD Camera
1066 Angstrom Sun Technologies Ellipsometer
1067 Angstrom Sun Technologies Transmission
1068 Angstron Advanced PHE-102 Spectroscopic Ellipsometer
1069 Anhydro Lab SI Lab Spray Dryer
1070 Aniscope Microscope
1071 Ann Arbor Technologies Weblink 15 F HMI Computer for Varian 903 Sputter Syste
1072 Anova W 14 Hot Water Bath
1073 Anritsu MT 8820 C Radio Communication Analyzer
1074 Anritsu MP 1632 A
1075 Anritsu MP 1632 A
1076 Anritsu ML 83 A Power Meter
1077 Anritsu MP 1570 A SDH PDH Analyzer
1078 Anritsu SL MN 938 A Programmable Optical Attenuator
1079 Anritsu ME 520 A Digital Transmission Analyzer Transmitter
1080 Anritsu ME 520 A Digital Transmission Analyzer Receiver
1081 Anritsu ML 9001 A Power Meter
1082 Anritsu MP 1764 A Error Detector
1083 Anton Paar Abbemat PC Refractometer
1084 Anton Paar SAXSess Kratky Geometery
1085 Anton Paar TCU 50 Peltier Stage Heater
1086 Anzatech 410 Lead Fatigue Tester
1087 Anzatech 410 Lead Fatigue Tester
1088 AO Smith F 48 H 07 A 01 Motor
1089 AP High-Tech Micro APHT 2538 MM Screen Printer
1090 AP&S WB 07203 Wet Benches: MWP R&D, MWP solvents, MWP ITO/developer and MWP chrome/aluminum wet bench
1091 AP&S WB 07201 Wet Benches: MWP R&D, MWP solvents, MWP ITO/developer and MWP chrome/aluminum wet bench
1092 AP&S WB 07053 Wet Benches: MWP R&D, MWP solvents, MWP ITO/developer and MWP chrome/aluminum wet bench
1093 AP&S WB 07202 Wet Benches: MWP R&D, MWP solvents, MWP ITO/developer and MWP chrome/aluminum wet bench
1094 APC Sc 3000 R 3 X 195 Smart Ups
1095 APC Smart-UPS X 120 V External Battery Pack Rack Tower
1096 APC Smart-UPS X 3000 Rack Tower LCD
1097 APD HC-85
1098 APD Marathon Compressor
1099 APE Sniper Split Vision BGA Rework Station
1100 APET Auto LD/LLD Sol-Cor RD W
1101 APEX Vibration Feeder
1102 Apic Yamada CU 8 69-8 Form MC
1103 Apice Stabilizer
1104 Aplab 1072 Digital Psophometer
1105 Aplab LD 3205 Regulated Dual DC Power Supply
1106 Apollo Seiko Soldering Machine
1107 APPI SH 243613-3698 Labeling and Packing Equipment for Thin Film Batteries
1108 Applied Biosystems 394 DNA / RNA Synthesizer
1109 Applied Biosystems 310 Capillary Electrophoresis System
1110 Applied Materials Opal Scanning Electron Microscope (SEM)
1111 Applied Materials P 5000 Plasma-Enhanced Chemic
1112 Applied Materials AMC-7810 Reactor
1113 Applied Materials Solion + Ion Implanter
1114 Applied Materials KC 100-12 K Exhaust Gas Scrubber
1115 Applied Materials 8330 Metal Etcher
1116 Applied Materials 8125 Etcher
1117 Applied Materials 8115 Etcher
1118 Applied Materials P 5000 Dielectric Deposition
1119 Applied Materials SEM Vision CX Review Station, SEM Defe
1120 Applied Materials SEMVision Scanning Electron Microsc
1121 Applied Materials P 5000-Mark II CVD System
1122 Applied Materials P 5000-Mark II CVD System
1123 Applied Materials Centura 5300 HDP Oxide Etch
1124 Applied Materials P 5000 Passivation PECVD System
1125 Applied Materials Quantum X Plus Ion Implanter
1126 Applied Materials NanoSEM 3 D CD SEM (Scanning Electro
1127 Applied Materials NanoSEM 3 D CD SEM (Scanning Electro
1128 Applied Materials P 5000 Etch-Depostion
1129 Applied Materials Quantum X Plus + Ion Implanter
1130 Applied Materials Centura Enabler Etch
1131 Applied Materials Oxide Etch system
1132 Applied Materials Centura AP, AdvantEdge G Bitline Etch
1133 Applied Materials Centura Carina Chamber Etch
1134 Applied Materials DPS II POLY Etch
1135 Applied Materials DT HART Etch
1136 Applied Materials OPUS Etch
1137 Applied Materials SEMVision CX Scanning Electron Microsc
1138 Applied Materials Uvision 200 Bright Field Inspection
1139 Applied Materials Producer GT Chemical Vapor Depositio
1140 Applied Materials Axiom Strip Chamber
1141 Applied Materials Centura Rev 4 Cluster Tool
1142 Applied Materials eMax CT Chamber
1143 Applied Materials eMAX CT Plus Chamber
1144 Applied Materials eMax CT 3 Plus Chamber
1145 Applied Materials Enabler Chamber
1146 Applied Materials Compass Safer Inspection
1147 Applied Materials Compass Pro 300 Darkfield Defect Inspectio
1148 Applied Materials P 5000 PE Oxide Dep Tool
1149 Applied Materials Quantum X + Single Wafer High Current
1150 Applied Materials P 5000
1151 Applied Materials Walking Beam
1152 Applied Materials Atom Probe Tomography (APT)
1153 Applied Materials Profiler Carrier
1154 Applied Materials Profiler Membrane
1155 Applied Materials Retaining Ring Grooved P Profiler Retaining Ring
1156 Applied Materials Lower Cross Cover
1157 Applied Materials Wafer Slide Transfer
1158 Applied Materials AKT 25 KA Chemical Vapor Deposition (CVD)
1159 Applied Materials P 5000
1160 Applied Materials Dry Etch System
1161 Applied Materials Metal Films Deposition System
1162 Applied Materials Dielectric Films Deposition System
1163 Applied Materials G 6 450 Defect Review Scanning Electron Microscope (SEM)
1164 Applied Materials RTO 2 Poly Gen Chamber
1165 Applied Materials 0010-20276
1166 Applied Materials P 5000 Spare Parts for Oxide Etcher
1167 Applied Materials P 5000 Spare Parts for Metal Etcher
1168 Applied Materials P 5000 Spare Parts for W Etcher
1169 Applied Materials NAR 1200 Twin TFB Vertical In-Line Physical Vapor Deposition (
1170 Applied Materials NAR 1200 Twin TFB Vertical In-Line Physical Vapor Deposition (
1171 Applied Materials P 5000 Mini Controller
1172 Applied Materials Inductively Coupled Parall
1173 Applied Materials Producer
1174 Applied Materials Semvision CX+
1175 Applied Materials 8110 Etcher
1176 Applied Materials 8330 Etcher
1177 Applied Materials 30 MW Printing with Cell Test & Sorting Machine
1178 Applied Materials 30 MW Double Printer
1179 Applied Materials 1080-01045 Break Motor Starter 24 VAC 75A
1180 Applied Materials 4406 Gate Valve T-Bolt
1181 Applied Materials 0010-00028 Power Supply
1182 Applied Materials 0010-00070 Motor Stepper
1183 Applied Materials 0010-00071 Motor Stepper
1184 Applied Materials 0010-00151 Sensor Cathode Position
1185 Applied Materials 0010-00183 Motor Stepping
1186 Applied Materials 0010-00215 Phase and Mag Detector
1187 Applied Materials 0010-00218 Motor Wiper RF Match
1188 Applied Materials 0010-00219 Motor Blade RF Match
1189 Applied Materials 0010-00796 RF Match Choke
1190 Applied Materials 0015-00094 Lead Screw
1191 Applied Materials 0020-00085 Bushing Guide Gate Valve Actuator
1192 Applied Materials 0020-00299 Bumper Trailer Gate Valve
1193 Applied Materials 0020-00346 Spring Brush RF
1194 Applied Materials 0020-00354 Shaft Robot Extension
1195 Applied Materials 0020-00695 Hexode Rotation Housing Seal
1196 Applied Materials 0020-00781 Blade Grounding Water Box Hexode
1197 Applied Materials 0020-00927 Window View Port
1198 Applied Materials 0020-03459 Window Endpoint Assembly
1199 Applied Materials 0020-03461 Plate Mounting Gripper
1200 Applied Materials 0020-03462 Finger End Gripper
1201 Applied Materials 0020-03463 Finger Gripper
1202 Applied Materials 0020-03465 Spring Finger
1203 Applied Materials 0020-03466 Spring Hub
1204 Applied Materials 0020-03470 Nut Finger
1205 Applied Materials 0020-03651 B Viewport Cover Operator
1206 Applied Materials 0020-03652 Film Protective 5 25in Operator Viewport
1207 Applied Materials 0020-04437 Plate Adapter Harmonic Filter
1208 Applied Materials 0020-04468 Fitting for Gas Tube
1209 Applied Materials 0020-04533 Flag Start Cassette 8300 Ease
1210 Applied Materials 0020-06051 Plates Throttle Valve
1211 Applied Materials 0020-06432 Cassette Clamp Lock
1212 Applied Materials 0020-06690 Hub Wave Generator
1213 Applied Materials 0020-06826 Geneva Arm Pivot Shaft
1214 Applied Materials 0020-06834 Geneva Locking Wheel Pin
1215 Applied Materials 0020-06837 Geneva Locking Arm
1216 Applied Materials 0020-06844 Gas Tube Cap
1217 Applied Materials 0020-06893 Brush Electrical Contact
1218 Applied Materials 0020-06902 Spring Support
1219 Applied Materials 0020-06903 Spacer Brush Bearing
1220 Applied Materials 0040-00186 Manifold Intin Gas Dist
1221 Applied Materials 0040-00188 Tube Aux Connector
1222 Applied Materials 0040-00249 Screen Turbo Port
1223 Applied Materials 0040-00263 Screen Process Port
1224 Applied Materials 0040-00290 Gas Tube
1225 Applied Materials 0040-00350 Bellows Tube Manometer
1226 Applied Materials 0040-00366 Screen Cryo Port
1227 Applied Materials 0040-00390 Roller Flatfinder
1228 Applied Materials 0040-00594 Tabe Gas Keyed
1229 Applied Materials 0090-00014 Motor Flatfinder
1230 Applied Materials 0090-00017 Switch Bell Jar Open
1231 Applied Materials 0090-00026 Switch Half Atmos
1232 Applied Materials 0100-00001 PCB DC Power Supply Monitor
1233 Applied Materials 0100-00002 PCB Output Fuse
1234 Applied Materials 0100-00025 Power Supply
1235 Applied Materials 0100-00027 PCB Gripper Interconnect
1236 Applied Materials 0100-00033 PCB DC Motor Driver
1237 Applied Materials 0100-00034 PCB Assembly Power Supply
1238 Applied Materials 0100-00035 PCB Assembly Mano Range
1239 Applied Materials 0100-00041 PCB Cathode Position Decoder
1240 Applied Materials 0100-00049 PCB Analog Signal Conditioning
1241 Applied Materials 0100-00055 PCB Gate Heater Control
1242 Applied Materials 0100-00056 PCB Pneumatic Panel Interconnect
1243 Applied Materials 0100-00057 Interconnect Heater Gate Valve
1244 Applied Materials 0100-00063 PCB Axis Home
1245 Applied Materials 0100-00072 Gripper Flag Sensor PCB
1246 Applied Materials 0100-00101 PCB RF Match Control
1247 Applied Materials 0100-00106 PCB Opto Switch
1248 Applied Materials 0100-00109 PCB ID Intcon Assembly
1249 Applied Materials 0100-00110 PCB Flatfinder Motor Driver
1250 Applied Materials 0100-00112 PCB Opto SW3 Assembly (Repair)
1251 Applied Materials 0100-00195 PCB Endpoint Interface Smoother
1252 Applied Materials 0100-00204 PCB Gas Panel
1253 Applied Materials 0100-00206 PCB Sync Detect II Purchase
1254 Applied Materials 0100-00208 PCB Gas Panel
1255 Applied Materials 0100-11000 PCB Assembly Analog Input
1256 Applied Materials 0140-00014 Harness Gate Valve
1257 Applied Materials 0140-00019 Harness Shuttle
1258 Applied Materials 0140-00025 Switch Cassette Present
1259 Applied Materials 0140-00031 Heater Chamber Gate Valve
1260 Applied Materials 0140-00032 Motor Gripper
1261 Applied Materials 0140-00115 Receiver Wiring Assembly
1262 Applied Materials 0150-00112 Cable Assembly Ion Gauge
1263 Applied Materials 0150-00160 Ribbon Cable Flat Assembly K
1264 Applied Materials 0150-00223 Cable Emitter
1265 Applied Materials 0150-00370 Cable RF Short
1266 Applied Materials 0190-00086 Reservoir DCN Oil
1267 Applied Materials 0190-00101 CRT Main Frame
1268 Applied Materials 0220-06428 DCM Reservoir Re-Charge Kit
1269 Applied Materials 0240-00875 Gate and Throttle Valve Heaters PIK
1270 Applied Materials 0620-01018 Chamber Gate Flex Cable
1271 Applied Materials 0680-01069 Breaker 5A
1272 Applied Materials 0720-01170 Pins Gold Plated Crimp
1273 Applied Materials 0720-01403 Connector 8 POS
1274 Applied Materials 0840-01149 Diode LED Infared
1275 Applied Materials 1010-01048 Lamp 12 8v
1276 Applied Materials 1140-01054 Power Supply
1277 Applied Materials 1200-01018 Relay K1
1278 Applied Materials 1270-00737 Switch Float Level
1279 Applied Materials 1270-01268 Switch Flow 75-6 0
1280 Applied Materials 1390-01226 Cord Heater Gate Valve
1281 Applied Materials 3060-01020 Bearing Rotation Assembly
1282 Applied Materials 3060-01021 / L 420410 Bearing Cup Rotation Assembly
1283 Applied Materials 3060-01068 Bearing 2in Shuttle Blade
1284 Applied Materials 3060-01120 Bearing Robot Extension
1285 Applied Materials 3060-01121 / 3060-01069 Bearing Shuttle Shaft
1286 Applied Materials 3060-01131 Bearing Extension Pulley Flange
1287 Applied Materials 3080-01015 Belt Cathode Rotation Motor
1288 Applied Materials 3080-01020 Belt Indexer Timing
1289 Applied Materials 3080-01021 Belt Timing – 8330 Z Axis
1290 Applied Materials 3080-01027 Belt Throttle Valve Timing
1291 Applied Materials 3080 01040 Belt Extension Timing
1292 Applied Materials 3140-01017 Bushing 1/2 Ball Bearing Linear Indexer Shaft Guide
1293 Applied Materials 3150-01000 Cam Follower Shuttle Guide
1294 Applied Materials 3150-01004 Cam Follower Gate Valve Track
1295 Applied Materials 3150-01005 Cam, .50 Integral Seal, Geneva
1296 Applied Materials 3295 B 01 PCB MVME 211
1297 Applied Materials 3550-01051 PIN Dowel
1298 Applied Materials 3630-01041 Indexer Shaft C-Clip
1299 Applied Materials 3870-01022 Valve Bellows 2Way 3/8INVCO
1300 Applied Materials 3870-01023 Fitting Valve Check 1/4in Sagelok
1301 Applied Materials 3870-01034 Valve Needle Cont 0-2000
1302 Applied Materials 3870-01196 Valve Pnue 3/4 NPT 3-Way B
1303 Applied Materials 3880-01047 Shim for Shuttle Blade Washer Flat 315SSTX 010
1304 Applied Materials 3880-01066 Washer Rotation Assembly
1305 Applied Materials 4020-01038 Filter 2 Micron Hydrophilic Large
1306 Applied Materials 4020-01039 Filter 5 Micron Hydrophobic Small
1307 Applied Materials 630-01113 Capacitor Doorknob 25PF
1308 Applied Materials ESVP-1002-NWB-A / 3870 Valve Isolation Process Rough 1in ATO/ATC
1309 Applied Materials MM 6000 PCB
1310 Applied Materials Osaka Controller Turbo Heater
1311 Applied Materials Gen 8.5 PECVD
1312 Applied Materials AKT 15 KAX Gen 5 PECVD
1313 Applied Materials Centura Sputtering System
1314 Applied Materials Reflexion 3600 CMP System
1315 Applied Materials AME 8130 Etcher
1316 Applied Materials Printing Line
1317 Applied Materials Printing Line
1318 Applied Materials P 5000 CVD Etcher
1319 Applied Materials Raider RE 10 O 2 ECD 060 Plating
1320 Applied Materials Endura 2 Volta CVD Cobal CVD Chamber
1321 Applied Materials 7800 RPX Batch Epi Reactor
1322 Applied Materials 7810 Batch Epi Reactor
1323 Applied Materials P 5000 Etch Chamber Assembly
1324 Applied Materials RE 1002 ECD 0604 Plating Raider
1325 Applied Materials Centura AP AdvantEdge G Dry Etch
1326 Applied Materials ComPLUS T 3 Pattern Measurement
1327 Applied Materials Original Profiler Carrier Profiler Carrier
1328 Applied Materials Original Profiler Carrier Profiler Carrier
1329 Applied Materials Original Profiler Carrier Profiler Carrier
1330 Applied Materials Original Profiler Carrier Profiler Carrier
1331 Applied Materials Profiler Membrane
1332 Applied Materials Profiler Membrane
1333 Applied Materials Profiler Membrane
1334 Applied Materials Profiler Membrane
1335 Applied Materials Profiler Membrane
1336 Applied Materials Profiler Membrane
1337 Applied Materials Profiler Membrane
1338 Applied Materials Retaining Ring Grooved P Profiler Retaining Ring
1339 Applied Materials Retaining Ring Grooved P Profiler Retaining Ring
1340 Applied Materials Retaining Ring Grooved P Profiler Retaining Ring
1341 Applied Materials Coated Silicone 300mm Ti Profiler Membrane
1342 Applied Materials 200mm Lower Cross Cove Lower Cross Cover
1343 Applied Materials 200mm Lower Cross Cove Lower Cross Cover
1344 Applied Materials 200mm Lower Cross Cove Lower Cross Cover
1345 Applied Materials 200mm Lower Cross Cove Lower Cross Cover
1346 Applied Materials 200mm Lower Cross Cove Lower Cross Cover
1347 Applied Materials 200mm Lower Cross Cove Lower Cross Cover
1348 Applied Materials 200mm Lower Cross Cove Lower Cross Cover
1349 Applied Materials
1350 Applied Materials
1351 Applied Materials
1352 Applied Materials
1353 Applied Materials 9500 XR High Current Ion Implante
1354 Applied Materials EPI 300 Centura Deposition tool
1355 Applied Materials Producer SE UV Cure
1356 Applied Materials / Sempl Retaining Ring AMT MRA 300mm Profiler Retaining
1357 Applied Materials / SPM T Retaining Ring AMT MRA 300mm Profiler Retaining
1358 Applied Materials / SPM T Retaining Ring AMT MRA 300mm Profiler Retaining
1359 Applied Materials / SPM T Retaining Ring AMT MRA 300mm Profiler Retaining
1360 Applied Materials / SPM T Retaining Ring AMT MRA 300mm Profiler Retaining
1361 Applied Microstructures MVD 100
1362 Applied Microstructures 100 Molecular Vapor Deposition (MVD)
1363 Applied Microstructures MVD 100 E
1364 Applied Precision PRV Probe Card Analyzer
1365 Applied Precision PRVX 1 Prober
1366 Applied Science & Techno Ax 8500 Ozone Delivery System
1367 Applied Separations Spe-ed SFE 1000mL Supercritical
1368 Aptcon Cleaner
1369 Aptifab ED 115 Binder Oven Drying Cabinet
1370 Aquarious P 502 DI Water Heater
1371 Aquastar AQ 2000 Titrator
1372 Aqueaous Technologies DI DI Water Batch Cleaner
1373 Aqueous Zero-Ion G 3-18
1374 Aqueous Trident ZDO
1375 Aqueous SMT Series
1376 Aqueous SMT 800 LD Batch Washer
1377 Aqueous Technologies Model Zero Ion G 3 Contamination Tester
1378 AR Blue Clean 118 Pressure Washer
1379 Arbin BT 2043 Battery Tester
1380 Arburg Allrounder 270 C 300 Injection Molding Machine
1381 Arburg Round Table Molding Machine
1382 Arburg 270 s Injection Molding Machine
1383 Arburg 320 s Injection Molding Machine
1384 Arburg Allrounder Injection Molding
1385 Arburg 420 V Injection Molding
1386 Arc AR 12-200/D-F Cabinet
1387 Arc Machine M 307 Orbital Welder
1388 ARCA AM 3 VVAC CNC
1389 ARCA GH 1440 A Geared Head Precision Lathe
1390 Arch Genstream I/II Liquid Delivery Cabinet
1391 Archers RPD S 200 RPD
1392 Archimede Lines VHF FM LDMOS Power Amplifier
1393 Arcogas Manual Flaming System
1394 Argos FVS 2 Automatic Test System
1395 Argus Pharma Code Reader
1396 Armstrong Hack Saw
1397 Arnold GmbH NC 559/600 Round Grinding Machine
1398 Arnold Gruppe Glass Drilling and Reaming Pedestal Drill
1399 Arnold Gruppe KA11-02635 Grinder
1400 Arnold Industries NXQ 4006 Contact Mask Aligner
1401 Aroyo 6310 Laser Diode Controller
1402 Aroyo 6305 Laser Diode Controller
1403 Array 3312 Communication Adaptor
1404 Arrow F 554 CN Filter
1405 Arrow Pneumatics Inc. A-200-4 Compressed Air Dryer
1406 Arsoma Wide Web Radio-Frequency Identification (RFID) Converting Machine
1407 Artimecc IB 105 R Saw
1408 Artos MTX 600
1409 Artos CS 600
1410 ASA 806 S Auto Molding System for D2PAK
1411 ASA 808 Omega 60
1412 ASA E 120 T Molding Machine
1413 Asahi Engineering BGA 6 Mold System
1414 ASC SP 3 D ADC
1415 Asco Red-Hat B 262 G 262 V Fuse Holder
1416 Ascott S 450 IP Salt Spray Chamber
1417 Aseco Handler
1418 Aseco S 130 Handler
1419 Aseco 170 Handler
1420 Aseco S 130 Handler
1421 Aseco S 170 C Handler
1422 Aseco S 170 C Handler
1423 Aseco S 130 Handler
1424 Aseco S 130 Handler
1425 Ashby Cross GP 80 Adhesive Mixer
1426 ASI 757 / 857 Developer
1427 Asia LT 30 A DC Tester
1428 Asia Neo Tech NHOC 1120 HX
1429 Asian Chemicals & Engineering Wet Scrubber
1430 ASIC SCSS EL
1431 ASIC (Shanghai) Co., Ltd EL 1.4 MD-M EL Tester
1432 ASIC (Shanghai) Co., Ltd EL 1.4 MD-AS EL Tester
1433 ASIC Technology (Shangha EL-1.4 MD-M EL Tester
1434 ASICCN SCSS-EL El Sorter
1435 ASICCN SCSS 00486 El Sorter for Solar Cell
1436 ASM iHawk Xtreme Bonder
1437 ASM
1438 ASM MCM 12 Die Bonder
1439 ASM SF 50 Plasma-Enhanced Chemical Vapor Depositio
1440 ASM APS 900
1441 ASM AB 339 Eagle Gold Wire Ball Bonder
1442 ASM AB 339 Eagle Gold Wire Ball Bonder Machine
1443 ASM AD 889 Die Bonder
1444 ASM AD 889 Die Bonder
1445 ASM AD 889 Die Bonder
1446 ASM AB 339 Gold Wire Ball Bonder
1447 ASM AB 339 Gold Wire Ball Bonder
1448 ASM TIB 139 Twin Input Buffer
1449 ASM IBE 139
1450 ASM TIB 139 Twin Input Buffer
1451 ASM TLB 139 Final Assembly
1452 ASM AD 889
1453 ASM IBE 139 Input Buffer Machine
1454 ASM Eagle 60 Gold Wire Ball-Bonder
1455 ASM Eagle 60 Gold Wire Ball Bonder
1456 ASM LBE 139 Final Assembly
1457 ASM AD 838 R
1458 ASM AS 899 IS Automatic Tester / Sorter
1459 ASM A 412 Low Pressure Chemical Va
1460 ASM A 412 Low Pressure Chemical Va
1461 ASM A 412 Low Pressure Chemical Va
1462 ASM A 412 Low Pressure Chemical Va
1463 ASM A 412 Low Pressure Chemical Va
1464 ASM A 412 Low Pressure Chemical Vapor Deposition (L
1465 ASM 889 Die Attach Machine
1466 ASM Eagle 60 Gold Wire Ball Bonder
1467 ASM Line
1468 ASM AD 862 H
1469 ASM SWS
1470 ASM AD 830 Die Bonder
1471 ASM SD 890 A Soft Solder DA
1472 ASM Eagle 60 Wire Bonder
1473 ASM AS-209-3 x Toggle Press System
1474 ASM AS-209-3 x Toggle Press System
1475 ASM BP 2000 Ball Placement Tool
1476 ASM AD 830 Automatic Die Bonder
1477 ASM AD 838 Automatic Die Bonder
1478 ASM Twin Eagle Automatic Wire Bonder
1479 ASM Eagle 60 Automatic Wire Bonder
1480 ASM CS 900 Pick and Place
1481 ASM AD 900 Automatic Flip Chip
1482 ASM Harrier Wire Bonder
1483 ASM AB 339 Eagle Automatic Wire Bonder
1484 ASM AD 896 Automatic Die Bonder
1485 ASM AD 898 Automatic Die Bonder
1486 ASM 5000 Chemical Vapor Deposition (CVD)
1487 ASM AD 8912 Die Bonder
1488 ASM Eagle 60 AP Gold Wire Bonder for MCD
1489 ASM Eagle 60 AP Wire Bonder
1490 ASM Eagle 60 AP Wire Bonder for MCD
1491 ASM Eagle 60 AP Wire Bonder for SOT 891
1492 ASM Eagle 60 Gold Wire Ball Bonder
1493 ASM Eagle 60 AP Gold Wire Ball Bonder
1494 ASM Eagle Xtreme Gold Wire Bonder
1495 ASM MP 209 2 in 1 Trim and Form & Singulation System
1496 ASM MP 209 Mechanical Platform
1497 ASM Eagle Xtreme Gold Wire Bonder
1498 ASM AB 339 Wire Bonder
1499 ASM Eagle 60 AP Gold Wire Ball Bonder
1500 ASM AD 896 IL 08 Die Bonder
1501 ASM IBE 139 Snap Cure Oven
1502 ASM Harrier Gold Wire Bonder
1503 ASM AD 898 Automatic Die Bonder
1504 ASM DS 500 Precision Dispensing System
1505 ASM Harrier Gold Wire Bonder
1506 ASM Eagle 60 AP
1507 ASM 339 AB
1508 ASM F 1 Advanced Sorting Machine
1509 ASM Hummingbird Wafer Stud Bumper
1510 ASM Eagle 60 Wire Bonder
1511 ASM AD 8930 U Die Attach
1512 ASM AD 830 Die Attach
1513 ASM 898 Die Attach
1514 ASM 898 IL 08 Die Attach
1515 ASM AB 520 Wire Bonder
1516 ASM iHawk Extreme Wire Boner
1517 ASM 559 Wire Bonder
1518 ASM Harrier Wire Bonder
1519 ASM Eagle 60 Wire Boner
1520 ASM Fico 36 M Molding Machine
1521 ASM Mold System
1522 ASM AB 339 Au Wire Bonder
1523 ASM AB 339 Eagle Au Wire Bonder
1524 ASM SD 8312 IGBT Die Bonder
1525 ASM Eagle XT Gold Wire Bonder
1526 ASM Pick and Place
1527 ASM Epsilon 3200 Reactor Chamber
1528 ASM AB 559 A 06 Wedge Bonder
1529 ASM AB 339 Gold Wire Ball Bonder
1530 ASM Twin Eagle Wire Bonder
1531 ASM Twin Eagle 60 Cu Stand Alone Wire Bonder
1532 ASM Twin Eagle Wire Bonder
1533 ASM Wire Bonder Copper Kit
1534 ASM Twin Eagle 60 Wire Bonder
1535 ASM Twin Eagle 1890 Inline Gold Wire Bonder
1536 ASM SR 902 Oven
1537 ASM Wire Bonder
1538 ASM Twin Eagle Stand Alone Gold Wire Bonder
1539 ASM AD 8312 FC High Speed Die Bonder
1540 ASM AD 9212 DH Flip Chip Bonder
1541 ASM TFM 1 A Trim & Form System
1542 ASM Twin Eagle Twin Head Wire Bonder
1543 ASM ISP 189 Inspection Platform
1544 ASM LBE 139 H Line Buffer
1545 ASM DS 139 L Material Handling
1546 ASM MC 139 Cure Oven
1547 ASM DS 139 F Material Handling
1548 ASM Eagle 60 AP Wire Bonder
1549 ASM Eagle 60 AP Wire Bonder
1550 ASM Eagle 60 Wire Bonder
1551 ASM IBE 139 Twin Input Buffer
1552 ASM CO 139 Snap Cure Oven
1553 ASM TLB 139 Hybrid Twin Line Buffer
1554 ASM Ideal Molding System
1555 ASM AD 9012 A Flip Chip
1556 ASM Eagle 60 Conversion Kit for Xfer MC
1557 ASM AD 9012 Flip Chip
1558 ASM AD 889 High Speed Automatic Die Bonder
1559 ASM AB 339 Automatic Gold Wire Bonder
1560 ASM AD 838 Automatic Die Bonder System
1561 ASM Automatic Form System
1562 ASM Inline LDTFS Mills System
1563 ASM AD 828 High Speed Automatic Die Bonder
1564 ASM Eagle 60 Wire Bonder
1565 ASM EM 649 Automold Mechanical System
1566 ASM Ideal Mold Automolding System
1567 ASM Ideal Mold Press System
1568 ASM Auto Mold Press
1569 ASM Twin Eagle 60 Wire Bonder
1570 ASM Eagle Wire Bonder
1571 ASM Epsilon 3200 Reactor
1572 ASM Eagle Xtreme GoCu Wire Bonder
1573 ASM Eagle Xtreme GoCu Wire Bonder
1574 ASM Eagle Xtreme GoCu Wire Bonder
1575 ASM Eagle Xtreme GoCu Wire Bonder
1576 ASM Eagle Xtreme GoCu Wire Bonder
1577 ASM Auto Mold Press
1578 ASM Ideal Mold Press Retrofit and Mold Chase
1579 ASM MP TAB Trim & Form System
1580 ASM SLS 230 T Plus Testing & Sorting System
1581 ASM SLS 230 T Plus Testing & Sorting System
1582 ASM SLS 230 T Plus Testing & Sorting System
1583 ASM SLS 230 T Plus Testing & Sorting System
1584 ASM SLS 230 T Plus Testing & Sorting System
1585 ASM SLS 230 T Plus Testing & Sorting System
1586 ASM SLT 400 Plus Testing & Sorting System
1587 ASM SLT 400 Plus Testing & Sorting System
1588 ASM DS 600 Dispenser
1589 ASM DS 600 Dispenser
1590 ASM DS 600 Dispenser
1591 ASM CO 600 Gelation Oven
1592 ASM CO 600 Gelation Oven
1593 ASM CO 600 Gelation Oven
1594 ASM AD 838 L Die Bonder with Dispenser
1595 ASM Eagle XP CVD
1596 ASM AD 838 L
1597 ASM AD 838 L
1598 ASM AD 838 L
1599 ASM Eagle Express GoCu
1600 ASM Eagle Express GoCu
1601 ASM Ideal Mold 3 G
1602 ASM WPC 4 D1/D3 Tray Unit
1603 ASM E 3200 Epi Deposition System
1604 ASM S Series Placement
1605 ASM America XP 8 450 PECVD / PEALD (Plasma-Enhanced Chemical Vapor Deposition / Plasma-Enhanced Atomic Layer Deposition) System
1606 ASM International ETW 450 Furnace
1607 ASM Technologies DPSS 3515-50 Laser
1608 ASML PAS 2500 / 40 (UV 400) Stepper
1609 ASML PAS 5500 / 750 E Scanner DUV
1610 ASML YieldStar S-100 Overlay Measurement
1611 ASML XT 1700 Fi Immersion ARF Scanner
1612 Asscon vp 1000-180 Vapor Phase Reflow Soldering Machine
1613 Assembleon iFlex Feeder Trolley
1614 Assembly Technologies 1500 Dicing Saw
1615 Asset 1
1616 Asset 2
1617 Asset 3
1618 Associated Environmental ZSM-2105 D Thermal Shock Chamber
1619 Associated Environmental BD-164
1620 Associated Environmental Systems Testing Oven
1621 Associated Pacific Machin SY-622
1622 Associated Research Hypot Ultra 3 DI Electric Analyzer
1623 Associated Research Dielectric Strength Tester
1624 Associated Research 3665 AC/DC Withstand Voltage Tester
1625 Associated Research 3670 AC/DC Withstand Voltage IR Tester
1626 Associated Research 3030 D Grould Bond Tester
1627 Associated Research 3565 D AC/DC Withstand
1628 Assorted Lab Equipment
1629 Astechnologies Simplex 310 D Heated Press
1630 Astex 80-S 09-UW
1631 ASTI TR 48 MKIII Tape and Reel System
1632 ASTM 5135 Abraser
1633 ASTM Fox 200 Heat Flow Meter
1634 ASTM Fox 314 Heat Flow Meter
1635 ASTM Fox 50 Heat Flow Meter
1636 ASTM Fox 800 Heat Flow Meter
1637 ASTM C 1699 Pressure Plates
1638 ASTM C 1699 Pressure Plates
1639 ASTM E 96 Test Cups
1640 Astrophysics XIS-7858
1641 Asymtek S-920 N Underfill
1642 Asymtek SL-940 E Ink Coating
1643 Asymtek S-920 N Underfill
1644 Asymtek SL-940 E Ink Coating
1645 Asymtek S 920 Dispensing machine
1646 Asymtek A 618 C Dispenser
1647 Asymtek S-920 Dispenser
1648 Asymtek A-618 C Dispenser
1649 Asymtek Automatic Dispenser
1650 Asymtek Liquid Dispenser
1651 Asymtek X 1020 Dispensing System
1652 Asymtek M 2000 Dispensing System
1653 Asymtek S 820 Dispenser
1654 Asymtek Century Dispensing System
1655 Asymtek Century C 740 Conformal Coating machine
1656 Asymtek X 2-220 One vision System for AOI
1657 Asymtek Axion 1020 Underfill
1658 Asymtek M 800
1659 Asymtek M 2000
1660 Asymtek M 2000 Dispenser
1661 Asymtek M-800 Jet Dispenser
1662 Asymtek Century C 740 Conformal Coating Machine
1663 Asymtek D 585 DispenseMate Dispensing System
1664 Asymtek D 595 DispenseMate Dispensing System
1665 Asymtek S 820 B Adhesive Dispense Tool
1666 Asymtek Spectrum S 820 Dispenser
1667 ASYS TRM 02 Conveyor
1668 ASYS FIFO
1669 ASYS TRM 01 D Shuttle Transportation
1670 ASYS STM 03 D Shuttle Transportation
1671 ASYS TRM 01
1672 ASYS XS 1 D
1673 ASYS B 8 ICT Test System
1674 ASYS PCB Loader
1675 ASYS PCB Unloader
1676 Asys TDM 08 Conveyor
1677 Asys AEM 02 Conveyor
1678 Asys TML
1679 Asys STM 03
1680 Asys HMS 01
1681 Asys HES 01
1682 Asys MPS 50 Magazine Buffer
1683 ASYS TRM 01 Conveyor
1684 ASYS TRM 01 Conveyor
1685 ASYS TRM 02 Conveyor
1686 ASYS TRM 02 Conveyor
1687 ASYS TRM 02 Conveyor
1688 ASYS FPS 30 Buffer
1689 ASYS LSB 03 Destaker
1690 ASYS Cell Testing Machine
1691 ASYS XS 2
1692 Asyst CS-7100 S 4 Axis Robot
1693 Asyst Robot Support Documentation
1694 Asyst / AKT ACLS Chemical Vapor Deposition (CVD)
1695 Asyst / Nanda Spark Semi-Micro Inspection Module
1696 Asyst Technologies RMS 2150 INX/S Photomask Reticle Management System
1697 Atago RX 7000 CX Digital Refractometer
1698 ATC K 917003 Chiller
1699 Atcor Cassette Washer
1700 ATEK MXP+ High Efficiency RF Match Etch
1701 ATEK RF Match Box Simple Cathode
1702 Ateko A 6800 Burn-In
1703 Ateko A 6800
1704 Ateko A 6800 Burn In Chamber
1705 ATG Flying Probe Testers
1706 ATG A 2 NEO Test System
1707 Athlete BM 1110 W Micro Ball Mounter
1708 ATI Router
1709 Atlantic HDE 330 Hydraulic Press Bake
1710 Atlas Ci 4000
1711 Atlas Copco SF 4 Air Compressor System
1712 Atlas Copco FD 300 Air Dryer
1713 Atlas Copco SF 11 Scroll Free Air Compressor
1714 Atlas Copco SF 11 Compressor
1715 Atlas Copco Motivair CD 60+ Compressor
1716 Atlas Copco Motivair CD 35 + Compressor
1717 Atlas Copco GX 2 Oil Injected Rotary Screw Air Compressor
1718 Atlas Copco GX 11 Oil Injected Rotary Screw Air Compressor
1719 Atlas Copco GA 90 Variable Speed Compressor
1720 Atlas Copco SF 4 Air Compressor
1721 Atlas Copco GA 90 FF Compressor
1722 Atlas Copco GA 75 FF Air Compressor
1723 Atlas Copco GA 160 Air Compressor
1724 Atlas Copco FD 1250 A VSD Air Dryer
1725 Atlas Copco GX 7-100 P Air Compressor
1726 Atlas Copco GA 75 VSD Air Compressor
1727 Atlas Copco GA 75 VSD Air Compressor
1728 Atlas Copco EBL Drive Power Supply
1729 Atlas Copco EBL Re-Drive Power Supply
1730 Atlas Copco EBL 12 Electric Screw Driver
1731 Atlas Copco EBL 12 RE Electric Screw Driver
1732 Atlas Copco EBL 13 Electric Screw Driver
1733 Atlas Copco EBL 14 Electric Screw Driver
1734 Atlas Copco EBL 15 Electric Screw Driver
1735 Atlas Copco GA 50 VSD-FF Compressor
1736 Atlas Research Chemical Reactor
1737 ATM ATS-600 DIP Lead Straightner
1738 ATM ATS 600 DIP Lead Straightner
1739 ATM Vision OPTIcoat ST 60
1740 ATMA GEM 7 K Exposure Machine
1741 Atmos Tech Chamber
1742 Atmos-Tech Industries VFM 46 DD/GS/SP Air Clean Hood
1743 ATON Sputter ARC
1744 Atotech Cu 12 Plater Line
1745 Atria Compresssed Gas and Sterility Testing
1746 Atrump K 2 V Manual Mill
1747 Atrump B 8 FC Manual Mill
1748 ATS 3350 CA Furnace
1749 Atten TPEE 2002
1750 Atto Diener Plasma Cleaner
1751 ATV PEO 603 High Temperature Oven
1752 ATV PEO-603 LPCVD
1753 ATV PEO 601
1754 ATV HT 303 D Hot Plate
1755 ATX Computer Power Supply
1756 Aucma BC/BD-390 FA Aucma Refrigerator
1757 Audi B 8 Final Tester
1758 Audio Control Industrial SA 3050 A
1759 Audio Precision SYS 2722 System Two Cascade Audio Analyzer, Dual Domain
1760 Audio Precision AUX 0025 / 0040 / 0100 Switching Amplifier Measurement Filters
1761 Audio Precision Portable One Plus Audio Test System
1762 Audion Audiovac VMS 53 Vacuum Chamber
1763 August Strecker VM 00 F ST Thin Wire Electrical Joint Welding Machine
1764 Aurora Systems
1765 Austin Scientific Cryopumps
1766 Austin Scientific 450 Cryopump Compressor
1767 Australian Photonics LPS 2 Laser Power Supply
1768 Auto Vision Dpak Conv
1769 Autoclean ISG-2000 Ultrasonic Cleaner
1770 Autoroll Exactra 360
1771 Autosplice Multisert Terminal Insertion machine
1772 Autotronik BS 383 VI-V Pick & Place Machine
1773 AutoWave VIS 300-01 A Microwave Furnace
1774 Avalon Solder Level
1775 Avanti Shredding Machine
1776 Avco Chop Saw
1777 Aven SPZ-50 LED Zoom Microscope
1778 Avery 3205-COE Portable Platform Weighing Scale
1779 Avery Dennison ALS 206 Labelizer Machine
1780 AVEX Electronics Type 106 Model 4 F Shock Machine
1781 AVIV UV/IR Spectrophotometer
1782 Aviza Technology Celsior Mainframe
1783 Aviza Technology Pantheon Mainframe
1784 AVTech AVO-2 W-B Laser Diode Driver
1785 Axcelis Fusion PS 3 UVH
1786 Axcelis HC 3 High Current Implanter
1787 Axcelis Purion M High Temperature SiC Ion
1788 Axcelis / Eaton 9355 UPS
1789 Axcelis / Eaton NV 6200 A Implanter
1790 Axcelis / Fusion UV Lamp
1791 Axcelis / Fusion 150 PC UV Bake
1792 Axcelis / Fusion Compact II Oven
1793 Axcelis / Fusion 200 PCU Photoresist Stablizer
1794 Axcelis / Fusion 200 PCU Deep UV Photostabilizer
1795 Axcelis / Fusion 200 PCU Photoresist Stabilizing System UV-Cure
1796 Axcelis / Fusion PCU Photo Stabilizer
1797 Axcelis / Fusion MCU 200
1798 Axcelis / Fusion 200 PCU DUV
1799 Axcelis / Fusion 200 PCU DUV
1800 Axcelis / Fusion M 200 PCU PoLo DUV
1801 Axcelis / Fusion Gemini PCU Polo DUV
1802 Axcelis / Varian Optima HDxT Ion Implanter
1803 Axcellis / Fusion Gemini 202 MCU Plasma Asher
1804 Axcellis / Fusion Gemini 202 MCU Plasma Asher
1805 Axic Benchmark 800 Chemical Vapor Deposition (CVD)
1806 Axon EZ-2-300-ABM Bottle Bander
1807 Axon EX 2-300 Sleeve Applicator
1808 Ayumi LC 100 L LC Filling
1809 Aztech BSR-4010
1810 B Bunch Ticket Fan Folder
1811 B&G Machine Tank
1812 B&G Machine Tank
1813 B&K 4292 Anechoic Test Box
1814 B&K 1692 Power Supply
1815 B&K 2860 A Digital Multimeter
1816 B&K 879 B LCR Meter
1817 B&K Precision 1761 DC Power
1818 B&M WH 50 H 3 N Air Compressor
1819 Babcock Lower Power Microscope
1820 Baccini Printer Island
1821 Baccini Printer
1822 Baccini Walking Beam
1823 Baccini Italy I-Print + I-Cure: Line Loader Module
1824 Baccini Italy I-Print + I-Cure:Printing Machine
1825 Baccini Italy I-Print + I-Cure: Automated Optical Inspection (AOI) Module
1826 Baccini Italy I-Print + I-Cure: Loading Unit for I-Cure Drying Oven Cassettes
1827 Baccini Italy I-Print + I-Cure: I-Cure Drying Curing Oven with Inert Atmosphere
1828 Baccini Italy I-Print + I-Cure: Unloading Unit for I-Cure Drying Oven Cassettes
1829 Baccini Italy I-Print + I-Cure: Line Unloader Module
1830 Baccini Italy I-Print + I-Cure: Line Loader Module
1831 Baccini Italy CTM: Line loader Module
1832 Baccini Italy CTM: Printing Machine
1833 Baccini Italy CTM: Automated Optical Inspection (AOI) Module
1834 Baccini Italy CTM: Thermal Oven Module
1835 Baccini Italy CTM: Line Unloader Module
1836 Bacher FAPS 600 – 8780 HI
1837 Bacher Systems Automatic Exposure Machine
1838 Bachere Exposure Machine
1839 Baileigh SW 443 Iron Worker
1840 Baker Solar Photovoltaic Cell – Pilot
1841 Balaman BMS 150 Block Handling
1842 Baldor Motor
1843 Baldor EM 2333 T AC Motor
1844 Baldor EM 2394 T AC Motor
1845 Baldor FM 3311 T AC Motor
1846 Baldor 3218 T AC Motor
1847 Baldor VJMM 3314 T AC Motor
1848 Baldor Super E AC Motor
1849 Baldor M 93 EABTSSECA Pump
1850 Baldor VM 3545 Motor
1851 Baldor Reliance Pump
1852 Ball FD 1515 220 2 Vibratory Table / Drive
1853 Balston A 915 A DX Filter
1854 Balston A 917 A 95 Filter
1855 Balston A 915 BX Filter
1856 Balston 45 S 6 Filter
1857 BalTec 50 KN Hand Press
1858 Baltimore Air Coil (BAC) Cooling tower
1859 Balzer PX 1000 E 8 3709 Automatic Plasma Clean Machine
1860 Balzer Inficon FLC 150 Stand Alone Chiller
1861 Balzers Diff 500 Diffusion Pump
1862 Balzers 710
1863 Balzers BAE 301 Freeze Fracture Unit
1864 Balzers TMH 260 Turbo Pump
1865 Balzers BA 710 Coating Machine
1866 Balzers TMU 260 Turbo Pump
1867 Balzers BAK 640
1868 Balzers BAK 760 Coating Machine
1869 Balzers Compressor
1870 Balzers BA 710 A E-Beam
1871 Balzers LLS 900 Sputtering System
1872 Balzers Electrical Cabinet
1873 Balzers Helium Compressor
1874 Balzers Helium Compressor
1875 Balzers Duo 100 Vacuum Pump
1876 Balzers Blower Pump
1877 Balzers LLS 801 Sputter System
1878 Balzers / Evatec BAK 600
1879 Balzers / Evatec LLS EVO Spare Parts
1880 Balzers / Evatec BAK 741 Evaporator
1881 Balzers / Evatec MSP 1501 Batch Sputter Tool
1882 Balzers / Unaxis Clusterline 200
1883 Baratron 626 B Absolute Pressure Transducer
1884 Barco SilverWriter MF 860
1885 Barco Crescent 40 Photo Plotter
1886 Barco Photo Plotter
1887 Barnbay Scoring Machine
1888 Barnstead NanoPure Infinity Water Purifier
1889 Barnstead / Thermolyne A 442012
1890 Barnstead / Thermolyne HPA 2235 M Hot Plate
1891 Barnstead Thermolyne 501-A 2 PMC Hot Plate
1892 Barnstead Thermolyne 500 C-2 Stirrer
1893 Barnstead Thermolyne LN 2 Dewers
1894 Barnstead Thermolyne Furnace
1895 Baron Blakely Vapor Degreaser
1896 Baron Blakeslee ZL 1422 EW Vapor Degreaser
1897 Baron Blakeslee MSR 280 LE PF SMH 50 Cleaner
1898 Baron Blakeslee MVR-215 Degreaser
1899 Baron Blakeslee Large Format Degreaser
1900 Barry Wehmikller ALS 206 LH Labelizer Machine
1901 Basler Basler Scout Camera
1902 Bathon Transport Unit
1903 Bathon Frontside Metallization
1904 Bathon Transport
1905 Bathon Transport
1906 Bathon
1907 Battenfeld Plastic Moulding
1908 Baty SM 350 Comparator
1909 Bauer Air Compressor
1910 Bauermeister UT 13 SL Pin Mill
1911 Baumuller Nurnberg DAFF 225 K 23 R 17-5 Electric Generation
1912 Bausch & Lomb Stereozoom 7 Lower Power Microscope
1913 Bausch & Lomb Lower Power Microscope
1914 Bausch & Lomb Microscope
1915 Baxter N 7595 1
1916 Baxter DK 63 Constant Temperature Oven
1917 Bay Voltex MC 150 E 1 P 2 Chiller
1918 Bayco E-2 NG Burn-Off Oven
1919 Bayspec EDFA / ASE Source
1920 Bayvex Circulation Chiller
1921 Bazell Technologies Microseparator CF 80 M Centrifuge
1922 BBF Technologies TTI 120156 NEB Braider Guarding
1923 BBP
1924 BBS TGP 500 C Grinding and Polishing 3 in 1
1925 BD Biosciences BD LSR II Cytometer
1926 Beahm C 85 Mandrel Remover
1927 Beamworks 100 Selective Solder Machine
1928 Beaver VBRP Machine Tool Mill
1929 Beckman J 2-MI Centrifuge
1930 Beckman PPS 300 Power Supply
1931 Beckman 360 Digit Multimeter, Bench
1932 Beckman J 2 M 1 Centrifuge
1933 Beckman J 21 C Centrifuge
1934 Beckman DU 640 Spectrophotometer
1935 Beckman J 2-HC Centrifuge
1936 Beckman GS 6 KR Centrifuge
1937 Beckman GS 6 Centrifuge
1938 Beckman Centrifuge
1939 Beckman Expandomatic SS-2 Ph Meter
1940 Beckman HD 110 Multimeter
1941 Beckman Coulter DU 800 Spectrophotometer
1942 Beckman Coulter J-6 B Centrifuge
1943 Beckman Coulter Avanti J-25 XP Centrifuge
1944 Beckman Coulter J 6 M Centrifuge
1945 Beckman Coulter Reverse Osmosis System
1946 Beckman Coulter Z 1 Cell & Particle Counter
1947 Beckman Coulter J 2-MC Centrifuge
1948 Beckman Coulter Avanti J-25 Centrifuge
1949 Beckman Coulter J 6-MC Centrifuge
1950 Beckman Coulter Allegra X-30 R Centrifuge
1951 Beckman Coulter Centrifuge
1952 Beckman Coulter Allegra X 15 R Centrifuge
1953 Beckman Coulter N 5 Submicron Particle Size Analyzer
1954 Beckman Coulter COULTER AcT 5diff Hematology Analyzer
1955 Beckman Coulter J 2 HS Centrifuge
1956 Beckman Coulter Optima XLA Analytical Centrifuge
1957 Beckman Coulter Allegra X 22 Centrifuge
1958 Beckman Coulter Met One 3423 LLD Particle Measurement
1959 Beckman Coulter Allegra X 22 Centrifuge
1960 Beckman Coulter Allegra X 22 Centrifuge
1961 Beckmann Coulter Multisizer 4 Multisizer Coulter Counter
1962 Bectochem Homogenizer
1963 Becton Dickinson FACSCalibur Flow Cyometer
1964 Becton Dickinson FACScan Flow Cytometer
1965 Bede QC 200 Diffractometer
1966 Bede D 1 X-ray Diffractometer
1967 Behlman PA-20-2-A1-1-3844B
1968 Beijin BeFirst Technology F 20 Film Thickness Gauge
1969 Beijing Omori Changkong Packaging Machinery Pouching Machine
1970 Beijng RS 950 L Automatic Tangential Stripper
1971 Belco BM 2020 Tray Sealer
1972 Bell Tech Maxtron Circut Board
1973 Bell Teck Maxtron Max 9800 Universal Tester
1974 Bellco Biotechnology Mini Roll-in Incubator
1975 Bellgroup BE-TH-150 M 8 Low/High Temperature Testing Chamber
1976 Bellheimer SYS-351-2016.5-NT Vertical Carousel
1977 Bellingham RFM 970 Refractometer
1978 Beltron Belt Oven
1979 Bemco FW-AMB / 110 F – 238 Environmental Chamber
1980 Benchline PB 710 Washer
1981 Benchman MX Mill
1982 Benchman XT High Speed CNC Milling Machine
1983 Benchmaster Press
1984 Bendix 566-2 Psychrometer (T)
1985 Benshaw CFMVRSM 18 900 4160 1 Soft Starter 4200 Vac Controller
1986 Bensonic 20 2025 T Ultrasonic Wave Press
1987 Bensonic 20-2025 T Ultrasonic Wave Press
1988 Benteler Calandering Machine
1989 Benteler 2500 C 4/0 E Grinding Line
1990 Benton Harbor Formsmaster 8003 Printer
1991 Berg Skid Mounted chilled Water System
1992 Berger 30 MW Solar Light Simulator
1993 Berger 30 Mw Pulse Solar Load Machine
1994 Berger PSS 10 II Pulse Solar Load Machine
1995 Berger PSS 10 II Solar Simulator
1996 Berger Module Tester
1997 Berghof Speedwave Microwave Digestion system
1998 Berkeley Nucleonics 625 Function Generator
1999 Berstead Thermolyne Hot Plate
2000 Besco Molding Machine
2001 Besi CS 1250 Pick and Place Die Sorter
2002 Besi CS 1250 Pick and Place Die Sorter
2003 Best Flex 200 Expandable Conveyor
2004 Best Flex 200 Expandable Conveyor
2005 Best Flex Conveyor Belt
2006 Beta Lasermic Control System
2007 Beta LaserMike HFS 0610 Spark Tester
2008 Betenbender Shear
2009 Better Pack 333 Plus Teipera
2010 Beuhler 47-1750-160 Polisher
2011 Bhavani Industries Standard Weights for Calibration
2012 BHS Sonthofen SS 316 Rotary Pressure Filter
2013 Bianco 370 A Automatic Horizontal Band Saw
2014 Bianco 280 SA Horizontal Band Saw
2015 Bias HS-7 v 2 B-40/100 Cabinet
2016 Bid Service DX Bonder
2017 Bid Service DXB Bonder
2018 Biddle 210800 Megohm Meter
2019 Bielefeder union Washing Machine for Laminated Applicatio
2020 Bieleferder Union Washing Machine for PLF Dimensions
2021 Bielomatik K 8100 / 251 Hotplate Fuel Tank Welding Machine
2022 Bikor ACX 509 Drill Press
2023 Binder Controlled Humidity Oven
2024 Binder APT 115 Humidity Chamber
2025 Binder
2026 Binder Drying and Heating Chamber
2027 Binder ED 115 Drying Cabinet
2028 Binder Oven
2029 Binder Precision WTB BINDER 1805330000 Precision Oven
2030 Binks Paint Spray Booth
2031 Binks Wash Booth
2032 Bio Analytical CV 50 Voltammetric Analyzer
2033 Bio Rad Gel Doc XR Imaging System
2034 Bio Rad CMMS 134
2035 Bio Rad QS 408 M FTIR
2036 Bio Rad iCycler 582 BR Thermal Cycler
2037 BioDot AD 2030 Aspirate/Dispense Platform
2038 Biolin QSense Omega Auto Quartz Crystal Microbalance (QCM)
2039 Biomicro Systems Maui Microarray / Glass Slide Wash system
2040 Bio-Rad DL 8010 Deep Level Transient Spectrome
2041 Bio-Rad 583 Gel Dryer
2042 BioSafe Work Platform
2043 BioScan PlateChameleon Microplate Reader
2044 Bird 500-WA-MFN-20 RF Attenuator 500 W
2045 Birmingham YCL-1660 Lathe
2046 BK Precision Oscilloscope
2047 BK Precision 1660 DC Power Supply
2048 BK Precision 9110 DC Test Power Supply
2049 BK Precision 1670 A DC Switching Power Supply
2050 BK Precision 4017 Function Generator
2051 BK Precision 389 Multimeter
2052 BK Precision 4040 A Sweep / Function Generator
2053 BK Precision 1851
2054 BK Precision 1627 A DC Regulated Power Supply
2055 BK Precision 3030 Sweep Func Generator
2056 BK Precision 1670 A DC Supply
2057 BK Precision 3011 B Function Generator
2058 BK Precision 4010 A Function Generator
2059 BK Precision 875 B LCR Meter
2060 BK Precision 5491 A Multimeter
2061 BK Precision 886 LCR / ESR Meter
2062 BK Precision 2704 C Digital Multimeter (DMM)
2063 BK Precision 2706 B Digital Multimeter (DMM)
2064 BK Precision Autoranging Capacitance Meter
2065 Black & Webster BS Electro Punch
2066 Black & Webster SAB 6 Protector
2067 Black Diamond Drill Sharpener
2068 Blanchard 11
2069 Blanchard 18
2070 Blanchard EML 3
2071 Blanchard 20 AD-36
2072 Blanchard 1 8 Mill Machine
2073 Blanchard 1 1 Mill Machine
2074 Blanchard Model 22-42 Grinder
2075 Blanchard Machine 11
2076 Bliss Industries EDMF 4840 TFADE Hammer Mill
2077 Blockwise Engineering SFB Band Swager
2078 Blue Gear Pump
2079 Blue M POM-136 G-1 Oven
2080 Blue M Vwr 1601 Oven
2081 Blue M Oven
2082 Blue M OV-500 C-2 Oven
2083 Blue M POM 7-16 BI-E/F Oven
2084 Blue M Oven
2085 Blue M MW-1130 A-1 Contstant Temperature Agitator
2086 Blue M OV-490 A 2 Oven
2087 Blue M DDC-206 CY Oven
2088 Blue M Bake Oven
2089 Blue M Oven
2090 Blue M AC-7702 HC-1 Environmental Chamber
2091 Blue M ETC-09 DH-G Environmental Chamber
2092 Blue M AC-7702 TDB-1 Environmental Chamber
2093 Blue M OV 520 C 2 Oven
2094 Blue M OV 490 A 1 Oven
2095 Blue M OV 18 A Curing Oven
2096 Blue M OV 490 A
2097 Blue M E SP 400 C Burn-In System
2098 Blue M E SP 400 B Burn-In System
2099 Blue M OV 185 A Stabil-Therm Gravity Oven
2100 Blue M 246 Industrial Batch and Batch Truck Oven
2101 Blue M BTC 4 100 C Oven
2102 Blue M Explosion Proof Oven
2103 Blue M M 01450 SA Electric Oven
2104 Blue M 1002-3-2 TPB-X 88
2105 Blue M 1002-3-2 TPB-XXX
2106 Blue M ESP-400 B/c-9
2107 Blue M OV-510 A-2
2108 Blue M DCC-206-B-MP 550
2109 Blue M Oven
2110 Blue M POM 7-256 C-3 Oven (IP)
2111 Blue M Esp 400 BC-4 Bake Oven
2112 Blue M Esp 400 B Bake Oven
2113 Blue M CC-05-C-P-B Oven
2114 Blue M Environmental Chamber
2115 Blue M Box Oven
2116 Blue M Oven
2117 Blue M SPX DCC 206 F MP 350 Clean Room Oven
2118 Blue M Bake Out Oven
2119 Blue M Humidity Chamber
2120 Blue M OV 472 A 3 Oven
2121 Blue M OV 475 A 2 Oven
2122 Blue M SW 11 TA 1
2123 Blue M DCC 206 C C Oven
2124 Blue M DCC 256 E Oven
2125 Blue M Oven
2126 Blue M DCC-1406 CY Oven
2127 Blue M CW 6680 G MP 550 Oven
2128 Blue M CFR-7652 C-4-B Environmental Chamber, Temperature and Humidity
2129 Blue M ESP-400-C-7 Benchtop Oven, High Temperature Storage
2130 Blue M DC 256 Oven
2131 Blue M Pro Master Inert Gas Oven
2132 Blue M Ink Oven
2133 Blue M DC 326 KY HP Oven
2134 Blue M Despatch Oven
2135 Blue M Industrial Batch Oven
2136 Blue M DCA 206 CY MP Basic Oven
2137 Blue M LAC 388-4 Despatch Oven
2138 Blue M Despatch Oven
2139 Blue M MP 1406 LY-HP Oven
2140 Blue M DCA 206 C Mechanical Convection Insert Gas Oven
2141 Blue M DCA 206 – 3495 Oven
2142 Blue M DCA CY MP HP Inert Gas Oven
2143 Blue M MP 1406 LY HP Oven
2144 Blue M DCA 206 NY MOC Electric
2145 Blue M POM 203 A 1 Oven
2146 Blue M Oven
2147 Blue M Electric SPX Oven
2148 Blue-M CC-05 C Airflow Oven
2149 BMA TC 05
2150 BMG Labtech FLUOstar Omega Microplate Reader
2151 Bobrick 44 Series Compact Hand Dryer
2152 Boekel Digital Dry Bath Incubator
2153 Bogen M 100 A Amplifier
2154 Bohlin CS 50 Rheometer
2155 Bold Sulfuric Strip Sink
2156 Bold Technologies Auto Heater-Chiller
2157 Bold Technologies Auto Heater-Chiller
2158 Bold Technologies Auto Heater-Chiller
2159 Bold Technologies Hood Chiller
2160 Bold Technologies Implant Source Rebiuld Hood
2161 Bonnot 2 1/4 XTRDR Pilot Plant Extruder
2162 Boonton 57518 Power Sensor
2163 Boonton 4532 Power Meter
2164 Boonton 4531 RF Power Meter
2165 Boonton Electronics 72 BD Capacitance Meter
2166 Boost Solar BSL 2336 OAC Laminator
2167 Boost Solar Framing Machine
2168 Boost Solar Laminator
2169 Boost Solar EL Tester
2170 Boostsolar BSL 2236 OAC-III Laminating Machine
2171 Booton 9200 A RF Millovoltmeter
2172 Bora 1300 Nitrogen Generator
2173 Boreas B 100 Cryo Cooler
2174 Borg Warner Automatic Assembly Machine
2175 Bosch 0840001002 Drill Press
2176 Bosch Monitor
2177 Bosch KKE 2500 Tablet Check Weigher
2178 Bosch GLM 40 Range Finder
2179 Bosch KKE 2500 Tablet Check Weigher
2180 Bosch KWE 400 Packaging Equipment
2181 Bosch Manesty Tablet Press Machine
2182 Bosch Rexroth SB 305 Torque Tool Controller
2183 Bosch Rexroth 1140 160 10 Actuator
2184 Bosch Rexroth 1375 Statie Pinchecker
2185 Boschman Mold Machine
2186 Boscien Final Cleaning Machine
2187 Bossar B 1400 Pouch Filler
2188 Boston Gear Ratiopax DC Motor Control
2189 Bottero Unloading Machine
2190 Bottero Squareness Conveyor and Crane for Glass A
2191 Bottero Unwinding Area for PVB Rolls
2192 Bottero Unwinding Machine
2193 Bottero Loading Section area
2194 Bottero 308 A Double Edger
2195 Bourn & Koch 1400 VG Gear Grinder
2196 Bourn & Koch 1000 VG Gear Grinder
2197 Bourn & Koch 500 VG Gear Grinder
2198 Bourn & Koch P 1200 CNC Hobber
2199 Bourn & Koch PE 1000 CNC Hobber
2200 Box Voltex Chiller
2201 Boy Machines 15 S Injection Molder
2202 Boyar Schultz 2 A 618 Hydraulic Surface Grinder
2203 Boyar-Schultz 2 A 618 Hydraulic Surface Grinder
2204 Boys Machine Boy 50 Injection Molder
2205 BP Microsystems BP 1600 Prom Programmer (IP)
2206 BPR CP 40 Pipe Roller
2207 Brabender Technologie F W 80/5 Plus-200 Mixer
2208 Brabender Technologie DDW-MD 3-DSR 28-10 ISC Mixer
2209 Bradtech Cargo Trailer
2210 Brady BBP 33 Label Printers
2211 Brain Domain Buff 1001 V 1 0 Buffing Machine
2212 Brain Power Mini Color 2/4 Color 9 Lens Tinting Station System
2213 Brandenburg 139 D Digit Multimeter
2214 Brandenburg 139 D Voltmeter
2215 Brandenburg 149-02 Meter
2216 Brandenburg 149-02 Digit Multimeter
2217 Brandenburg 139 D Digit Multimeter
2218 Brandenburg 139 D Digit Voltage Meter (Repair)
2219 Brandt Tech PC 510 Vacuum Pump
2220 Branson 8510
2221 Branson 101620-25-18
2222 Branson Digital Sonifier
2223 Branson Ingot Debonder
2224 Branson Wafer Cleaner
2225 Branson S 8500 Ultrasonic Cleaning
2226 Branson 452 Degreaser
2227 Branson Barrel Etcher
2228 Branson Ultrasonic Degreaser
2229 Branson Ultrasonic
2230 Branson 910 IW Ultrasonic Welder
2231 Branson 8510 E-DTH Ultrasonic Cleaning system
2232 Branson Benchmark Modular System for wafer cleaning
2233 Branson 3 State Modular System For Wafer Cleaning
2234 Branson 214-3 Frequency Ultrasonic Generator
2235 Branson Modular System for Fixture Cleaning
2236 Branson Agitation and Control Panel Modification
2237 Branson SBUBHADM 1216 LED DC Burn-In System  Oscilloscope
2238 Branson Reactor Center 53075 110 Asher
2239 Branson SBUBHADM 1216 High Performance Hot Air Dryer
2240 Branson 941 AES Ultrasonic Welder
2241 Branson / IPC P 3075 Plasma Asher
2242 Branson / IPC PM 21018 Plasma Asher
2243 Branson / IPC 2000 Plasma Asher
2244 Branson / IPC PM-11020 Plasma Asher
2245 Branson / IPC 5210 DTH Ultrasonic Cleaner
2246 Branson / IPC Plasma Cleaner
2247 Braun MB 150 M
2248 Brecknell SBI 505 Floor Scale
2249 Brencor 1127 Chamfer Check
2250 Brencor 1127 Chamfer Check
2251 Brew JF 937 B
2252 Brewer Science 300 XD IPA Cleaner
2253 Brewer Science CEE 100 CB Spin Coater / Bake Unit
2254 Bridgeport F-X 362-00-70
2255 Bridgeport 5-5-09-443 Mill
2256 Bridgeport
2257 Bridgeport J 38045 Vertical Mill Machine
2258 Bridgeport Vertical Mill Machine
2259 Bridgeport Vertical Milling Machine
2260 Bridgeport CNC Series I Vertical Mill
2261 Bridgeport VMC 800 22 CNC
2262 Bridgeport Mill
2263 Bridgeport Textron
2264 Bridgeport Base of Vertical Mill
2265 Bridgeport Series 1 Milling Machine
2266 Bridgeport Vertical Mill
2267 Bridgeport Converter Mill
2268 Brierly Drill Grinding Machine
2269 Brinkman 682 Automatic Titrater
2270 Brocade BR-VDX 6748 T-48-F
2271 Brocade MLX
2272 Brookfield RCDV-II+ Viscometer
2273 Brookfield TA 1500 LFRA Texture Analyzer
2274 Brookfield DV II + Viscometer Digital
2275 Brookfield LVTD Viscometer (IP)
2276 Brookfield Thermosel
2277 Brookfield DV-II+ PRO Viscometer
2278 Brookfield Viscometer
2279 Brookfield LVDVE Viscometer
2280 Brookfield Rheometer
2281 Brookfield Engineering La PFT 3115 Powder Flow Tester
2282 Brooks 001-2980-56 Aligner
2283 Brooks 001-4130 Air Regulator Panel, Aligner
2284 Brooks 201600 11 Robot
2285 Brooks Automation FabExpress Wafer Handling Robot
2286 Brother TC 22 B 0 VMC
2287 Brother HL-2230 Laser Printer
2288 Brother BEM 530 Electric Typewriter
2289 Brothers Pharmatech (India) LVP Leak Testing Machine
2290 Brothers Pharmatech (India) Visual Inspection
2291 Brothers Pharmatech (India) LVP Labeling Machine
2292 Brothers Pharmatech (India) CAP Placing Machine
2293 Brown & Sharpe 576-4518 Height Gage
2294 Brown & Sharpe 599-1-2 Micrometer
2295 Brown & Sharpe 496 Protractor, Vernier
2296 Brown & Sharpe 599-5831 Riser Block
2297 Brown & Sharpe 176 Micrometer
2298 Brown & Sharpe 599-30-108 Micrometer
2299 Brown & Sharpe 599-40-108 Micrometer
2300 Brown & Sharpe 599-50-108 Micrometer
2301 Brown & Sharpe 599-60-108 Micrometer
2302 Brown & Sharpe 599-1-108 Micrometer
2303 Brown & Sharpe 599-20-108 Micrometer
2304 Brown & Sharpe 30-108 Micrometer
2305 Brown & Sharpe 40-108 Micrometer
2306 Brown & Sharpe 40-50-108 Micrometer
2307 Brown & Sharpe 40-60-108 Micrometer
2308 Brown & Sharpe 1-108 Micrometer
2309 Brown & Sharpe 20-108 Micrometer
2310 Brown & Sharpe 7035-3 Indicator, Dial
2311 Brown & Sharpe 599-542-6 Square, Precision
2312 Brown & Sharpe 7033-3 Indicator, Dial
2313 Brown & Sharpe 599-1025 Gage Amplifier
2314 Brown & Sharpe 618 Micromaster Surface Grinder
2315 Brown & Sharpe Optiv Multi Sensor Vision Measurement System
2316 Brown & Sharpe Gage 2000
2317 Brown & Sharpe MicroXcel 454 PFX CMM Coordinate Measuring
2318 Brown and Sharpe GAGE 2000
2319 Brown Boggs 14 LWA Walsh Press
2320 Brown Boggs Walsh Press
2321 Browne and Sharpe GAGE 2000 Coordinate Measuring Machine (CMM)
2322 Bruce 7607 Furnace Interface Mod
2323 Bruce 7351 C Furnace Processors, Controllers, Scanners, flowmeters, fans and other components
2324 Bruce Vertical Furnace
2325 Bruce Horizontal Furnace Stack
2326 Bruce 7351 C Furnace
2327 Bruce 7351 C POCI 3 Dopant Furnace
2328 Bruce 7351 Furnace
2329 Bruce 7351 Furnace
2330 Bruce 7354 M Temperature Control Unit
2331 Bruce 7354 N Control Unit
2332 Bruce BDF 4 Low Pressure Chemical Va
2333 Bruel & Kjaer 4294 Calibration Exciter
2334 Bruel & Kjaer Nexus 2692-0 S 4 Conditioning Amplifier
2335 Bruker D 2-Phaser Diffractometer System
2336 Bruker D 8 Discover Diffractometer
2337 Bruker S 8 Fabline T Total Reflection X-ray Spectroscopy (TXRF) Metrology System
2338 Bruker 4.7 Tesla MRI
2339 Bruker MALDI Microflex Spectrometer
2340 Bruker DRX 500 NMR Spectrometer
2341 Bruker Contour GT-K 3D Optical Microscope / Profiler
2342 Bruker D 8 Advance X-ray Diffraction Equipment
2343 Bruker OM-CNTOURGTK Optical Profilometer
2344 Bruker EDS Detector
2345 Bruker S 1 Titan 600 X-ray Fluorescence Spectrometer
2346 Bruker S 8 Fabline-T Total Reflection X-ray Spectroscopy (TXRF) Metrology System
2347 Bruker Fourier Transform Near-Infrared (FT NIR)
2348 Bruker JVX 5200 T Thin Film Measurement System, X-Ray Reflectometer
2349 Bruker Discover D 8 Micro – XRD
2350 Bruker Matrix-I FT-NIR Spectrometer
2351 Bruker Xflash 6 10 EDX Detector
2352 Bruker FT-NIR Spectrophotometer
2353 Bruker Prodigy Cryoprobe
2354 Bruker D 8 Fabline Metrology Tool
2355 Bruker Dimension 3100 Atomic Force Microscope (AFM)
2356 Bruker Fabline D 8 X-Ray Diffractometer
2357 Bruker InSight 3 D- DR Mask House Photomask Inspection Atomic
2358 Bruker D 8 Fabline X-Ray Diffractometer
2359 Bruker D 8 Fabline X-ray Diffractometer
2360 Bruker Nano 3 DAFM Atomic Force Microscopy (AFM) System
2361 BT Tech NC 25 Flux Cleaning Machine
2362 BTI 4 Stack Horizontal Furnace
2363 BTI BDF-41 Horizontal Furnace
2364 BTM 61.41 SA DS Horizontal Band Saw
2365 BTU TCAS 181-8-81 E 36 Controlled Atmosphere Fu
2366 BTU TFF 142-7-126 A 48 Tunnel Kiln
2367 BTU Pyramax Inline Oven
2368 BTU PV 309 Series Firing Furnace
2369 BTU VIP 70 A Reflow Oven
2370 BTU Pyramax 125 N Oven
2371 BTU Pyramax 125 N Oven
2372 BTU Pyramax 98 A Oven
2373 BTU Reflow Oven
2374 BTU Pyramax 98 A Reflow Oven
2375 BTU Pyramax 98 A Reflow Oven
2376 BTU Pyramax 150 N X 5 Reflow Oven
2377 BTU Pyramax 150 N X 5 Reflow Oven
2378 BTU Pyramax 100 N Reflow Oven
2379 BTU PYRAMAX 98
2380 BTU Pyramax 125 N Reflow Oven
2381 BTU Paragon 98 Reflow Oven
2382 BTU TFF 252-7-126 A 48 Conveyer Furnace
2383 BTU TFF 252-7-126 N 48 G 7 Conveyer Furnace
2384 BTU Pyramax 125 N Reflow
2385 BTU VMCA 141-6-72 N 66 Anneal Furnace
2386 BTU International Pyramax 150 SMT Reflow Oven
2387 Buchanan MS 3198-1 Crimp Tool, Pin
2388 Buchi R-Series Rotary Evaporator
2389 Buchi R 125 Rotavapor
2390 Buehler IsoMet Saw
2391 Buehler Ecomet-3000 Grinder / Polisher
2392 Buehler Ecomet-3000 Polisher
2393 Buehler Ecomet-3000 Polisher
2394 Buehler Ecomet 3 Polisher
2395 Buehler BETA
2396 Buehler Isomet 4000 Linear Precision Saw
2397 Buehler Fiberpol 3000 Polishing Machine
2398 Buehler Phoenix 4000 V / 2
2399 Buehler Isomet 1000 Silicon Saw
2400 Buehler Silicon Saw
2401 Buffalo Forge 10203 Drill Press
2402 Bumill BIUV 3000 A UV Oven
2403 Bumill BIUV 3000 A UV Oven
2404 Bumill BIUV 3000 A UV Oven
2405 Bumill BIUV 3000 A UV Oven
2406 Bumill BIUV 3000 A UV Oven
2407 Bunting HS 9050 Metal Separator
2408 Burgener Centrifuge Discharge
2409 Burke E. Porter Dyno Rolls
2410 Burkle Laminate Machine
2411 Burleigh WA-1100-EI Wavelength Meter
2412 Burleigh WA 7000 Multi-Line Wavemeter
2413 Burleigh WA 1000 Wave Meter
2414 Burndy MR 4 C Crimp Tool, Lug
2415 Burndy Y 14 MTR Crimp Tool
2416 Burndy MR 8-4 Cromp Tool, Lug
2417 Burnley CO 75 Over Wrapper
2418 Burnsco BTHC-3 P Environmental Test Chamber
2419 Busch 021 336 Vacuum Motor
2420 Busch Cobra DS 80
2421 Busch / Cobra DS 80 Vacuum Pump
2422 Bushman Flip Rite Positioner
2423 Buss Quantic 96 EV -10 C 200-4 Kneader System
2424 Bussmann BM 6031 B Fuse Holder
2425 BV Thermal Systems MCLT 100-A 1 E 1 BV Water Cooled Recirculating Chiller
2426 BWT Septron Line 60 Pro DI Water Generator
2427 BWT Septron Line 5 N DI Water Generator
2428 Byko Spectra Light Box
2429 Bystornic SH 1200 K 70
2430 Bystronic GSRA
2431 Bystronic SH 1200 K 70
2432 Bystronic GSRA
2433 Bystronic Portal Crane
2434 Bystronic XYZF 92 R Cutting Float Line
2435 Bystronic XYZ 84 Cutting Float Line
2436 C Sun SMO-6 S Burn-In Oven
2437 C Sun SMO 2 D S Oven
2438 C Sun MO 1 H Oven
2439 C Sun MOL 5 S Oven
2440 C Sun SMO 3 Oven
2441 C Sun PRS Etching Machine
2442 C Sun QMO 2 Oven
2443 C&D Semiconductor 8100 Track System
2444 C.A. Picard Film Punch
2445 C.W. Brabender D 52 Polymer Extruder
2446 CAB Maestro 4 M Cortadora
2447 CAB Maestro 4 Cortadora
2448 Cadence Palladium 3
2449 Cadence Palladium PD 3 Emulator
2450 Cadmach CTX-26
2451 Cadmach CMC-CM Cad Mill
2452 Cadpress 55 Station Compression Machine
2453 Caesa LTDA Transformer
2454 Caffee CF K 290
2455 California Instruments 101 T AC Source
2456 California Instruments 5001 ix Power Source
2457 Caliper 5’x3′ Optical Breadboard
2458 Camalot Gemini II Dispensers
2459 Camalot Gemini I Dispensers
2460 Camalot 1414 Dispensing System
2461 Camalot 1414 Dispensing System
2462 Cambridge Nanotech AE 101 Eyelet Machine
2463 Cambridge Scientific Sorvall Fresco Centrifuge
2464 Cameca SX 100 Electron Microprobe
2465 Cameca SX 100
2466 Cameca IMS-4 F
2467 Cameca IMS 6 F
2468 Cammax DB 600 Die Bonder
2469 Camtek Falcon 5 LED-S Automated Optical Inspection System
2470 Camtek Orion 806 AOI
2471 Camtek Falcon 200 S Optical Wafer Inspection System
2472 Camtek Orion 806 AOI System
2473 Camtek Falcon 620 Plus Automation Inspection System
2474 Camtek Falcon 520 PD Plus Automatic Defect Inspection Machine
2475 Camtek Falcon 620 Plus Automation Inspection System
2476 Camtek Falcon 520 PD Plus Automatic Defect Inspection Machine
2477 Camtek Falcon 820
2478 Camtek Orion 806 Automated Optical Inspection (AOI) system
2479 Canadian Purcell 4 VX 3 B-5.5 RIX air-cooled three-stage compressor
2480 Cannon CT 500 Temperature Bath
2481 Cannon Industries DPI 2-HD Drill Jumbo
2482 Canon OCE VP 2090 Printer
2483 Canon irc 5235 Printer
2484 Canon FPA 2500 I 3 Stepper
2485 Canon PLA 501 FA
2486 CAnon Pixma All-In-One Wireless Printer
2487 Canon PLA 501 F Mask Aligner
2488 Canon PLA-50 Mask Aligner
2489 Canon PLA 5 OF Wafer Aligner
2490 Canon Aligner
2491 Canon Printer Cum Scanner
2492 Canon APT 5800 Atmospheric Pressure Che
2493 Canon APT 5800 Atmosphere Pressure Che
2494 Canon APT 5800 Atmospheric Pressure Che
2495 Canon APT 5800 Atomosphere Pressure Ch
2496 Canon PLA 501 FA Mask Aligner
2497 Canon PLA 600 FA Mask Aligner
2498 Canon PLA 501 FA Mask Aligner
2499 Canon CXDI 55 G Flat Panel Detector
2500 Canon CPS 4000 L Soft Solder Die Bonder
2501 Canon FPA 5000 ES 4 Stepper
2502 Canon FPA 2000 i 1 Stepper
2503 Canon Bestem D 02 High Speed Epoxy Die Bonder
2504 Canon C 7010 VP Printer
2505 Canon FPA 5500 iZa Stepper
2506 Canon Machinery Bestem D 01 Die Bonder
2507 Canon Tokki Small Elvess 040 Clustertool Projekt OLED Lighting
2508 Capres CIPTech M 300 Metrology Tool
2509 Captain Fume Hood and Cabinets
2510 Captor LSN 212 Line Stabilization Network
2511 Carbolite TZF 15 / 89 / 610 Tube Furnace
2512 Carbolite VST 12 / 200 Vertical Split Tube Furnace
2513 Carbolite Tubular Furnaces
2514 Carbolite Tubular Furnace
2515 Carbolite Gero GmbH HTRV-A 70-500 / 17 Sintering Furnace
2516 Carbonlite PF 800 Oven
2517 Cargocaire Honeycomb Dehumidifier
2518 Carl Zeiss EVO HD-MA 15 Scanning Electron Microscope (SEM)
2519 Carl Zeiss CLSM EMMI Confocal Laser Scan Microscope
2520 Carl Zeiss 673 Microscope
2521 Carl Zeiss 68592 Microscope
2522 Carmenna PSSP 0027 Semi Auto Test Handler
2523 Carrera High Voltage Power Supply
2524 Carrier 42 TSN 012 Air Condition
2525 Carrier 30000 BTU Air Condition
2526 CarriTape Tape Forming
2527 Carritape / Seong Han Tec SHT-W 44 PL
2528 Carritape / Seong Han Tec SHT-TR 2 A 4 B
2529 Carver Laboratory Press
2530 Cascade FWT Autoloader
2531 Cascade Probe Station
2532 Cascade Summit B Probe Station
2533 Cascade CM 300 S Prober
2534 Cascade REL 4100 Probe Station
2535 Cascade / Alessi REL 4500
2536 Cascade / Alessi REL 5000 Probe Station
2537 Cascade Microtech Summit 12000 Prober
2538 CAST SGX GPS Signal Generator
2539 Castek SDNC 16 PE EDM Hole Popper
2540 Castek SDNC 16 PE EDM Hole Popper
2541 CAT 2 T 7435 Generator
2542 Caterpillar Forklift
2543 Caterpillar C 6.6 Generator
2544 Cats DV 210 DVDS Tester
2545 Cats DV 240 GS DVDS Tester
2546 Cats LV 0010 ZFC UIL Tester
2547 Cats LV 0030 FC UIL Tester
2548 CCE Inc DelTech 857
2549 CCTV ZL 2015 Freezer
2550 CDE Resmap
2551 CDE Resmap 178 Resistivity Measurement System
2552 CDI 10002 MRMHSS Torque Wrench
2553 CDI 10 T-ICDI Torque Wrench
2554 CDI 6004 MFRMH Torque Wrench
2555 CDI 10 T-ICDI Torque Wrench
2556 Cedel Equipment Adara Press System
2557 CEE 1000 Bake Plate
2558 CEE 1000 Benchtop Spin Coater
2559 CEE 1100 FX Hot Plate
2560 CEE Wet Bench
2561 CEE Coater
2562 Cee Spin Coater
2563 CEIA THS PH 21 Tablet Metal Detector
2564 CEM Discover SP D Microwave Digestion System
2565 CEM Discover-SPW / ActiVent
2566 CEM Perma-Cyl 700L HP Tank
2567 CEM Discover SP
2568 CEM Discover Microwave Peptide Synthesizer
2569 Cemas Elettra 911 PH Plastic Welding Machine
2570 Cemco Quicksilver Hot Air Leveler
2571 Cemco Quicksilver Hot Air Level
2572 Cenco CP 75425 00 Ballistic Pendulum
2573 Cencorp BR 1000 Router
2574 Cencorp TR 1000 Automatic Depaneling System
2575 Centellax SCS 16000 Stressed Clock Synthesizer
2576 Centorr Vacuum Base Centurion Furnace
2577 Centorr Vacuum Industrie Sintervac 3710 Graphite Vacuum Furnace
2578 Centorr Vacuum Industrie CA
2579 Central Air 9649 BTU Air Condition
2580 Central Air
2581 Central Machinery 47158 Micro Mill / Drill Machine
2582 Centrifugal Old Pump on Pallet
2583 Centrother 30 MW Diffusion Furnace
2584 Centrother E 2000 HT 410 4 Plasma-Enhanced Chemical Vapor Deposition (PECVD)
2585 Centrother E 2000 HT 300 5 Diffusion Furnace
2586 Centrotherm 400 4 T
2587 Centrotherm 400 5 T
2588 Centrotherm 500 4 T
2589 Centrotherm 500 5 T
2590 Centrotherm E 2000 HT 410 4 Plasma-Enhanced Chemical Vapor Deposition (PECVD)
2591 Centrotherm FFF
2592 Centrotherm CT BW H 300 K 2 S Wet Scrubber
2593 Centrotherm E 1200 HT 260-3 Furnace
2594 Centrotherm E 2000 HT 300-5 Oxidation Furnace
2595 Centrotherm E 2000 HT 300-5 Chemical Vapor Deposition (CVD) SIN Furnace
2596 Centrotherm E 2000 HT 300-5 Diffusion Furnace
2597 Centrotherm E 2000 HT 410-4 Chemical Vapor Deposition (CVD) SIN Furnace
2598 Centrotherm POCL 3 E 2000 HT Diffusion Furnace
2599 Centrotherm DO-FF-HTO-13.400-470 Fast Firing Furnace
2600 Centrotherm Chemical Vapor Deposition (CVD) Furnace Abatement
2601 Centrotherm DO DIFF HSS 7.500-900 Inline Dopant Drying Furnace
2602 Centrotherm DO DIFF 18.500-900 Inline Diffusion Furnace
2603 Centrotherm DO HTO Backside Dryer
2604 Centrotherm DO HTO Frontside Dryer
2605 Centrotherm DO FF Fast Firing Furnace
2606 Centrotherm Drying Furnace
2607 Centrotherm Diffusion Furnace
2608 Centrotherm Backside Dryer
2609 Centrotherm Frontside Dryer
2610 Centrotherm Fast Firing Furnace
2611 Centrotherm Implant Activation Anneal
2612 Centrotherm
2613 Centrotherm
2614 Centrotherm
2615 Centrotherm
2616 Century K 48 M 2 N 110 C 2
2617 Century 6-357719-01 Motor
2618 Century Design CD 5870-24
2619 Cepheid SmartCycler
2620 Cermex SL 11 Case Packer
2621 CFS PowerPak 420 Form, Fill & Seal Machine
2622 CHA SE-1000 Bell Jar System
2623 CHA Bell Jar Thermal Evaporator
2624 CHA SR-10 Power Supply
2625 CHA Chamber
2626 CHA 88085 Gold Evaporator
2627 CHA SEC 1000 RAP
2628 CHA SEC-1000-RAP Vacuum Evaporator
2629 CHA 600
2630 CHA Evaporator
2631 CHA Solution Evaporator
2632 CHA Mark 50 Evaporator
2633 Challenge CAU 91608 Angle Iron, Right
2634 Challenge HF Paper Drill
2635 Champion 15 EWTR 45 Compressor
2636 Chaoind Chaoying Swing Arm Punch Machine
2637 Chapman MP 2000 + Noncontact Surface Profiler
2638 Charles Ross Son Tank
2639 Charles Water Ion pump
2640 Charmiles Technologies Robofil 2020 Wire Cut Electric Discharge Machine
2641 Charmilles Technologies Robofil 310 EDM
2642 Chatillon LR 5 K Digital Test Stand
2643 Chatillon LTCM-6 Pull Tester
2644 Chatillon DPP-1 Dial Push / Pull Gage (T)
2645 Chatillon 509-250 Scale, Spring
2646 Chatillon DPP 50 Dial Push / Pull Gage
2647 Chatillon DFS-R-ND Force Gage, DIG
2648 Chatillon LTCM 100 Pull Tester
2649 Chatillon LTCM 100 Pull Tester
2650 Chatillon LTCM 100 Pull Tester
2651 Chemcut 547 Developer Double Side Input Module
2652 Chemcut Deburr Machine
2653 Chemcut Etcher
2654 Chemcut 121 A
2655 Chemcut Copper Milling and Washing Machine
2656 Chemcut UV Cure System
2657 ChemGARD FH 4 Fume Hood with Base Cabinet
2658 ChemGARD FH 6 Fume Hood with Two Base Cabinets
2659 Chemical Safety CST 216 / 9 ACL Chemical Process Station
2660 Chemical Safety Tech CST 72 Corrosive Wet Bench
2661 Chemical Safety Technolo CST-55/2-ACD-R Dispense System
2662 ChemWest Boat Cleaner
2663 Cherusal TM 111 MKIII R Reflow Solder Hot Bar
2664 Cherusal 61 M 0141 Reflow Solder Hot Bar
2665 Cherusal DT 250 PH Reflow Solder Hot Bar
2666 Chesapeake Microwave Te HPA-1900-D 56 W
2667 Chess Laser Marker
2668 Chester Vertical Milling Machine
2669 Chester Vertical Drilling Machine
2670 Chevalier FSG-3 A 818 Surface Grinding
2671 Chevalier CGP-816 Cylindrical Grinding
2672 Chicago Apron SB 12 Handbrake
2673 Chicago Apron 812 Manual Brake
2674 Chicago Pump AC Motor
2675 Chick Quick-Lok Double Vises
2676 Chick MJQ 1550-150.50 1550 Jaw Set
2677 Chick MJQ 15-150.50.120-F Fixed Jaw
2678 Chimer
2679 Chimer 50 NZ
2680 China JL Shanghai International Trading Dry Film Table
2681 China-Scicooling Science & CTE SG 7550 02 F Temperature Chamber
2682 China-Scicooling Science & CTE SE 7525 05 F Standard Temperature Chamber
2683 China-Scicooling Science & CTE-SG 7506-02 F Chamber
2684 Chinee CNIN-2 P-2 D Oven
2685 Chinup Technology LL-MT 0 1 A
2686 Chiron Automatic Curve Tracer
2687 Christopher EC 1824 PCB Packing Machine
2688 Chroma 19032 Electrical Safety Analyzer
2689 Chroma 58620 LD Characterization Test System
2690 Chroma 58173 LED Chip Level Tester
2691 Chroma 58173 LED Chip Level Tester
2692 Chroma 3710 HS Wafer Inspection
2693 Chroma 58173 Weaver Tester
2694 Chroma 8000 ATS Hardware & Outsourcing items
2695 Chroma 8000 ATS System Integration Charge & HASP Keypro
2696 Chroma 6330 A DC Electronic Load
2697 Chroma 8020 ATS Machine
2698 Chroma 61501 Programmable AC Source
2699 Chroma 63201 DC Electronic Load
2700 Chroma 19052 AC/DC/IR Hipot Tester
2701 Chroma 6430 Programmable AC Source
2702 Chroma 6011 Timing / Noise Analyzer
2703 Chroma 6334 DC Load Main Frame
2704 Chroma 6404 Programmable AC Source
2705 Chroma 6530 Programmable AC Source
2706 Chroma 6532 Power Analyzer
2707 Chroma 61604 Programmable AC Source
2708 Chroma 63301 DC Load
2709 Chroma 63302 DC Load
2710 Chroma 63303 DC Load
2711 Chroma 62012 P 100 50 Programmable DC Power Supply
2712 Chroma CSC 8000 224 Auto Test System
2713 Chroma CSC 8000 156 Auto Test System
2714 Chroma Rack
2715 Chroma 6530 Programmable AC Source
2716 Chroma 6000 Tester
2717 Chroma 8000 Tester
2718 Chroma 650 Switcher Analyzer
2719 Chroma 650 Switcher Analyzer
2720 Chroma 3760 Solar
2721 Chromalox 2030 2284 Environmental Chamber
2722 Chromalox Electrical Resistance tool
2723 Chromatopak Hydrogen Generator
2724 Ci Science Torus 300 K Etch
2725 CI Systems WetCon 100 Ammonia Sensor
2726 Cincinati Sub Zero Zp-32 Temperature Chamber
2727 Cincinnati 30 HC Mill
2728 Cincinnati 30 HC 5-axis Profiler (Gantry Mill)
2729 Cincinnati Talon 210 Lathe
2730 Cincinnati MT Grinder
2731 Cincinnati Hawk Lathe
2732 Cincinnati Tool Master Mill
2733 Cincinnati 60 CBII Brake
2734 Cincinnati Sub Zero ZP 32 Chamber
2735 Cincinnati ID Grinder
2736 Cincinnati 20 V Profiler Vertical Mill
2737 Cincinnati 1806-R Power Sheer
2738 Cincinnati Viper 3000 CNC Fiber Placement
2739 Cincinnati Viper 3000 CNC Fiber Placement
2740 Cincinnati Viper 3000 CNC Fiber Placement
2741 Cincinnati Viper 3000 CNC Fiber Placement
2742 Cincinnati NC Lathe
2743 Cincinnati 15 Tray Top Metal Lathe
2744 Cincinnati CSZ Sub Zero ZHS-8-1-1-H Environmental Chamber
2745 Cincinnati Lamb CFV 1050 Si Milling Machine
2746 Cincinnati Milacron 630 4-Axis Mill
2747 Cincinnati Milacron Arrow 1000 CNC Vertical Mill
2748 Cincinnati Milacron Sabre 1000 ERO Vertical Mill
2749 Cincinnati Milacron T 40 4 Axis Horizontal Mill
2750 Cincinnati Milacron LL 14 Grinder
2751 Cincinnati Milacron 220 8 Centerless Grinder
2752 Cincinnati Milacron Lancer 1000 Die Mold
2753 Cincinnati Sub Zero ZPH-32-3.5-3.5-SC/AC Temperature / Humidity Chamber
2754 Cincinnati Sub Zero CSZ LBS 1.8 15 SCT / WC Industrial Liquid Bath
2755 Cincinnati Sub Zero Z 8118 AC
2756 Cincinnati Sub Zero VTS Thermal Cycling (Shock) Chamber
2757 Cincinnati Sub Zero ZH 2 633 033 H/AC Chamber
2758 Cincinnati Sub-Zero 2-8-1 H/AC Temp Cycle Chamber
2759 Cincinnati Sub-Zero MCBH-1.2-.33-.33-H/AC Climate Unit
2760 Cincom E 32
2761 Cinogy CL 200 HP-HS Laser Beam Profiler
2762 Circuit Automation TC 120 Conveyor Tunnel Oven
2763 Circuit Automation DP 1500 Vertical Screen Printer
2764 Circuit Automation TC 120 Conveyorized Oven
2765 Circuit Specialists 3644 A DC Power Supply
2766 Circuit Specialists 3711 A DC Electronic Load
2767 Cisco 3750 Network Switches
2768 Cisco Catalyst 2960 Edge Switch
2769 Citizen L 16 CNC
2770 City Crown Auto Flow Lens Turner
2771 CKD VP 3000 SPI Machine
2772 Claind N 2 Maxi 1 Dual Head Nitrogen Generator
2773 Claind N 2 Maxi Nitrogen Generator
2774 Claisse M 4 Fusion Instrument
2775 Clark TM 25 Forklift
2776 Clark ESR Calibration Bar
2777 Clarostat 250 Power Resistance Decade
2778 Clarostat 240 C DEC Box, RES
2779 Clarostat 240-C Power Resistor/Decade Box
2780 Clausing Drill Press
2781 Clausing Startrite HB 3305 A Saw
2782 Clausing and Colchester Storm 80 CNC Lathe
2783 Clausing Kondia Vertical Milling Machine
2784 Clausing Metosa C 1765 V 5 Manual Lathe
2785 Clean Air Products CAP 303 830 30 H Bench
2786 Clean Air Products Pass Through
2787 Clean Air Products Pass Through
2788 Clean Air Products Clean room pass through
2789 Clean Tech / Centrothe CT BW K 4 Waste Gas Abatement System
2790 Cleanroom Plastics Implant Clean Assembly Hood
2791 Cleansorb CS 200 PS Bed Dry Absorber
2792 Clemco BNP 55-7 Zero Blast-n-Peen Sandblaster
2793 Cleveland 7 KL 4-5 M-18-A-0-1-0 Gun Drill
2794 Cleveland Mixer 5447 Mixer for 100 Gallon Reactor
2795 Climats AV 490 V Dry Oven
2796 Climats 410 Oven
2797 Climats 420 Oven
2798 Climats 120 CTR / 5 Thermal Shock Chamber
2799 Climats 120 CTR / 5 Thermal Shock Chamber
2800 Clinton Instrument AQ 1 A / AE 2 Aqualytic Flaw Detector
2801 Clinton Instrument HFEBD-12 H Spark Tester
2802 CLS AWHB T 50 100
2803 Clyde Apac HWS 120 Laminar Flow Hood
2804 CM Comandos Lineares Perfection TI Stabilizer
2805 CMC HSA 50 20 Line Auto Gluing Machine
2806 CMC HSA 50-20 Cover Gluing Machine
2807 CMI
2808 CMS MBB 3 T / 700 TX 3 Router
2809 Coatema LS 17 Linecoater
2810 Coatema BC 37 Basecoater Inert
2811 Coatema SM 21 Multifunctional Coating Line
2812 Coatema Easycoater
2813 Coats Dry Film Developer
2814 Cogitate Technology Tray Tape & Reel Machine system
2815 Cognex TI C-6000 Canister Verification System
2816 Coherent Innova 300 Yag Laser
2817 Coherent AVIA 355-7000 UV Laser System
2818 Coherent Modemaster PC for NIR, Low Divergence Beams
2819 Coherent Antares 76 YAG Laser
2820 Coherent Satori Ultrafast Dye Laser
2821 Coherent Power Source
2822 Coherent Vitesse Laser
2823 Colboit Blue Stabilizer
2824 Colboit Samba Stabilizer
2825 Colby Instruments Pg-1000 A Pulse Generator
2826 Colchester Bantam 1600 Lathe
2827 Colchester 1600 Lathe
2828 Cold Jet MicroClean Dry Ice Machine
2829 Cold Jet i3 Micro Clean CO2 Cleaner
2830 Cole Palmer CBFL 517 C
2831 Cole Palmer CBFL 517 C
2832 Cole Palmer H 08376-60
2833 Cole Parmer EW-28615-05 Rotary Evaporator System
2834 Cole Parmer 92800-00 Digital Thermometer
2835 Cole Parmer 2020 Recorder, Temperature (IP)
2836 Cole Parmer Masterflex 77601-00
2837 Cole Parmer Masterflex 7529-39
2838 Cole Parmer Masterflex 77200-62, Easy-Load II
2839 Cole Parmer 12107-20 Digital Polystat Temperature Controller
2840 Cole-Parmer Temp Humidity Recorder
2841 Colight Exposure system
2842 Colight 1630 Exposer
2843 Colight DMVL 1530 Exposer
2844 Colight 1330 Exposer
2845 Colight 930 Exposer
2846 Colight DMVL 1530
2847 Colite Light Source
2848 Collette 300 High Shear Mixer
2849 Collomix Visco 500 Paste Mixer
2850 Columbus McKinnon Lodestar Hoist
2851 Combi 1 CE Drop Packer
2852 Comco MicroBlaster Blaster
2853 Comco DF 1400-1 Sandblast Machine
2854 Comco Procenter CTR 200 1 Micro Abbrasive Sand Blaster
2855 Comet VXP-160.15 X-Ray
2856 Comet HB 50 Bridge Mill
2857 Comicondor HT / GMP 800 / 350 Centrifuge, Peeler
2858 Compair 5000 Compressor
2859 Compair Egatta 16 Compressor
2860 Compair L 22 Compressor
2861 Company Detail Company Assets Tab
2862 Compaq IPAQ CPU
2863 Compaq M-S 48 a Mouse
2864 Compomec Cable Machin Compo PTL FM 109 Inhol Flattening Machine
2865 Comtech UM 218-100
2866 Comtor 0.0001 Comtor Set
2867 ConAir Hopper Loader
2868 Conair CGW-1424 Grinder/Shredder
2869 Conair D 400 Material Dryer
2870 Conceptronic HVA 70 Reflow Oven
2871 Conceptronic HVC 102 Reflow Oven
2872 Condor HB 24-.2 A+ Power Supply
2873 Condot Printer
2874 Condot Systems Inkjet Printer
2875 Conical V Powder Blender
2876 Connecticut A 24 V Band Saw
2877 Connors Haas CCTV system
2878 Conrad Autoclave
2879 Consew CN 1150 H/C Knife Stripper Cutter
2880 Consolidated Electronics C Type 24-210 Leak Detector
2881 Contac RS e 30
2882 Contact Systems CS-400 E
2883 Conti Complett 235 Coating Machine
2884 Contrast 988769 Batch Dispense System
2885 Control Air D-9-L-SM-UM-MOD 184 Air Lift Spare Parts
2886 Control Micro Systems CMS 1050 C Laser Marker
2887 Control Micro Systems CMS 1050 C Laser Marker
2888 CONVAC Aptcon Reticle Cleaners
2889 Convac Aptcon Reticle Cleaners
2890 Conveyor Technologies FIFO-1.3 M-50-G/B-1719
2891 Conveyor Technologies WIET-.6 M-0640
2892 Conveyor Technologies LG 48 I-1-0640 Conveyor
2893 Cooper Instruments LGP 310 2 B 30 K Load Cell
2894 Cooper Instruments LGP 310 2 B 75 K Load Cell
2895 Cooper Power Systems Transformer
2896 Corbett Robotics CAS 4200 Automated Pipetting System
2897 Coretek CT 75 Component Tester
2898 Corial 300 IL Dry Etching Machine
2899 Corial Inductively Coupled Plasma (ICP)
2900 Coriolis Promass 83 P 15 Mass Flow Meter
2901 Coriolis
2902 Coritech Toptech VRS Verismart Verification System
2903 Cornelius CH 1503 A Chiller
2904 Cornell Roll Up Doors
2905 Corning PC 400 D Hot Plate
2906 Corning Stirrer
2907 Corning 440824
2908 Corning Tropel 128892 4180 WIN Flatness Tester
2909 Corning Tropel UltraFlat 150040 Blank Flatness Measure
2910 Corona Dynamics AAQ 1 Surface Treater
2911 CoronaJet Corona Treatment
2912 Corso Gray DA 660 V CG Bank
2913 Corso Gray Low Pressure Chemical Vapor Deposition (L
2914 Corso Gray Low Pressure Chemical Vapor Deposition (L
2915 Cortek CT 750 CS Component Tester
2916 Cortest Containment Vessel
2917 Cortex Imaging VIT C 250 Automatic Visual Inspection
2918 Cosmos DH 15000 High Frequency Generator
2919 Costruzione Machine Attr ALE 056 Boring Machine
2920 Costruzione Machine Attr ALE 052 Boring Machine
2921 Cotterman 21 BH
2922 Coventry Centre Lathe
2923 Coverting Technologies CUIR 14 Electrical Control Panel
2924 Cowin LQFP 7×7 MTX Fully Automatic DTFS Servo Mechanical System
2925 Cowles IVG Small Mixer
2926 CP CP 9882 Air Riveter
2927 CP CP 9883 Air Riveter
2928 CPA Sputtering System
2929 CPA 9900 Sputter System
2930 CPA 9900 Sputter System
2931 CPS HVP 250 High Voltage Probe
2932 CR Technology RTI 6520 AOI
2933 CR Technology CRX-1000 X-Ray Machine
2934 CR Technology SV 7500 Automated Optical Inspection (AOI)
2935 CR Technology CRX 1000 Inspection System XRF
2936 Craftsman 925481 Router Table
2937 Craftsman 119-224010 Band Saw
2938 Craftsman Band Saw
2939 Craftsman Toolboxes
2940 Creden ISP 3000 Optical Inspection System
2941 Creden Mechatronic ISP 3000
2942 Cremer TQZ-2425 Counting Machine
2943 Cress C 136 920 Oven
2944 Crest Ultrasonic Cleaning System
2945 Crest CP 2600 D Ultrasonic Cleaning system
2946 Crest CP 2600 D Ultrasonic Cleaning system
2947 Crest 500 D Ultrasonic Cleaning system
2948 Crest Ultrasonic F 100-1813-C
2949 Crest Ultrasonics Cleaning
2950 Crest Ultrasonics
2951 Crest Ultrasonics OC.1014.9.STA Ultrasonic Machine
2952 Crest Ultrasonics F 100 1812 C Cleaner
2953 Crest Ultrasonics F 200 2016 C
2954 Crest Ultrasonics F 200 2016 C Vapor Degreaser
2955 Crest Ultrasonics 690 DA Tru Sweep Ultrasonic Cleaner
2956 Crest Ultrasonics Cleaning System
2957 Crest Ultrasonics Tru-Sweep Genesis Cleaning system
2958 Crest Ultrasonics Aqueous Cleaning System
2959 Crest Ultrsonic Cleaning Station
2960 Critical Systems Gas Cabinet
2961 Critical Systems Gas Guard AP 3 Gas Cabinet
2962 Critical Systems Gas Guard AP 3 Gas Cabinet
2963 Critical Systems Gas Guard AP 3 Gas Cabinet for RIE
2964 Critical Systems Gas Guard AP 3 Gas Cabinet
2965 Crotech TT 3203 A DC Power Supply
2966 Crown WAV 50-118 WAV (Work Assist Vehicles)
2967 Crown RR 5200 Reach Rider Truck
2968 Crown PE 4500-60 Rider Pallet Jack
2969 Crown WP 2335-45 Pallet Jack
2970 Crown L 7 Elevator Boxes
2971 Crown / Simplimatic 36″ Conveyor
2972 Crown Simplimatic 8170 Flat Belt Conveyor
2973 Cryo DW 70 L 256 N 1300 Vacuum Dewar
2974 Cryofab CMSH-60 Dewar
2975 Cryomech CP 640 Compressor
2976 Crystal EF-6000-CP Lifter for Sintering Furnace
2977 Crystal EF-6000-CP Lifter for Sintering Furnace
2978 Crystal FZ-T-10000-H-IH-ES-PO-PC Inclined Mirror Furnace
2979 Crystal FZ-T-10000-H-IH-ES-PO-PC Inclined Mirror Furnace
2980 Crystal FZ-T-10000-H-IH-ES-PO-PC Inclined Mirror Furnace
2981 Crystal Mark C 115 LV 1 Bead Blaster
2982 CSC Isothermal Titration Calorimeter
2983 CSI Power Capacitors
2984 CSP Automatic Test Equipment (ATE)
2985 CST RoboFlex II SODIMM DDR Handler
2986 CST RoboFlex II UDIMM DDR 3 Handler
2987 CST SP 3000 Tester
2988 CST SP 3000 Tester
2989 CST Boat Cleaning Station
2990 CSUN CSL A 25 Cut Sheet Laminator
2991 C-Sun QMO 9 WS Anneal
2992 C-Sun VL A 28 Patterning (Lamination)
2993 C-Sun MOL 3 Oven
2994 C-Sun MOL 3 Oven
2995 C-Sun
2996 CTG Asia Washing Machine
2997 CTI 8200 Compressor
2998 CTI CryoTorr 10 Cryopumps
2999 CTI 1020 R Cryopump Compressor
3000 CTI 1020 RW Cryopump Compressor
3001 CTI 8500 Cryopump Compressor
3002 CTI 8200 Compressor
3003 CTI SC Compressor
3004 CTI 8 Cryopump
3005 CTI Cryo Tees
3006 CTI Temp Indicator
3007 CTI 8200 Cryogenic Compressor
3008 CTI Cryo Pump
3009 CTI 9600 Compressor
3010 CTI 1020 R Compressor
3011 CTI 8500 Cryopump Compressor
3012 CTI Cryo-Torr 10 Cryo Pump
3013 CTI 8500 Cryo Compressor
3014 CTI Cryogenics OB 8 F Onboard Pump
3015 CTI Cryogenics SC 8032224 Compressor
3016 CTI Cryogenics SCW Compressor
3017 CTS C 40 60 Temperature Humidity Oven
3018 CTS C 40/60 Temp / Humidity Oven
3019 CTX S 700 Monitor
3020 Cubic Communications SPA 400 D- 2 B RF Generator
3021 Culatti Lab Mill
3022 Cumberland Granulator
3023 Cumberland 2024 TF Grinder
3024 CUNO Filter Unit
3025 Cure-Tex C.T-18-60 D Dryer Oven
3026 Custom UV Curing Laminator
3027 Custom Scientific Instrum CSI-209 Newark Flex Tester
3028 Custom Systems and Cont SDFN 5.11
3029 Cut Master GT 2080 Manual Lathe
3030 Cutler Hammer Nema 3 Transformer
3031 CVC SC-5000 Evaporator
3032 CVC 601 Sputter Deposition
3033 CVD Equipment Chemical Vapor Deposition (CVD) Reactor
3034 CVD First Nano N 0458 N 1299 Chemical vapor deposition system
3035 CWP 810 Printer
3036 CWP 215 Printer
3037 Cybeq Wafer Sorters
3038 Cybeq 3800 Polisher
3039 Cyberoptics MX 600
3040 Cyberoptics QX 500 D Automated Optical Inspection Unit
3041 Cyberoptics QX 500 D Automated Optical Inspection Unit
3042 Cyberoptics SE 300 Solder Paste Thickness Testing Equipment
3043 CyberOptics CyberScan 250 Profilometer
3044 Cyclonaire Blower
3045 Cylinders 960 Press
3046 Cymer ELS 5405 Litho Laser
3047 Cymer ELS 5410 Laser for Nikon
3048 Cyril Bath V 50 Stretch Form
3049 Cytomation MoFlo Flow Cytometer
3050 Czchrolski Crystal Grower
3051 DAC 150 FV K Speed Mixer
3052 Daewoo Puma 200 CNC
3053 Daeyoung 730 E Plastic Welding Machine
3054 Daeyoung Ultrasonic DVW 715 E Plastic Welding Machine
3055 Dage 4000 HS Bond Tester
3056 Dage 4000 HS Metrology
3057 Dage 4000
3058 Dage 22 A Micro Tester
3059 Dage Series 400 PAX 1
3060 Dage MCT 15 Microtester
3061 Dage PC 2400
3062 Dage 4000
3063 Dage BT 22
3064 Dage BT 28
3065 Dage Series 3000 Wire Pull Tester
3066 Dage 4800 Wafer Bond Tester
3067 Dage 4800 Wafer Bond Tester
3068 Dage 4000 PXY Pull Tester
3069 Dage XD 7600 NT X-Ray
3070 Dage 4000 Bond Pull Tester
3071 Dage BT 22 Ball and Wire Pull Tester
3072 Dage XD 7600 NT X-Ray Inspection System
3073 Daifuku ASRS System & Racking
3074 Daiichi GP ELF Automold
3075 Daiichi GP PRO 6 SP 80 N Auto Mold Press for 16x SOIC
3076 Daiichi GPPRO 06 08 T Automold for 8L SOIC
3077 Daiichi Jitsugyo TVIS EX 2 Optical Inspection
3078 Daiichi Seiko GP PRO SP 120 Mold
3079 Daikin SUT 10 D 60 L 21-20
3080 Daimer XTreme Power HSC 13000 Floor Vacuum Cleaner
3081 Dainippon (DNS) 200 W Interface
3082 Dainippon (DNS) SD-80 R Developer
3083 Dainippon (DNS) SC-80 R Coater
3084 Dainippon (DNS) SD-80 R Developer
3085 Dainippon (DNS) SC-RW 8 Coater
3086 Dainippon (DNS) SC-80 R Coater
3087 Dainippon (DNS) SK-200 W-BVPF Coat / Develop Cluster Track System
3088 Dainippon (DNS) SK-200 W-BVPF Coat / Develop Cluster Track System
3089 Dainippon (DNS) SVG 80
3090 Dainippon (DNS) SK 200 W DUV Coater / Developer
3091 Dainippon (DNS) SK 200 W Coater / Developers
3092 Dainippon (DNS) SK-200 W DUV
3093 Dainippon (DNS) SS-W 80 A Backside Wafer Scrubber
3094 Dainippon (DNS) SPW 813 A
3095 Dainippon (DNS) SPW 813 A
3096 Dainippon (DNS) 80 B Track System
3097 Dainippon (DNS)
3098 Dainippon (DNS) LA 4000 Flash Anneal
3099 Dainippon (DNS) DT 4000 Sokudo Duo Track
3100 Dainippon (DNS) SSU 4000 Wet Clean Brush Scrubber System
3101 Dainippon (DNS) SSU 4000 Wet Clean FEOL (Front End of Line) SWC
3102 Dainippon (DNS) SSU 4000 Wet Clean BEOL (Back End of Line) SWC
3103 Dainippon (DNS) 80 B Track Interface For Nikon
3104 Dainippon (DNS) Rapid Thermal Annealer (
3105 Dainippon (DNS) HP-80 BW-AVE Track System
3106 Dainippon (DNS) SU 3100 Wafer Cleaner
3107 Dainippon (DNS) TE 506 G BOE Wet Etch
3108 Dainippon (DNS) SC W 80 A Track System
3109 Dainippon (DNS) FL 820 L IPA Dryer
3110 Daitron NM 300 TT-A
3111 Dake 909215 Hydraulic Press
3112 Daksh Cell Testing Machine
3113 Daksh Module Tester
3114 Dale Senford SIO 4 MDL 9310
3115 Danaher 2 RB Stage, Motor, Coupler
3116 Danfysik 866 R-600 Current Cross Datacenter Replication (XDCR) system
3117 Danfysik 600 A Current Cross Datacenter Replication (XDCR) system
3118 Daniels M 22520 / 1-01 Crimp Tool, Pin
3119 Daniels M 22520 / 2-01 Crimp Tool
3120 Daniels M 22520 / 5-059 Crimp Tool
3121 Daniels WA 22 Crimp Tool
3122 Daniels M 22520 / 5-02 Crimp Tool
3123 Daniels M 22520 / 5-01 Crimp Tool
3124 Daniels M 22520 / 23-02 Crimp Tool
3125 Daniels M 22520 / 10-01 Crimp Tool
3126 Daniels M 22520 / 1-01 Crimp Tool
3127 Daniels M 22520 / 10-X 164 Crimp Tool
3128 Daniels M 22520 / 5-059 Crimp Tool
3129 Danielson Tribodyn 100 / 16 Vacuum Pump
3130 Danielson Tribodyn 30 / 16 Vacuum Pump
3131 Danson
3132 Danson Hartig 175 D 24 Extruder
3133 Data Precision 8200 Calibrator (33-017)
3134 Data Precision 2480 Multimeter
3135 Data Precision 2430
3136 Datacolor 550 Spectrophometer
3137 Datacon APM 2200 Die Bonder
3138 Datacon 2200 APM Die Bonder
3139 Datacon APM 2210
3140 Datacon PPS 2211 Underfilling Machine
3141 Datacon PPS 2200
3142 Datacon APM 2200
3143 Datacon APM 2200 Die Attach
3144 Datacon PPS 2210 Sorter
3145 Datacon DS 11000 LED C-C Die Sorter
3146 Datacon 2220 APM 4090 Flip Chip Die Bonder
3147 Datacon PPS 2211 Multichip Die Bonder
3148 Datacon 2200 APM Multichip Die Bonder
3149 Datacon / Muehlbauer DS 11000 Die Sorter
3150 Dataforth Signal Conditioners
3151 Datalogic Griphon BT 100 Scanner
3152 Datalogic Griphon BT 101 Scanner
3153 Datametrics 10003-B Flow Sensor
3154 Datapulse 110 B Pulse Generator
3155 Datapulse 101 Pulse Generator
3156 Datastick Vibtation Spectrum Analyzer
3157 Datum Precision Wafer Separating Device
3158 Datum Precision Wafer Air Remover Device
3159 Daven Attenuation Network
3160 David Kopf Model 720 Vertical Pipette Puller
3161 Davis DS 20 Standard Extruder
3162 Davis Standard 100 / MM 35 Extrusion
3163 Daw Willet Enterprise Board Flatting
3164 Daymarc 717 Handler
3165 Daymarc 717 Handler
3166 Daymarc 3287 Test Handler
3167 Daymarc 717 Tri Temp Handler
3168 Daymarc 3287 Test Handler
3169 Dayton 4 Z 141 Grinder Plate
3170 Dayton 1 MDU 9 Motor
3171 Dayton 4 Z 499 Gear Reducers
3172 Dayton 2Z810 C Shaded Pole Generator
3173 Dayton 3 Z 983 Buffer
3174 Dayton Hoist
3175 Dayton 9 K 836 Pump
3176 Dayton 2 JGA 3 Pump
3177 Dayton 3 N 236 F Pump
3178 Dayton 3 N 681 Pump
3179 Dayton 9 HK 93 Pump
3180 Dayton 3 M 847 Motor
3181 Dayton 3 M 851 Motor
3182 Dayton 3 N 237 E Motor
3183 Dayton 3 N 649 Motor
3184 Dayton Electronic Vibrator Motor
3185 DBS Strumenti Scient PGH2-100 Series 2 Hydrogen Generator
3186 DBX SC 32 Digital Matrix Processor
3187 DCB Automation Supervision MK III Automatic Optical Detector (AOI)
3188 DCG Systems Inc dProber Exclude Keithley Parametric Analyz
3189 DCM IG 280 SD Surface Grinder
3190 DCM IG 180 SD Flat Grinder
3191 DDM Novastar Wave Solder
3192 DDM Novastar GF-B-HT High Temperature Mini Reflow Oven
3193 DDS Development
3194 DEA Misral Slant Coordinate Measuring Machine (CMM)
3195 Deckel GK 21 Pantograph Machine
3196 Dedietrich ANFD
3197 DeHaart EL-20 Screen Printer
3198 DEI PDG 2510 Digital Delay Pulse Generator
3199 Dejong Hot Water Tank
3200 Dek 260
3201 Dek FP Platform PPI Screen printer
3202 Dek 265 Horizon Screen Printer
3203 Dek 248 PCB Screen Printer
3204 Dek ProFlow Print Head
3205 Dek 260
3206 Dek 260
3207 Dek VF 35 Vacuum
3208 Dek ELA Plus Solder Paste Printer
3209 Dek INF Solder Paste Printer
3210 Dek Horizon Screen Printer
3211 Dek Horizon Screen Printer
3212 Dek Europa Screen Printer
3213 Dek Europa Screen Printer
3214 Dek Europa Screen Printer
3215 Dek Europa DL RTC Screen Printer
3216 Dek Europa Screen Printer
3217 Dek Horizon 02 i Screen Printer
3218 DEK Printer
3219 Dek Infinity inf 01 Paste Printer
3220 Dekker RML 030 T 2 00 Vacuum Pump System
3221 Dekker Vacuum Technolo DV 0035 B Liquid Ring Vacuum Pump
3222 Del Electronics RLPS 10-300 R
3223 Delatech CDO 895 Water Gas Scrubber
3224 Delbo ES 90 P Electric Screw Driver
3225 Delta TBC Laser Cutting Machine
3226 Delta WIS 2400 SC
3227 Delta FS
3228 Delta 11990 Drill Press
3229 Delta ASA 04 002 Load / Unlead Auto Machine
3230 Delta ASA 04 155 Cassette Exchanger
3231 Delta 9911
3232 Delta 2300 CN
3233 Delta 9386
3234 Delta 31-280 Bench Top Grinder
3235 Delta Sorter
3236 Delta Castle MX 32 Handler
3237 Delta 9039 Hot / Cold Chamber
3238 Delta 9039 Hot / Cold Chamber
3239 Delta WES 3000 MM
3240 Delta WES 5000 SC
3241 Delta Design 3900 CN Thermal Chambers
3242 Delta Design Turbo Flex IC Test Handler
3243 Delta Design Turbo Flex IC Test Handler
3244 Delta Design 717 Handler
3245 Delta Electronics Het 200 PC B Air to Air Thermoelectric Assembly
3246 Delta Electronics
3247 Delta Elektronika SMX 722 O-D Power Supply
3248 Delta Elektronika BV SM 7020-D Power Supply Controller
3249 Delta F Corp FA 35550 A Trace Oxygen Analyzer
3250 Deltaflex Handler
3251 Deltaflex Handler
3252 Deltaplast DVH 30:24
3253 Deltech Air Dryer
3254 Delvo 6830 Wire  Bonder
3255 Demag Ergotech Molding
3256 Demag Ergotech Molding
3257 Deman KBK
3258 Demin DMP 523 Preheater
3259 Denision Tensile Tester
3260 Denison WUPA 2 TR Multipress
3261 Dennison S 087 MC 201 D 267 C 221 Press
3262 DEN-ON RD 500 SII BGA Rework Station
3263 Denso Automated Optical Inspection (AOI) system
3264 Denta PV 2.5 Tumbling tool
3265 Denton SJ-20
3266 Denton SD-10
3267 Denton 502 A Multi PVD Tool (e-beam + single cathode)
3268 Denton Explorer 14 Sputtering Tool
3269 Denton Explorer 14 E-Beam
3270 Denton DV-502 A Sputtering Evaporator
3271 Denton Integrity 36 E-Beam Vacuum Chamber
3272 Denton E-Beam Chamber
3273 Denton Desk II Sputter Coater
3274 Denton SJ 20 Chamber
3275 Denton Vacuum Sputter Coater
3276 Denton Vacuum DV 502 A Evaporator
3277 Denver Instruments DI 2200 Scale
3278 Derpan TSK 5200 N 2 Reflow
3279 Desco Bench Top Ionizer
3280 Desco A 98250 Wrist, Foot ESD Strap Tester
3281 Desco 19250 Combo Wrist Strap / Footwear Tester
3282 Desco Wrist Strap / Footwear Tester
3283 Desco ESD Wrist / Foot Strap Tester
3284 Desert Cryogenics Probe Station
3285 Design Center Carton Overprinting Machine
3286 Despatch LAC Series Burn-In Oven
3287 Despatch LND Oven
3288 Despatch LND1-42 Oven
3289 Despatch Oven
3290 Despatch Cure Oven
3291 Despatch Inline Oven
3292 Despatch FFF
3293 Despatch TPQ 63 30 O Telepacific Oven
3294 Despatch TPQ 63 30 O Telepacific Oven
3295 Despatch Baker 2000 Special Pyrolysis Cure Oven
3296 Despatch Baker 2000 Special Pyrolysis Cure Oven
3297 Despatch PBC 2 16 Burn-In Oven
3298 Despatch PBC 16 Burn-In System
3299 Despatch PCB * 30 x 120 x 14-1 E Oven
3300 Despatch Curing Oven
3301 Despatch Flat Industrial Oven
3302 Despatch PBC 2-16 Oven
3303 Despatch 30 MW Fast Firing Furnace Machine
3304 Despatch CF 7214 Fast Firing Furnace Machine
3305 Despatch Protocol Plus Oven
3306 Despatch 924 1 1 D 0 120
3307 Despatch Oven
3308 Despatch Box Oven
3309 Despatch TFD 3 10 1 E Walk In Oven
3310 Despatch LFD 1-42-3 Furnace
3311 Despatch CDF 7210 B C 00 Sintering Furnace
3312 Despatch 600 Dry Oven
3313 Despatch CDF SL Infrared Drying and Annealing Furnace
3314 Despatch PNC 2 16 Oven
3315 Despatch PBC 2 16 Oven
3316 Despatch LOB Series Oven
3317 Despatch Drying Oven
3318 Despatch PSD 3-77 S 1 G Oven
3319 Despatch TED 3 21 1 E Electric Oven
3320 Despatch
3321 Despatch
3322 Detroit Broach & Machine GNP 6 25 Vertical Power Broach
3323 Deutsche Rolfen Laser Technology Laser Engraving Machine
3324 Devilbiss 445 Air Compressor with Baldor Motor
3325 DeVilbliss Air Compressor
3326 DI DM 1900 N Burn In Tester
3327 Diamond Engineering D 6000 Turntable System
3328 Diamond Engineering DFSM 5-18 Sperical Mount
3329 Diamond Wire Material RTD 6800
3330 Diantai STS 300 Cutting Machine
3331 Dias IS 33 A Series 1500 8811 3rd Optical
3332 Dias IS 33 A Post Bond Inspection System
3333 Dias IS 33 Post Bond Inspection System
3334 Dic 7000 Z Desoldering Tool
3335 Dickson TH 802 Chart Recorder
3336 Dickson TH 8 7 F Chart Recorder
3337 Dicso DFD 640 Wafer Saw
3338 Diener Tetra 30 LF-PC
3339 Diener Tetra 30 Plasmaoven
3340 Diener Electronic Nano DI Nano Plasma System
3341 Die-Sep Mold Separator
3342 Dietz Motoren DR 71 C / 2 Q
3343 Dietz Motoren HR 071 – E – 71
3344 Digilab Contact Printer with PC Control
3345 Digilab PreSys
3346 Digilab MicroGrid
3347 Digilab Hummingbird
3348 Digilab / Agilent FTS 7000
3349 Digital Instrument MANO-SCOPE 3 A
3350 Digital Lightwave NIC 10 Network Information Analyzer
3351 Digital Matrix SE 1003 Electroplating System
3352 Dimension SST 768 3D Printer
3353 Dimplex Thermal Solution Indy Kool HEX 00034 PR-N Chiller
3354 Dionex ASE 150 Accelerated Solvent Extractor
3355 Dionex Parellell Ternary Gradient
3356 Dionex Chromatography Workstation
3357 Dionex LC 16 High-Performance Liquid Chromatography (HPLC)
3358 Dionex DX 500 Anion Ion Chromatography System
3359 Dionex DX 500 Pyrophosphate Ion Chromatography System
3360 Dionex AS-DV Autosampler
3361 Dionex ICS-2500 Cation Ion Chromatography System
3362 Dionex ASE 200 Accelerated Solvent Extractor
3363 Dionex Corp ICS 3000 EG
3364 DIS PRS 057
3365 Disco DFG-83 H/ 16 Grinder
3366 Disco DFG 83/6 Grinder
3367 Disco DAD 2 H / 6 T Dicing Saw
3368 Disco DFL 7020 Laser Scriber
3369 Disco DFL 7340 Dicing Laser Saw
3370 Disco DAD 2 H/ 5 Dicing Saw
3371 Disco DFD 640 Dicing Saw
3372 Disco Dicing Saw
3373 Disco 2 H 6 Wafer Sawer
3374 Disco DFE 8040 Plasma Etchers
3375 Disco DFD 670
3376 Disco NET 6930 AEX
3377 Disco Filter Stack
3378 Disco DAC 551 Dicing Saw
3379 Disco DAD 332 Dicing Saw
3380 Disco DTU 1531 Kanto Seiki Chiller
3381 Disco EAD 6750
3382 Disco DAD 320 Dicing Saw
3383 Disco DAD 321 Dicing Saw
3384 Disco DFD 641 Wafer Saw
3385 Disco DFD 650 Dicing Saw
3386 Disco DFD 651 Dicing Saw
3387 Disco DFD 651 Dicing Saw
3388 Disco DTU 152
3389 Disco DFD 651 Dicing Saw
3390 Disco DFD 651 Dicing Saw
3391 Disco DCS 140 Wafer Cleaner
3392 Disco DCS 141 Wafer Cleaner
3393 Disco Megcon PRC II-2000 ACD
3394 Disco DAD 2 H 6 T Dicing Saw
3395 Disco CC DFU 2 GR-C Water Filter
3396 Disco DFD 6340 Automatic Dicing
3397 Disco DFD 6340 Automatic Dicing
3398 Disco DFD 6340 Automatic Dicing
3399 Disco DAD 320 Dicing Saw
3400 Disco DTU 152
3401 Disco DFG 821 F / 8 Backside Grinder
3402 Disco DAG 810 Grinder
3403 Disco DFD 6340 Wafer Dicing Saw
3404 Disco DFG 8560 Back Grinder
3405 Disco DFD 6340 Dicing Saw
3406 Disco DFD 651 Dicing Saws
3407 Disco DFD 6360 Dicing Saw
3408 Disco DFD 6360 Dicing Saw
3409 Diversified Flash Track Hot Air Oven
3410 DKSH UV Spectrometer
3411 DMS Malaysia SPG Trim & Form
3412 DMS Malaysia SPG Trim & Form
3413 DNIV 977 D Wafer Coating System
3414 DNR MicroChemi Bio Imaging System
3415 Do All 2012-D 12 Vertical Bandsaw
3416 DoALL DTR-28 Drill
3417 DoALL 2013-V Band Saw
3418 DoALL SCC 300 Surface Grinder
3419 DoAll 2013 Band Saw
3420 Doall 2013 V Vertical Band Saw
3421 Doall Metalmaster Bandsaw
3422 DOD Technologies XP-703 D Lead Detector
3423 DOD Technologies Cosmos PS 7 Ammonia Gas Detector
3424 Dometic ML 155 Fridge
3425 Dometic ML 305 C Refrigerator, Freezer
3426 Domino D 320 i
3427 Domino D 550 Plus Laser Printer
3428 Domino D 550 Plus Laser Printer
3429 Domino / Purex Lx Inkjet 400 Fume Extraction System
3430 Donaldson VS 3000
3431 Donaldson DFO 3-12 Cartridge Filter with Hepa System
3432 Donaldson ultracool 0100 SP Chiller
3433 Donaldson Ultrafilter DV 2300 AP Air Filtration System
3434 Donaldson Torit 2 DF Dust Collector
3435 Dong Taixiang Winding Machine
3436 Donner Conveyor
3437 Dorc ZX-1 Mini Interferometer
3438 Doric Instruments Temperature Data Logger
3439 Dork D 1 Fiber Optic Interferometer
3440 Dorner AquaPruf 7400 LPZ Conveyor
3441 Dorner 3200 Series
3442 Dorner Conveyor
3443 Dorst TPA-15/3 Powder Press
3444 Dorst TPA 15/3 Mechanical Powder Press
3445 Dorst DACS 15 Powder Compacting Press
3446 Dortor Tretsky T 3002-FC 3
3447 Doss Migg Visual Inspection Machine
3448 Dou Yee Metal Boat Auto Loader / Unloader
3449 Dou Yee Enterprise SMDS CV 1 300 Jetting System
3450 Dou Yee Enterprises (Thail SM 300 DS-3 A-01-SMART Glob Top Robot
3451 Douthit Exposure Machine
3452 Dover Grinder
3453 Dover Grinder Parts
3454 D-Pak-S Jienaga Singlation System
3455 D-Pak-S Jienaga Test Handler
3456 D-Pak-S Passfeeder Pallet
3457 D-Pak-S Shengji Auto Frame Loader
3458 DPSS 3530 UV Nanosecond Pulse Laser
3459 DPSS Lasers Samurai UV Laser MarkingSystem
3460 DPSS Lasers 3515-50 Laser
3461 DR Laser DR PLP FA 40
3462 Dr. Fritsch DSP 515 Hot Press
3463 Dr. Muller Fundabac 912 L Candle Filter
3464 Dr. Webb Red Devil Furnace
3465 Dr.-Ing. Werth 600-2 Profile Projector
3466 Drais Mannhein PML H/V Mill
3467 D-RAN NI Aging Chamber
3468 D-RAN NI Aging Chamber
3469 D-Ran BIC 672 Aging Chamber
3470 Dranetz 646 3
3471 Drytek Quad Reactive Ion Etch (RIE)
3472 Drytek Quad 481 Reactive Ion Etch (RIE)
3473 Drytek Model 100 Plasma Etcher
3474 Drytek Megastrip 6 HP Plasma Wafer Asher / Etcher
3475 DT Peers Robot Cells
3476 DTC Polymix MMA 98:2
3477 DTM Sinterstation 2500 Plus Sintering Machine
3478 Du Pont Instruments 910 Differential Scanning Calorimeter
3479 Duke D 10 Emulsification Tester
3480 Duma Optronics Tomographic Beam Profiler
3481 Duma Optronics EAC 1012 Autocollimator
3482 Duo Seal 1397 Vacuum Pump
3483 Duocom HS 3640 Clean Oven
3484 DVT 630 C 3 E 40 Sensor Camera
3485 Dykman Electrical PA 7300-4400-N 1 TECO
3486 Dymax UV Cure System
3487 Dymax Bluewave AS UV Curing Light
3488 Dymax Bluewave 200 UV Curing Spot Lamp
3489 Dymax 39080 UV Conveyor System
3490 Dymax Bluewave 200 UV Curing Spot Lamp
3491 Dymax 3010 EC UV Curing Spot Lamp
3492 Dymax UVCS D 5 2 B 120 UV Curing Oven
3493 Dymax Curespot 50 UV Curing Light
3494 Dyna Tech DT AUV 1200 UV Cure
3495 Dynachem Film Laminator
3496 Dynachem 1500 B Cut Sheet Laminator
3497 Dynaco D 311 Automatic Doors
3498 Dynamic Micro M 204 DMS Pod Washer
3499 Dynamotion / ATI 204 BB Board Router / Driller
3500 Dynapace Conveyor
3501 Dynapath CNC
3502 Dynapower CHOW 1408164 Power Supply
3503 Dynatech DT 10232
3504 Dynatex DTX Scribe and Break
3505 Dynatex DXB 525 01 Bonder
3506 Dysec MM 818
3507 E&R LM-101 LT Laser Trench
3508 E&R CS-101 A Auto Brush
3509 E&R LM-101 AL Laser Trim
3510 E&R LM-201 LC Laser Cutting
3511 E+K TUZU 11 200 Feeder
3512 E3 Systems Parts Assembly/Clean Hoo
3513 EAM Sensormatic Tagger
3514 Easson ES 8 Geared Head Milling and Drilling
3515 Eaton NV 10 High Current Ion Implanter
3516 Eaton Transformer
3517 Eaton UPS 3000 ABC / PCM-100 Pressure Calibrator
3518 Eaton Nova 3206 Implanter
3519 Eaton Wright Workbench
3520 Ebara Electroplate UFP-300 A Wafer Electroplating Tool
3521 Ebara Electroplate UFP-200 / 30 Wafer Electroplating Tool
3522 Ebara UFP 300 A Auto Plater
3523 Ebara A 10 S Dry Vacuum Pump
3524 Ebara F Rex 450 Chemical Mechanical Polish System (CMP)
3525 Ebara Vacuum Pump
3526 Ebara ERD 5 M Vacuum Pump
3527 Ebara ERD 5 M-N Vacuum Pump
3528 Ebara Uerr 6 M Vacuum Pump
3529 Ebara Aal 10 Vacuum Pump
3530 Ebara A 10 S Dry Vacuum Pump
3531 Ebara 40 X 20 Pump
3532 Ebara A 10 S Pump
3533 Ebara A 150 W-M Pump
3534 Ebara A 70 W Pump
3535 Ebara A 70 WN Pump
3536 Ebara AA 100 W Pump
3537 Ebara AA 100 WN Pump
3538 Ebara AA 20 Pump
3539 Ebara AA 20 N Pump
3540 Ebara AA 30 H Pump
3541 Ebara AA 40 W Pump
3542 Ebara AA 70 W Pump
3543 Ebara AA 70 WN Pump
3544 Ebara AAL 10 Pump
3545 Ebara AAS 100 WN Pump
3546 Ebara AAS 200 WN Pump
3547 Ebara AAS 70 WN Pump
3548 Ebara EST 100 WN Pump
3549 Ebara EST 200 WN Pump
3550 Ebara EST 300 WN Pump
3551 Ebara A 25 S Dry Pump
3552 Ebara A 25
3553 Ebara A 70
3554 Ebara SA 70 W
3555 Ebara AA 100 WN Pump
3556 Ebara AA 70 WN Pump
3557 Ebara AAS 100 WN Pump
3558 Ebara AAS 200 WN Pump
3559 Ebara AAS 70 WN Pump
3560 Ebara AAS 200 WN HT Pump
3561 Ebara AA 100 W Pump
3562 Ebara Heat Wrap & Controller
3563 ECA Sinters Microbattery Test Bays
3564 ECI QL-10 Stand Metrology
3565 ECI Tech ZMQFDSE 2840 FM-008 Quali-Fill Chemical Monito
3566 ECI Tech QFDS 2000 E Chemical Monitoring System
3567 Eckel Anechoic Sound Chamber
3568 Econochill RCU 050 A-21 Chiller
3569 Econocorp Spartan Cartoner
3570 Ecoprogetti Tabber and Stringer
3571 Ecosys Vector Ultra Point of Use Scrubber
3572 Edax EDS Detector
3573 Edax EDS PV 7760/680 ME Detector
3574 Eddy Sys-28 A E-Beam Evaporator
3575 Eddy Coater
3576 Edgar 1001
3577 Edge H 1 SB 4-12 ISB STD Stamp Press
3578 Edgertronic SC 1 Camera
3579 Edgwick Centre Lathe
3580 EDM Hole Popper
3581 EDM Solutions Sparkmaster Plunge Cut
3582 Edwards IQ
3583 Edwards IQDP 80 Pump
3584 Edwards QDP-80 Pump / Blower Package
3585 Edwards XDS 10 Vacuum Pump
3586 Edwards EXT 255 H Turbo Pump
3587 Edwards Nepture Abatement for Chemical Vapor Deposition (CVD)
3588 Edwards iXH 4550 HT Pump
3589 Edwards iXL 500 Q Pump
3590 Edwards 80 Vacuum Pump
3591 Edwards iXL 120 Dry Pump
3592 Edwards RV 8 Vacuum Pump
3593 Edwards RV 12 Vacuum Pump
3594 Edwards DF 80 MKII Ash Rough Pump
3595 Edwards DF 80 MKII Dry Star Buffer Rough Pump
3596 Edwards DP 80 MKII Dry Star Rough Pump
3597 Edwards DP 80 Vacuum Pump
3598 Edwards E 2 M 80 Vacuum Pump
3599 Edwards EH 250 Booster for Vacuum Pump
3600 Edwards QDP 40 Vacuum Pump
3601 Edwards 40 Vacuum Pump
3602 Edwards RV 3 Vacuum Pump
3603 Edwards Scancoat
3604 Edwards E 2 M 40 Vacuum Pump
3605 Edwards Tempest Wet Scrubber
3606 Edwards M 150 Gas Reactor Column (GRC)
3607 Edwards QMB 250 Blower
3608 Edwards A 52844430 Interface Box
3609 Edwards QDP 40 Pump
3610 Edwards QDP 80 Pump
3611 Edwards IQDP 80 Vacuum Pump
3612 Edwards A 553-22-240 Gas Reactor Column (GRC)
3613 Edwards IQDP 80 Vacuum Pump
3614 Edwards QDP 40
3615 Edwards QDP 80
3616 Edwards IQDP 80
3617 Edwards E 2 M 28 Pump
3618 Edwards E 2 M 30 Pump
3619 Edwards Vacuum Pump
3620 Edwards QDP 40 Mech Pump
3621 Edwards IL 70 Dry Pump
3622 Edwards IQDP 40 Dry Pump
3623 Edwards IQDP 40 Dry Vacuum Pump
3624 Edwards 6 i Screw Vacuum Pump
3625 Edwards QDP 80
3626 Edwards XDS 35
3627 Edwards E 2 M 275 Pump
3628 Edwards E 1 M 275 Pump
3629 Edwards EH 2600 Pump
3630 Edwards Vacuum Pump
3631 Edwards E 1 M 275 Rotary Pump
3632 Edwards E 1 M 275 Rotary Vane Single Stage Mechanical Vacuu
3633 Edwards 275 Rotary Vane Single Stage Mechanical Vacuum Pump
3634 Edwards Auto 306 Thermal Evaporator – Diffusion
3635 Edwards Auto 306 Turbo & RD LV4 Thermal Evaporator – Turbo
3636 Edwards D 38655000 Active Gauge Controller
3637 Edwards IL 70 Dry Pump
3638 Edwards QDP 40 Mech Pump
3639 Edwards IQDP 40 Dry Pump
3640 Edwards Furnace Chiller
3641 Edwards DP 80 Dry Pump
3642 Edwards IQDP 80 + QMB 500 Pump + Blower
3643 Edwards Wide Range Gauge
3644 Edwards EXT 70 H Turbo Molecular High Vacuum Pump
3645 Edwards SP 16 K SpeedValve
3646 Edwards Oil Pump
3647 Edwards 100 L Vacuum Pump
3648 Edwards Gate Valves
3649 Edwards GVSP 30 Oil Free Dry Scroll Vacuum Pump
3650 Edwards RV 3 Rotary Vane Vacuum Pump
3651 Edwards TCU 40/80 Chiller
3652 Edwards XDS 10 i Dry Pump
3653 Edwards STP A 3503 C STP Pump
3654 Edwards STP A 1303 CV 2 STP Pump
3655 Edwards Atlas MK 3 B Abatement Unit
3656 Edwards Atlas Helios MK3B Abatement Unit
3657 Edwards Pirani Penning 1005
3658 Edwards Auto 306 NXE 084000 Thermal Evaporator
3659 Edwards 18 Vacuum Pump
3660 EG & G Princeton Applied 1470 A Gas Analyzer
3661 EG&G Princeton FLS 610 Fiber Optics Pump Laser
3662 EG&G Princeton 5209 Lock-In Amplifier
3663 EG&G Rotron DR 623 AY 72 Roton Blower
3664 EGK HEM 2000 Hall Measurement System
3665 E-H Research Laboratories 137 A Pulse Generator
3666 Eidschun / ASI TT 24 Etcher
3667 Eidschun / ASI TT 24 Etcher
3668 Eidshun Parts Washer
3669 Eidshun Engineering ET 90 T Vertical Unloader
3670 Eightech Tegtron Reflow Oven
3671 EIN 4406 A RF Power Amplifier
3672 EIP 575 Microwave Counter
3673 EIP 575 B Microwave Counter
3674 Eisbar DAS 23 Dehumidifier
3675 Eisco ESR DS 1337 Discharge Tube Power Supply
3676 EIT MicroCure Radiometers, Data Reader
3677 EJ Systems Burn-In Oven
3678 EJ Systems PBC 2 16 Burn-In Oven
3679 Ekra E 5 Solder Paste Printer
3680 Ekra E 5 Stencil Printer
3681 Ekra E 5 Stencil Printer
3682 Ekra Conveyor
3683 Ekra X 4 Printer
3684 Ekra X 4 Screen Printer
3685 Ekra X 5 Screen Printer
3686 EKT CV 500 Conveyor
3687 EKT CV 500 Conveyor
3688 EKT CVY 08 Transfer Conveyor
3689 EKT CVY 07 Transfer Conveyor
3690 EKT 330 BSB Aging Chamber
3691 EKT CSA 01 Automatic Copper Sheet Assembler
3692 EKT MUSB 01 Micro USB Terminal Semi-Auto Assembler
3693 EKT USB 01 USB Terminal Semi-Auto Assembler
3694 EKT 330 BSB Wave Soldering Machine
3695 EKT 900 Cable Auto Cutting and Stripping Machine
3696 EKT USB SM 01 Cable / Harness Tester
3697 Elastocon EB 07 Stress Relaxation Test Equipment
3698 Elchrom 2100 E Origins Electrophoresis Gel Box
3699 Elder instrument Shipper Weighing Machine
3700 Electoglas Prober
3701 Electro O-450 L Migration Tester
3702 Electro Scientific Industrie 5390 Laser Cutter
3703 Electroglas 2001 X Probers
3704 Electroglas 2001 X Prober
3705 Electroglas 2001 X Prober
3706 Electroglas 2001 X Manual Wafer Prober
3707 Electroglas 2001 X Manual Wafer Prober
3708 Electroglas 2001 X Manual Wafer Prober
3709 Electroglas 2001 X Manual Wafer Prober
3710 Electroglas 2001 X Manual Wafer Prober
3711 Electroglas 2001 X Manual Wafer Prober
3712 Electroglas 2001 X Manual Wafer Prober
3713 Electroglas 2001 X Manual Wafer Prober
3714 Electroglas 2001 X Manual Wafer Prober
3715 Electroglas 2001 X Manual Wafer Prober
3716 Electroglas 2001 X Manual Wafer Prober
3717 Electroglas 2001 X Manual Wafer Prober
3718 Electroglas 2001 X Manual Wafer Prober
3719 Electroglas 2001 X Manual Wafer Prober
3720 Electroglas 3001 X Manual Wafer Prober
3721 Electroglas 2001 X Manual Wafer Prober
3722 Electroglas 4090 Wafer Prober
3723 Electroglas 4090 Wafer Prober
3724 Electroglas SVTR Manual Wafer Prober
3725 Electroglas 2001 CX Prober
3726 Electroglas 2001 CX Prober
3727 Electroglas 2001 CX Prober
3728 Electroglas 2001 X Prober
3729 Electroglas 6000 Prober
3730 Electroglas 1034 XA 6 Manual Prober
3731 Electroglas 1034 XA 6 Wafer Prober
3732 Electroglas 2001 CXE Prober
3733 Electroglas EG 4090 u Wafer Prober
3734 Electroglas EG 4090 u Wafer Prober
3735 Electroglas EG 4090 u Wafer Prober
3736 Electroglas EG 2001 Handler
3737 Electroglas EG 2001 X Wafer Prober
3738 Electroglas 2001 CX Prober
3739 Electroglas 4090 u
3740 Electroglas 4090 u Prober
3741 Electroglas 2001 CX PPC Prober
3742 Electroglas 2001 X Prober
3743 Electroglas 4090 Prober
3744 Electroglas 2080 Prober
3745 Electroglas 2001 X Prober
3746 Electroglas 2001 X Prober
3747 Electroglas 4090 u Prober
3748 Electroglas 4090 u Prober
3749 Electroglas 4090 u Prober
3750 Electroglas 2001 Prober
3751 Electroglas 4090 u
3752 Electroglas 2001 x Prober
3753 Electroglas 2001 CX Autoprober
3754 Electroglas 2001 CX Autoprober
3755 Electroglas EG 4085 Prober
3756 Electroglas 4090 U Prober
3757 Electroglas 4090 U Prober
3758 Electroglas EG 4090 Prober
3759 Electroglas EG 2001 Prober
3760 Electroglas 4090 u Prober
3761 Electroglas 4090 u Prober
3762 Electroglas 4090 u Prober
3763 Electroglas 4090 u Prober
3764 Electroglas 4090 u Prober
3765 Electrolab TDT 208 L Dissolution Tester
3766 Electrolab Dissolved Oxygen Meter
3767 Electrolab ESDT 3 Suppository Tester
3768 Electrolab EF 2 Friability Apparatus
3769 Electrolab TDT 08 L Dissolution Test Apparatus
3770 Electrolab ED – SAPO Disintegration Test Apparatus
3771 Electroless Plating Line
3772 Electrolite ELC 2541
3773 Electro-Lite ELC-2542 Curing System
3774 Electro-Meters Load Module
3775 Electronic Measurements TCR 40 S 15-1-0573 Power Supply
3776 Electronic Visions (EVG)
3777 Electrophysics 7290 IR Micron Viewer
3778 Electrophysics 7290 A Micron Viewer
3779 Electrotech ET 680 Evaporator
3780 Electrotech Plasmafab 508 Plasma Etching
3781 Electrovert Vectra 450 F Wave Solder
3782 Electrovert Omniflex 10
3783 Electrovert Vectra 450 F Wave Solder
3784 Elenco XP 581 A
3785 Elenco Precision XP 656 Variable Regulated Power Supply
3786 Elenco Precision XP 581 Power Supply
3787 Elenco Precision Quad Power Supply
3788 Eletrovert Vectra 450 F Wave Soldering Machine
3789 Elgar SW 5250 A-1-3-1 Power Supply
3790 Elionix 8900 Field Emission SEM
3791 Elite Cameron EW 600 Slitter Rewinder
3792 Elite Engineers Automated Spacer Line
3793 Elite Engineers S 120054 Epoxy Rollout System
3794 Elite Engineers EERRO-50 ALSS-1 Epoxy Rollout System
3795 Elite Engineers EEH 135 AL 5 S 3 Hot Plate Sealing System
3796 Elite Engineers EEW Etching Wet Bench System
3797 Elite Engineers EERT 70 ALSS 1 Ring Transfer System
3798 Elma Transsonic T 700 / H Sonicator
3799 Elma Ultrasonic Automatic Washing Line
3800 Elumatic SB2 130
3801 Em Science C 2000 Coulometric Moisture Titrator Aqua Star
3802 EM Tech SM 61 Laser Mark
3803 E-Machines T 3304 Desktop AMD PC / Controller
3804 EMC Global Technologies Cyberclean 100 WTS Washer
3805 EMC Test Design RFP 04 H 0 Smart Field Meter
3806 EMC Test Design RFP 04 HF Smart Field Meter
3807 Emco 5407 RF Chamber
3808 EMCO 3810/2 LISN
3809 EMI Vocus MSD Cart Chemical Slurry Mobile De
3810 Emit 50766 ESD Tester
3811 Empire PF 3648 Pro Finish Pressure Cabinet
3812 Emplex MPS 6340 Band Sealer
3813 Enco 11.0-2034 Lathe
3814 Enco DS 20 Disc Sander
3815 Encon N 66 V 1-60 C Evaporator
3816 Enercon Corona Treater
3817 Enercon Industries Corona Treater
3818 Enercon Industries Corona Treater
3819 Enersys E 13 HL 4 Y Power Supply
3820 Engel CL 2250 4550 250 Mac PE Injection Molding Machine
3821 Engel ES 330 / 80 Horizontal Injection
3822 Engel ES 10000/750 Injection Molding
3823 Engel ES 14000/1200 DK Injection Molding
3824 Engelberg Sander
3825 Engelke Compress Air Tank
3826 Engis Hard Polish
3827 Engis 910 TFN 2 AL Copper Polishing Machine
3828 Engis EJW 400 IFN Chemical Mechanical Planarization (CMP)
3829 Engis Aisa Pacific EJ 460 IFN Pneumatics Station
3830 Engris EKDA 600 Hyprez Double Sided Fine Grinding System
3831 Engris Bore Finishing System
3832 Engris Bore Finishing System
3833 Engris Bore Finishing System
3834 ENI 1140 LA Power Amplifier
3835 ENI DCG 100 DC Plasma Generator
3836 ENI RPG 50 Power Supply
3837 ENI ACG 3 RF Generator
3838 ENI OEM 12 Solid State Power Generator
3839 ENI OEM 6 Solid State Power Generator
3840 ENI Pl-2 Hf-11451-55 Plasmaloc 2-Hf Low Frequency Generator
3841 ENI OEM 12 A-21041-51 RF Generator
3842 ENI OEM 12 L RF Generator
3843 ENI RPG 50
3844 ENI DCG 100
3845 Entec (Engineering Techno MTW Material Test Winder
3846 Entegris HCT 8020 SMIF Pod Box Washer
3847 Entegris P 1 / P 2 MAC Multi Application Carrier
3848 Entegris P 1 / P 2 FOUP Front Opening Unified Po
3849 Entegris PGPS 4 HMT Re-Gen Purifier
3850 Entegris PGPS 4 IMT Re-Gen Purifier
3851 Entegris PGPS 4 SK Re-Gen Purifier
3852 Entegris EGPS Ammonia Purifier
3853 Entegris 01-026052-13 FOUP
3854 Envirco 69514 006 Robot, Rail, and Robot Enclosure
3855 Envirco 69514 006 Fan Filter Units
3856 Envirco Cassette Port Enclosure
3857 Environmental Hydrotech Reverse Osmosis System
3858 Environtronics Mechanical Refrigeration Chambers
3859 Envirotronics Endurance C 340
3860 Envirotronics PVH 324-1-3-WC
3861 Envirotronics E 27-H Burn-In Oven
3862 Envirotronics ST 8 Environmental Test Chamber
3863 Envirotronics ST 8 Environmental Test Chamber
3864 Envisiontec Ultra 3D Printer
3865 Envron PSV 511 Pressure Cooker
3866 Envron RF 447 IR Oven
3867 Envron RF 447 IR Oven
3868 EO LMC 3200 Wafer Saw
3869 EO Technic LMC 3200 Laser Saw
3870 EO Technics BM 2264 G Laser Back Printer
3871 EO Technics Dust Collector
3872 EO Technics BMC 502 P Laser
3873 EO Technics LMC 3200 C Grooving Machine
3874 EOLT Final Test Machine
3875 Eoplex Phoseon RX Starfire Dual LED UV Exposure
3876 Eoplex Phoseon RX Starfire Dual LED UV Exposure
3877 Epcon E 10108 300 Electric Fired Oven
3878 EPE EP T 600 W Power Cycle
3879 Epoxy & Equipment Techn CFM 1000 Fluid Centrifugal Aerator
3880 Eppendorf 5810 Centrifuge
3881 Eppendorf 5424 Microcentrifuge
3882 Eppendorf 5424 R Microcentrifuge
3883 EPRO 142 AX
3884 Epsilon Technology 13-059 Surmordic Coating
3885 Epson Robot
3886 Epson L 655 Printer
3887 ERCO 470 Shrinker
3888 ERCO HYSP-1-75 Stretch Form
3889 ErgoFlex MB 450 SP Industrial Manipulator
3890 ERI Automation Robot 8000 Robot for Plate Loading
3891 Erickson 105 ZHH / 2 Big Feeder
3892 Ericson EFC 11 PM Fiber Cleaver
3893 Ericsson FSU 995 FA Fusion Splicer
3894 Ericsson Line Test Automation Conveyor
3895 Ericsson FSU 995 FA Fusion Splicer
3896 Eriez Manufacturing N 4/12 Agitator Magnetic Drum Separator
3897 Erowa ERS-2044 Robot
3898 Ersa N-Wave 330 Wave Solder Machine
3899 Ersa Ecocell Selective Soldering Machine
3900 Ersa Versaflow Selective Soldering Oven
3901 Ersa Power Flow R N 2 Wave Soldering Machine
3902 Ersa Hotflow 5 Refusion Oven
3903 Ersa Ersascope 2 Inspection System
3904 Ersa Hotflow 5
3905 Ersa Hotflow 5
3906 Erweka SBT Suppository Hardness Tester
3907 Erweka Leak Test Apparatus
3908 Erweka T-109 Hardness Tester
3909 Erweka Vacuum Leak Tester for Blisters
3910 Escil ESC 300 GTL Polisher
3911 ESD Systems 42721 Static Field Meter
3912 Esec 3100 Optima Wire Bonder
3913 Esec 3100 Optima Wire Bonder
3914 Esec
3915 Esec 2006 HR/X Die Bonder
3916 Esec 3008 Wire Bonder
3917 Esec 2007 HS Die Bonder
3918 Esec 2007 HS Die Bonder
3919 Esec 3008 Wirebonder
3920 Esec 2007 HS Die Bonder
3921 Esec 3008 Wire Bonder
3922 Esec 3088 Wire Bonder
3923 Esec 2007 HS Die Bonder
3924 Esec E 3006 FX Gold Ball Wire Bonder
3925 Esec 3088 ip Wire Bonder
3926 ESEC 3006 F/X Wire bonder
3927 ESEC 2008 XP Die Bonder
3928 ESEC 8003 Dicing Saw
3929 Esec 3088 Automatic Wire Bonder
3930 ESEC 3088 Ball Wedge Bonder
3931 Esec 2008 XP Die Bonder
3932 ESEC 2005 Die Bonder
3933 ESEC 2005 Die Bonder
3934 ESEC SSI Plus Die Bonder
3935 ESEC 2008 HS 3 Plus
3936 ESEC Tsunami W 3100 Gold Wire Bonder
3937 ESEC Tsunami W 3100 Plus Gold Wire Bonder
3938 ESEC D 350 2007 SSI+ Die Bonder
3939 Esec 2007 HS Die Attach System
3940 Esec 2007 HS+ Die Attach System
3941 Esec 2007 NIL Die Bonder
3942 Esec 2008 D 168 Die Bonder
3943 Esec 2008
3944 Esec 2008 XP 3 Die Bonder
3945 Esec 3006 Wire Bonder
3946 Esec 3006 W 114 Wire Bonder
3947 Esec 3006 F/X Wire Bonder
3948 Esec 3088 Wire Bonder
3949 Esec 3088 Wire Die Bonder
3950 Esec 3100 Wire Bonder Machine
3951 Esec 3100 Wire Bonder
3952 Esec 3088 Wire Bonder
3953 Esec 2007 HS Die Bonder
3954 Esec / Besi 2100 hs Substrate Bonder
3955 Esec / Zevatech CT 2000 Automatic Die Attach
3956 ESI 9350 Laser
3957 ESI 9835 Laser
3958 ESI 2050
3959 ESI 2050
3960 ESI 5650 355 14 CO
3961 ESI 88 Test System
3962 ESI 8000 D Laser Processing System
3963 ESI 8000 C Laser Processing System
3964 ESI 9200 HT Plus Laser Processing System
3965 ESI ICP 5650 Laser Drilling System
3966 ESI 9830 Wafer Laser
3967 ESI 5200 Laser
3968 ESI 5210 Laser uVia Drill
3969 Espec ENU 99-15 CWL Environmental Chamber
3970 Espec LHU-113 Humidity Chamber
3971 Espec EHS-211 M Highly Accelerated Stress Testing Chamber
3972 Espec EHS-221 M Highly Accelerated Stress Testing Chamber
3973 Espec LC 212 Baking Oven
3974 Espec LC 223 Baking Oven
3975 Espec LC 123 Baking Oven
3976 Espec EL 04 KA Chamber
3977 Espec SSETH-Z-062 UF Chamber
3978 Espec SETH-A-040 L Chamber
3979 Espec High Temperature Humidity Chamber
3980 Espec HC-120 Fast Temperature Cycle Chamber
3981 Espec IPHH 201 M Anaerobic Temperature Chamber
3982 Espec ETS 04 – 2 SA Thermal Test Chamber
3983 Espec EPL 3 H Cold Temperature & Humidity Chamber
3984 Espec ESL 2 CA Cold Temperature & Humidity Chamber
3985 Espec EPL 2 HW Environmental Chambers
3986 Espec PV 331
3987 Espec PV 231 Environmental Chamber, HTOL Chamber
3988 Espec PVC 212 M Cleanroom Temperature Oven
3989 Espec PH 202 M Temperature Chamber
3990 Espec Oven
3991 Espec ESX 4 CA Oven
3992 Espec PVC 331 M Oven
3993 Espec Temperature and Humidity Chamber
3994 Essar Engineering Pressure Vessel
3995 Essar Engineering Mixing Vessel
3996 Essemtec RO 300 FC Three Stage Reflow Oven
3997 Essemtec CSM 7000 Automatic Pick and Place
3998 Essemtec Printer
3999 Essemtec SP 500 Screen Printer
4000 Essemtec SP 150 SV Plus Printer
4001 Essemtec FLX 2011 LV Pick and Place
4002 Essemtec Fino F 230084 Screen Printer
4003 ESUN Scribe
4004 E-Sun Pod Cleaner
4005 ETC 8 XX Test Automatic Test Set
4006 Etel DSB 2-152 Control / Module
4007 Etel DSB 2-132 Control / Module
4008 Etel Controllers for AKT Physical Vapor Depositi
4009 ETQ DG 4 LE / DG 6 LE Generator
4010 Eubanics 9800.01 Cable Cutting Machine
4011 Eubanks 7400-01
4012 Eubanks Wire Cutter Stripper
4013 Eubanks 4600 01 Cable Cutter
4014 Eubanks 7990 06 Cable Cutter
4015 Euclid Coating Systems (ECS) Gravure Coating Machine
4016 Eunil Bare Board Loader
4017 Eunil Printed Circuit Board (PCB) Stackers
4018 Eunil Conveyors
4019 Eunil ECC 600 Conveyor
4020 Eunil EUD 500 Feeder Conveyor
4021 Eunil WT 100 Conveyor
4022 Eureka UKA 450 Wafer Transfer
4023 Euroklimat IPE 15
4024 Euromac AV 220 / 6 Corner Notcher
4025 Euroman 75 T Press
4026 Euromatic 370 PP Dake Automatic Cold Saw
4027 Europe Industrie Service Cassette Rocker System
4028 Eurotherm Temperature Controller
4029 Evapco LRW Cooling Towers
4030 Evatec / Oerlikon Starline 300 Backside Metal Tool
4031 Evatec Process Systems 6009 Sputter System
4032 Evatech 15040 R IR / UV / CP PVI
4033 Evatech SR 8102 0029 CAV
4034 Everett Industries 12 MA Saw
4035 Everite UG 824 CNC Electrochemical Surface Grinder
4036 Everite UG 824 Electrochemical Surface Grinder Featuring F
4037 Evermed Medical BioGen Upright Lab Combo Fridge
4038 Evermed Medical BioGen Upright Lab Combo Fridge
4039 Evertech LS 300 DE Automatic Strip Laser Marking
4040 Evertech LS 338 DE Laser Marking System
4041 Evey SD 2500 Pump
4042 EVG 101
4043 EVG 520 Bonder
4044 EVG AL 6-2 RG
4045 EVG 850 DB / 200 Wafer Debonder
4046 EVG Gemini Production Wafer Bonder
4047 EVG 6200 Mask Aligner
4048 EVG 620 Contact Aligner
4049 EVG 501 Bonder
4050 EVG 150
4051 EVG 850 TB / 200
4052 EVG Anodic Wafer Bonding System
4053 EVG 640
4054 EVG Gemini Production Wafer Bonder
4055 EVG 640 Mask Aligner
4056 EVG 420 Mask Aligner
4057 EVG 620 Mask Aligner
4058 EVG 520 Hot Embosser
4059 EVG 120 Spray Coater
4060 EVG Gemini 200 Wafer Bonder
4061 EVG IQA 0047 Aligner
4062 EVG IQA 0048 Aligner
4063 EVG IQA 0049 Alignment System for Wafer Stacking
4064 EVG 640 Mask Aligner
4065 EVG IQA 0045 Mask Aligner
4066 EVG IQ Mask Aligner
4067 EVG 640 Precision Alignment System
4068 EVG Spray Coater
4069 EVG 150 N Automated NanoSpray Coating System
4070 EVG 301 Single Wafer Cleaning System
4071 EVG 420 Mask Aligner
4072 EVG 620 Mask Aligner
4073 Evirontronics EV 92-1-30 ESS Chamber – Water Cooling
4074 Evirontronics EH 64-2-3 ESS Chamber – Air Cooling with Liquid Nitrogen Capable
4075 Evirotronics EV 70 L N 2 ESS Chamber – Liquid Nitrogen Cooling
4076 Evirotronics WPH 440-2-15 ESS Chamber – Water Cooling
4077 Exact 605 Waveform Generator
4078 Exakt 80 E Rolls Miller
4079 Exakt 80 E Rolls Miller
4080 Exatron 902 Handler System
4081 Exatron 5000 SMD Laser Mark System
4082 Exatron 5000 SMD Laser Mark System
4083 Exatron 3000 B LCC Machine
4084 Exatron 900 Series CSP Machine
4085 Exatron 3000 B LCC machine
4086 Exatron 3000 BASE Handler
4087 Exatron Fast Track 8000 Handler
4088 Exatron 11000 / 120
4089 Exatron 11000-240 D/S Tester
4090 Exatron 11000 240 O/S Tester
4091 Exatron 11000
4092 Exatron 902 Linear Pick & Place
4093 Excel 661 UC
4094 Excello Mill
4095 Ex-Cell-O Polisher
4096 Excellon 2000 Drill
4097 Excellon 2000 Drill
4098 Excellon 2000 Drill
4099 Excellon EX 200 Drill / Route
4100 Excellon EX 110 Drill / Route
4101 Excellon Scope Drill
4102 Excellon Mark VI Driller
4103 Excellon Mark VI Router
4104 Excellon XL 3 Driller Router
4105 Excellon 424 R Routing Machine
4106 Excellon Mark VI Drilling Machine
4107 Excellon Automation
4108 EXFO FTB 500 OTDR Mainframe
4109 EXFO EXFO FTB-7400 E-0234 B- OTDR Module
4110 EXFO FTB 500 OTDR Mainframe
4111 EXFO FTB-7400 E-0234 B-E-CWC OTDR Module
4112 Exfo Wavelength Reference Absorption Cell
4113 Exfo FVA 3100 Variable Attenuator
4114 Exfo IQ 203 Optical Test Machine
4115 Exfo IQ 206 Expansion Unit
4116 Exfo Novacure Radio Meter
4117 Exide 3000 Battery Charger
4118 Exitech MS 13 EUV Micro Exposure Tool
4119 Exitech M 2000 E Excimer Laser Micromachining System
4120 Expert Steel Fabricators M 304 Round Hopper
4121 Expert Steel Fabricators M 403 Round Hopper
4122 Expert Steel Fabricators M 354 Round Hopper
4123 Explorer Ohaus Weighing Scale
4124 Exsaf T 0 N 90 B with ES 2000 Flammable Gas Alarm Device
4125 Exsaf T 0 N 90 B with ES 2001 Flammable Gas Alarm Device
4126 Exsaf T 0 N 90 B with ES 2002 Flammable Gas Alarm Device
4127 Exsaf T 0 N 90 B with ES 2003 Flammable Gas Alarm Device
4128 Exsaf EP 200-1 Portable Gas Detector
4129 Exsaf EP 200-1 Portable Gas Detector
4130 Extech SDL 800 Vibration Meter
4131 Extech DP 1001 Temperature Scanner
4132 Extech Multitec 320 Multimeter
4133 Extech Electronics 7440 IV Tester / Electrical Safety Compliance Analyzer
4134 Extraction Sys ESI 0008902 TMB 150 Amine Monitoring
4135 EZ Router Scorpion 3-Axis Table Router
4136 F 1 J 3260 B DC Power Supply
4137 F Lli Ferrari ART 561 N 1 A L 6 L 60 Exhaust Fan
4138 F&K Delvotec 6200 Bonder
4139 F&S Bondtec 5810 Ball Bonder
4140 F.D. Hurks 24 D Micro-Vu
4141 FA Systems Automation TMD 27711 Test Handler
4142 FA Systems Automation FA-CM 559 Kapton Taping T&R Machine
4143 FA Systems Automation FA-CM 560 Kapton Taping T&R Machine
4144 FA Systems Automation FA-CM 568 Kapton Taping T&R Machine
4145 FA Systems Automation FA-CM 567 Kapton Taping T&R Machine
4146 FA Systems Automation Spot Ionizer at Punch Module
4147 FA Systems Automation CM Kapton Taping NT&R Machine
4148 Fabtech Services Gas Cabinet
4149 Fala Technology F 30222 RTT Reticle Handling System
4150 Famar A 315 15/7 Importer Carell
4151 Famar A 39 13/2.5 Importer Carell
4152 faMS FusionAid M 100 Metalorganic Chemical Va
4153 Fancort F-1 B/3 A Lead Former
4154 Fanuc M-16 i B 20 Robot
4155 Fanuc M-16 i L Robot
4156 Fanuc Motors
4157 Fanuc Mate 100 i Robot
4158 Fanuc Robot
4159 Fanuc A 05 B 2490 C 175 Robot Pendant
4160 Fanuc A 660 2005 T 137 L 22 R 0 Cable for Robot Pendant
4161 Fanuc S-420 i Robot
4162 Fanuc M 10 Robot
4163 Fanuc America A 290-7329-T 515 ISO Flange Robot
4164 Fanuc America A 290-7329-T 501 ISO Flange Robot
4165 Fanuc America A 06 B-6107-H 002 Servo Amplifier
4166 Fanuc America XGMF-22913 / A 97 L-021 Robot
4167 Fanuc America ISR 30 / 3000 Robot
4168 Fanuc America 22 / 30001 Robot
4169 Fanuc America XGMF-21502A 05 B-2518- Robot
4170 Fanuc America GBJ 3-M 710 I-RMH Robot
4171 Fanuc America R 2000 IA/ 165 F Robot
4172 Fanuc Robotics 6 AX Servo Amp
4173 Fanuc Robotics A 06 B-0267-B 6605 Robot
4174 Fanuc Robotics A 290-7124-T 501 Robot
4175 Fanuc Robotics XGMF-11094 Robot
4176 Fanuc Robotics XGMF-11096 Robot
4177 Fanuc Robotics XGMF-11097 Robot
4178 Fanuc Robotics XGMF-11098 Robot
4179 Faraday Enclosure
4180 Farley Plasma Table
4181 Farley Laserlab Trident Plasma Cutting CNC
4182 Farnham 1258 E Roll Form
4183 Faro Laser Line Probe
4184 Faro Gauge
4185 Farr Airflow Hood
4186 Fasco Motor
4187 Faxitron 43855 A X-Ray
4188 Faxitron X-ray CS 100 AC Circuit Scan Industrial X-ray Inspection Syst
4189 FDU 408 Link Bench Test
4190 FEASA LED Analyzer
4191 FEC Scan 16 Fixture
4192 FEC Tester
4193 FEC 100 Tester
4194 Fedegari XFSW 7/Q 0 E 2 Steam Washer
4195 Fedegari F 0 F 2 / C Autoclave
4196 Fedegari Auto Autoclave SpA, Terminal Sterilizer
4197 Fedegari Autoclavi SPA XFSW 7 / Q 0 E 2 Steam Washer
4198 Federal 350 Vibratone Horn
4199 Federal Pacific 36 B Electric Transformer
4200 Feedmatic Vacuum Sealer
4201 FEI VectraVision Circuit Edit
4202 FEI Micrion Vecta 986 Circuit Edit Focused Ion Beam (FIB)
4203 FEI Tecnai 20 S-TWIN Transmission Electron Microscope (TEM)
4204 FEI CLM-3 D
4205 FEI 1265 Dual Beam Focused Ion Be
4206 FEI Expida 1255 S NG Scanning Electron Mic
4207 FEI Vectra+ Focused Ion Beam (FIB)
4208 FEI DB 235 Focused Ion Beam (FIB)
4209 FEI XL ESEM TMP Scanning Electron Microscope (SEM)
4210 FEI Tecnai G 2 T 12 Transmission Electron Microscope (TEM)
4211 FEI XL 30 Scanning Electron Microscope System (SEM)
4212 FEI Quanta 200 FEG Scanning Electron Microscope (SEM)
4213 FEI Tecnai F 30 TEM
4214 FEI DA 300 Dual Beam Microscope
4215 FEI DA 300 Dual Beam Microscope
4216 FEI XL 835 Dual Beam Microscope
4217 FEI Helios 450 Dual Beam Microscope
4218 FEI Helios 400 Dual Beam Microscope
4219 FEI XL 30 SEM
4220 FEI 200 FIB
4221 FEI FIB 200 TEM Focused Ion Bean Microscope
4222 FEI 835 Full Wafer Dual Beam FIB
4223 FEI Quanta 3D FEG
4224 FEI Philips XL 30 S FEG SEM DX 4 I  System
4225 FEI CLM TEM Preparation Tool
4226 FEI / Micrion M 9500 Focused Ion Beam (FIB)
4227 FEI / Philips XL 30 FEG Feg ESEM
4228 FEI Micrion FIB
4229 FeinFocus Rontgen System FXS X-ray Inspection System
4230 FEL V 150-1 Bellows
4231 FEL V 100 Lift Motor
4232 Fellows Tooth Index Measurer
4233 Fellows 12 H Lead Measuring Instrument
4234 Fellows Grinder
4235 Fellows Lead Measuring Instrument
4236 Femco BMC 110 R 2 Boring and Milling Machine
4237 Ferrotec Electron Beam Bun Power Supplies
4238 Ferrotec 50-103361 J Ferro-Fluidic Feedthrough
4239 Ferrotec 51-133198 A Ferro-Fluidic Feedthrough
4240 Festo Flat Panel Display glass substrate transfer ro
4241 FET 3401 E Tester
4242 FET 3602 E DC Tester
4243 Fet Test 9460 Multiplexer
4244 Fet Test 9405 Digital Volt Meter (DVM)
4245 Fet Test RS 12 Capacitance Station
4246 FHR 1100 V 7 In Line Sputtering
4247 FHR 1100 V BM In Line Sputtering
4248 Fiber Control Industries PS 700 Polarization State Scrambler
4249 Fiber Control Industries RCPS 600 B Resonant-Coil Polarization Scrambler
4250 Fiber Labs AMP FL 8611 OB 20 Fiber Optic Amplifier
4251 Fibre Extrusion Technologies (FET) Extruder
4252 Fichet Safe
4253 Fico MMS-12 M
4254 Fico AMS-W 40-306 Molding
4255 Fico AMS-36-M 2 Auto Mold
4256 Fico Mold Code 1350 1538 Mold Tool
4257 Fico Mold Tool
4258 Fico 1112 Mold Tool
4259 Fico MMS-12-M Manual Moulding
4260 Fico M 1 Molding Machine
4261 Fico Mold System for FBGA 62x233mm Substrate Outline Refere
4262 Fico Netherlands AMS 24 Mold System
4263 Fico Netherlands Fico Mold Die
4264 Ficontec FL 300-OTP 3 Alignment System
4265 Ficontec FL 300 OTP 3 Alignment System
4266 Fielder PMA 400 EX Granulator
4267 Fielder PMA 400 Ex Granulator
4268 Filter Specialist Inc. FSPZ – 1506 Stainless Steel Filtration Unit
4269 Filter Specialist, Inc. FSPZ – 3500 Stainless Steel Filtration Unit
4270 Filtra LF Panel HEPA Filter
4271 Finesun Laminate Machine
4272 Finetech Fineplacer
4273 Finetech FV 2
4274 Finish Thompson ATEX DB 11 P-E-M 209 Vacuum Pump
4275 Finn-Aqua 1000-SS-1 Steam Plant
4276 Finnigan Mat 262 TIMS Mass Spectrometer
4277 FirstNano EasyTube 3000 CVD
4278 Fischer GF 6 Tube Cut Off Saw
4279 Fischerscope XDL 210 X-Ray Fluorescence Spectrometer
4280 Fischione Plasma Cleaner
4281 Fischione IBT 1010 Ion Mill
4282 Fischione Instruments 1030 Automated Sample Prep System
4283 Fisher 418 F Baking Oven
4284 Fisher Isotemp
4285 Fisher Chiller
4286 Fisher Scientific
4287 Fisher 281 Isotemp Vacuum Oven
4288 Fisher Scientific FED 720 Oven
4289 Fisher Scientific 910 Mobile Temperature Controller Unit
4290 Fisher Scientific Muffle Furnace
4291 Fisher Scientific Isotemp
4292 Fisher Scientific Dry Bath Incubator
4293 Fisnar F 7900 Robot Glue Dispensers
4294 Fisnar Dispenser Robot
4295 Fitel S 122 M 12 Fusion Splicer
4296 Fitel Cleaver S 321 Optical Fiber
4297 Fitzmill Comminutor Mill
4298 FKV Milestone Oven
4299 FlacTek DAC 800 FVZ K Speed Mixer
4300 FlacTek DAC 800 FVZ Speed Mixer
4301 Flex Products OVD Viewer
4302 FlexiClean Baghouse from Rubber Lab
4303 Flexicon FP 50 Tabletop Filling and Stoppering Machine
4304 Flexicon
4305 Flexifab Resist Coater
4306 Flexifab Developer
4307 Flexifab Resist Coater
4308 Flexifab Various Coater-Developer Spare Cabinets and Parts
4309 FlexLink Destacker
4310 Flexstar F 60 B Chamber
4311 Flexstar F 20 E SAS/SATA Environmental Chamber
4312 Flextek Flat Belt Conveyor
4313 Flextek Wave Flat Belt Conveyor
4314 Flextek Board Handling
4315 Fliesen Productions Production Line for Tiles
4316 Flip Top Film Exposing Unit
4317 FLIR SC 6700 MWIR INSB Infared Camera
4318 Flir E 50 Thermal Imager
4319 Flir A 325 Infrared Camera
4320 Flojet 0284000 Pump
4321 Flojet 2000-871-115 Pump
4322 Florod LFA Laser Cutter
4323 Florod LMT Laser Mask Trimmer
4324 Flourcarbon Megasonic
4325 Flourish FL-802 S Components Preform Machine
4326 Flourish FL-802 S Components Preform Machine
4327 Flow 20 XD-55 Waterjet Machine
4328 Flow Paser ECL Plus Waterjet Machine
4329 Flow Autoclave Systems Isp Press
4330 Flow Sciences FS 1600 VBSE FS 2020 LEV Vented Balance Safety Enclosure
4331 Flow Track Flowave 400 F Wave Soldering Machine
4332 Flow Track Flowave 400 F Wave Soldering Machine
4333 FlowTrack E 01068-01 Conveyor
4334 FlowTrack E 01067-01 Conveyor
4335 Fluke 6080 A / AN Signal Generator
4336 Fluke 45 Dual Display Multimeter
4337 Fluke DVM VF Test
4338 Fluke 115 Multimeter
4339 Fluke 87-7 Digital Multimeter
4340 Fluke 37 Multimeter
4341 Fluke 8600 A Digital Multimeter
4342 Fluke PM 3394 A Autoranging Comboscope
4343 Fluke Datapaq 4 Date Logger System for Reflow Furnace
4344 Fluke 187 Multimeter
4345 Fluke 52 Electronic Thermometer
4346 Fluke 6060 B RF Signal Generator
4347 Fluke 8842 A Multimeter
4348 Fluke 2680 Data Acquisition System
4349 Fluke 2680 A-FAI Fast Analog Input Module
4350 Fluke 2680 A-PAI Precision Analog Input Module
4351 Fluke 2680 A-DIO Digital I/O and Relay Module
4352 Fluke Digital Connector Module
4353 Fluke Universal Input Module
4354 Fluke 2680 A Blank Module
4355 Fluke 3050 Digital Test Station
4356 Fluke 8500 A Digital Multimeter
4357 Fluke 8505 A Digital Multimeter
4358 Fluke 8050 A Digital Multimeter
4359 Fluke 8842 A Multimeter
4360 Fluke 408 B High Voltage Power Supply
4361 Fluke 8846 A Precision Multimeter
4362 Fluke 5500 A Calibrator
4363 Fluke Metrology Well
4364 Fluke Digital Multimeter
4365 Fluke 8010 A Digital Multimeter
4366 Fluke 8012 A Digital Multimeter
4367 Fluke 8050 A Digital Multimeter
4368 Fluke 8026 B True RMS Multimeter
4369 Fluke PM 6304 Automatic RCL Meter
4370 Fluke 5450 A Resistance Calibrator
4371 Fluke 45 Multimeter
4372 Fluke 6802 II Digital Thermometer
4373 Fluke 8845 A Precision Multimeter
4374 FMH BestFlex 200 Expandable Conveyor
4375 FMH BestFlex 200 Expandable Conveyor
4376 FMH NestaFlex 275 Expandable Conveyor
4377 Focus Microwave iCCMT-3620 RF Tuner
4378 Focus Microwave MPT-3620 RF Tuner
4379 Fogale Lenscan LS 200 Measurement System
4380 FOI Rydeen 10000 Wafer Descum Tool
4381 Foilmaster KU-3 Hot Stamp Machine
4382 Fongex Chip Conveyor
4383 Fonuc R 200 iA / 165 F Robot
4384 force make force model
4385 Foresight FP10-PVCC-1112
4386 Forma Scientific 1128 Hood
4387 Formech 508 DT Vacuum Former
4388 Forslund 35-00 Cermet Screen Printer
4389 Forster Welding Table with Accessories
4390 Fortix FWTS 300 Wafer Transfer System
4391 Fortix Automatic Loading and Unlo
4392 Four Dimensions 101 C Six Point Prober Meter
4393 Fox SGJ 01 Drying Machine
4394 FPSC SC DF 25 Freezer
4395 Framos VLG
4396 Franklin T 7 XL Shrink Wrap Tool
4397 Franklin 1020 Hot Stampine Machines
4398 Franklin Electric Heavy Duty Mechanical Vacuum Pump
4399 Franklin Electric 1303 Q 42110 Pump
4400 Freeman Schwabe 215191 Die Cutting Press
4401 Freeman Schwabe F 45 Hydraulic Press
4402 Freeport SGS-1020 AHD Precision Surface Grinder
4403 Frequency Devices 9016 Programmable Multichannel Filter
4404 Frewitt MF 2 Mobile Granulator
4405 Frewitt Mobile Granulator
4406 Fritsch Pulverisette 2 Ball Powder Mill
4407 Fritsch Pulverisette 5 Ball Powder Mill
4408 Fritsch Pulverisette 5 Orbital Pulverizer
4409 Frothingham Electronics FEC 200 Electrical Characteristics Tester
4410 Frothingham Electronics PLS 400 RB Forward Surge Tester
4411 FRT MicroProf 200 TTV MHU Flatness Measuring Instrument
4412 Fryer MB 15 Manual Mill
4413 Fryer MB 14 4th Axis CNC Mill
4414 Fryer MB 16 CNC Milling Machine
4415 FSCurtis
4416 FSCurtis NX Dryer / Compressor
4417 FSE FU 12 PEB ITO Electron Beam Evaporation Machine (ITO)
4418 FSI Diffusion Clean
4419 FSI Orion Surface Preparation Syste
4420 FTA / SIM Reloader with Buffer
4421 FTS AirJet XE
4422 FTS Thermojet Temperature Forcing Unit
4423 FTS Systems Dura-Stop MP Microprocessor Control Stoppering Tray Dryer
4424 FTS Systems Dura-Dry MP Microprocessor Control Corrosion Resistant Freeze-Dryer
4425 Fuchs 2001 SS Barrel Mixer
4426 Fuel View DFM 100 CD Differential Fuel Flow Meter
4427 Fuel View DFM 100 D K Differential Fuel Flow Meter
4428 Fuel View DFM 250 A K Fuel Flow Meter
4429 Fuel View DFM 250 CD Fuel Flow Meter
4430 Fuel View DFM 500 D K Differential Fuel Flow Meter
4431 Fuel View DFM 500 C K Fuel Flow Meter
4432 Fuji GP-551 E Screen Printer
4433 Fuji CP 6 Feeders and CP Feeder Carts
4434 Fuji CP 6 Feeders
4435 Fuji GL-541 E Glue Dispenser
4436 Fuji IP-III 5000
4437 Fuji IP-III
4438 Fuji FRNF 50 C 1 S-6 C Electric Inverters
4439 Fuji Coolant Pumps
4440 Fuji QP 3 Power Feeder
4441 Fuji E-Spray (U-Coater)
4442 Fuji CP 643 E Placement
4443 Fuji IP 3 Placement
4444 Fuji CP 643 Feeders
4445 Fuji IP 3 Feeders
4446 Fuji 1 KVA UPS
4447 Fuji W 12 XP Feeder
4448 Fuji W 16 XP Feeder
4449 Fuji W 24 XP Feeder
4450 Fuji W 32 XP Feeder
4451 Fuji W 44 XP Feeder
4452 Fuji W 56 XP Feeder
4453 Fuji W 72 XP Feeder
4454 Fuji BTU Pyramax 125 Reflow
4455 Fuji Feeders
4456 Fuji Feeders
4457 Fuji Feeders
4458 Fuji Feeders
4459 Fuji Feeders
4460 Fuji CP 642 Pick and Place
4461 Fuji IP III Pick and Place
4462 Fuji CP 642 Pick & Place
4463 Fuji IP 3 Pick & Place
4464 Fuji Conveyor
4465 Fuji NXT Pick and place
4466 Fuji AIM Pick and Place
4467 Fuji / Luxel Finalproof 5600
4468 Fuji / Luxel F-6000
4469 Fuji BC Engineering FK 2 MLM 3 08 Portable Facing System
4470 Fuji BC Engineering KF 2 M LM 3 08 Portable Facing System
4471 Fuji Electric Ups M-Ups100 J 22 L-Ul (Wtel06Wa)
4472 Fuji Seiki ECB 10016 Wet Slurry Deflashing
4473 Fujikara FSM 40 S Fusion Splicer
4474 Fujikoshi PFM 19 Copper Table Facing & Grooving Machine
4475 Fujikoshi LSL 191 A Copper Plate Single Side Polishing Machine
4476 Fujikoshi LSL 19 A Polishing Machine
4477 Fujikoshi PFM 19 Copper Table Facing & Grooving Machine
4478 Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
4479 Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
4480 Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
4481 Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
4482 Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
4483 Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
4484 Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
4485 Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
4486 Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
4487 Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
4488 Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
4489 Fujikura KL-300 T 2008 New Type Fiber Fusion Splicer
4490 Fujikura Fujikura Ltd. FSM-60 S Fusion Splicer
4491 Fujikura Fujikura Ltd. FSM-60 S Fusion Splicer
4492 Fujikura Fujikura Ltd. FSM-60 S Fusion Splicer
4493 Fujikura Fujikura Ltd. FSM-60 S Fusion Splicer
4494 Fujikura Fujikura Ltd. FSM-62 S Fusion Splicer
4495 Fujikura FSM-30 R
4496 Fujikura FSM-60 R
4497 Fujikura ARC Fusion FSM 20 PM II Splicer
4498 Fujikura ARC Fusion FSM 40 PM Splicer
4499 Fujikura FSM 20 PM II Fusion Splicer
4500 Fujikura FAT 04 Fiber Ribbonizer
4501 Fujitsu Celsius M 470-2 Working Station
4502 Fujiwa Mold Machine
4503 Fujiwa TEP 235 70 Manual Mold
4504 Fullshare
4505 Fullshare LP
4506 Fulton ICS 10 Steam Boiler
4507 Fulton Thermal Fluid Heater
4508 Fumer Acid Fume Hood
4509 Furnas 18 48 Press Break
4510 Futans Ultraviolet Illumination Meter
4511 FWTD 300 Wafer Transfer System
4512 G Winner 51492 JY Li BS Industrial Vacuum Cleaner
4513 G&L Motion Control MMC Motion Control System
4514 G&N MPS R-700 S Grinder
4515 G.R.A.S. 40 HL Reference Microphone
4516 Gaertner L 115 A Ellipsometer
4517 Gaertner L 116 S Ellipsometer
4518 GAIA Science HT 100 Auto Tablet Tester
4519 Galaxy 183 91772700 Refrigerator
4520 Gallay Systems Bin
4521 Gallenkamp Plus II Oven
4522 Gallenkamp Plus II Oven
4523 Gam Laser EX 10 Excimer 193 nm ArF Laser System
4524 Gama 3059 Feeder and Mouthpiece Hopper
4525 Gambro Phoenix Dialysis Machine
4526 Gamet Lathe
4527 Gamma Scientific GS-1190 RadOMA LED Spectrometer
4528 Gamry Potentiostat
4529 Gannicott 9370 Stacker / Batch Counter
4530 Gannicott Stacker
4531 Gaode 8000 A High Wave Welder
4532 Gaode 8000 A Plastic Welding Machine
4533 Gaotech GT 7303 A Plug Roller Experiment Machine
4534 Gardner Double Disc Grinder
4535 Gardner 1937 Color Test
4536 Gardner Denver EAH-99-A Air Compressor
4537 Gardner Denver Air Compressor
4538 Gardner Denver RNC 150 A 1 Compressed Air Dryer
4539 Gardner Denver VPL 10-12 Industrial Air Compressor
4540 Gardner Denver Air Compressor
4541 Gardner Denver BN 10253926002 / 0715 Vacuum Pump
4542 Gardner Denver V-VC 303 Pump
4543 Gardner Denver V-VC 303 Vacuum Pump
4544 Garvey 9600 Canister Power Roller 90 degree Conveyor
4545 Gasmet SAM-SYS-002 Portable Sampling System (PSS)
4546 Gasmet DX 4000 Fourier Transform Infrared Spectroscopy (FTIR) Gas Analyzer
4547 Gasonics Iridia 4800 DL Asher
4548 Gasonics Iridia Asher
4549 Gasonics Iridia Asher
4550 Gasonics Iridia Asher
4551 Gast DAA-V 516-ED Vacuum Pump
4552 Gast 0523-101 Q-G 582 Dx Rotary Vane Oil Free Pump
4553 Gast DOA P 135 AA Pump
4554 Gasung Pak GBD 45 E Sealing Machine
4555 Gasung Pak SF B Sealing Machine
4556 Gatan 600 Dual Ion Mill
4557 Gatan 600 Dual Ion Mill
4558 Gateway KB 2961 Keyboard
4559 Gateway KB 2962 Keyboard
4560 Gateway M-S 69 Mouse
4561 GB Mills Hydrotek 575 Distillery
4562 GCA 6300 Auto Stepper
4563 GCA 6300 C i-Line Stepper
4564 GCA Vacuum Industries 8820 Oven
4565 GE 9 T 23 B 3877 Transformer
4566 GE AKTA Crossflow
4567 GE Akta 900 Purifier
4568 GE Power Transformer
4569 GE Biacore X 100 Surface Plasmon Resonance (SPR)
4570 GE Vacuum Pump
4571 GE eXplore speCZT CT System
4572 GE Bioreactor
4573 GE Healthcare Typhoon Trio
4574 GE Lighting IS EMEA Series
4575 GE PC MV 3000
4576 GE Phoenix Nanome X-ray
4577 GE Phoenix Nanomex 180 X Ray
4578 GE Sensing & Inspection T pcbanalyzer 160
4579 GEA NA 7 06076 Centrifuge
4580 GEA Discharge Station for IBC
4581 GEA T 6 Wet Granulation Suite
4582 GEA Type 0855 IBC
4583 GEA Mixer for Parlodel Binder Paster
4584 GEA Granulation Binder Solution Jacketed Vessel in Ln1
4585 GEA
4586 GEA Spare Exhaust Fan & Motor for Fluid Bed Dryer
4587 GEA Binder Vessel Motor + Impeller
4588 GEA Mill Discharge Cone and Product Chute
4589 GEA Mill Discharge Cone and Product Chute
4590 GEA Whisperfuge CTC 1-06-107 Continuous Flow Centrifuge
4591 GEAS I 2025 07 2 Download Machine
4592 GEAS I 2004 08 1 Download Machine
4593 GEAS I 2004 08 2 Download Machine
4594 Gebhardt Conveyer System
4595 Geiger Handling K 700 Robotic Arm
4596 Geka Hydracrop Iron Worker
4597 Gelbar PG 7 A Centerless Boule Grinder
4598 GELON LIB Glove Box
4599 Gem DD 556 AA Monitor
4600 Gem DD 770 FA 3 Monitor
4601 GeMe Tec Elymat III Electrolytical Metal Analys
4602 GeMe Tec WSPS 53 Gas Analyzer
4603 GeMeTec WSPS-NG Wafer Surface Preparation System
4604 Gemini III Epi Reactor
4605 Gemini 3 E Epitaxial Reactor
4606 Genei Electrophoresis
4607 General Air Products ACHCH 400-S TS 1 Cold / Hot Water System
4608 General Electric A C Motor
4609 General Electric 5 K 213 AL 306 A
4610 General Electric 5 K 215 AG 601 T
4611 General Electric CMMS 2033
4612 General Electric 5 K 182 BC 218 A AC Motor
4613 General Electric 5 K 35 JN 259 A AC Motor
4614 General Electric 5 KS 444 JS 1003 AC Motor
4615 General Electric Vacuum Pump
4616 General Electric GE 9 T 83 B 3871 Transformer
4617 General Signal Blue M Electric Lab Oven
4618 Genesem AMPS-2000 Mold Machine
4619 Genesem GAMS-2000 Substrate LaserMark
4620 Genesis WaBash 5 Ton Press
4621 Genesis Systems Group / Fanuc Robotic Welding Cell
4622 Genetix / Molecular Devic QPix
4623 Genevac HT 4-X Evaporator System
4624 Genie GS 1930 Scissorlift
4625 Genie S 65 Lift
4626 Genie Z 20 / 8 N Boom Lift
4627 Genie Corp Genie 600
4628 Genlab OV 150 SFD Lab Oven
4629 Genmark Robot
4630 Genmark Robots
4631 Genmark GB 3 Robot, Elevator, Controller
4632 Genrad 1658 RLC Databridge
4633 Genrad 2287 In Circuit Tester
4634 Geo Knight Heated Press
4635 Gerber DCS 1506 Drag Knife Cutter
4636 Gerber SY 251 Spreaders
4637 Gerber Crescent 30 Laser Photo Plotter
4638 Gerhardt Soxtherm 2000 Rapid Extraction System
4639 Gerry GP PD 520 KT
4640 GFI Innovations MxM 1 Ink Mixer
4641 GFI Innovations MX Vortex Liquid Mixer
4642 GFI Innovations MX RIOi Liquid Mixer
4643 GFI Innovations MxM 1 Mixer
4644 Giacomet Giomax Boiler
4645 Giant GD 3612 Dryer
4646 Giant Force Instrument En GTST-050-40-AW
4647 Giddings & Lewis MMC Ethernet Module
4648 Gigamat Fine-Polish
4649 Gigatronix 1026 Signal Generator
4650 Gilbreath Verical Baler
4651 Gilbreth 7102 TC Heat Tunnel
4652 Giles Scientific Colony Electronic Zone Counter
4653 Gilson Lab GC Equipment
4654 Gilson Fraction Collector
4655 Gilson Fraction Collector
4656 GIMAC 12 / 24 6 M Controller and Extruder
4657 Girondin Sauer WP 311 L Compressor
4658 GJC Instrument 2000 Digital Flow Meter
4659 GLA Wafer Transfer System
4660 Glassman PS / LH 003 R 1.7171 Power Supply
4661 Glassman HV Amp Unit
4662 Glassman Series FX High Voltage Power Supply Unit
4663 Glatt Z 504600 Sieving Line
4664 Glatt Vibratory Sifter
4665 Glatt Mini Vibratory Sieve
4666 Glatt Drum Tipper for Sieving Line
4667 Glatt Vacuum Lifter
4668 Glatt Drum Adaptor
4669 Glatt Sack Chute for Sieving and Butterfly Valve
4670 Glatt Drum Ramp for Drum Adaptor
4671 Glatt GSW 290 Conical Sieve
4672 Glatt GSW 290 Conical Sieve
4673 Gleason 24 A Straight Bevel Coniflex Generator / Finisher
4674 Gleason 641 Hypoid Generator, Bevel Gear Cutting Machine
4675 Gleason 116 CNC Bevel Gear Cutting Machine
4676 Gleason 641 Hypoid Generator
4677 Glegg RO System
4678 Glen Dimplex Chiller
4679 Glen Technology 1000 P Plasma Cleaning System
4680 Glenbrook RTX 113 X-ray Machine
4681 Glenbrook RTX 13 X-Ray
4682 GlenBrook Technologies X-ray Inspection System
4683 Global Finishing Mechanical Bond Oven
4684 Global Industrial Mezzanine Gate
4685 Global Specialties 1504 Isolated Variable Ac Line Supply
4686 Global Specialties 1510 Variable Ac Source
4687 Global Specialties 6000 Frequency Counter
4688 Glsun 1310/1470-1610 Desktop Stabilized Light Source
4689 Glsun 1270-1410 Desktop Stabilized Light Source
4690 Glsun 1450-1610 Desktop Stabilized Light Source
4691 Glsun 1450-1610 Desktop Stabilized Light Source
4692 Glsun 1270-1430 Desktop Stabilized Light Source
4693 Glsun 1270-1430 Desktop Stabilized Light Source
4694 Glsun 1450-1610 Desktop Stabilized Light Source
4695 Glsun LST-13/15 (DFB) Desktop Stabilized Light Source
4696 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4697 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4698 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4699 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4700 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4701 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4702 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4703 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4704 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4705 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4706 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4707 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4708 Glsun 1 * 8 Optical Power Meter Automatic Test Equipment
4709 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4710 Glsun SUN-ORL-3 Desk Type Optical Power Meter
4711 Glsun SUN-ORL-3 Desk Type Optical Power Meter
4712 Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
4713 Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
4714 Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
4715 Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
4716 Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
4717 Glsun OPM-3 C Handheld Optical Power Meter
4718 Glsun OPM-3 C Handheld Optical Power Meter
4719 Glsun OPM-3 C Handheld Optical Power Meter
4720 Glsun OPM-3 C Handheld Optical Power Meter
4721 Glsun OPM-3 C Handheld Optical Power Meter
4722 Glsun OPM-3 C Handheld Optical Power Meter
4723 Glsun OPM-3 C Handheld Optical Power Meter
4724 Glsun OPM-3 C Handheld Optical Power Meter
4725 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
4726 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
4727 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
4728 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
4729 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
4730 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
4731 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
4732 Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
4733 Glsun Test Computer
4734 Glsun Test Computer
4735 Glsun Test Computer
4736 Glsun Test Computer
4737 Glsun Test Computer
4738 Glsun Test Computer
4739 Glsun Test Computer
4740 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4741 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4742 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4743 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4744 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4745 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4746 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4747 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4748 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4749 Glsun SUN-OPM-3 C Handheld Optical Power Meter
4750 Glsun 5 * 80 Road Rack Mounted Splitter (Black)
4751 Glsun 1 * 2 Handheld Optical Switch
4752 Glsun 1 * 2 Handheld Optical Switch
4753 Glsun 1 * 2 Handheld Optical Switch
4754 Glsun 1 * 2 Handheld Optical Switch
4755 Glsun 1 * 3 Handheld Optical Switch
4756 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
4757 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
4758 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
4759 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
4760 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
4761 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
4762 Glsun LASER SOURCE HLS-1 Handheld Red Light Source
4763 Glsun RP-150 W Heat Tray
4764 Glsun RP-150 W Heat Tray
4765 Glsun RP-150 W Heat Tray
4766 Glsun RP-150 W Heat Tray
4767 Glsun RP-150 W Heat Tray
4768 Glsun RP-150 W Heat Tray
4769 Glsun RP-150 W Heat Tray
4770 Glsun RP-150 W Heat Tray
4771 Gluco PM-18
4772 GMM GM 620 Substrate Interlayer Hot Press Machine
4773 GMN Flat Grinder
4774 GMN MPS 2 R 300 DS Wafer Grinder
4775 GMP Filtration Skid
4776 GMP Tech 1520 Deep Freezer
4777 GMP Tech 28 Cooling Incubator
4778 Gnubi EPX 100 Clock Source Module
4779 Goal Searchers Copper Filtering Machine
4780 Goatech GT 7303 A Plug Roller Experiment Machine
4781 Gold Tool DPS 150 A DC Power Supply
4782 Gold Tool SPS 250 A Switching Power Supply
4783 Goldman Perimeter
4784 Gom ATOS III 3D Scanner
4785 Gonio CCDI Imaging System
4786 Good Will Instruments Gfc-8131 H Intelligent Counter
4787 Good Will Instruments Gpm-8212 AC Power Meter
4788 Good Young Ultrasonic Clean Machine
4789 Goodman GSX 130363 AA 3-Ton AC
4790 Gorbel Bridge Crane
4791 Gottscho Go-Jet Basic Ink Jet System
4792 Goulds SP 035 MC Pump
4793 GP Group GRE 5 K Exposure Machine
4794 GP Group GPEC 5 K Exposure Machine
4795 GP Group GPE 7 KB Exposure Machine
4796 GP Group GPE 7 K Exposure Machine
4797 GP Group GPE 5 K Exposure Machine
4798 GPD 856 VS Peelback Force Tester
4799 GPD MiniMax Dispensing Machine
4800 GPM ILM 290 Laser Marking
4801 GPM SE 24 Laser Marker
4802 GPM Echo 500 Molding
4803 Graco Monark Pressure Tan Aid Brush
4804 Graco PR 70 Meter Mix Dispense System for Silicon Matl
4805 Graco ProMix Easy Paint System
4806 Graco Adhesive Invection system
4807 Graco One Epoxy Dispencing Pump
4808 Gramme Digital Shipper Weighing Balance
4809 Granite Microsystems IAC C 800 A Power Supply Unit
4810 Granville Phillips 270 Gauge Controller
4811 Granville Phillips 270 Gauge Controller
4812 Graphene Square CVD System
4813 Grass 7 P 122 C Low Level DC Amplifier
4814 Gray Horizontal Boring Mill
4815 Graziano Tortona Sag 12
4816 Greenerd 3 1/2 Arbor Press
4817 Greenerd H 56 D Arbor Press
4818 Greenlee 1818 R Benders
4819 Grenzebach Offline Particle Inspection System
4820 Grenzebach Custom Flat Glass Conveyor
4821 Gretagmacbeth The Judge II Lighting Booth
4822 Grieve HD-243624-HT-ATM Box Furnace
4823 Grieve Ovens
4824 Grieve NB 350
4825 Grieve Boat Dryer
4826 Grieve HA-700 Oven
4827 Grieve NB 550 Oven
4828 Grieve XTR 1000 Industrial Oven
4829 Grieve NBS 400 Bench Oven
4830 Grieve HT 17 Oven
4831 Grieve High Temp Pilot Oven
4832 Grieve Hendry SA 350-E
4833 Grieve Hendry MT 550 Industrial Oven
4834 Grob 4 V 60 Band Saw
4835 Groninger Filing & Sealing M/C
4836 Group Up GFR 1 After Cooler Machine
4837 Group Up GEM 5 KC Exposure Machine
4838 Grove SM 2232 E Scissor Lift
4839 Gruenberg KT 45 C 204 Walk In Oven
4840 Gruenberg 235 H 5.06 Oven
4841 Grundfos CRN 64-2 Industrial Pump
4842 Grundfos CR 8 Water Pumps
4843 Grundfos CR 3-19 A-FGJ-A-E-HQQE Pump
4844 Grundfos Pump
4845 Grundfos MTH 2 60/3 A W A AUUV Coolant Pump
4846 GS Tempress
4847 GS Tempress Omega Junior System Doping Furnace
4848 GSI M 430
4849 GSI Lumonics WH 4100
4850 GSI Lumonics W 678 Laser Trimmer
4851 GSI Lumonics JK 703 H Laser Welder
4852 GSI Lumonics Lightwriter XL
4853 GSM Feeders
4854 GSM / Genesis GC 60
4855 GT GTS 305261 Ingot Furnace
4856 GT G 6 Ingot Furnace
4857 GT G 5 Ingot Furnace
4858 GT GTS 305261 Ingot Furnace
4859 GT Solar DSS 450 HP Ingot Casting Furnace
4860 GTA
4861 GTA Mixing Machine
4862 GTAT Toss Lathe
4863 GTI MiniMatcher MM 2 e Light Booth
4864 GTS DG 150 WW Wafer Washer
4865 GTS Hydro Pneumatic Press
4866 GTX Marketing Wet Bench
4867 Guan Quan UA 1200 E Air Blower
4868 Guangzhou RTS 2 A 4 Point Probe
4869 Guangzhou SXJ II 4 Point Probe
4870 Guifil PE 15-35 38.5 Ton Hyd Brake
4871 Guifil PE 6-16 Press Brake
4872 Guilin XS 5750 B Milling Machine
4873 GUK Cartonac 91 Leaflet Folding Machine
4874 GVB SN 14 Proxy Test
4875 GW PEL 300 Programmable Electronic Load
4876 GW Instek GDM 8145 Digital Multimeters
4877 GW Instek SPS 606 Power Generator
4878 GWC Instruments Synchronous Sampling Demodulator
4879 Gwinstek GDM 8246
4880 Gwinstek GDM 8261 A Multimeter Measurement
4881 Gwinstek GDS 1022 Storage Oscilloscope
4882 H & H Resistance Welder
4883 Haake RS 1 Rheometer
4884 Haas VF-0
4885 Haas VF 3 Vertical CNC
4886 Haas VF-2 CNC Vertical Mill
4887 Haas VF 1
4888 Haas ST 20
4889 Haas VF 0
4890 Haas Mini Lathe CNC
4891 Haas VF-3 CNC Mill
4892 Haas HL-4
4893 Haas Minimill CNC
4894 Haas VF-2 CNC
4895 Haas TL 15 CNC
4896 Haas HL 4 CNC Lathe
4897 Haas TM 2 Mill
4898 Haas VF 2 CNC
4899 Haas VF 3 CNC
4900 Haas VF 3 CNC
4901 Hackett Auto Coin Press
4902 Haco Atlantic HDS Power Squaring Shear
4903 Haefely Oil Transformer
4904 Hai Bin Technology Wdmfr Semi Automatic Alignment System
4905 Hai Bin Technology Wdmfr Semi Automatic Alignment System
4906 Hai Bin Technology Wdmfr Semi Automatic Alignment System
4907 Hai Bin Technology Wdmfr Semi Automatic Alignment System
4908 Hai Bin Technology Wdmfr Semi Automatic Alignment System
4909 Hai Bin Technology Wdmfr Semi Automatic Alignment System
4910 Haier Mini Refrigerator
4911 Haitian HTF 800 W 2 AIM Molding Machine
4912 Haitian HTF 800 W 2 AIM Molding Machine
4913 Haitian HTF 530 W 2 AIM Molding Machine
4914 Hakko FX-888 D Soldering Station
4915 Hakko 493 Fume Extractor
4916 Hakko 808 Desoldering Kit
4917 Hakko 927 Iron
4918 Hakko 472 B Rework Airon
4919 Hakko 850 B Hot Air Station
4920 Hakko FG 100 Tip Thermometer
4921 Hakko FG 100 Soldering Iron Tester
4922 Hakko FR 801 Hot Air Station
4923 Hakko FX 301 B Digital Solder Pot
4924 Hakko 153 Machine Material Preparation
4925 Hakko 191 Tip Thermometer
4926 Hakko 937 Soldering Iron
4927 Hakko Corp 493-10 ESD Safe Smoke Absorber
4928 Hako 470 B Desoldering Tool
4929 Hako 493-10 Solder Fume Filter
4930 Hakuto Mach 760 UP Automatic Cut Sheet Laminator
4931 Hall Measurement System
4932 Hally Instruments Water Bath
4933 Halm IUCT 1800 Solar Light Simulator
4934 Hamamatsu IC Backside Polishing System
4935 Hamamatsu PHEMOS-200 Emission Microscope
4936 Hamamatsu Photonics C 7103 IC Backside Polishing System
4937 Hamilton SafeAire Large Fume Hood
4938 Hamilton SafeAire Small Fume Hood
4939 Hamilton Safeaire Fume Hood
4940 Hamilton Safeaire Fume Hood
4941 Hamilton Dual CNT liquid dispenser
4942 Hanbell PS 902 A Vacuum Pump
4943 Handworker HWA 510 1 Piston
4944 Hang 150 07 Binders Crimping Machine
4945 Hang Zhou Ming Zhe MZM 3040 Magnetizer
4946 Hang Zhou Ming Zhe MZLS 40 F Chiller
4947 Hang Zhou Ming Zhe D 55 H 100 Magnetizing Coil
4948 Hangzhou Sanhai Electronic High Temperature Reverse Bias (HTRB) System
4949 Hangzhou Sanhai Electron SPFP T High Temperature Reverse Bias (HTRB) System
4950 Hangzhou Sanhai Electron SPTB GF Oplife System
4951 Hangzhou Sanhai Electron SPTB G Oplife System
4952 Hangzhou West Lake Z 516 Bench Drill
4953 Hangzhou West Lake SWJ 12 Tapping Machine
4954 Hankison International DH-115
4955 Hanmi 3500 D Singulator
4956 Hanmi 3800 LD Sawing & Placement
4957 Hanmi 3000 D Singulation Saw & Placement
4958 Hanmi 3000 D Singulation Saw & Placement
4959 Hanmi 3800 LD Sawing and Placement Singulation Tool
4960 Hanmi 2512 Wafer to Tray Sorting System
4961 Hanmi VP 3000 L Tapeless Saw
4962 Hanna Instruments HI 903 Titration System
4963 Hannan Industries Vacuum Forming Machine
4964 Han’s DR 50 S Laser
4965 Han’s DR 50 S Laser
4966 Hans Laser YAG 50 Laser Marker
4967 Han’s Laser Science & Tec 20 W Fiber Laser Marker
4968 Hanseo Cure Oven
4969 Hanseo HS 2954 Oven
4970 Hansvedt Plunge EDM
4971 Hanxuan ADS-3-AS FS 8032 Diamaflow
4972 Hanyue HAD 15 NF Air Compressor
4973 Hao Mian Technology Automated Optical Inspection (AOI) + Taping System (SECS / GEM)
4974 Hao Mian Technology Automated Optical Inspection (AOI) + Tapin
4975 Hao Mian Technology Automated Optical Inspection (AOI) + Tapin
4976 Hao Mian Technology Auto AOI System Machine
4977 Hao Mian Technology HVS-3000 B Automatic Visual Inspection Machine
4978 Hardinge HP 5, DSM 59 Lathe
4979 Hardinge DV-59 Manual Lathe
4980 Hardinge HLV-H Lathe
4981 Hardinge Lathe
4982 Hardinge / Elgin Polisher
4983 Harig 612 CNC
4984 Harig 612 CNC
4985 Haring 618 Auto Step Grinder
4986 Haring 618
4987 Harmotec WPO-67-B Wafer Packing
4988 Harrick PDC-32 G Plasma Cleaner/Sterilizer
4989 Harrier Gold Wire Bonder
4990 Harris SLT 21 V-85 DBA-80 Freezer
4991 Harris Tuvey (HT) 9464 Isolation Voltage Tester
4992 Harrison M 400 Lathe
4993 Harrison 6204 B DC Power Supply
4994 Harrison 6521 A DC Power Supply
4995 Harrison 390 Lathe
4996 Harrison Laboratories 865 B Power Supply
4997 Harro Hofliger Wallet Equipment
4998 Harrop Kiln
4999 Harrop Production Equipment
5000 Harting 09890400000 Semi-Automatic CPM Crimping Machine
5001 Harvard 55-0715 Large Animal Ventilator
5002 Haskel AG 75 Booster Pump
5003 Haskel AG 75 Booster Pump
5004 Haskel 32762 Booster Pump
5005 Haskris WW 1 Fluid to Fluid Heat Exchanger
5006 Haskris R 75 Heat Exchanger Recirculating Chiller Bath
5007 Haskris CWS 3 Water Backup System
5008 Haskris Air Cooled Water Chiller
5009 Haskris R 300 Chiller
5010 Haskris R 100 Chiller
5011 Hass VF 6 Mill
5012 Hassel
5013 Hastest HOT-900 YUCF Temp Oven
5014 Hastest HOT-252 XTCD-HTS Constant Temperature Chamber
5015 Hastest HPCT (r 10)-252 QTUT-PC Programmable Temperature Chamber
5016 Hatsuta Cabinex-Wt Fire Suppression System
5017 Hauman Vision System HVS 1000 Automatic Optical Inspection Machine
5018 Haver & Boecker Haver EML 200 Digital Plu Test Sieve Shaker
5019 HCT 400 SD Wire Saws
5020 HCT 300 E 12 Slurry Wire Saw
5021 HCT Shaping Systems Squarer KV 02
5022 HCT Shaping Systems 500 SD B/5 Wire Saw
5023 HCT Shaping Systems 500 SD B/5 Wire Saw
5024 HD Semitech Hydraulic GW & ST Lead Conditioning Syste
5025 HDI Surface Scanner
5026 Headway LS 22 Large Substrate Spinner
5027 Headway Low Temperature Oven for DF
5028 Headway Research Spin Coater Controller
5029 Heateflex Heated Tank
5030 Heateflex Aquarius GDI 9140 2 V P 6 Ultra-Pure DI Water Heater
5031 Hebei Hao Technology Laminator
5032 Hebei Yi Heng Technology YIHENG TDCZ-Y-4 Laminating Machine
5033 Hebei Yi Heng Technology TDCZ-Z-4 Laminating Machine
5034 Hebei Yiheng Science & Te TDCZ-Y 4 Laminating
5035 Hebei Yiheng Science & Te TDCZ-Z 4 Laminating
5036 Heck VA 400 Bevel Mill
5037 Heckert Vertical Mill
5038 Heckert Vertical Mill
5039 Hegla LG 29 L 248 DLF Side Grap
5040 Heidelberg DWL-66
5041 Heidelberg DWL 66 Mask Writer
5042 Heidelberg DWL 66 FS Direct Writing Lithography System
5043 Heidelberg DWl
5044 Heidenhahn Stage
5045 Heidolph Rotary Evaporator
5046 Heidolph LABO ROTA 20 S Rotary Evaporator
5047 Heidolph MR Hei Tec Magnetic Stirrer with Heating
5048 Heim 3 OBI Press
5049 Heise Digital Pressure Gage
5050 Heldolph Recirculating Chiller
5051 Heldolph
5052 Heller MC 16 Horizontal Machining Cen
5053 Heller 1500
5054 Heller 1809 EXL Reflow Oven
5055 Heller 1912-EXL Reflow Oven
5056 Heller 1912-EXL Reflow Oven
5057 Heller 1900 EXL Reflow Oven
5058 Heller 1800 EXL Reflow Oven
5059 Heller 1900 EXL Oven
5060 Heller Snap Cure Baking Oven
5061 Heller Hooke Cure Oven
5062 Heller Kelvin Cure Oven
5063 Heller Baking Oven
5064 Heller 1809 EXL Reflow Oven
5065 Heller 1809 EXL Reflow Oven
5066 Heller 1800 EXL Reflow Oven
5067 Heller 1912 EXL Reflow Oven
5068 Heller 1800 W Reflow Oven
5069 Heller 1810 EXL Reflow Oven
5070 Heller 1912 EXL Reflow Oven
5071 Heller 1912 EXL Reflow Oven
5072 Heller 1500 SX Reflow Oven
5073 Heller 1800 Reflow Oven
5074 Heller 1809 EXL Oven
5075 Heller 1800 EXL Reflow Oven
5076 Heller 1707 MK 5 Reflow Oven
5077 Heller / Zevatech 1700 SX
5078 Hennecke
5079 Hennecke He WI 03 Wafer Tester Sorter
5080 Henschel KM 2200 Cool Mixer
5081 Hepa Mini Environment
5082 Heracell 150 Incubator
5083 Heraeus Megafuge 1.0
5084 Heraeus TU 60 / 60 Air Circulation Oven
5085 Heraeus T 5402 E Baking Oven
5086 Heraeus Xenotest
5087 Heraeus DK 45 Vacuum Pump
5088 Heraeus DK-90 Vacuum Booster
5089 Heraeus UT 6060
5090 Heraeus T 6030
5091 Heraeus Z 3067 Conveyorized UV Processor
5092 Heraeus TU 60/60 Air Circulation Oven
5093 Heraeus / Votsch VM 04/100 Climatic Chamber
5094 Herbert Arnold 72 / 856 Chamfer Grinder
5095 Herbert Arnold 72 / 860 Surface Grinder
5096 Hermes Microvision (HMI) eScan 320 E-Beam Defect Inspection
5097 Hermle Z-360 Centrifuge
5098 Hermle Labnet Z 206 A
5099 Hermle UWF 1202 H
5100 Hermle Labnet Z 206 A
5101 Hesse & Knipps (H&K) Bondjet 815
5102 Hetanke A 2 ED 1-2 WS Deduster for Compression 3412
5103 Hetran-B Roll Straightener
5104 Hetran-B Bar Turner
5105 Hettich Rotina 420
5106 Hettich Rotina Centrifuge
5107 Hewlett Packard 4275 A Multi Frequency Inductance, Capacitance, Resistance (LCR) Meter
5108 Hewlett Packard 4261 A LCR Meter
5109 Hewlett Packard 4195 A Network Analyzer
5110 Hewlett Packard E 3630 A DC Power Supply
5111 Hewlett Packard Spare Parts
5112 Hewlett Packard Lab GC Equipment
5113 Hewlett Packard Q 6687 A Printer
5114 Hewlett Packard (HP) / Ag 6890 N Gas Chromatograph (GC)
5115 Hewlett Packard (HP) / Ag 6890 Gas Chromatograph (GC)
5116 Hewlett Packard (HP) / Ag 5890 Gas Chromatograph
5117 Hewlett Packard (HP) / Ag 6890 Gas Chromatograph
5118 Hewlett Packard (HP) / Ag 6890 / 5973 Gas Chromatography-Mass Spectrometer (GC-MS)
5119 Hewlett Packard (HP) / Ag 6850 Gas Chromatograph
5120 Hewlett Packard (HP) / Ag 1050 High-Performance Liquid Chromatography (HPLC) Quatropump
5121 Hewlett Packard (HP) / Ag 5890 Series II GC-MS Chromatograph
5122 Hewlett Packard (HP) / Ag 5890 Series II Gas Chromatograph
5123 Hewlett Packard (HP) / Ag 3245 A Universal Source
5124 Hewlett Packard (HP) / Ag 6632 A DC Power Supply
5125 Hewlett Packard (HP) / Ag 6634 A DC Power Supply
5126 Hewlett Packard (HP) / Ag 34420 A Nano Volt / Micro Ohm Meter
5127 Hewlett Packard (HP) / Ag 54100 A Digital Scope
5128 Hewlett Packard (HP) / Ag 1260 Infinity
5129 Hewlett Packard (HP) / Ag 5110 ICP-OES
5130 Hewlett Packard (HP) / Ag 1050 Liquid Chromatograph
5131 Hewlett Packard (HP) / Ag 1200 Series High-Performance Liquid Chromatography (HPLC)
5132 Hewlett Packard (HP) / Ag 1200 Series High-Performance Liquid Chromatography (HPLC)
5133 Hewlett Packard (HP) / Ag 1200 Series High-Performance Liquid Chromatography (HPLC)
5134 Hexagon Metrology 443 Dual Z Optiv Performa Measuring Machine
5135 Hey No. 3 End Face and Center Machine
5136 Heylignestaedt 405 Eb / 3000 Lathe
5137 HFA Packaging Conveyor
5138 Hi Tech Engineering Pressure Vessel
5139 HIAC Liquid Particle
5140 Hibex Singapore Double Multicut Former
5141 Highbridge Power Supply
5142 Highmax SHM-200 Wafer Mount System
5143 Hilge Hygiana-Bloc 1/3 Water Pump
5144 HIMAX Worldwide UV 200 UV Decure
5145 Hinds Instruments PEM-90 Photoelastic Modulator
5146 Hino Wet Vacuum Trunk
5147 Hioki 3532-50 LCR Tester
5148 Hioki IM 3570 Impedance Analyzer
5149 Hioki L 2000 Probe
5150 Hios F 9000 Electric Screw Driver
5151 Hios VZ 1820 Electric Screw Driver
5152 Hios VZ 1820 PS Electric Screw Driver
5153 Hios VZ 3012 Electric Screw Driver
5154 Hios VZ 3012 PS Electric Screw Driver
5155 Hipotronics HD 100 Series Tester
5156 Hipotronics HD 125 AUTO Hipot Meter
5157 Hipotronics T 8100-50-3 HV DC Power Supply
5158 Hipotronics HM 3 A Megohmmeter
5159 Hirata KWS 450 318 A VL Wafer Sorter
5160 Hirata KWS 450 418 A VL Wafer Sorter
5161 Hirayama VS-300-2 Electric Vibration Tester
5162 Hirayama PC 304 R III PCT
5163 Hirayama PC 305 S 3 V Autoclave
5164 Hirayama PC 3058111 Steam Pressure Environmental Testing Unit
5165 Hirayama Hastest PC-422 R 8 Chamber
5166 Hirox KH 7700 Digital Microscope
5167 Hirox KH 7700 Digital Microscope
5168 Hisomet II DH 2 Measuring Microscope
5169 Hitachi S-4500 Scanning Electron Microscope (SEM)
5170 Hitachi S 5000 FE SEM (Scanning Electron Microscope)
5171 Hitachi
5172 Hitachi CM 700 X
5173 Hitachi S 530 Scanning Electron Microscope (SEM)
5174 Hitachi HL 700 D E-Beam Lithography System
5175 Hitachi M 712 XT Etcher
5176 Hitachi BIST – BT 1064 Sort Tester
5177 Hitachi AS 5000 Microscope
5178 Hitachi Microanalysis System Microanalysis System
5179 Hitachi S-7800 CD SEM (Scanning Electro
5180 Hitachi Z-5700 Spectrometer
5181 Hitachi CM-700 H Die Attach System
5182 Hitachi EPL-8541 HK 8 R Color Copier
5183 Hitachi MI-SCOPE Scanning Acoustictomograph
5184 Hitachi V 523 50 MHZ Oscilloscope
5185 Hitachi VP-1500 Monochrome Copier
5186 Hitachi S-2700 Scanning Electron Microscope (SEM)
5187 Hitachi S 4500 Scanning Electron Microscope (SEM)
5188 Hitachi L-7000 High-Performance Liquid Chromatography (HPLC)
5189 Hitachi HF 2000 Transmission Electron Microscopy (TEM)
5190 Hitachi V 22 Plus
5191 Hitachi Hi Screw 37
5192 Hitachi SD 520 A 3 AG Dispenser
5193 Hitachi SD 520 A 3 Seal Dispenser
5194 Hitachi FR 520 Seal Precure
5195 Hitachi LF 520 8 TR Dispenser
5196 Hitachi WA 200 Atomic Force Microscope
5197 Hitachi ND-5 L 210 E Drill
5198 Hitachi M 4510 XT Asher / Etch System
5199 Hitachi CG 6450 T CD-SEM (Critical Dimension – Scanning Electron Microscopy)
5200 Hitachi LS 9245 Unpatterned Wafer Particle Detection System
5201 Hitachi S-5200 SEM
5202 Hitachi H-8100 TEM
5203 Hitachi W 5200
5204 Hitachi S 3200 N Scanning Electron Microscope (SEM)
5205 Hitachi S-4700 Scanning Electron Microscope (SEM)
5206 Hitachi 6200 H Scanning Electron Microsc
5207 Hitachi S 570 Scanning Electron Microscope (SEM)
5208 Hitachi S 6100 Scanning Electron Microsc
5209 Hitachi S 6600 Scanning Electron Microsc
5210 Hitachi S 7280 H Scanning Electron Microsc
5211 Hitachi V-252 Oscilloscope
5212 Hitachi V-252 Oscilloscope
5213 Hitachi SU 6600 Scanning Electron Microscope (SEM)
5214 Hitachi J 300 Industrial Mixer
5215 Hitachi V-1050 Oscilloscope
5216 Hitachi S-4700-II Scanning Electron Microscope (SEM)
5217 Hitachi S-3400 N Variable Pressure SEM
5218 Hitachi Laser Drilling, CO2 Machine
5219 Hitachi V 1050 F Oscilloscope
5220 Hitachi Mark 10-ND-SP 210 E
5221 Hitachi S 4800
5222 Hitachi S 4700 II
5223 Hitachi 7500 TEM
5224 Hitachi M 1-120 Scanning Acoustic Tomagraph
5225 Hitachi GHL B 306 Grinding Machine
5226 Hitachi PX D 440 U Jet Printer
5227 Hitachi S 4200 Scanning Electron Microscope (SEM)
5228 Hitachi CG 9360
5229 Hitachi SPC 100 B+H Plasma Cleaner
5230 Hitachi S 7800 H Critical Dimension Scannin
5231 Hitachi S 4700 SEM
5232 Hitachi S 4500 Scanning Electron Microscope (SEM)
5233 Hitachi S 5200 SEM
5234 Hitachi Kokusai Vertron III DD 853 V Vertical LPCVD Furnace
5235 Hitachi Kokusai Vertron III DD-853 V Vertical LPCVD Furnace
5236 Hitachi Kokusai Electric DD 1810 N DLS Vertical Diffusion Furnace
5237 Hitachi Kokusai Electric DD 1810 N DLS Vertical Diffusion Furnace
5238 Hitachi Kokusai Electric DD 1810 N DLS Vertical Low Pressure Chemical Vapor Deposition (CVD) Furnace
5239 Hitzinger Kinetic Energy Module
5240 HIX ECII 2409 HIX Conveyorized Oven
5241 HIX EC II 2409 Conveyorized Oven
5242 Hobart P-660 Mixer
5243 Hobersal MOD 12 PR 300 SCH Furnace
5244 Hoch Temeratur Technik WTE 07 3 54 1 Flat Roller Heating machine
5245 Hoch Temeratur Technik CPS 08 5 48 1 Engraved Roller Heating
5246 Hockmeyer Mixer
5247 Hoeing Jobin Yvon XploRA Raman Microscope
5248 Hoenle Bluepoint 4 UVC UV Machine for O3A
5249 Hoenle Uvacube
5250 Hoffman A 242408 LP Enclosed Control Panel
5251 Hoffman HD 11.1 Balancer
5252 Hollmuller HSTP 2 0 55/50 Etcher
5253 Hollmuller 3018 Etcher
5254 Holmes 10 XL Rifler
5255 Hologenix MX 203-8-49 b Wafer Measurement
5256 Holometrix TCHM-LT Thermal Conductivity Instrument
5257 Holon EBLITHO-2000 Electric Stamper (Litography Tool
5258 Holon Busch EBLITHO-2000 Electric Stamper
5259 Hommelweke Hommel T 20 Surface Measurement Tester
5260 Honewell DR 4200 Chart Recorder
5261 Honewell DR 4300 Chart Recorder
5262 Honeywell Paper Shredder
5263 Honeywell Oven
5264 Honeywell 2000 Portable Eye Wash
5265 Honeywell Analytics E 400 GOLD Emcore Reactor
5266 Hong Hua RJS-1000 Foil Winding Machine
5267 Hong Hua RJ-600 Foil Winding Machine
5268 Hong Jin ABSI 600 P Ball Scraper
5269 Hong Jin Technology HT 1022 Auto Taping Handler
5270 Hong Jin Technology HVT-305 BF 2 Tester
5271 Hong Jin Technology HVT-305 BF 2 Manual Mechanism Modification
5272 Hong Jin Technology HVT-305 CTU Manual Mechanism Modification Tester
5273 Hong Jin Technology HT-3019 Auto Film Per-Bend
5274 Hong Jin Technology F 300 Manual Mechanism Modification
5275 Hong Jin Technology C 300 Manual Mechanism Modification
5276 Hong Jin Technology HT-1980 Cap Attach Handler
5277 Hong Jin Technology HT-1991 A Automatic Visual Inspection Machine
5278 Hong Jin Technology HVT-305 BF 4 Dispensing Curing System Testing Machine
5279 Hong Kong Kuttler Chemcut 30 Auto Loader
5280 Hong Teng Technology TM-3100 Machine Implant
5281 Hong Teng Technology TM-3100 Automatic Plant Machine
5282 Hong Teng Technology BT-1000 HTA Boat to Tray Switch
5283 Hong Teng Technology HTA BT-1000 Transpose Machine
5284 Hong Teng Technology TM-3200 Automatic Plant Machine
5285 Honghan Technology Semi-Automatic Placement Machine
5286 Hongkong Kuttler Chemcut 30 Auto Unlaoder
5287 Hongrigang NS 2000 Chrimping Machine
5288 Honkey SVG 86 Brush Washing Machine
5289 HORA OLT Operating Life Test System
5290 Horiba Partica LA 950 V 2 Particle Analyzer
5291 Horiba VIA 510
5292 Horiba CLA 510
5293 Horiba FIA 510
5294 Horiba MPA 510
5295 Horiba ES C 510 Sample Conditioner
5296 Horiba NEMA Cabinet Enclosure
5297 Horiba XGT-700 V X-Ray Analytical Microscope (microXRF)
5298 Horiba PZ 2000 Ellipsometer
5299 Horiba i HR 320 Imaging Spectrometer
5300 Horizon DHR 3655 D-10 Power Supply
5301 Horkos HFN R 40 H 16 Computer Numerical Control (CNC) machin
5302 Hosokawa 15 MDC 43 Mixer
5303 Hosokawa Mikro ACM Pulverizer
5304 Hosokawa Mikro Pulverizer Hammer Mill
5305 Hosokawa Mikro-Pulverizer Mikro-Pulverizer for Tegratol API Miling
5306 Hosokawa K 200 / 100 Compaction
5307 Hosokawa MEL 300 Mobile Lifting Device
5308 Hosokawa Micron API Mixer
5309 Hot Chamber Die Cast
5310 Hotpack 273800 Vacuum Oven
5311 Hotpack 273800 Vacuum Oven
5312 HotPack 213023
5313 HotPak Oven
5314 Hotsy 942 N Pressure Washer
5315 Hover 4 B Automatic Muller
5316 Hover Davis Blue Feeders
5317 Hover Davis SMT Feeders
5318 Hover Davis Feeder
5319 Hover-Davis Feeder
5320 Hover-Davis Feeder
5321 Hover-Davis Feeder
5322 Hover-Davis Feeder
5323 HP P 1102 LaserJet Printer
5324 HP 4550 Color LaserJet Printer
5325 HP 4200 / 4300 Series Printer
5326 HP KB 0316
5327 HP DesignJet T 610 Printer
5328 HP P 3015 DN Printer
5329 HP Proliant DL 320 UI Computer for Endeavor Cluster Tool
5330 HP / Agilent Design Jet 800
5331 HP / Agilent 8589 E RF Spectrum Analyzer
5332 HP / Agilent 4062
5333 HP / Agilent 8558 B Spectrum Analyzer
5334 HP / Agilent E 4432 B Signal Generator
5335 HP / Agilent 8543 UV-VIS Spectrophotometer
5336 HP / Agilent 2000 Tester
5337 HP / Agilent V 3300 Versa Tester for FZTAT (Memory portion)
5338 HP / Agilent 6653 A Power Supply
5339 HP / Agilent 8753 C Vertical Network Analyzer (VNA)
5340 HP / Agilent 85046 A
5341 HP / Agilent 8595 E Spectrum Analyzer
5342 HP / Agilent 8082 A Pulse Generator
5343 HP / Agilent 4072 B Advanced Parametric Tester
5344 HP / Agilent 85046 A S Parameter Test Set
5345 HP / Agilent 4100 MP-AES
5346 HP / Agilent Plus Lab GC Equipment
5347 HP / Agilent E 5810 A LAN / GPIB Gateway
5348 HP / Agilent 85046 A S-Parameter Test Set
5349 HP / Agilent 8131 A Pulse Generator
5350 HP / Agilent 3585 A Spectrum Analyzer
5351 HP / Agilent 3325 B Synthesizer
5352 HP / Agilent 8443 A Tracking Generator
5353 HP / Agilent 8714 B Network Analyzer
5354 HP / Agilent 8007 B Pulse Generator
5355 HP / Agilent 8015 A Pulse Generator
5356 HP / Agilent 8970 B Noise Figure Meter
5357 HP / Agilent 8566 B Analyzer Display
5358 HP / Agilent 8481 B Power Sensor
5359 HP / Agilent 30 Db 30Db Pad
5360 HP / Agilent 8753 B Network Analyzer
5361 HP / Agilent 85025 B Detector
5362 HP / Agilent E 4432 A RF Signal Generator
5363 HP / Agilent 6633 A Power Supply
5364 HP / Agilent 4500 Inductively Coupled Plasma Mass Spectrometers (ICP-MS) system
5365 HP / Agilent 70952 B + 70004 A Optical Spectrum Analyzer
5366 HP / Agilent AG 4083 Parametric Tester
5367 HP / Agilent Visualize B 180 L
5368 HP / Agilent 3070 Tester
5369 HP / Agilent HP 4145 B Analyzer
5370 HP / Agilent / Keysight 3070 Series 3 Tester
5371 HP / Agilent / Verigy 93000 Boards
5372 HP / Agilent / Verigy 83000
5373 HP / Agilent / Verigy 83000 Tester
5374 HP / Agilent / Verigy 83000
5375 HP / Agilent / Verigy 83000 F 330 t Tester
5376 HP / Agilent / Verigy 93000
5377 HP / Agilent / Verigy 93000 Card
5378 HP / Agilent / Verigy 93000
5379 HP / Agilent / Verigy 93000
5380 HP / Agilent / Verigy 81000 BA Fiber Adaptor
5381 HP / Agilent / Verigy 81000 KA Fiber Adaptor
5382 HP / Agilent / Verigy 93000 PS 400 Tester
5383 HP / Agilent / Verigy 93000 c 400 e Tester
5384 HP / Agilent / Verigy 93000 Tester
5385 HP / Agilent / Verigy 83000 Tester
5386 HP / Agilent / Verigy 93000 SOC Series Tester
5387 HP / Agilent / Verigy 93000 SOC Series C 200 e Tester
5388 HP / Agilent / Verigy 93000 SOC Series C 200 e Tester
5389 HP / Agilent / Verigy 93000 SOC Series C 400 e Tester
5390 HP Designjet 3D Printer
5391 HP Designjet T 1120 PS Printer Plotter
5392 HSEB Axiospect 300 Stereo Microscope
5393 H-Square QBL 150 Flat and Notch Aligner
5394 H-Square Cassette Automated Inspection
5395 HST Reverse Current Temp Tester
5396 HTA OS 7700
5397 HTM Reetz GmbH LORA 1700-50-1300-1 Sintering Furnace
5398 HTM Reetz GmbH LOSIC 1600-80-600-3 Horizontal Tube Furnace
5399 Htschudin HTG 300 Rounder
5400 Huasheng Tianlong 95 Crystal Furnace
5401 Huber Rota Cool Chiller
5402 Huber UC 040 Tw-H
5403 Huber Oil Thermoregulation System
5404 Hubers Voltage Injection
5405 Huffman HS 155 R CNC Grinding tool
5406 Huffman Surface Grinder
5407 Hughes HAC 1000 Welder
5408 Hughes / Palomar 2470-V Wirebonder
5409 Hughes / Palomar 2470-V
5410 Hughes / Palomar 2470-III
5411 Hughes / Palomar 6500 Precision Assembly Die Bonder
5412 Hughes / Palomar HRW 250 AC-DC Power Supply
5413 Hughes / Palomar 6500 Die Bonder
5414 Hughes / Palomar 8000 i Wire Bonder
5415 Hugle UPC-12100 Cleaner System
5416 Human RH HPC-4000 Particle Inspection System
5417 Hummer VI Sputtering System
5418 Hunkeler UW 4 Unwinder
5419 Hunkeler UWM 7082 Unwinder
5420 Hunkeler UW 4 7119 Unwinder
5421 Hunkeler UWM Unwinder
5422 Hunkeler UWM 70 7082 Unwinder
5423 Hunkeler UW 4-150 m-R-VB 7110 Unwinder
5424 Hunkeler UW 4 7119 Unwinder
5425 Hunkeler UW 4 7119 Unwinder
5426 Hunkeler UW 4 Unwinder
5427 Hunkeler UW 4-150 m-R-VB 7119 Unwinder
5428 Hunkeler FS 4 7127 Unwinder
5429 Hunkeler 180 Degree Duplex Turn Station
5430 Hunkeler Paper Rollwagon
5431 Hunkeler WT 4 7118 Cross Turning Unit Inline
5432 Hunkeler TU-A 7170
5433 Hunkeler VU 7170
5434 Hunter WT 200 Heavy Duty Tire Alignment
5435 Hunterlab Color Matching Spectrophotometer
5436 Hurco HB 65-1.5 Bar Feeder
5437 Hurco TMM 10 i CNC Turning Center
5438 Hurco TM 10 CNC Turning Center
5439 Huskey GHM 105890 Cement Mixer
5440 Huskey GHM 105890 Cement Mixer
5441 Husky HyPet 120 Injection Molding Machine
5442 Husky HyPet 90 Injection Molding Machine
5443 Husky GL 300 Injection Molding Machine
5444 Husky GL 300 Injection Molding Machine
5445 Huvitiz HM-TV 0 Microscope
5446 Hwacheon Hi ECO 21 HS CNC Lathe
5447 Hwacheon Hi ECO 10 CNC Lathe
5448 HWUV HWUV-01 Uv Light Curing Chamber
5449 Hybco Grinder
5450 Hybond UDB 140 A Die Bonder
5451 Hybond UDB 140 A Die Bonder Parts Tool
5452 HYDAC Lubrication Unit for ISO VG 32
5453 Hyd-Mech S 20 A Horizontal Bandsaw
5454 Hydro Test 500-020
5455 Hydro Test 500-LP-18-P
5456 Hylas HT 5000 Laser Marker
5457 Hyndai HDA 03 HC 1 Chiller
5458 Hyndai HD 02 A Chiller
5459 Hyndai HD 01 A
5460 Hyndai HDA 05
5461 Hyndai 07.5 HC Chiller
5462 Hypersonic Chipheraser 1630 EPROM Eraser
5463 Hypertherm MRC Plasma Robot
5464 Hypertherm Powermax 65 Handheld Plasma Cutter
5465 Hypervision PTF 1 Portable Microscope
5466 Hyrel 3D Hydra 3D Printer
5467 Hyster N 30 ZDR-14.5 Fork Lift
5468 Hyster Forklift
5469 Hyster Forklift
5470 Hyster H 50 Forklift
5471 Hyster T 100 Tow Motor
5472 Hytrol Belt Driven Conveyor
5473 Hytrol Gravity Conveyor
5474 Hytrol Gravity Conveyor Sections
5475 Hytrol Gravity Conveyor Supports
5476 Hytrol Belt Driven Conveyor
5477 Hytrol 391968 Conveyor
5478 Hyvision DC + Blemish Manual Tester
5479 Hyvision HSMT + DCR Manual Tester
5480 Hyvision HVT-305 CTU Tester
5481 Hyvision HVT-305 BF 2 Tester
5482 Hyvision HVT-305 BF 2 Dispensing / Curing System Testing Machin
5483 Hyvision BHS-100 M Rewinding Machine
5484 Hyvision HVT-305 CTU Color Measurement Tester
5485 Hyvision 900 DC-V 1 Optical Inspection and Electrical Test Machine
5486 Hyvision 900 D-V 2 Electrical Testing Machine
5487 Hyvision F 300 Tester
5488 Hyvision F 300 / HVT-305 BF 4 Dispensing / Curing System Testing Machin
5489 Hyvision C 300 Measuring Instrument
5490 Hyvision C 300 / HVT-305 CTU Color Measuring Testing Machine
5491 Hyvision H 100-V 2 Auto Load / Unload Handler
5492 Hyvision 900 DC-V 1 Optical Inspection and Electrical Test Machine
5493 Hyvision C 301 Automatic Optical Inspection Tester
5494 Hyvision T 801 for FT / OQA Automatic Optical Inspection Handler
5495 Hyvision C 301 Automatic Optical Inspection
5496 Hyvision T 800 Electrical and Noise Tester
5497 Hyvision BF Conversion Kit
5498 Hyvision CT 1 Conversion Kit
5499 Hyvision CTQ Conversion Kit
5500 Hyvision Riemann AA Machine Measurement Tools & Jigs
5501 Hyvision Model Conversion Kits
5502 Hyvision C 300 Tester
5503 Hyvision HVT-305 CTU
5504 Hyvision HVT-305 BF 4 Handler
5505 Hyvision T 802 Automatic Optical Inspection Handler
5506 Hyvision H 100-V 2 Automatic Optical Inspection Handler
5507 Hyvision T 800 VT to NH Feed Stands, Automatic Seat Carrier, Suction Head
5508 IAC Industries Blue Workbench
5509 IAI Corporation IX-NNN 6020
5510 IAS Hepafilter Booth
5511 IBM P III Deskto CPUS
5512 IBM 4100 HD 3 Printing System
5513 IBM IP 4100 HD 4 Printing System
5514 IBM IP 4100 HD 3 Printing System
5515 IBM IP 4100 HD 3 Printing System
5516 Ice Tech Manual Dry Ice Blaster
5517 Icon i 6 Electro Folm Stencil
5518 Icon i 8 Printing Platform Base Machine
5519 Icon CI 8250 Lead Inspection Scanner
5520 Icon I 8 Printer
5521 ICOS 30 MW Automated Optical Inspection (AOI) system
5522 ICOS 30 MW Automated Optical Inspection (AOI) system
5523 ICOS CI 8250 1
5524 ICOS CI 8250 Vision System
5525 ICP PAC 1700 / ACE 832 A Open Short Tester
5526 IDC PGV RSA Person Guided Vehicle
5527 IDE AWP 200 Automated Wafer Packer / Unpacker System
5528 Ideal Aerosmith 2102 C-18-SR 48 Two Axis Position and Rate Table System
5529 Ideal Aerosmith
5530 Ideallab Mechanical Press Machine
5531 Idealmold Press Manual System
5532 Idec RY 4 S U 26612 Relays
5533 Idec RY 4 S U 36633 Relays
5534 Idec SY 4 S 05 Relay Bases
5535 Idex / Pulsafeeder Hose Pump
5536 Idex / Pulsafeeder Metering Pump
5537 IDI Cybor Chemical Dispense Controller
5538 IDI (Image Diagnostics Inc) 100 E Radiolucent Pain Treatment Table
5539 IDT Resistance Measurement System
5540 IEMCA VIP 70 s CNC Bar Loader / Feeder
5541 Iemme Italia 760 T Reflow Oven
5542 Iemme Italia Plus 12 Reflow Oven
5543 IFR 1200 Super S Communications Monitor
5544 IFR Systems / Marconi 2042 Low Noise Signal Generator
5545 ILJIN Hi-Tech Loader
5546 Illitron 3712 A-3 C Automatic Tooth Spacing Comparator
5547 Illumina VC 101 1000 BeadXpress Reader System
5548 Illumina HiSeq X Genetic Sequencer
5549 Illumina HiSeq 2500
5550 Illumina HiSeq 2500 Genetic Sequencer
5551 ILX FPM 8210 Optical Power Meter
5552 ILX Lightwave FPM 8210 H Fiber Optic Power Meter
5553 ILX MPS 8033 Laser Source
5554 ILX Lightwave LDT 5412 Temperature Controller
5555 ILX Lightwave LDX 3525 Precision Current Source
5556 IMA C 90 A 91 Blister Packaging Line
5557 Imada DPS 110 R Digital Force Gauge
5558 Imeca Mini Boss 325 CNC
5559 Impac K-Sien Thermal Oven
5560 IMS XTS 60 E / 256 Tester
5561 IMS / Credence ATS-2 Blazer Tester
5562 Ind Tech Carton Collator
5563 Induction Atmospheres Furnace
5564 Industra Dermo Sonic
5565 Industrial CNC 4 x 4 Pro Series CNC Router Machine
5566 Industrial Devices Electric Cylinder Model
5567 Industries ESD Safe Lab Bench
5568 Inel CPS 120 X-ray Detector
5569 Inertec Cube 640 Selective Soldering System
5570 Inertec Cube 460 Selective Soldering
5571 Inficon UL 100 Plus Leak Detector
5572 Inficon XTC Deposition Controller
5573 Inficon Quadrex 100, Model 017- Control For Residual Gas Analyzer
5574 Inficon XTM/2 Deposition Monitor
5575 Inficon Electornic Cabinet
5576 Inficon CDG 025 D Vacuum Gage
5577 Infineon Reel to Tape Test Inliner
5578 Infocus SP 4805 Screenplay DLP Projector, Screen
5579 Infrared Heating Technolo ITIOF 3 Z-144 KVA-NPP-01 Oxidation Furnace
5580 Ingersol Rand 234015 Air Compressor
5581 Ingersol Rand Premair CCD 07 SBP 010 G Cylinder
5582 Ingersol Rand 2475 Compressor
5583 Ingersoll Rand 2545 E 10-VP Compressor
5584 Ingersoll Rand Compressor
5585 Ingersoll Rand IRN 50 H-OF Compressor
5586 Ingersoll Rand HL 2001 HE 0 AA Dryer
5587 Ingersoll Rand UP 6-7.5 T AS-125 W/D Compressor
5588 Ingersoll Rand 2475 Industrial Air Compressors
5589 Ingersoll Rand SSR-EP 100 Air Compressor
5590 Ingersoll Rand CH 5 21 M 1 Air Compressor
5591 Ingersoll Rand 25 HP Air Compressor
5592 Ingersoll Rand Centac Air Compressor
5593 Ingersoll Rand TZE 500 Compressed Air Dryer
5594 Ingersoll Rand Sierra-H 100 A Compressed Air Dryer
5595 Ingersoll Rand SSR-HP 75 Air Cooled Air Compressor
5596 Ingersoll Rand IRN 50 H OF
5597 Ingersoll Rand 3000 E 30 Compressor
5598 Ingersoll Rand 30 TE 25 Compressor
5599 Ingersoll Rand Air Compressor
5600 Ingersoll Rand VH Vertical NC Mill
5601 Ingersoll Rand SSR EP 30 SE Compressor
5602 Inkcups Now M 15 FP Screen Printer
5603 Inline SMT Conveyor
5604 Innolas ILS 700 P
5605 InnoLas ILS TT Laser Doping Machine
5606 Innolas OLSTT CP Ablation Laser
5607 Innolas ILSTT DS Selective Emitter Laser
5608 Innolas Systems ILS TT Double Scan
5609 Innovatis Cedex Pre-Culture
5610 Innovative Systems Engine ISE PVD 3000 Sputtering System
5611 Insidix Topography and Deformation Measurement (TDM)
5612 Inspex TPC 8520 D0 Monitoring
5613 Inspex TPC 8520 Wafer Inspection
5614 Inspex TPC 8520 / 9000 Wafer Inspection
5615 Inspex TPC 8520 Wafer Inspection System
5616 Inspex TPC 9000 Wafer Inspection System
5617 Inspex TPC 8520 Wafer Surface Defect Insp
5618 Inspex TPC 8520 / 9000 Wafer Inspection System
5619 Instant Bioscan RMS-ON 90
5620 Instek GOS-620 Oscilloscope
5621 Instek GFG-8255 A Function Generator
5622 Instek GPM 8212 AC Power Meter
5623 Instron 5542 A Tensile Tester
5624 Instron 1115 Tensile Testing Machine
5625 Instron A-1740-2015
5626 Instron A-1740-2022
5627 Instron SB 2 HXTS 33
5628 Instron A-1740-1025
5629 Instron Tensile Machine
5630 Instron 3345 Tensile Compression Tester
5631 Instron 4505 Tensile Compression Tester
5632 Instron Tester
5633 Instron A 440 Hydraulic Power Pack
5634 Instron 1331 Tensile Tester
5635 Instron 58 R 1127 Tensile / Compression Tester
5636 Instron 3365 Tensile Tester
5637 Instron Hot / Cold Chamber
5638 Instron 4467 Tensile Tester
5639 Instron
5640 Intech Cat Eyes Press
5641 Intech Automation Systems (IAS) Cantilever
5642 Intego Orion GTS 1101 Infrared Measurement Tool
5643 Integrated Air System Laminar Hood
5644 Integri-Test 90 Micor Prober
5645 Integri-Test 90 Micro Prober
5646 Intelitek Light CNC Router
5647 Intelume SCM-A 320 Laser Cutting
5648 Intercon PDIP Lasermark Integration
5649 Intercon MA 4700 Inline IMS System
5650 Interconnect 322 Conveyer
5651 Interconnect Conveyer 322 Process Control
5652 Interface Associate Inc MBS-140 AF Marker Band
5653 Interface Associates MBS 200 Swaging Machine
5654 Intergen Chiller for Laserscribe
5655 Intermec 3400 e Label Printer
5656 Intermec Tethered Scanner and Stand
5657 Intermec 1551 Scanner
5658 International 560 B Loader
5659 International Equipment C IEC-CTD Cryostat
5660 International Equipment C Model K Centrifuge
5661 International Microsystem M 6310 USB Duplicator
5662 Interpro System 6500 Auto Test System
5663 Interpro System DLP 50-60-1000 A Load Electronic
5664 Interpro System Elgar 1731 SX AC Power Source
5665 Interpro System Elgar 1751 SX AC Power Source
5666 Interpro System Auto Test System
5667 Interpro System Load Card
5668 Interpro System Self Test Card
5669 Interpro System DBM Card
5670 Interpro System Auto Test System
5671 Interpro System Card Imput
5672 Interpro System Counter Timer Card
5673 Interpro System CTR/TMR Card
5674 Interpro System DC Power Supply
5675 Interpro System DC Source
5676 Interpro System Digital I/O Card
5677 Interpro System Driver Card
5678 Interpro System DRV Card
5679 Interpro System DVM Card
5680 Interpro System High Frequency Scanner Card
5681 Interpro System High Volt Load Card
5682 Interpro System Over Relay Card
5683 Interpro System Power Relay Card
5684 Interpro System PSV
5685 Interpro System Pulse Slew Card
5686 Interpro System Ripple Noise Card
5687 Interpro System Scanner
5688 Interpro System Short Circuit Module
5689 Interpro System Tran 100 Card
5690 Intertest 1014-CBL Bubble Leak Detector
5691 InterTest 1014 CBC Bubble Detector
5692 Intertest 1014 CBL
5693 InterTest Gross 1014-CII / S 6 Leak Bomb Test System
5694 Intevac Matrix S 4 C Physical Vapor Deposition (PVD)
5695 Intevac Implanter
5696 Intivac Coater
5697 Intralux VOLPI 5000-1
5698 Intrinsyc APQ 8074 Snapdragon Development Kit
5699 Intrinsyc APQ 8060A Snapdragon Development Kit
5700 Intrinsyc APQ 8094 Snapdragon Development Kit
5701 Invensys PowerWare 9315-80 Single Module UPS
5702 Invensys Eurotherm 3504
5703 Inzpect 4004 PCB Loader / Unloader System
5704 Inzpect ITWT 6020 Post Tape Inspection Machine
5705 Ion Exchange pH Meter
5706 Ion Exchange Purified Water System
5707 Ion Exchange Electropolish Pipeline Purified Water System
5708 Ion Science G 3 Gas Check
5709 Ion Systems 775 Electrostatic Field Meter
5710 IonSense DART-100 Source
5711 IOU Technology BM 2264 G Laser Back Printer
5712 IPEC 472 Polisher
5713 IPEC
5714 IPG EAD 500 C Erbium Fiber Amplifier
5715 IPG Photonics PYL 2 1380 R Raman Fiber Laser
5716 IPG Photonics Laser
5717 IPI ESC 2100 H
5718 IPQ TYL 1455 Fiber Laser
5719 IPR M 2 i Manesty Tablet Press Machine
5720 IPR Systems Power Run-In 6 JX
5721 IPS Developer
5722 IPS Frame Dryer
5723 IPS Resist Stripper
5724 IPS Nano ALD 3000 Low Pressure Chem Vapor
5725 IPSCOT Two Part Dispencer
5726 IPTE MGU Manual Gate Unit
5727 IPTE MLL 1 Single Magazine Line Loader
5728 IPTE MLU-I Single Magazine Line Unloader
5729 IPTE TRV Automatic Traversing Unit
5730 i-Pulse M 2 Pick and Place
5731 i-Pulse M 2 Pick and Place
5732 IRE POLUS Laser Driver
5733 Ironton 46461 Chop Saw
5734 Irvine Optical Ultrastation 3 Inspection Station
5735 Irvine Optical Ultrastation 3 B Inspection Station
5736 Irvine Optical Ultrastation 150 Inspection Station
5737 Irvine Optical UL 208 Wafer Mapper
5738 IS LPI Developer
5739 IS Dev Master MK 2 Solder Mask Developer
5740 IS Conveyorized Oxide Line
5741 ISBM SB 3-250-50
5742 ISBM AOKI 500 LL 75
5743 ISBM AOKI 250 LL 75
5744 ISBM AOKI 11 – SB 3-250-LL-50
5745 ISBM SB 3 100 LL 20 S
5746 ISBM SB 3-250 LL 50
5747 Isel Automation Heavy Duty Linear Slides
5748 Ismeca TMBU-CA Taping Machine
5749 Ismeca TMBU-CA Tapping Machine
5750 Ismeca MPX 300
5751 Ismeca
5752 Ismeca G 316 Mark / Scan / Pack Machine
5753 Ismeca NX 16
5754 Ismeca NX 32
5755 Ismeca NX 16
5756 Ismeca NX 16
5757 Ismeca NT 16 -PO 170 /T 1044
5758 Ismeca NT 16 – PO 0075 / T 1019
5759 Ismeca NT 16 – PO 077 /T 1021
5760 Ismeca NT 16 – PO 046 /T 1010
5761 Ismeca P 1132-00170 Move MI, 3D Vision
5762 Ismeca Conversion Kit for NT 16
5763 Ismeca VIT
5764 Ismeca Ionizing Blower
5765 Ismeca P 1132/00077 3D Vision
5766 Ismeca M 132 Test Handler
5767 Ismeca NT 116 Test Handler
5768 Ismeca M 232 Test Handler
5769 Ismeca NX 16 Handler
5770 Ismeca NX 16 Handler
5771 Ismeca MP 200 Tape and Reel
5772 Ismeca TMBZ Tape and Reel
5773 Ismeca MP 200 Tape and Reel
5774 ISOF Adaptor Flanges
5775 ISO-Tech IPS-2010 Programmable Power Supply
5776 Iso-Tech IDM 91 E Digital Multimeter
5777 Istack i Stack Die and Epoxy Bonder
5778 Isusa HB 1000 Scale
5779 Isuzu ESF 216 S Oven
5780 ITEC Holand Parset 197
5781 ITHAC 2002 Hydrogen Determinator
5782 Ithaco Dynatrac Lock In Amplifier
5783 ITO OHASHI CMS-1200 Loader / Unloader
5784 ITS
5785 IVI Box Coater
5786 Iwaki Pump
5787 Iwaki Glass ASP 13 Aspirator
5788 Iwasaki 0366 01 Rubbing Machine
5789 IWK CPR Cartoner
5790 Ixia FlexAP 104016 SQ Traffic Generator Line Card
5791 J B Sawant Vacuum Receiver
5792 J Engelsmann AG Vibration Filter for Polishing Emulsion
5793 J&L Metrology Classic 14 T Optical Comparator
5794 J&L Metrology PC-14 A Optical Comparator
5795 J&R Gen 6 Automation Chemical Vapor Deposition (CVD)
5796 J&R 50 MW Automation Load Wafer Transfer system
5797 J&R 50 WM Automation Load Wafer Transfer system
5798 J&R 50 WM Wafer Transfer system
5799 J.A. King CLK 07 Clicker Press Pneumatic
5800 JA Woollam M-44 NIR ES 130 Ellipsometer
5801 JA Woollam WVASE 32 Ellipsometer
5802 JA Woollam HS 190 Monochromator
5803 JA Woollam M 2000
5804 JA Woollam MTH 33 Ellipsometer
5805 Jackson Automation Implant Exhaust Box
5806 Jackson Automation Ion Implant Parts Clean Bench
5807 Jadason Laser Drill
5808 Jandel Four Point Probe
5809 Janome JR-V2303 3 Axis Robot
5810 Janome JR-V2304 N 4 Axis Robot
5811 Janome CAST CR 3 / C Router
5812 Japan UB 630 T Die Casting Machine
5813 Japan LSI (JLSI) IC Tray Handler
5814 Japan LSI (JLSI) IPS-8655 IC Tray Handler
5815 Jasco FP 2020 Plus Intelligent Fluorescence Detector
5816 Jasco 7850 Spectrophotometer
5817 Jazz 300 M Tape Dispencing Machine
5818 JDS FITEL D 1800 Fiber Optical Switch
5819 JDS FITEL TB 9 Optical Bandpass Filter
5820 JDS Uniphase SWS 15104 C-Band 4-State Polarization Controller
5821 JDS Uniphase SWS 15101 Tunable Laser Source
5822 JDS Uniphase SWS 15102 C-Band Source Optics Module
5823 JDS Uniphase SWS 16102 L-Band Source Optics Module
5824 JDS Uniphase SWS 16101 L Band Tunable Laser Source
5825 JDSU M 125 N 1319 200 Laser Module
5826 JDSU M 125 6 OPN PS Power Supply
5827 JDSU 21067769-002 HS Modulator
5828 JDSU 21044598-100 HS Modulator
5829 JDSU 21044598 HS Modulator
5830 JDSU 10022054 HS Modulator
5831 JDSU MAPF+ 1 GGP 01 FP MAP Tunable Filter
5832 JDSU MAP+ 2 M 00 Multiple Application Platform
5833 JEC P 3502 ES Bi Debug Station
5834 Jeng Yueh Enterprise M-36 Lapping Tool
5835 Jeng Yueh Enterprise M 42 P 4 X Mirror Grinding Machine
5836 Jenoptik Votan Solas Glass Solar System
5837 Jensen Batch Cure Oven
5838 Jeol 7505
5839 Jeol JSM-6600 Scanning Microscope
5840 Jeol Scanning Electron Microscope (SEM)
5841 Jeol JEM-2500 SE Microscope
5842 Jeol JEM-2500 SE Microscope
5843 Jeol JWS 7555 S Microscope
5844 Jeol JWS-7515 Scanning Electron Microsc
5845 Jeol SM-Z0 4004 T Cross Section Polisher
5846 Jeol JBX-5500 FS E-beam Direct Write Litho
5847 Jeol JSM 6330 F Field Emission Scanning Electron Microscop
5848 Jeol 2010 F Transmission Electron Microscope (TEM)
5849 Jeol JSM-5610 Scanning Electron Microscope (SEM)
5850 Jeol JXA-8900 R Microprobe
5851 Jeol JNM-ECP 300 Super Conducting NMR
5852 Jeol JSM 7401 F SEM
5853 Jeol JSM 6300 Scanning Microscope
5854 Jeol JSM 6600 F Scanning Microscope
5855 Jeol JSM-5800 Scanning Electron Microscope (SEM)
5856 Jeol JSM-7401 F SEM
5857 Jeol JEM-2010 TEM
5858 JEOL JXA 8900 Microprobe
5859 Jeol JEM 2100 TEM
5860 Jeol JSM 5800 SEM with EDS
5861 Jeol JMS 700 T Mass Spectrometer
5862 Jeol 6334 F Field Emission Scanning Electron Microscop
5863 Jeol JSM 6301 F SEM
5864 Jeol JSM 5900 LV Scanning Electron Microscope (SEM)
5865 Jeol JSM 5610 LV Scanning Electron Microscope (SEM)
5866 Jeol JSX 3400 RII Element Analyzer Rohs
5867 Jeol 4500 Loading arm / rod
5868 Jeol JSM 840 A Scanning Electron Microscope (SEM)
5869 Jeol 100 SX TEM
5870 Jeol 1230 TEM
5871 Jeol 6480 LV JSM SEM
5872 Jeol JEM 1400 Plus Transmission Electron Microscope (TEM)
5873 Jeol 2010 F Field Emission Electron Microscope
5874 Jeol 640 CD SEM
5875 Jeol ISI 1008 Scanning Electron Microscope (SEM)
5876 Jeol JWS J 555 S Defect Review
5877 Jervis Webb Conveyor System
5878 Jesse T 65 Tube Bender
5879 JET 300 NT ICT Test Equipment
5880 JET JWBS 20 Q Vertical Bandsaw Machine
5881 Jet GH-1440 ZX Lathe
5882 Jet Fork Lift
5883 Jet GH 1440 ZX Lathe
5884 Jet J-8201 K Band Saw
5885 Jet J-820305 Band Saw
5886 Jet J-8203 K Band Saw
5887 Jet 14 M Drill Press
5888 Jet JTM-1254 VS Vertical Milling Machine
5889 Jet Rite Direct Precision Imaging machine
5890 Jettech B 957 M B Electrolytic Water Jet Deflashing System
5891 JFP PP 5 Microtechnic Die Attach
5892 JFW Industries 50 DR 061 Attenuator
5893 JH Technologies 20500 / 26 Fiber Optic Illuminator
5894 Jiabo TB 100 Tube Filler
5895 Jiang Su Vigor 6000
5896 Jiangsu Keyland Laser Tec GSC-20 F Laser Scribing Machine
5897 Jiangsu Yixing Equipment YX 2670 C Insulation Voltage Tester
5898 Jilong Geelong KL-300 T Fusion Splicer
5899 Jin Fangyuan VR 6 XAGS CNC Shearing Machine
5900 Jin Fangyuan PR 6 C Bending MAchine
5901 Jingsheng TDR 80 A-ZJS Full-automatic Crystal Growin
5902 Jinhua Huafeng Instrumen JYS 2940 G Reverse Current Tester
5903 Jinhua Jinfeng Instrument JYS 2960 F Trr Tester
5904 Jinhua Jingeng Instrument JYS 2960 H Trr Tester
5905 Jiu Ding Nordson March FlexTRAK- Plasma Cleaning Machine
5906 Jiule Xing Crystal Stick Adhesion Table
5907 Jiule Xing Mounting Machine
5908 JJ Instrument T 22 K Tensile Testing Machine
5909 J-Mar 010-3180-012
5910 Jobin Yvon Emission Instru JX 38 S Furnace
5911 Jobin Yvon Horiba 750 M Spectrometer
5912 Jobin Yvon Horiba 232 / 488 MSD Spectrometer
5913 Jobin Yvon Horiba 750M Spectrometer
5914 Johannson Drill
5915 John Chubb Instrumentati JSI 140 Electrostatic Voltmeter
5916 John Dusenbery 765 AB Core Cutter
5917 John Dusenbery 9583236 Core Cutter
5918 Joinpack JP 750 Vacuum Packaging Machine
5919 Joinpack JP 750 Vacuum Packaging Machine
5920 Joinwit Programmable Optical Attenuator
5921 Jonas & Redman SIN Tray Loader /  Unloader
5922 Jonas & Redman Cell Tester Sorter
5923 Jonas & Redman Wafer Test Sorter
5924 Jonas & Redman Transfer System
5925 Jonas & Redman Wet Inline Unloader
5926 Jonas & Redman Chemical Vapor Deposition (CVD) Wafer Handling
5927 Jonas & Redman Wet Inline Loader
5928 Jonas & Redman Wet Batch Unloader
5929 Jonas & Redman Wet Batch Loader
5930 Jonas & Redman Wafer Handling Diffusion
5931 Jonas & Redman Print Line Unloader
5932 Jonas & Redman Wafer Handling Oxidation – LFC
5933 Jonas & Redman Print Line Loader
5934 Jonas & Redman Laser Marking Loader – LFC
5935 Jonas & Redman Laser Marking Unloader – LFC
5936 Jonas & Redman Carrier Stack Exchanger
5937 Jonas & Redman SIN Tray Loader / Unloader
5938 Jonas & Redman E 2000 HT 410-4 Chemical Vapor Deposition (CVD) SIN Furnace
5939 Jonas & Redman
5940 Jones & Shipman Surface Grinder
5941 Jones Lamson J&L Classic 14 T Optical Comparator
5942 Joos HP-S 40 Hot Pressing Machine
5943 Jordan Valley BedeMetrix X-ray Diffractor (XRD)
5944 Jordan Valley BedeMetrix X-ray Diffractor (XRD)
5945 Joslyn Electornic Systems Surge Generator
5946 JOT PU 400 Bare Board Unstaker
5947 JOT J 208 50.3 / 20 Transfer Conveyor
5948 JOT Conveyor
5949 JOT FIFO
5950 JOT J 213-53 Single Magazine Handler
5951 JOT J 207-50 Turn Unit Conveyor
5952 Jot J 213-53 1/38 Single Magazine PCB Unloader
5953 JOT PCB Conveyor
5954 Joyo JKL 451 M Cutting
5955 JPSA LLOIX-260 Laser Stripping System
5956 JPSA 594-08-A 11500 Laser Cutting Machine
5957 JPW Industrial HT 334 TUL 480 V 30 KW Oven
5958 JST SAA 00001 Stainless Steel Solvent Engineering Wash Ta
5959 JST STA 00106 Stainless Steel Engineering Dual Wash Tank
5960 JST STA 00173 Stainless Steel Acid / Solvent Combo-Wash
5961 JST Solvent Cleaning Hood / Photo-Glass Mixing
5962 JST Solvent Hood
5963 JT BC 500 C Conveyor
5964 JT Corp JTS 3211 Automatic 4 Para Sorter
5965 Juan Martin 450 / LK Grinding Machine
5966 Juki KE-2020 Chip Placer
5967 Juki TR 6 DE MTC (Matrix Tray Changer)
5968 Juki KE-750 Pick and Place Machine
5969 Juki 730 Pick and Place
5970 Juki 740 Pick and Place
5971 Juki 750 Pick and Place
5972 Juki KE 2060 R Pick & Place
5973 Juki KSP Printer
5974 Juki 2080 RM High Speed Flexible Mounter
5975 Juki KE 3020 VAM SMT Mounter
5976 Juki KE 3020 VAM High Speed Modular Mounter
5977 Juki RS 1 Fast Smart Modular Mounter
5978 Juki Placement
5979 Julabo SE 26 Oil Bath
5980 Julabo Presto A 80 Cryothermostat
5981 Julong 1 GOH 1 MX 6 Oven
5982 Julun CL 950 L Cable Cutting, Crimping Machine
5983 JunAir Compressor
5984 Jun-Air 6-25 Compressor
5985 Jun-Air OF 1202-40 MQ 3 Air Dyer
5986 Jungheinrich EPG 113 G 115-300 ZT Forklift
5987 Junhua Precision Industry KB-3100 Substrate Load
5988 Junhua Precision Industry KB-3110 Auto Pick / Place
5989 Juniper E 320
5990 Juniper M 120
5991 Juniper M 10
5992 Juniper M 10 i
5993 Juniper Networks EX 2200-C
5994 Junker Quickpoint 3000 / 50 Grinding Machine
5995 Justrite 25701 Flammable Liquid Storage Cabinet
5996 Justrite Bulkhead Style Acid Cabinet
5997 Justrite Bulkhead Style Solvent Cabinet
5998 Jusun IMS Gas Analyzer
5999 Jusung Eureka 3000 EPI Tool
6000 JVM UPCE 15 Unit Dose Package Cutting
6001 JWI 630 G 32-20-6 SA Filter Press
6002 JWI Filter Press
6003 JYT G 6 Ingot Furnace

Please contact us for more information on the product:

[dynamichidden dynamichidden-813 "CF7_URL"]

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

ID-SS5816-1-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers