Main Maker

Equipment for Lab/Fab equipment

Category:

Description

Equipment for Lab/Fab equipment. Please contact us for the equipment availability. Appreciate your time.
1 Electron Beam Lithography E-Beam Lithography Elionix ELS-G100 Electron Beam Lithography System
2 Electron Beam Lithography E-Beam Lithography FEI Sirion 400 SEM / JC Nabity NPGS E-Beam Lithography
3 Electron Beam Lithography E-Beam Lithography FEI Sirion 400 SEM / JC Nabity NPGS E-Beam Lithography
4 Electron Beam Lithography E-Beam Lithography FEI Sirion 600 SEM / JC Nabity NPGS E-Beam Lithography
5 Electron Beam Lithography E-Beam Lithography FEI Sirion 600 SEM / JC Nabity NPGS E-Beam Lithography
6 Electron-Beam Lithography Hotplate E-Beam Lithography Hot Plates
7 Electron-Beam Lithography Coater E-Beam Lithography Resist Spinners
8 Electron-Beam Lithography E-Beam Lithography JEOL 6300
9 Electron-Beam Lithography E-Beam Lithography JEOL 9500
10 Electron-Beam Lithography E-Beam Lithography Nabity Nanometer Pattern Generator System (NPGS)
11 Etching Ion Mill AJA Ion Mill
12 Etching Asher Anatech Resist Strip
13 Etching Etcher Applied Materials Plasma II Plasma Etching
14 Etching Etcher AW-901eR
15 Etching Etcher AW-903eR
16 Etching DRIE Bosch Etcher for deep silicon etching, Unaxis 770 Deep Silicon Etcher
17 Etching Asher Branson 3000 Barrel
18 Etching Asher Branson IPC 3000
19 Etching Asher Branson S3003
20 Etching Asher Branson/IPC 2000
21 Etching Asher Branson/IPC 3000
22 Etching Asher Branson/IPC 3000
23 Etching Asher Branson/IPC 4000
24 Etching Asher Branson/IPC 4150
25 Etching Ion Mill Commonwealth Scientific Ion Mill
26 Etching Ion Mill Commonwealth Scientific Ion Mill
27 Etching Etcher Gasoncis AE 2001
28 Etching Asher Gasoncis Aura 2000LL
29 Etching Asher Gasoncis L3510
30 Etching Asher Gasonics Aura 1000
31 Etching Asher Gasonics Aura 2000LL
32 Etching Asher Gasonics L3500
33 Etching Asher Gasonics L3510
34 Etching Asher Glen 1000 Resist Strip
35 Etching Wet Hamatech Hot Piranha
36 Etching Wet Hot Phosphoric Tank
37 Etching Asher IPC Barrel Etcher
38 Etching Etcher Lam Auto Etch 590
39 Etching Etcher Lam AutoEtch 590
40 Etching RIE Lam Rainbow 4420  Envision ver1.5.1
41 Etching Etcher Lam Rainbow 4728
42 Etching Asher LFE PP-151-9
43 Etching Asher Matrix 105
44 Etching Asher Matrix 105
45 Etching Asher Matrix 105
46 Etching Asher Matrix 106
47 Etching Asher Matrix 205
48 Etching Etcher Matrix 302
49 Etching Etcher Matrix 302
50 Etching Etcher Matrix 303
51 Etching Etcher Matrix 303
52 Etching Asher Matrix Bobcat 209S
53 Etching Asher Matrix Bobcat 209S
54 Etching Asher Matrix System One Stripper
55 Etching FIB Micrion 2500 Focused Ion Beam System
56 Etching Wet Nanostrip Tank
57 Etching RIE Oxford PlasmaLab 80+
58 Etching RIE Oxford PlasmaLab 80+
59 Etching RIE Oxford Plasmalab System 133
60 Etching RIE Oxford Plasmalab System 133
61 Etching RIE Oxford Plasmalab System 133
62 Etching RIE Oxford Plasmalab System 133
63 Etching ICP Oxford Plasmalab100
64 Etching ICP Oxford PlasmaPro 100-380,Oxford Cobra ICP Etcher
65 Etching Asher Plasma Etch BT1
66 Etching Etcher Plasma Etch BT1
67 Etching Etcher Plasma Etch PE-100 Series
68 Etching RIE Plasma Therm 700
69 Etching RIE PlasmaTherm 72 Fluorine based Reactive Ion Etcher
70 Etching ICP PlasmaTherm 770 inductively coupled plasma etching system for III-V based materials.
71 Etching ICP PlasmaTherm 770 metal ICP etch
72 Etching RIE Plasmatherm 790
73 Etching RIE Plasma-Therm 790 RIE
74 Etching DRIE Plasma-Therm Deep Silicon Etcher,DRIE silicon etch
75 Etching RIE Plasmatherm SLR 720
76 Etching ICP Plasma-Therm Versaline ICP RIE
77 Etching RIE PlasmaTherm720/740 is a dual chamber RIE
78 Etching RIE PlasmaTherm720/740 is a dual chamber RIE
79 Etching HF Etcher Primaxx Vapor HF Etcher,Vapor HF Isotropic Release Etching
80 Etching Asher PVA Tepla M4L
81 Etching Clean SAMCO UV-1 UV/Ozone
82 Etching Clean Samco UV-1 Ozone Cleaner
83 Etching ICP STS Aspect AOE
84 Etching ICP STS Aspect ICP
85 Etching ICP STS MESC Multiplex ICP
86 Etching ICP STS multi-chamber Cluster
87 Etching DRIE STS Multiplex DRIE
88 Etching DRIE STS Multiplex ICP MACS
89 Etching ICP STS Multiplex ICP RIE
90 Etching ICP STS Mutiplex ICP
91 Etching ICP STS PRO ICP Etcher
92 Etching Asher Technics Macro Series 2000
93 Etching Etcher Technics PE-11A
94 Etching Etcher Tegal 901e
95 Etching Etcher Tegal 903e
96 Etching RIE Tegal 903e Plasma Etch
97 Etching RIE Tegal 903e Plasma Etch
98 Etching RIE Tegal 903e Plasma Etch
99 Etching ICP Trion Minilock III ICP Etcher
100 Etching RIE Trion Phantom II RIE
101 Etching XeF2 Xactix XeF2 Isotropic silicon etch system,Xactix Xenon Difluoride Etcher
102 Etching Asher YES CV200RFS Oxygen Plasma Asher
103 Etching Asher YES EcoClean Asher
104 Etching Asher YES R1
105 Etching Asher YES R3
106 Facility CDA Beacon Madeas Lab Air system
107 Facility Wet Bottle Washer
108 Facility DIW Deionized water
109 Facility Wet Dishwasher
110 Facility Wet Dishwasher
111 Facility Wet General Chemistry Hoods,Acid/Base Fume Hoods for General Wet Chemistry Steps
112 Facility N2 Nitrogen gas 99.9%
113 Facility N2 Nitrogen gas 99.9999%
114 Facility Wet Spin Rinse Dryers
115 Facility Wet Wet Scrubber
116 Metrology 4-point probe Everbeing 4-Point Probe
117 Metrology Probe Everbeing EB-6 DC Probe Station
118 Metrology Tester Keithley 4200A – IVCV Testing Station
119 Metrology Probe Zyvex Nanoprobes for Ultra SEM
120 Metrology Ellipsometer Accurion EP3 Imaging Ellipsometer
121 Metrology 4-point probe CDE ResMap Resistivity 4-pt Probe
122 Metrology Profilometer Dektak 6M profilometer
123 Metrology Probe EG 1034
124 Metrology Probe EG 2001X with NAVITAR
125 Metrology Probe EG 3001X
126 Metrology Probe EG4090u+ 8 inch wafer probe
127 Metrology Probe Electroglas 2001X  3 sets
128 Metrology Probe Electroglas Model 3001X
129 Metrology film thickness measurement FilMetrics F20 , FilMetrics 205-0082 Film Measurement Systems
130 Metrology film thickness measurement FilMetrics F40,Optical Measurement Systems for transparent thin film measurement
131 Metrology film thickness measurement FilMetrics F40-UV, Optical Measurement Systems for transparent thin film measurement
132 Metrology Stress/ curvature Measurement FleXus Film Stress Measurement
133 Metrology Tester Hewlett Packard 4061A
134 Metrology Tester HP 4062 and Testers
135 Metrology Profilometer KLA Tencor P-15 Profilometer
136 Metrology Profilometer KLA-Tencor P10 Profilometer
137 Metrology Profilometer KLA-Tencor P7 Profilometer
138 Metrology film thickness measurement Leitz Film Thickness Measurement System
139 Metrology 4-point probe Lucas Signatone SP4 4-pt probe and a Keithley 2100 multimeter
140 Metrology Tester Lucas Signatone SP4 4-pt probe and a Keithley 2100 multimeter
141 Metrology Particle Malvern Nano ZS Zetasizer
142 Metrology Particle Malvern NS300 NanoSight
143 Metrology film thickness measurement Metricon Model 2010/M Prism Coupler
144 Metrology refractometer Metricon Model 2010/M Prism Coupler
145 Metrology refractometer Mettler Hand-held Refractometer
146 Metrology Probe Micromanipulator Probe Stations
147 Metrology film thickness measurement Nanoline CD Measurement
148 Metrology thin film Nanometrics 210 Nanospec AFT
149 Metrology film thickness measurement Nanometrics Nanospec 210XP
150 Metrology Microscope Olympus BH Inspection Microscope
151 Metrology Microscope Olympus MX80 Inspection Microscope
152 Metrology Microscope Olympus Widefield Zoom Microscope
153 Metrology film thickness measurement Optical Measurement Systems for transparent thin film measurement
154 Metrology Diffractometer PANalytical X’Pert Pro MRD X-ray Diffraction System
155 Metrology Goniometer Rame-Hart 500 Goniometer
156 Metrology Tester Relay Tester With APC Smart-UPS , HP Compaq Pickering Interfaces 40-914-001
157 Metrology Tester Relay Tester With HP Compaq ,TDK Lambda
158 Metrology Tester Relay Tester With HP Compaq Pickering Interfaces 40-914-001
159 Metrology film thickness measurement Rudolph FTM
160 Metrology Microscope Schott IR Inspector
161 Metrology Profilometer Tencor Alpha-Step 200 Profilometer
162 Metrology film thickness measurement Tencor M-Gage 200
163 Metrology Profilometer Tencor P-2 Profilometer
164 Metrology Goniometer VCA Optima Contact Angle
165 Metrology Viscometer Vibro SV-10 Viscometer
166 Metrology Ellipsometer Woollam Spectroscopic Ellipsometer
167 Metrology Profilometer Zygo Optical Profilometer,no contact
168 Packaging & Misc Processing Critical Point Dryer Bal-Tec CPD 408
169 Packaging & Misc Processing Hotplate Brewer Science 300 mm Hot Plate
170 Packaging & Misc Processing Coater Brewer Science 300 mm Spinner
171 Packaging & Misc Processing Picker Clone Screening Colony Picker
172 Packaging & Misc Processing Electroplating Copper Electroplating Bath
173 Packaging & Misc Processing Probe CorSolutions Microfluidic Probe Station
174 Packaging & Misc Processing Critical Point Dryer Critical Point Dryer – Tousimis
175 Packaging & Misc Processing Drill Custom made drill for microfluidic through holes
176 Packaging & Misc Processing Laminator Dicing Tape Frame Applicator
177 Packaging & Misc Processing Printer Dimatix Printer
178 Packaging & Misc Processing Dicing Saw DISCO Dicing Saw
179 Packaging & Misc Processing Scribing Tool FlipScribe Back Side Scribing Tool
180 Packaging & Misc Processing Clean Hamatech Post CMP Brushcleaner
181 Packaging & Misc Processing Harrick Plasma Generator
182 Packaging & Misc Processing Harrick Plasma Generator
183 Packaging & Misc Processing Oven High-temperature PDMS Curing Oven
184 Packaging & Misc Processing Hot Press
185 Packaging & Misc Processing Bonder K&S Gold Ball Bonder
186 Packaging & Misc Processing Wet KOH Hood and Bath
187 Packaging & Misc Processing Bonder Kulicke & Soffa (K&S) Triton RDA Auto Wedge Bonder
188 Packaging & Misc Processing Dicing Saw Kulicke & Soffa 782-6 Dicing Saw
189 Packaging & Misc Processing Bonder Kulicke & Soffa K&S 8028 Auto Gold Ball Bonder
190 Packaging & Misc Processing Coater Laurell WS-400A spin coater
191 Packaging & Misc Processing Critical Point Dryer Leica CPD300 critical point dryer
192 Packaging & Misc Processing CMP Logitech Orbis CMP (Chemical Mechanical Polishing)
193 Packaging & Misc Processing Oven Low-temp PDMS Vacuum Oven
194 Packaging & Misc Processing Oven Low-temp PDMS Vacuum Oven
195 Packaging & Misc Processing Oven Low-temp PDMS Vacuum Oven
196 Packaging & Misc Processing Dicing Saw Micromech Diamond Saw
197 Packaging & Misc Processing Printer MVD100 Molecular Vapor Deposition Tool for Surface Modification
198 Packaging & Misc Processing Printer Objet30 Pro 3D Printer
199 Packaging & Misc Processing Bonder Pico MA FinePlacer FlipChip Bonder
200 Packaging & Misc Processing RTP Rapid Thermal Anneal – AG Associates Model 610
201 Packaging & Misc Processing RTP Rapid Thermal Anneal – AG Associates Model 610
202 Packaging & Misc Processing Wet Semitool 270 Spin Rinser/Dryer
203 Packaging & Misc Processing Wet Semitool 870 Spin Rinser/Dryer
204 Packaging & Misc Processing Wet Semitool 880 Spin Rinser/Dryer
205 Packaging & Misc Processing CMP Strasbaugh 6EC CMP
206 Packaging & Misc Processing Suss Microtech – DSM8 Suss Backside Alignment Measurement
207 Packaging & Misc Processing Bonder Suss SB8e Substrate Bonder
208 Packaging & Misc Processing Critical Point Dryer Tousimis Critical Point Dryer
209 Packaging & Misc Processing Versalaser Engraver/Cutter Tool,CO2 (Infrared wavelength) laser cutter/engraver
210 Packaging & Misc Processing Bonder Westbond 7400A Ultrasonic Wire Bonder
211 Photolithography mask making ABM mask making
212 Photolithography exposing ASML 5500/80 i-Line Wafer Stepper
213 Photolithography exposing ASML PAS 5500/300C DUV Wafer Stepper
214 Photolithography Hotplate BLE 150 Hotplate
215 Photolithography Oven Blue M Oven – 120 ºC
216 Photolithography Mask Aligner Canon / Neutronix PLA501 Front & Back Aligner
217 Photolithography mask making Canon 501
218 Photolithography Mask Aligner Canon PLA-501 F crated-8
219 Photolithography Mask Aligner Canon PLA-501 F crated-9
220 Photolithography Mask Aligner Canon PLA-501 F Parallel Light Mask Aligner 2 sets
221 Photolithography developing CEE 100 Spray Developer
222 Photolithography Coater CEE 100CB Bench Mount Spinner/Hotplate
223 Photolithography Coater CEE 100CB Table Top Spinner/Hotplate
224 Photolithography Coater Class II Resist Spinners (SU-8)
225 Photolithography Oven Despatch Convection Oven – 90 ºC
226 Photolithography Coater Edge Bead Removal System EBR
227 Photolithography mask making EVG EV620
228 Photolithography exposing GCA 6300 DSW 5X g-line Wafer Stepper
229 Photolithography exposing GCA AutoStep 200 DSW i-line Wafer Stepper
230 Photolithography Coater GYRSET System RC8 spinner
231 Photolithography developing Hamatech-Steag HMP900 mask developing and chrome etching
232 Photolithography developing Hamatech-Steag wafer processors for developing
233 Photolithography Laser Lithography System Heidelberg DWL 66 Laser Lithography System
234 Photolithography Laser Lithography System Heidelberg DWL 66FS Laser Lithography System
235 Photolithography developing Heidelberg Mask Writer – DWL2000
236 Photolithography developing Heidelberg Mask Writer – DWL66FS
237 Photolithography Cleaner Jelight 144AX UVO-Cleaner
238 Photolithography Mask Aligner Karl Süss MA56 Contact Aligner
239 Photolithography Mask Aligner Karl Süss MA6/BA6 Contact Aligner
240 Photolithography Mask Aligner Karl Süss MJB3 Contact Aligner
241 Photolithography developing Nanoimprint NX-2500
242 Photolithography developing NanoScribe GT2 Laser Lithography System
243 Photolithography Mask Aligner Neutronix NV2 Canon PLA 500/501 Wafer Mask Aligner
244 Photolithography Mask Aligner Neutronix PLA-545 UV Mask Aligner
245 Photolithography Stepper Nikon NSR-1505G4 Stepper
246 Photolithography Hotplate Photolithography Hotplates
247 Photolithography Coater Photolithography Spinners
248 Photolithography Photoresist Refrigerator
249 Photolithography stripping Resist Hot Strip Bath
250 Photolithography Coater Solitec Photoresist Spinner
251 Photolithography Hotplate SU-8 Hotplates
252 Photolithography Laminator SUEX / ADEX Laminator
253 Photolithography Coater SUSS MicroTec Gamma
254 Photolithography developing SUSS MicroTec Gamma
255 Photolithography mask making Suss/Karl Suss MJB4 MJB4
256 Photolithography Oven YES  450PB Polyimide Bake Oven
257 Photolithography Oven YES HMDS Vapor Prime Vacuum Oven
258 Photolithography Oven YES Image Reversal Oven
259 Photolithography Oven YES Vapor Prime Oven LP-III vacuum oven hexamethyldisilazane (HMDS)
260 SEM&Microscope Sputter Anatech Hummer Gold Coater
261 SEM&Microscope Spectrometer Bruker Energy-dispersive X-ray Spectrometer (EDS)
262 SEM&Microscope FIB Focused Ion Beam – Hitachi FB-2000A
263 SEM&Microscope SEM Hitachi S-900 SEM
264 SEM&Microscope SEM Hitachi TM3000 SEM
265 SEM&Microscope Sputter Hummer Au/Pd Sputtering System
266 SEM&Microscope Microscope JEOL Alignment Microscope
267 SEM&Microscope Microscope Nikon Digital Sight DS-5M-L1 Optical Microscope
268 SEM&Microscope Microscope Nikon L200 Eclipse Microscope
269 SEM&Microscope Microscope Olympus BX-51 Fluorescence Microscope
270 SEM&Microscope Microscope Olympus BX60 Confocal Microscope
271 SEM&Microscope Microscope Olympus IX-71 Inverted Fluorescence Microscope
272 SEM&Microscope Microscope Olympus MX-50 Microscope
273 SEM&Microscope Microscope PAMS JEOL 9500 Pre-Alignment Microscope
274 SEM&Microscope Sputter Polaron Gold Sputtering System
275 SEM&Microscope AFM Veeco Icon Atomic Force Microscope
276 SEM&Microscope SEM Zeiss Supra SEM
277 SEM&Microscope SEM Zeiss Ultra-55 SEM
278 Thermal Processing Furnace 2″ Tube Annealing Furnace
279 Thermal Processing RTP AccuThermo AW 410
280 Thermal Processing RTP AccuThermo AW 610
281 Thermal Processing RTP AccuThermo AW 610
282 Thermal Processing RTP AccuThermo AW 810
283 Thermal Processing RTP AG Associates HeatPulse 210
284 Thermal Processing RTP AG Associates HeatPulse 310
285 Thermal Processing RTP AG Associates HeatPulse 410
286 Thermal Processing RTP AG Associates HeatPulse 610
287 Thermal Processing RTP AG Heat Pulse 610i Rapid Thermal Annealer
288 Thermal Processing Oven Blue M Variable Temp Ovens
289 Thermal Processing silicon oxide CMOS Gate Oxide
290 Thermal Processing polysilicon CMOS P+ Polysilicon
291 Thermal Processing Diffusion First Nano Carbon Nanotube and Graphene Furnace
292 Thermal Processing Oven Hotpack Vacuum Oven
293 Thermal Processing Hotplate Hotplates
294 Thermal Processing silicon nitride LPCVD CMOS Nitride
295 Thermal Processing Anneal Micro Magnetics SpinTherm 1000 Magnetic Annealing System
296 Thermal Processing Cleaning MOS Clean Anneal
297 Thermal Processing Anneal MOS Metal Anneal
298 Thermal Processing Anneal MRL Industries Furnace
299 Thermal Processing polysilicon MRL Industries Furnace
300 Thermal Processing Anneal MRL Industries Furnace for annealing
301 Thermal Processing silicon oxide MRL Industries Furnace for low temperature oxide deposition
302 Thermal Processing silicon nitride MRL Industries Furnace for sililcon nitride
303 Thermal Processing polysilicon N+/P+ Polysilicon
304 Thermal Processing Diffusion Phosphorus Doping
305 Thermal Processing Diffusion Solid Source Boron Diffusion
306 Thermal Processing silicon oxide TFT Low Temperature
307 Thermal Processing silicon oxide Wet/Dry Oxide
308 Thin Film Deposition Sputter 5-Target Nanofab Sputtering System
309 Thin Film Deposition Sputter 5-Target Nanofab Sputtering System
310 Thin Film Deposition Sputter 5-Target Nanofab Sputtering System
311 Thin Film Deposition Sputter 5-Target Nanofab Sputtering System
312 Thin Film Deposition Sputter 5-Target Nanofab Sputtering System
313 Thin Film Deposition Sputter 6-Target Nanofab Sputtering System
314 Thin Film Deposition Evaporator Airco Temescal FC-1800
315 Thin Film Deposition Evaporator Airco Temescal FC-1800
316 Thin Film Deposition Sputter AJA Sputter Deposition
317 Thin Film Deposition Sputter AJA Sputter Deposition
318 Thin Film Deposition PECVD AMAT AMP-3300 PECVD
319 Thin Film Deposition ALD Arradiance Gemstar-6 ALD system
320 Thin Film Deposition ALD Cambridge Nanotech Fiji Atomic Layer Deposition System
321 Thin Film Deposition Evaporator CHA Evaporator 3 Hearth Thermal Evaporator for Metal Films
322 Thin Film Deposition Evaporator CHA Mark 50 E-beam Evaporator
323 Thin Film Deposition Ion Beam Deposition Commonwealth Scientific Ion Beam Deposition System
324 Thin Film Deposition Sputter CVC Connexion Sputtering System
325 Thin Film Deposition Evaporator CVC SC4500 Combination Thermal/ E-gun Evaporation System for deposition of thin films
326 Thin Film Deposition Evaporator CVC SC4500 E-gun Evaporation System for deposition of thin films
327 Thin Film Deposition Sputter CVC  AST-601 Sputter
328 Thin Film Deposition Evaporator Edwards Evaporator
329 Thin Film Deposition Electroplating Electroplating Hood – Au
330 Thin Film Deposition Electroplating Electroplating Hood – Ni
331 Thin Film Deposition PECVD GSI Plasma Enhanced Chemical Vapor Deposition System
332 Thin Film Deposition CVD GVD iCVD System
333 Thin Film Deposition CVD GVD oCVD System
334 Thin Film Deposition Evaporator Kurt J Lesker Dual Thermo
335 Thin Film Deposition Sputter Kurt J. Lesker PVD 75 Sputter Deposition
336 Thin Film Deposition Sputter Kurt Lesker PVD 75 Electron Beam Evaporator
337 Thin Film Deposition Sputter Kurt Lesker PVD 75 Sputtering System
338 Thin Film Deposition Sputter Leybold Heraeus Z-400 Sputtering System
339 Thin Film Deposition Sputter Leybold Heraeus Z-400 Sputtering System #2
340 Thin Film Deposition Sputter Leybold Heraeus Z-650 Sputtering System
341 Thin Film Deposition Sputter MRC 603 MRC 693 TES
342 Thin Film Deposition Sputter MRC 603 Sputter
343 Thin Film Deposition Sputter MRC 603 Sputter
344 Thin Film Deposition Sputter MRC 643 Sputter
345 Thin Film Deposition Sputter MRC 8671 Sputtering
346 Thin Film Deposition Sputter OEM Endeavor M1,OEM Aluminum Nitride Sputtering System
347 Thin Film Deposition PECVD Oxford 100 PECVD System
348 Thin Film Deposition ALD Oxford ALD FlexAL, Atomic Layer Deposition
349 Thin Film Deposition Parylene Coater PDS 2010 LABCOTER
350 Thin Film Deposition Parylene Coater PDS 2010 LABCOTER
351 Thin Film Deposition Sputter Perkin Elmer 6J Sputtering System
352 Thin Film Deposition Sputter Perkin Elmer 8L Sputtering System
353 Thin Film Deposition Sputter Perkin-Elmer 2400
354 Thin Film Deposition Sputter Perkin-Elmer 4400
355 Thin Film Deposition Sputter Perkin-Elmer 4400 Sputter
356 Thin Film Deposition Sputter Perkin-Elmer 4400 Sputter
357 Thin Film Deposition Sputter Perkin-Elmer 4410
358 Thin Film Deposition Sputter Perkin-Elmer 4450
359 Thin Film Deposition Sputter Perkin-Elmer 4450 Sputter
360 Thin Film Deposition PECVD Plasma Therm 700
361 Thin Film Deposition CVD Plasmalab CVD-2
362 Thin Film Deposition Polymer Vapor Deposition ReynoldsTech Polymer Vapor Deposition
363 Thin Film Deposition Sputter SCS Labcoter 2 Parylene Deposition System
364 Thin Film Deposition Sputter Tegal AMS Aluminum Nitride Sputtering System
365 Thin Film Deposition Sputter Temescal BJD-1800 -TES
366 Thin Film Deposition Evaporator Temescal FC-1800   -TES
367 Thin Film Deposition Evaporator Temescal FC-1800
368 Thin Film Deposition Evaporator Temescal FC-1800
369 Thin Film Deposition Evaporator Temescal FC-1800
370 Thin Film Deposition PECVD Trion Orion II PECVD
371 Thin Film Deposition Evaporator Ultek E-Beam Evaporator
372 Thin Film Deposition Evaporator Varian 3118 E-Beam Thermal
373 Thin Film Deposition Evaporator Varian 3120 EB Evaporator
374 Thin Film Deposition Evaporator Varian 3120 Evaporator
375 developing BOLD Technologies INC Batch Develop Station
376 4-point probe CDE ResMap 178 Four Point Probe
377 4-point probe Lucas/Signatone Corp. Quad Pro Four-Point Probes
378 Coater Solitec Model 820-ACB Automatic Coat Bake 4 sets
379 developing Steamboat Semiconductor Developer
380 Coater SVG-8136 HPO SVG Spin Track

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

 

S

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers