Description
These items are only for end users. They are subject to prior sale without notice. Please contact us for the availability. Appreciate your time.
Please use keyboard “Ctrl” +“”F” to search the page with your “key word” if necessary.
No. | Model and Description | ID / Location |
1 | LAM RESEARCH 9400 SE Etcher,1996 vintage
DISCO DAD 3350 Dicing saw,2011 vintage. DISCO DFD 640 Dicing saw DISCO DFD 681 Dicing saw, 6″ STS MESC Multiplex ICP in the USA STS MULTIPLEX ASE ICP Reactive Ion Etcher (RIE), 6″-8″ PERKIN ELMER 4410 Sputtering system SEZ / LAM RESEARCH RST 201 Spin etcher,(2) CDS,Power rack,1996 vintage. KLA / TENCOR Candela 8720 System
|
SS5487 |
2 | SEMICS OPUS3 (6-12”)
ADE / KLA / TENCOR Ultragage 9500 SPTS Versalis fxP System, 8″ STS Multiplex ASE ICP-RIE DISCO EAD 6340K Dicing saw KLA WI-2200 OXFORD Plasmalab 133-ICP 380 System,2010 vintage. OXFORD Plasmalab uEtch 300 Reactive Ion Etcher (RIE) / Plasma Etcher (PE), 8″-12″
|
SS5487 |
3 | AMAT Endura PVD LAM Alliance 4720XL AMAT / Semitool Raider ECD, 3 sets Suss MicroTec MA200CO Suss MicroTec Gamma 200 Ferrotec / Temescal UEFC-5700 SSEC 3303 Gasonics / Novellus L3510, 4 sets PVA Tepla GIGAfab Modular asher LAM TCP 9600SE Metal Etcher,Configured: 200mm,Process gasses: Ar, BCl3, CL2, He, N2, O2, SF6, CF4 Vintage 1996 Plasma-Therm Versalock ICP Bosch process,Gases:He, SF6, Ar, C4F8, 02;Wafer Size: 8 inch; TMP1000C Turbo pump; Windows NT |
SS7464 |
4 |
HITACHI S-9220 ,2 units. Hitachi S-8820 KLA SFS 6420 KLA SFS 6220 TEL / TOKYO ELECTRON P-8XL Wafer prober
|
SS5487, OEM-Module |
5 |
STS / CPX Multiplex Plasma Enhanced Chemical Vapor Deposition (PECVD) System, 4″-6″ VARIAN / GENUS G1510 Magnet assembly Degree: 10° VARIAN M 2000 Sputtering system, 6″,(3) PVD Chambers;Etch chamber,1994 vintage. DISCO DAD 340 Dicing saw, 8″ Teradyne Flex tester STS / CPX/Multiplex PECVD KLA P10 surface profiler VARIAN 300XP Ion implanter OXFORD Plasmalab 100 System, 6″ ,ICP 380. KLA / TENCOR Quantox 64100 Wafer measurement system KLA / TENCOR Candela C20 Surface inspection system system STS / CPX Multiplex PECVD System, 4″-8″ OXFORD Plasmalab 133 Reactive Ion Etcher VARIAN 300XP Ion implanter OXFORD Plasmalab 100 System ,With ICP 180,Gases: C4F8, CHF3, SF6,2005 vintage. TEL Precio + Teradyne UltraFlex HD w/ Pogo interface and MTA chiller |
SS5487 |
6 |
DISCO DAG 810 Grinder,SiC Boules, 6″,Spindle: 6.3 kW. MRC 943 Sputtering system STS / CPX MESC Multiplex ICP Etcher STS / CPX Multiplex Plasma Enhanced Chemical Vapor Deposition (PECVD) system ADE / KLA / TENCOR UltraScan 9300 Wafer inspection system DISCO DGP 8760 Wafer back grinder KLA / TENCOR 7700M Surfscan Wafer inspection system VARIAN 80XP Implanter
|
SS5487 |
7 |
DISCO EAD 6340K Dicing saw STS / SPTS Multiplex ICP Cluster system
|
SS5487 |
8 |
DNS / DAINIPPON FC-821L Wet station, 8″;Process: S/D-QDR-HF-QDR-BHF;(4) Tanks with IPA,LPD,ONB 1,CHB 1,ONB 2,CHB 2;Chemical / DIW bath: (4) Quartz baths;KOMATSU HDIW Supply system;(2) HORIBA Chemical concentration analyzers SPTS Versalis fxP System, 8″
DISCO DAD 321 Dicing saw
LAM RESEARCH 9400 SE Etcher,2002 vintage. |
SS5487 |
9 |
DNS SK-2000; KLA FLX-5400;TEL Lithius;ADE 9700;SDI FaASt 230;KLA 5100;KLA UV-1050 |
SS5487, USA |
10 |
SVG 8826 / 36 Track Photoresist Coater and Developer KLA-Tencor UV 1050 Thin Film Measurement System KLA-Tencor P10 Profilometer Hitachi S 4800 SEM ,2010 Vintage Novellus Concept Two Altus W-CVD 8″ , 2 sets Hitachi S 3400 N Variable Pressure SEM Accretech / TSK HRG 200 X Grinder Lam TCP 9600 SE Metal Etcher 8″ ,Process gases: Ar, BCl3, CL2, He, N2, O2, SF6, CF4 ,1996 Vintage Hitachi S 4100 FE-SEM Jeol JWS 7555 FE-SEM 8″ |
SS5816 |
11 |
Plasmatherm Unaxis ICP Etcher (Including HDD)
– 6inch ESC Chuck VERSALINE
– Loadlock Chamber & Transfer Chamber 6inch Cassette Type
– Process Chamber 6inch ESC Chuck Type
– RF Generator Module.
– Monitor Rack
– Chiller Unit
|
SS7464 |
12 |
YTEC S50 tester x 15 sets
|
SS6950 |
13 |
AMAT p5000
1.MXP 3chamber 8inch
2. MXP 2chamber, 1 ASP 6inch
3. CVD teos 2chamber 6inch
4. CVD nitride 3Chamber 8inch
5. MXP 2chamber,,2 CVD teos 6inch
|
SS5692 |
14 |
Lam Research Inner Gate Valve Assy PN: 853-442064-001-F-131070
|
SS5729 |
15 |
OXFORD Plasmalab uEtch 300 Reactive Ion Etcher (RIE) / Plasma Etcher (PE), 8″-12″
Chamber ALCATEL / ADIXEN / PFEIFFER ATP 400HPC Turbo pump ALCATEL / ADIXEN / PFEIFFER R-601B Booster pump ALCATEL / ADIXEN / PFEIFFER 2063 Rotary pump Mass Flow Controllers: Gas / Flow O2 / 100 SCCM Ar / 100 SCCM CF4 / 100 SCCM CHF3 / 100 SCCM SF6 / 100 SCCM PC End Point Detector (EPD) missing 2012 vintage. |
SS5487
|
16 |
STS Multiplex PECVD System
Loadlock single wafer, 8″ Temperature controller: 300°C Roots pump Scrubber Process gases: SiH4, NH3, CF4, O2, N2O, H2 and N2 High frequency power: 13.56 MHz Low frequency power: 380 kHz Operating system: Windows 10 running in DOSBox. |
SS5487 |
17 |
TAKARA TTM-11 Auto Molding System
|
SS5487 |
18 |
STS / SPTS Multiplex ICP HRM Reactive Ion Etcher (RIE), 6″
Process: MEMS-CMOS MK 4 Carousel (2) On board gas boxes: 150 mm wafers/run EDWARDS iQDP80 EDWARDS E2M40 BFPE ADVANCED ENERGY MF100 Outlet mist filter AFFINITY PWG-060K-BE Chiller Vacuum pump Narrow high aspect ratio trench etcher, 4″-6″ Non-CMOS compatible material Photoresist: SC1800 Series, SHIPLEY SPR220, CLARIANT AZ4000 Series, NPR FutureX Temperature: -20-100°C Substrate: Small piece Process pressure: 5-80m TORR Gases: SF6, C4F8, Ar, O2, C2 HBC with ESC Masks: SiO2, SixNy Materials used: Si (DRIE), SOI wafers Coil: ADVANCED ENERGY 3000 W 13.56 MHz HF: ENI 500 W, 13.56 MHz LF: ADVANCED ENERGY LF-5 500 W, 380 KHz. |
SS5487 |
19 |
Tegal plasma line 421, KLA AIT XUV system Branson 2000 iW+ Ultrasonic Welder LAM Alliance A6 4720XL Gasonics L3510 Plasma Asher, 5 sets. |
SS7464 |
20 |
ULTRATECH 1100. 5 sets. In USA
|
SS10553 |
21 |
Gasonic Novellus L3510 Ethers Ashers
|
SS5305 |
22 |
DIE BONDER ASM AD8930V 4 sets
DIE BONDER ASM AD830 4 sets WIRE BONDER ASM EAGLE60 2 sets WIRE BONDER ASM EASLE60-03 WIRE BONDER ASM iHawkXtreme 3 sets WIRE BONDER ASM iHAWK-V 3 sets |
SS10588 |
23 |
AMAT Centura 1 phase2 refurbished
MXP plus 3chamber (Process qtz, turbo, generator missing)
wide body load lock
HP robot
gas panel(as is condition)
EOP assy'(hotpack)
|
SS5692-OEM-10 |
24 |
|
SS5487 |
25 |
Applied Material 0010-66412 Wafer Robot. Good condition
Adtec TX06-9001-00 RF Generator TX Series 600W (13.56MHz) – AS IS. We are unable to test and for this reason, we are selling the unit AS-IS
Advance Energy MDX 2.5K Magnetron Drive Power Supply 500 1800 Volts 3152224-009. FAir condition.
Advanced Energy RFX 600A 3155082-220 220V RF Power Supply. Good condition.
Advanced Energy RFPP RF-20SW Generator Power Supply 7520689011 3000W 13.56MHz. Good condition. QTY 4 available.
Advanced Energy AE 3155030 3155039-000A TCM RF Match Controller. Good condition. QTY 8 available.
RFPP RF25M RF Power Products Watercooled 2500W 3-Phase Supply 3150048-004. Fair condition.
RFPP RF Power Products/ RF20H 7004-0020-4 RF Generator. Good condition.
Brooks 121655 Semiconductor Wafer Handling Reliance Robot. Good condition.
Brooks 152465 Wafer Handling Robot. Good condition.
Brooks Automation 002-7391-33 Wafer Prealigner CHE – AS IS – Unable to Test.
Equipe FPD-3253 Wafer Robot W/ Genmark Electro Craft E243 0243-03-011 Lift AS-IS.We are unable to test and for this reason, we are selling the unit AS-IS
Genmark Gencobot 4/3L Robot W/ Genmark SMALL 9800106831 controller and Cable
Maury Microwave MT986B02 Tuner Controller. Good condition.
Ophir 5022 RF Power Amplifier 0.8GHz – 2GHz, 50W. Good condition.
Ophir RF 5124R Linear Power RF Amplifier System 20-1000MHz 20W Rear Connector. Good condition.
Ophir RF 5172R Linear Power RF Amplifier 1.0 – 3.0 MHz 30Watt Rear Connectors. Good condition.
|
SS8395 |
26 |
|
SS5329 |
27 |
Rudolph Research Analytical AutoEL II Automatic Ellipsometer in USA
|
SS10640 |
28 |
YES-1224 and YES-1224P ,Yield Engineering ovens surplus
|
SS8395 |
29 |
Matrix 10 System plasma asher descum
|
SS10034 |
30 |
Disco DFL 7340 Fully Automatic Laser Saw
Lam 4520 i Etcher, Software Version: E1.6.1-002; Main UI (No PC Remote): Pentium 33 NextStep; Clavier + Track Ball
|
SS5816 |
Please use keyboard “Ctrl” +“”F” to search the page with your “key word” if necessary.
These items are only for end users. They are subject to prior sale without notice. Please contact us for the availability. Appreciate your time.
SemiStar Corp. may provide certain information related to equipment offered for sale. Any and all such information is unverified and, therefore, supplied for information purposes only without guarantees or warranties of any kind. .