Main Maker

Used Semiconductor Equipment Parts

Description

Valid time: Subject to prior sale without notice. This is only for end user. Appreciate your time.

1 STS Mutiplex ICP equipment
2 Matrix 105 System One Stripper Plasma Asher Plasma descum Dry Asher Dry Etch
3 Matrix 303 System One Etcher  Plasma Etch Plasma Etcher Dry Etch
4 Branson/IPC 3000 Plasma Etch/Asher system
5 Tegal 901e Tegal 903e  Etcher  Plasma Etch Plasma Etcher Dry Etch
6 Parts for Tegal 901e Tegal 903e etc.Sell as 1 lot.
7 Lam Research Lam AutoEtch 590 Plasma Etch Plasma Etcher Dry Etch
8 Lam Research Lam AutoEtch 490 Plasma Etch Plasma Etcher Dry Etch
9 AG Associates (Steag RTP Systems) 7310-1644-01B
10 AG Associates (Metron Technology) 7100-5995-02B, Tray, Ceramic Shield, 6 inch
11 AG Associates Heatpulse 8108 8800 7100-5898-01, Assembly,Quartz Tray,8″, EZ-DTC
12 AG Associates Heatpulse 8108 8800 7100-5897-01, Assembly,Quartz Tray,6″, EZ-DTC
13 Matrix 205 Plasma Asher Descum (Through The Wall Matrix 105 model) Dry Clean
14 Matrix 105 Plasma Asher Plasma Descum for GaAs GaN InP GaInP SiC wafer
15 Tegal 903e Etcher Plasma Etch Plasma Etcher Dry Etch
16  Branson/IPC 3100S Plasma System  Plasma Asher Plasma descum Dry Asher Dry Clean
17 Gasonics L3510 Plasma Asher Plasma descum Dry Asher Dry Etch
18 Gasonics L3500 Plasma Asher Plasma descum Dry Asher Dry Etch
19 Electroglas EG 1034 Prober
20 Electroglas 4090u+ Wafer Prober EG 4090u+ 8 inch ,great condition, was working
21 AG Associates Heatpulse 610 Rapid Thermal Processing equipment
22 RTP-3000 Rapid Thermal Processing Equipment
23 Plasmatherm SLR 720 RIE Etcher
24 Materials Research Corporation MRC 603 Sputtering System
25 Materials Research Corporation MRC 643 Sputtering System
26 Materials Research Corporation MRC 603 Sputtering System Sputter System
27 Plasmalab CVD-2 Oxford Plasma Technology Model DP80
28 Temescal FC-1800 Evaporator
29 Temescal FC-1800 Evaporator
30 Plasma Therm 700  Series Wafer Batch Plasma Etcher PECVD Deposition
31 AMAT AMP-3300 PECVD
32 Tegal 903e Chuck 6 Inch, Black 39-927-008?
33 95-0296 C Touch Screen Monitor for Gasonics Aura 3010,Gasonics L3510 AWG-1-6-001
34 Perkin Elmer Ultek High Throughput Ion Pump 221-377-800
35 281-7310 Perkin Elmer Ultek LN2 Feedthrough
36 CF6.75 to CF3.375 Adaptor zero nipple
37 14inch Perkin Elmer 4430 RF Diode Backing Plate w TiW target
38 14inch 4430 RF Diode Backing Plate w SiO2 target
39 14inch Moly Pallet no pocket
40 14inch Perkin-Elmer 4430 Moly Pallet 12x3inch pockets
41 PN 221-313-000 DC Delta Magnetron Assembly,Perkin-Elmer 4410 4450, 4480
42 P/N: 221-386-000 Perkin-Elmer 44XX  Series Table Shaft
43 Perkin Elmer High Vacuum Division Ultek UHV Manually Operated Valve
44 Rectanglar Conflat Adaptor-6 to 2.75
45 Rectanglar Conflat Adaptor-4.5 to 2.75 45 ( PN 400767000), Perkin-Elmer
46 126-310-010 Multipin Electrical Feedthrough Perkin-Elmer
47 PN: 221-416-750A  Perkin Elmer, Ultek Vacuum Parts, Inserts, Nipple Tube
48 HP-Agilent 8110A 72 Vio ID-AWW-8-4-007
49 HP-Agilent E5250A +E5255Ax4 ID-AWW-6-4-005
50 SOLAR WAFER 156X156MM 125X125MM
51 Gasverteilerplatte 5 Vac Part Number: AST 57600010 STEAG-MATTSON
52 Part Number: B90820.0091  STEAG-MATTSON
53 Matrix Integrated Systems Process Controller 1000-0050
54 Matrix Integrated Systems 1000-0041 Temperature Controller Interface
55 Matrix Integrated System 9000-0042 Operator Interface PCB
56 Matrix Integrated System 9000-0042 Operator Interface PCB
57 Matrix Integrated System 9000-0042 Operator Interface PCB
58 Matrix Integrated System 1000-0005 (1010-0005 R) Operator Interface PCB
59 Matrix Integrated System 1000-0005 (1010-0005 R) Operator Interface PCB
60 Matrix Integrated System 1000-0042 (1010-0005 B) Operator Interface PCB
61 Matrix Integrated System 1000-0005
62 Matrix Integrated System 1000-0005 (1010-0005 R) Operator Interface PCB (1)
63 15988-01 A Branson IPC Temperature Input
64 13794-01 Branson IPC VIDEO, PWB 13793-01
65 14679-01 Branson IPC,Made in USA, CUBIT DIV PROTEUS INDUSTRIES
66 ASSY 03-0172-400 REV B 3C905B-TX-WOL
67 001-0084-01 REV B SN 1123 AND 4279
68 Matrix Integrated Systems TRANSPORT INTERFACE 9000-0019 REV B 1010-0019 REV R (
69 Matrix Integrated Systems TRANSPORT INTERFACE 9000-0019 REV B 1010-0019 REV R
70 Matrix Integrated Systems TRANSPORT INTERFACE 1000-0019 REV B 1010-0019 REV R
71 Quantum 3.5 series Hard Disk
72 Matrix Integrated Systems Process Controller 1000-0050
73 Matrix Integrated Systems MONOCHROMETER ENDPOINT PCB 1000-0032, 1010-0032 REV A
74 Matrix Integrated Systems MONOCHROMETER ENDPOINT PCB 1000-0032, 1010-0032 REV A
75 Matrix Integrated Systems Process Controller 1000-0050
76 Matrix Integrated Systems 1000-0007
77 Quantum ProDrive LPS Hard Disk
78 Quantum Fireball ST 3.5 series
79 PCB For AG Assoicates RTP
80 Com Card For AG Associates RTP
81 PL Breakout board, model 120 RS-422, REV 004, Made in USA
82 882-99-000 sch 882-99-101 MOD 8332
83 882-99-000 sch 882-99-101
84 SEIKO 7525-PDR 005858 MEC-40V-0
85 LOYOLA CONTROLS INC OB 5090 35-0106 SN 57834
86 M 167A M 167 PCB FOR RESET, MADE IN GERMANY
87 Matrix Integrated Systems 1000-0007
88 SSI S-1095A IO Board 62-007-00
89 PACIFIC PRECISION LABORATORIES INC 200-0009 202-1002
90 PACIFIC PRECISION LABORATORIES INC 200-0009 202-1002
91 PCA 71-006996-01 A, PACIFIC PRECISION LABS, 200-0005
92 PCA 71-006996-01 A
93 MACHINE TECHNOLOGY INC MOTOR CONTROL BOARD III 2217605501
94 CBP8-XT LE-1-0 2399 REV 1.2 912000187 DIVERSIFIED RECHNOLOGY 6512000159
95 MRC ASA-2250 REV B 4104137, 883-45-101, MOD A, 8419
96 HF 74032-89-43 REV H ASST NO 125280-004
97 A196B PCB
98 XINIX INC CPU PCB ASSY 0012-0032 REV 2
99 Automation Unlimited PCB 25013-6
100 99-172-001 REV S IMN-1 98-172-001 REV A, Tegal 901e, Tegal 903e
101 99-172-003 REV F IMN-3 98-172-003 REV B, Tegal 901e, Tegal 903e
102 99-287-001 REV C SST 98-287-001 REV A, TEGAL CORP , Tegal 901e, Tegal 903e
103 99-214-002 REV 5 PCB TEGAL 98-214-002 REV 2  , Tegal 901e, Tegal 903e
104 99-214-003 REV H PCB TEGAL 98-214-002 REV C  , Tegal 901e, Tegal 903e
105 99-214-003 REV G PCB TEGAL 98-214-002 REV 2, Tegal 901e, Tegal 903e
106 99-214-003 REV A PCB TEGAL 98-214-002 REV A , Tegal 901e, Tegal 903e
107 99-209-001 REV C PCB ADM-1 TEGAL 98-209-001 REV A   , Tegal 901e, Tegal 903e
108 99-209-001 REV E PCB ADM-1 TEGAL 98-209-001 REV A , Tegal 901e, Tegal 903e
109 99-209-001 REV F PCB ADM-1 TEGAL 98-209-001 REV A, Tegal 901e, Tegal 903e
110 99-209-001 REV F PCB ADM-1 TEGAL 98-209-001 REV 1 , Tegal 901e, Tegal 903e
111 99-207-004 REV C MBE-4 PCB TEGAL 98-207-004 REV B Tegal
112  7310-3479-02 AG Associates Heatpulse Arm , Thin, Vestal Chuck
113 99-207-004 REV A MBE-4 PCB TEGAL 98-207-004 REV A  Tegal
114 99-200-003 REV C SIS-3 PCB TEGAL 98-200-002 REV 1 Tegal
115 99-200-003 REV B SIS-3 PCB TEGAL 98-200-002 REV 1  Tegal
116 99-200-005 REV H SIS-5 PCB TEGAL 98-200-002 REV A
117 99-200-005 REV H SIS-5 PCB TEGAL 98-200-002 REV 1
118 99-200-005 REV G SIS-5 PCB TEGAL 98-200-002 REV 1
119 99-200-005 REV E SIS-5 PCB TEGAL 98-200-002 REV 1
120 99-200-005 REV B SIS-5 PCB TEGAL 98-200-002 REV 1
121 99-200-005 REV J SIS-5 PCB TEGAL 98-200-002 REV A
122 99-200-004 REV C SIS-4 PCB TEGAL 98-200-001 REV 2
123 99-200-001 REV 4 SIS-1 PCB TEGAL 98-200-001 REV 2 (1).JPG
124 99-142-001 Tegal PCB 98-142-001
125 99-114-001 REV B MMC-1 TEGAL PCB 98-114-001 REV A
126 99-196-001 J and 98-196-001 REV C Tegal PCB
127  99-196-002 P ATI-2 and 98-196-001 REV E Tegal PCB
128 99-196-002 P ATI-2 and 98-196-001 REV C Tegal PCB
129 99-196-001 6 ATI-2 and 98-196-001 REV 2 Tegal PCB
130 99-196-002 REV E ATI-2 and 98-196-001 REV B Tegal PCB
131 99-196-002 REV K ATI-2 and 98-196-001 REV C Tegal PCB
132 99-196-002 REV W ATI-2 and 98-196-001 REV C Tegal PCB
133 99-196-002 REV W ATI-2 and 98-196-001 REV F Tegal PCB
134 99-187-002 REV A ATO-2 and 98-187-002 REV 1 Tegal PCB
135 99-190-002 REV A PMF-2 and 98-190-002 REV A Tegal PCB
136 99-186-001 REV 2A ATT-1 and 98-186-001 Tegal PCB
137 99-181-002 REV L EPD-2 Tegal PCB ENDPOINT DETECTOR
138 99-046-602 REV C MEC-2 TEGAL PCB 98-046-001 REV C
139 99-046-001  MEC-1 TEGAL PCB 98-046-001 REV A
140 99-104-001 LMC-1 TEGAL PCB 98-104-001
141 99-103-001 REV E LMB-1 TEGAL PCB 98-103-001 REV A
142 50590-01 ISS 1 SN 18026 TEGAL PCB
143 99-138-001 TEGAL PCB 98-138-001 REV 2
144 ELOGRAPHICS INC PCB P/N 170020 REV B ASSM PN 002201
145 Matrix Integrated System 1000-0042
146 ELOGRAPHICS 170020 , ASSM 002201
147 Matrix Integrated Systems MONOCHROMETER ENDPOINT PCB 1000-0032
148 ZT90011 RS-232C 1694 INTERFACE REV B SN48-32 , ZIOTECH
149 99-173-005 REV A RFG-5 Tegal PCB 98-173-005 REVA
150 99-173-003  Tegal PCB
151 99-173-004 Tegal PCB 98-173-003
152 99-173-004 REV D RFG-4 Tegal PCB 98-173-003 REV B
153 Machine Technology , HI TEMP STEPPER HOT PLATE, 2217605220, 2217603220
154 99-129-004 REV F SHI-4 Tegal PCB 98-129-004 REV A
155 99-138-004  Tegal PCB 98-138-004
156 99-172-003 , IMN-3 ,Tegal PCB ,98-172-003
157 538491-120 V GCAPRECISION SCIENTIFIC TEMPERATURE CONTROL P.C. ASSEM
158 14908-001 , MITCHELL ELECTRONICS, 00200-AD01 , 00200-0001
159 PN 14909-01 MITCHELL ELECTRONICS ASSY 00200-AD01 REV A FAB 00200-0001 REV B
160 PN 13843-01 ROBOTROL CORP ANALOG OUTPUT BOARD
161 13842-01 APPLIED MICRO TECHNOLOGY
162 PN 13840-01 MICRO-AIDE INC. OPTO-INPUT 80-0023
163 ASSY 001-0084-01 IO BOARD 10083 REV B
164 ALPHANUMERIC FLUORESCENT DISPLAY FLIP 03601-22-040, INDUSTRIAL ELECTRONI ENGINEE
165 2100-0008-1000-016 pcb
166 99-172-002 , IMN-3 ,Tegal PCB ,98-172-001
167 99-172 Tegal PCB 98-172-001 REV2
168 99-172 Tegal PCB 98-172-001 REV A
169 980-4825 ROBITECH INC SN36367 -8 VALVE MODULR 980-4800 SERIES
170 CC1-B0-24-425-34R-D
171 99-128-002 TEGAL PCB 98-128-002 REV 4
172 99-128-003 REV E ISR-3 TEGAL PCB 98-128-003 REV 1
173 99-118-001 REV B RFL-1TEGAL PCB 98-118-001 REV 1 A
174 99-121-001 REV B TEGAL PCB 98-121-001 REV A
175 99-125-008 REV C FPI-8TEGAL PCB 98-125-008 REV B
176 99-125-004 REV G FPI-4 TEGAL PCB 98-125-004 REV B
177 99-125-004 REV D FPI-4 TEGAL PCB 98-125-002 REV A
178 99-106-001 REV D ATS-1 TEGAL PCB 98-106-001 REV B
179 99-111-001, AST-1, TEGAL PCB
180 2100-0190 ROCK-CADAC 3V-0 000226-F
181 icon STD A 302-030-03 SN 21014-20
182 302-031-03 23017-6 PCB
183 IBM CORP 4163901 01 IBM FRV NO. 10G3966 SIV EMBED LEVEL 9-2-92 PC MAIN CONTROL B
184 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078 W00454
185 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1002-6100
186 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1002-6200RW
187 Tegal WAFER Transfer CW1078 W00303RW
188 Tegal WAFER Transfer CW1078-60301
189 Tegal WAFER Transfer CW1078-50401
190 Tegal WAFER Transfer CW1078-40301
191 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078-40401
192 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078-50301
193 TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078
194 TEGAL 901E TEGAL 903E Tegal PCB 99-249-002 RW  REV.N DEP-2
195 TEGAL 901E TEGAL 903E Tegal PCB 99-165-003 AESI-3
196 TEGAL 901E TEGAL 903E Tegal PCB 03601-22B-40
197 PN: 7310-3008-02 For AG Associates Heatpulse 8108, 8800, 4108 RTP
198 PN: 7310-6046-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
199 AG Associates (Metron Technology) 7100-6360-01A Tray, Ceramic Shield, 4 inch
200 AG Associates 7100-4033-01B Tray, 7310-2881-01 For 8 Inch
201 AG Associates Quartz Tray, Standard For 8 Inch
202 AG Associates Quartz Tray, 7400-0133-03 / 7100-1844-01C
203 AG Associates Quartz Tray, 7400-0133-03 / 7100-1844-01C
204 AG Associates 7400-0115B / 7310-4341-01B Quartz Tube For Heatpulse 8108 8800
205 AG Associates 7310-2843-01A Quartz Tray With TC Station For Heatpulse 8108 8800
206 AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
207 AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
208 AG Associates Heatpulse 8108 8800 Quartz Tray For 6 Inch Standard
209 AG Associates Quartz Tray 8 Inch 7310-5111-01?
210 AG Associates Quartz Tray 7400-0109-03B 5 Inch
211 AG Associates Quartz Tray 7400-0109-06F 5 Inch
212 AG Associates Quartz Tray 7400-0109-06F 5 Inch
213 AG Associates Quartz Tray 7400-0109-06K 5 Inch
214 PN: 7310-4781-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
215 AG Associates Quartz Tray 7400-0101-06
216 AG Associates Quartz Tube 7400-0023-01G 6 Inch
217 AG Associates Quartz Tray 7310-0692-02 For Heatpulse 4100 M-tray Slip Free 6 Inc
218 AG Associates Quartz Liner 7310-3010-02B For Heatpulse 4100 6 Inch
219 AG Associates Quartz Liner 7310-3010-01A For Heatpulse 4100 6 Inch
220 AG Associates Steak RTP System 7310-9733-01 P1 Tube , Quartz , Steam Output
221 AG Associates 7310-2935-01A For Heatpulse 4100 6 Inch
222 AG Associates Quartz Tray TC Station Front For Heatpulse 4100 3-6 “ 7400-0111-01
223 AG Associates 7310-3575-01A Quartz Door Inlay Short, Double O Ring
224 AG Associates 7310-3575-01A (?) Quartz Door Inlay Long, For Double O Ring
225 AG Associates 7400-0102-01C Quartz Inlay
226 AG Associates Quartz Baffle
227 AG Associates Heatpulse 210 Rapid Thermal Processing equipment
228 Lot Of 12 Sets : Gasonics Aura 2000LL Plasma Asher Plasma descum Dry Asher
229 Branson/IPC 4150 Branson/IPC 2000 Branson/IPC 3000 Branson/IPC 3000S asher
230 Matrix 10 Plasma Asher Plasma Descum Equipment
231 Matrix System One Stripper Matrix 103
232 Matrix System One Stripper Matrix 10X
233 Tegal 901e Tegal 903e Main Control Board PN 80-095-278
234 Tegal 901e Tegal 903e 99-172-002 & 99-172-001 & 99-172-003
235 7100-1182-07 AG Associates Heatpulse 8108 ERP Pyrometer
236 CVC Products AST-601 Vacuum Sputter Deposition System
237 4 Inch Si Wafer With 3 Inch Pocket With Flat
238 Gas Bottle Cylinder EMO Shut Off Device Used In Gas Cabinet Unknown PN
239 6 Inch Cassette And Box (1set)
240 Lam Research Lam AutoEtch 490 590 690 790 Monitor. Used
241 Tegal 901e Tegal 903e Monitor , Used
242 4 Inch Si Wafer Carrier With 2 Inch Pocket
243 6 Inch Si Wafer Carrier With 4 Inch Pocket
244 8 Inch  Si Wafer Carrier With 6.25/5.75 Inch Ring
245 8 Inch  Si Wafer Carrier With 16 Pieces Of 0.5 Inch Diameter Pocket
246 12 Inch  Si Wafer With 4 Inch Pocket
247 Matrix 105 Matrix 106 Matrix 302 Matrix 303 Display Screen
248 Matrix 105 106 Matrix 302  303 Transport Controller PN 1000-0003 / 9000-0003 ?
249 Matrix Operator Interface PCB PN 1000-0042 For Matrix 105 Matrix 303 Matrix 106
250 Matrix Process Controller  Interface PN 1000-0027 For Matrix 10x X03 Xxx
251 Matrix 105 Matrix 106 Matrix 302 /303 PCB PN 1000-0032
252 Tegal 901e Tegal 903e PCB 99-249-002 Rev K DEF-2
253 Gasonics Gasonics/IPC Display Decoder A98-014-01 Rev D
254 Gasonics INTL PCA ,EOP INTFC, MINI-controller A90-2565 Rev A
255 Matrix Integrated Systems 1000-0082 PCB
256 95-3531 REV. B PCB Sea level Systems
257 Allwin21 AW-ETCH-105-002 21-0009-002 /2100-0105-002 K PCB
258 Assy No.5000 REV C ELDEX P/N 4909 REV C. Gasonics 68-0131?
259 Tegal 901e Tegal 903e PCB 99-138-003 REV A TMC-3
260 Matrix PCB Brooks Automation Component Side Orbitran Rev C (0999)And B (SN 0788)
261 Tegal 901e Tegal 903e PCB 99-126-006 REV D
262 Tegal 901e Tegal 903e PCA, EOP Control Module 90-1045-01 REV G
263 Branson/IPC Automatch Board PWA 804-13284-01 REV B
264 Tegal 901e Tegal 903e PCB DAC 80-095-278 With 99-207-004 A/K/C
265 Branson/IPC 843601188 A /843-601188 A Made In Japan DP 1240 C1
266 Assy 00200-AD01 REV B ( 14907-01 / 14908-01 / 14909-01 PCB )
267 Cable Branson/IPC?
268 Newport Electronics Inc Model ICN77333  -2.5
269 TC Thermocouple
270 Wire
271 PN 7400-0062-01 M-tray 6 Inch Broken AG Associates TC Station Rear
272 PN 7400-0009 Quartz Tube 6 Inch Used AG Associates No Window
273 PN 7400-0111-01B Quartz Tray 6 Inch Broken AG Associates TC Station Rear
274 PN 7400-0104-02 Quartz Tray 6 Inch AG Associates
275 PN 7400-0132-01C / 7400-0036F Quartz Inlay FLTG Door AG Associates Metron
276 7400-0136-01 Quartz Baffle For Heatpulse 8108 AG Associates Metron ,Not  EZ-DTC
277 A4-000-01 QUARTZ TRAY 6 inch
278 7400-0082-01 E/F Quartz Tray for AG Associates Heatpulse 610 6 inch
279 Quartz Tray for AG Associates Heatpulse 610 3-6 inch
280 PN 7400-0062-01 M-tray 6 Inch New AG Associates Heatpulse 4100 TC Station Rear
281 A4-0121-00 QUARTZ Plate , Thick
282 A4-0120-00 QUARTZ Tube
283 PN 7400-0085-09 M 6 Inch New AG Associates Heatpulse 4100
284 PN A4-0034B Liner
285 PN 7310-2841-02N Quartz Tube for AG Associates Heatpulse 8800 8108 4108
286 PN 7400-0107-01A Quartz tray for AG Associates Heatpulse 4100 TC Station TC Pin
287 Quartz Tray for AG Associates Heatpulse 210T RTP
288 AG Associates Heatpulse 8800, 8108, 4108 Isolated Quartz Tube 7400-0100-06K
289 Quartz Liner for AG Associates Heatpulse 8800 8108 4108
290 Isolated Quartz Tube for AG Associates Heatpulse 210 RTP
291 MIL-B-81705C TYPE I Gallium Phosphide Wafer 2 Inch
292 4 Inch Wafer Handle
293 Wafer Carrier: 8 Inch Si Wafer With 4 Inch Pocket With 1.5mm Holes
294 12 Inch Wafer With 3 Of 4 Inch Pocket And 3 Of 1.25×1.25 Inch Pocket
295 12 Inch Wafer With 9 Of 2 Inch Pocket
296 12 Inch Wafer With 2.25×3.25 Inch Pocket
297 12 Inch Wafer With 7 Of Holes
298 12 Inch Wafer With 5 Of 2 Inch Pocket
299 12 Inch Wafer With 3 Of 2 Inch Pocket
300 12 Inch Wafer With 3 Of 4 Inch Pocket
301 Cable 1070-0181 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
302 Cable 1070-0140 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
303 Cable 0150-09514 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
304 Cable For Matrix 105 Matrix 106 Matrix 303, Matrix 403
305 Brooks Automation Model NO 6100-87 PN 1085-0007 For Matrix 105 Matrix 106
306 Process Controller PCB 1000-0080 For Matrix 105 106 103 303 404..
307 Cable 1070-0193 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
308 Monitor 995-10532 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
309 Tegal 901e Tegal 903e PCB TEGAL PCB 99-247-002 REV.D SPI-2
310 HINE DESIGN HINE ARM REV 303 SER.# 005228 ASSY 778-2H
311 T0893 6035-A REV C ASSY D110986-G1
312 GASONICS A89-030-01 REV C 90-2608 REV B
313 GASONICS PCA LOADLOCK INTERFACE BD 90-2608 REV B A89-030-01 REV C
314 GASONICS A90-003-01 A89-003-01 REV H
315 TEGAL PCB 99-125-001 REV 7 FPJ-1
316 TEGAL PCB 99-126-009 B IGC-9
317 TEGAL PCB 99-126-005 IGC-5 REV H/A/J/G/E
318 TEGAL PCB 99-173-005 A RFG-5
319 TEGAL PCB 99-172-001 REV 3 IMN-1
320 TEGAL PCB 99-172-002 REV B IMN-2
321 Tegal PCB 99-165-001  REV 4 ESI-1
322 Tegal PCB 99-345-001 REV A ID1-1
323 Tegal PCB 99-341-002 REV 3 SSI-2
324 HP HDSP-2470 SN 10036 HDSP-2424
325 TEGAL PCB 03601-22-040
326 TEGAL PCB 03600-22-040
327 TEGAL 9XX DAC-SCAN PCB TEGAL 901E TEGAL 903E TEGAL PCB 80-095-278
328 GASONICS CONTROLLER BOARD A90-005-06 REV K
329 4 Inch Plastic Cassette And SST Tank With Small Holes For Wet Process
330 4 Inch Metal Cassette
331 Tegal 903e Chuck
332 4 Inch Metal Cassette
333 AG Associates Mini-pulse 310 Rapid Thermal Processing Rapid Thermal Annealing
334 Quartz Boat 6 inch
335 PN: 7310-5195-02 (7100-5995-02) For AG Associates Heatpulse 8108, 8800, 4108 RTP
336 PN 2100-0308-03 AG Associates Heatpulse PCB
337 PN 7100-0168-01 AG Associates Heatpulse PCB ZiaTech ZT8830
338 PN 7100-2586-02 AG Associates Heatpulse PCB ATP Board Assy
339 PN 7100-2181-04 AG Associates Heatpulse PCB Timer 2100-0090
340 PN 7100-2181-03 AG Associates Heatpulse PCB Timer 2100-0090
341 PN 7100-2181-02 AG Associates Heatpulse PCB Timer 2100-0090
342 PN 7100-2181-01 AG Associates Heatpulse PCB Timer 2100-0090
343 PN 7100-3128-01 AG Associates Heatpulse PCB
344 PN 7100-2586-03 AG Associates Heatpulse PCB
345 PN 7100-3397-02 AG Associates Heatpulse PCB
346 PN 7100-3387-01 AG Associates Heatpulse PCB ZiaTech 8950
347 PN 7100-3395-01 AG Associates Heatpulse PCB Elographics E271-2201 PN 170020 B
348 PN 7100-3604-02 AG Associates Heatpulse PCB 7310-1055-02?
349 PN 7100-4023-01 AG Associates Heatpulse PCB
350 PN 7100-4040-02 AG Associates Heatpulse PCB
351 PN 7100-4059-01 AG Associates Heatpulse PCB
352 PN 7100-4059-02 AG Associates Heatpulse PCB
353 PN 7100-4148-02 AG Associates Heatpulse PCB
354 PN 7100-4213-01 AG Associates Heatpulse PCB
355 PN 7100-5112 AG Associates Heatpulse PCB
356 PN 7100-5040 AG Associates Heatpulse PCB 7100-5040-02
357 PN 7100-5080-02 AG Associates Heatpulse PCB
358 PN 7100-5111 AG Associates Heatpulse PCB 7100-5111-01?
359 PN 7100-5117 AG Associates Heatpulse PCB 7100-5117-01?
360 PN 7100-5119 AG Associates Heatpulse PCB
361 PN 7100-5120-12 AG Associates Heatpulse PCB ZiaTech ZT8820B
362 PN 7100-5120-10 AG Associates Heatpulse PCB ZiaTech ZT8820B
363 PN 7100-5123-02 AG Associates Heatpulse PCB
364 PN 7100-5123-03 AG Associates Heatpulse PCB
365 PN 7100-5129-02 AG Associates Heatpulse PCB
366 PN 7100-5124 AG Associates Heatpulse PCB ZiaTech ZT8830 (ZT 8830) 7100-4124-01?
367 PN 7100-5128-03 AG Associates Heatpulse PCB
368 PN 7100-5128-03 AG Associates Heatpulse PCB
369 PN 7100-5133-01 AG Associates Heatpulse PCB
370 PN 7100-5133-04 AG Associates Heatpulse PCB ZiaTech ZT8812
371 PN 7100-5133-03 AG Associates Heatpulse PCB ZiaTech ZT8812
372 PN 7100-5133-02 AG Associates Heatpulse PCB ZiaTech ZT8812
373 Trident video card JA-8237A/V4 , Modular Process Technology. MPT RTP-600
374 PN 7100-5146-01 AG Associates Heatpulse PCB
375 PN 7100-5146-04 AG Associates Heatpulse PCB
376 PN 7100-5146-02 AG Associates Heatpulse PCB
377 PN 7100-5148-01 AG Associates Heatpulse PCB
378 PN 7100-5159-06 J AG Associates Heatpulse PCB
379 PN 7100-5160-03 AG Associates Heatpulse PCB
380 AG Associates 7100-5168-07 RMA Analog PCB Card 7500-5146-01
381 PN 7100-5168-08 AG Associates Heatpulse PCB
382 PN 7100-5168-02 AG Associates Heatpulse PCB
383 PN 7100-5168-04 AG Associates Heatpulse PCB
384 PN 7100-5171-01 AG Associates Heatpulse PCB
385 PN 7100-5174-02 AG Associates Heatpulse PCB
386 PN 7100-5174-01 AG Associates Heatpulse PCB
387 PN 7100-5172-02 AG Associates Heatpulse PCB
388 PN 7100-5175-02 AG Associates Heatpulse PCB
389 PN 7100-5176-03 AG Associates Heatpulse PCB
390 PN 7100-5177-089 AG Associates Heatpulse PCB
391 PN 7100-5177-04 AG Associates Heatpulse PCB
392 PN 7100-5177-08 AG Associates Heatpulse PCB
393 PN 7100-5188-01 AG Associates Heatpulse PCB
394 PN 7100-5188-02 AG Associates Heatpulse PCB
395 PN 7100-5188-19 AG Associates Heatpulse PCB
396 PN 7100-5189-01 AG Associates Heatpulse PCB
397 PN 7100-5188-02 AG Associates Heatpulse PCB ZiaTech 8820B E.4
398 PN 7100-5181-02 Rev C AG Associates Heatpulse PCB ZiaTech 8820B E.3
399 PN 7100-5192-01 AG Associates Heatpulse PCB
400 PN 7100-5191-01 Rev A AG Associates Heatpulse PCB ZiaTech ZT 8850 REV A.5
401 PN 7100-5191-01 ? AG Associates Heatpulse PCB ZiaTech ZT 8850 REV A.2
402 PN 7100-5190-02 AG Associates Heatpulse PCB
403 PN 7100-5190-03 AG Associates Heatpulse PCB 2100-0085 REV D
404 PN 7100-5194-01 AG Associates Heatpulse PCB 2100-0090 7100-2181-01 /02/03
405 PN 7100-5193-02 AG Associates Heatpulse PCB
406 PN 7100-5193-01 AG Associates Heatpulse PCB
407 PN 7100-5222-02 AG Associates Heatpulse PCB
408 PN 7100-5221-01 AG Associates Heatpulse PCB
409 PN 7100-5222-05 AG Associates Heatpulse PCB
410 PN 7100-5222-03 AG Associates Heatpulse PCB
411 PN 7100-5222-04 AG Associates Heatpulse PCB
412 PN 7100-5222-01 AG Associates Heatpulse PCB
413 PN 7100-5259-02 AG Associates Heatpulse PCB
414 PN 7100-5259-01 AG Associates Heatpulse PCB
415 PN 7100-5256-01 ZiaTech ZT8820B AG Associates Heatpulse PCB
416 PN 7100-5425-03 AG Associates Heatpulse PCB
417 PN 7100-5667-01 AG Associates Heatpulse PCB
418 PN 7100-6270-01 AG Associates Heatpulse PCB
419 PN 7100-5080-02 AG Associates Heatpulse PCB
420 PN 7310-4213-02 AG Associates Heatpulse PCB 7100-4213-01?
421 Analog Board HP811204-01 REV 13 AG Associates Heatpulse PCB 9000-0290
422 PN 9000-0240 REV 11 AG Associates Heatpulse PCB, Oven Control Board
423 Analog Board AG Associates Heatpulse PCB 9800-0310 REV E
424 SVG
425 Asyst Mini environment Cassette, 6 Inch
426 AG Associates Heatpulse Susceptor 7310-1028-02 New
427 AG Associates Heatpulse Susceptor 7310-0719-02 New 6” Starship W/Thermo Wells
428 Quartz Chamber For Branson/IPC L3200 Asher New And Used
429 Asyst Mini environment Cassette
430 Asyst Mini environment Cassette, 6 Inch
431 Tegal 903e Tegal 901e Chuck 6 Inch  PN 39-735-019 REV A
432 Tegal 903e TTW ( Through The Wall )Etcher  Plasma Etch Plasma Etcher Dry Etch
433 9830-2080 3 Phase Zero Crossing Detector AG Associates Heatpulse PCB 7100-5211-0
434 PN 7600-0039 AG Associates Heatpulse Chip
435 Quantum Fireball ST 3.5 Series Harder disk With Motorola Disk Mounting Card
436 IBM Travel star Harder Driver Model DARA-20600 With Motorola Disk Mounting Card
437 9830-2030 Sensor I/O AG Associates Heatpulse PCB
438 ZiaTech ZT90011 RS232 Interface AG Associates Heatpulse PCB
439 500-B4 AM27C512-205 DC 039UADC AMD AG Associates Heatpulse Chips
440 7600-0256-01 V611 C555 S2 Interface AG Associates Heatpulse Chips
441 7600-0257-01 V611 E319 S3 AG Associates Heatpulse Chips
442 7600-0255-01 V611 OC32 S1 AG Associates Heatpulse Chips
443 7600-0258 V611 GFB8 S4 AG Associates Heatpulse Chips
444 IBMEJNF3 TMS 27C512-2JL A2EE 9136 AG Associates Heatpulse Chips
445 403-E1IS SCR AG Associates Heatpulse Chips
446 7600-0216-01 AG Associates Heatpulse Chips
447 7100-5177-01 AG Associates Heatpulse Chips
448 5B16I2L5 AG Associates Heatpulse Chips
449 2100-0120 MIO-24 AG Associates Heatpulse PCB
450 2100-0110 AG Associates Heatpulse PCB
451 2100-0150 AG Associates Heatpulse PCB
452 2100-0160 AG Associates Heatpulse PCB
453 2100-0241-01 ZiaTech ZT8820B E.2 AG Associates Heatpulse PCB 2100-0241-009
454 2100-0170-01 AG Associates Heatpulse PCB
455 2100-0243-01 AG Associates Heatpulse PCB DASB-FGA 14139 REV B PC7462
456 2100-0250 AG Associates Heatpulse PCB
457 2100-0251-01 AG Associates Heatpulse PCB
458 2100-0253-01 AG Associates Heatpulse PCB
459 PN 2100-0308-02 AG Associates Heatpulse PCB
460 PN 2100-0080 AG Associates Heatpulse PCB
461 2100-0060 AG Associates Heatpulse PCB 2100-0060-02 ZiaTech ZT8812
462 2100-0022-01 AG Associates Heatpulse PCB
463 2100-0032-01 AG Associates Heatpulse PCB
464 2100-0031-01 AG Associates Heatpulse PCB
465 2100-0006-01 AG Associates Heatpulse PCB Rev A.5
466 2100-0006-02 AG Associates Heatpulse PCB Rev A.2 ZiaTech ZT8850
467 PN 2100-4100-066 C AG Associates Heatpulse PCB
468 PN 21-0001-4100-024 AG Associates Heatpulse PCB
469 PN 21-0001-4100-18 AG Associates Heatpulse PCB
470 PN 21-0001-4100-16 AG Associates Heatpulse PCB
471 PN 21-0001-4100-15 AG Associates Heatpulse PCB
472 PN 2100-4100-009 AG Associates Heatpulse PCB
473 PN 2100-0001-4100-006 AG Associates Heatpulse PCB
474 PN 21-0001-4100-005 AG Associates Heatpulse PCB
475 PN 21-0001-4100-012 AG Associates Heatpulse PCB
476 2000-0013-01 AG Associates Heatpulse PCB
477 2000-0013-03 AG Associates Heatpulse PCB
478 Quantum Lightning ProDrive Harder disk With PL Disk Mounting Card 2000-0013-02
479 Quantum Fireball ST 3.5 Series Harder disk With Motorola Disk Mounting Card
480 Quantum Fireball 3.5 Series Hard Disk With PL Disk Mounting Card 7100-5899-08
481 Quantum Fireball 3.5 Series Hard Disk With Disk Mounting Card
482 AG Associates Heatpulse 610
483 3 Inch Susceptor Wafer Carrier For MPT RTP-600s RTP-800s AG Associates AG 610
484 4 Of 2 Inch Susceptor Wafer Carrier  MPT RTP-600s RTP-800s AG Associates AG 610
485 3 Inch Susceptor Wafer Carrier  MPT RTP-600s RTP-800s AG Associates AG 610
486 PN 715-18611-117 REV 1 Chuck For Lam Research Lam Rainbow 4xxx
487 ICS-716-011540-001 Ring Face Upper For Lam Research Lam Rainbow 4xxx
488 715-28552-001 Rev 1 For Lam Research Lam Rainbow 4xxx ( 715-28552-001-1 )
489 PN 715-011640-006 Ring Focus Anodized 6 Inch  For Lam Research Lam Rainbow 4xxx
490 PN 734-001081-001 O Ring For Lam Research Lam Rainbow 4xxx
491 PN 734-000229-001 O Ring For Lam Research Lam Rainbow 4xxx
492 PN 734-091228-001 O Ring For Lam Research Lam Rainbow 4xxx
493 9×2 Inch Susceptor, Silica With SiC Coating, One Side Only, Base And Cover
494 6.5 Inch Disk , Graphite With SiC Coating
495 2 Inch Wafer, Material Sapphire? GaN? Sapphire With GaN Epi?
496 16×2 Inch  Susceptor, SiC , Base And Cover
497 7310-0719-02C / 01-148-033 Crysta SiC Coated, 6 Inch Single Wafer SUSC
498 AG Associates Heatpulse Ceramic Shield 6 Inch 7310-5194-01(?)
499 AG Associates Heatpulse Ceramic Shield 8 Inch,PN 7310-5186-01? 7310-9828-01?
500 S4-0001-1 Disk, 6.75”, Silica With SiC Coating, One Side Only
501 A4100-4A 4 Inch Muffle SiC Coat , Susceptor Base
502 A8100-4B 4 Inch Muffle SiC Coat , Susceptor Disc
503 S4-0001-1-A Susceptor,6 Inch, Silica With SiC Coated, Base
504 6.75 Inch Disc, Cover, Silica With SiC Coated
505 PN 734-007293-001 O Ring For Lam Research Lam Rainbow 4xxx
506 Airco Temescal FC-1800 Evaporator
507 Single Track Coater And Bake SVG-8136 HPO SVG Spin Track
508 ENI OEM-25 RF Generator  Solid State Power Generator DP-4-1
509 7100-0874-05 Associates Heatpulse RTP ERP Pyrometer
510 7100-0874-03 Rev H AG Associates Heatpulse RTP ERP Pyrometer
511 7100-0874-09 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
512 7100-0874-11 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
513 7100-5622-02 AG Associates Heatpulse RTP DCP Pyrometer Dural Color Pyrometer
514 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
515 PN 7100-3181-03 AG Associates Heatpulse Susceptor?
516 PN 7100-1258-08 AG Associates Heatpulse Susceptor?
517 PN 7100-1258-09 AG Associates Heatpulse Susceptor?
518 PN 7100-1258-07 AG Associates Heatpulse Susceptor?
519 PN 7100-5447-02 AG Associates Heatpulse Susceptor?
520 PN 7100-1596-15 AG Associates Heatpulse Susceptor?
521 Tegal 903e Tegal 901e Chuck PN 39-741-026 REV B
522 Tegal 903e Tegal 901e Power Supply Box AC Box
523 Tegal 903e Tegal 901e Chuck PN 39-735-002 REV K
524 Tegal 903e Tegal 901e Chuck PN 39-735-002 REV M
525 Tegal 903e Tegal 901e Chuck PN 39-735-002 With One O Ring
526 4050-1016-01  AG Associates Heatpulse TSCRN, CUSTOM
527 4050-1023-01  AG Associates Heatpulse TSCRN MON, STAND ALONE
528 7100-7748-02 PENTIUM COMPUTER  AG Associates Heatpulse
529 7100-1885-01 Rev:   E Oven Assembly, 8108 Standard AG Associates Heatpulse
530 MW200 Cassette And Cassette Box , 8 Inch
531 SensArray Corporation ProcessProbe Instrumented Wafers 2140A-8-5002
532 SensArray Corporation Fiber Optic ProcessProbe Instrumented Wafers 2130A-8-5014
533 SensArray Corporation Fiber Optic ProcessProbe Instrumented Wafers 2130A-8-5020
534 SensArray Corporation ProcessProbe Instrumented Wafers 1530B-8-0137
535 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-5057
536 SensArray Corporation ProcessProbe Instrumented Wafers 1530B-8-0010
537 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-0431 7310-3516-03
538 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-005 7310-4368-01
539 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-4108
540 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-0244
541 SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5005
542 SensArray Corporation ProcessProbe Instrumented Wafers 1819A-8-1022
543 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-0213
544 SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5009
545 SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-5057
546 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-6-0191
547 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-6-0123
548 Tegal 903e Tegal 901e Nest PN 46-273-001 C
549 Tegal 903e Tegal 901e Chuck PN 39-741-026  Rev B 6 Inch
550 Tegal 903e Tegal 901e Nest 3 Inch
551 Tegal 903e Tegal 901e Chuck 6 Inch No Anodized
552 Tegal 903e Tegal 901e Chuck 5 Inch Anodized
553 Tegal 903e Tegal 901e Shower Head 37-221-001 (TSI-TG221-001)
554 Tegal 903e Tegal 901e Shuttle ARM 5 Inch PN 39-853-001 A?
555 Tegal 903e Tegal 901e Chuck PN 39-548-002 REV A 3 Inch
556 Tegal 903e Tegal 901e Nest 4 Inch 46-273-001 C
557 Tegal 903e Tegal 901e Shower Head OEM PN 39-680-003
558 Tegal 903e Tegal 901e Chuck PN 39-733-004 REV M 5 Inch
559 Tegal 903e Tegal 901e Ceramic Ring For 4 Inch Chuck , 40-294-003 ?
560 Tegal 903e Tegal 901e Chuck PN 39-735-020 Rev A. 6 Inch
561 Tegal 903e Tegal 901e Chuck 3 Inch PN 39-735-001 REV K
562 Tegal 903e Tegal 901e Nest 4 Inch Shorter
563 Tegal 903e Tegal 901e Shuttle ARM 5 Inch PN 39-853-001 A
564 Tegal 903e Tegal 901e Nest 6 Inch
565 4-01243 Barrel, Susceptor, 5 inch,XYCARB CERAMICS
566 Model 3502 Mathewson Valve And Meters
567 SensArray 1501A-4-0010 TC Wafer, 4 Inch , Single Point 7310-0996-01
568 IR401W 28 FS MF 43800628 132389 Regulated Valve VERIFLO Corporation
569 SensArray 1501A-6-0058 TC Wafer, 6 Inch, 5 Points
570 SensArray 1501A-6-1001 TC Wafer, 6 Inch, 1 Point
571 PCB OPTO 22 PB 24 AC Input AC Output DC Input DC Output AG 2100-0005-01
572 Gordos AC Input AC Output DC Input DC Output 6200-0276-02 Rev J?2100-0005-01?
573 7100-1091-01   ( FLUKE 1780A ) FLUKE, MOD (W/O FEET) AG Associates Heatpulse
574 7100-3597-01 fork assy, dtc,ncluding: 7310-3049-02-C (Plate), 7310-3050-01-A
575 2908-0041-01 Meter, 1/2″, BRASS,0.5-4GPM,H2O
576 5108-1059-01 flow switch, 1450 psi
577 4502-9041-01 VALVE, DIAPH, QTR TURN
578 4502-9042-01 VALVE, DIAPH
579 4502-9043-01 Aptach Valve
580 0641-0386-01 retro kit, clg sta sen, 0500-0220-01
581 2900-4015-01 CONTROLLER, MULTI-L
582 C-PT 5WZ49 spec 169830A, 2″ P1559LG, 100PSI/700KPA,1/4NPT CBM
583 7310-4576-02 7310-4576-04 FLANGE EZ-DTC ASSY, C
584 7310-8278-01 TUBE,PURGE INLET,7100-6705-01,FLANGE EZ-DTC ASSY
585 7310-2886-01 Rear Flange, DTC, No SST Tube welded
586 0641-0796-02 KIT,FLOWER / FLOWSW RETRP
587 7100-5750-01 assy s-f/pkg 6in w/o flat, SLIP FREE RING (7450-0059-06J),A VERSION
588 7310-4755-01 g, SLIP 6″,R2 VERSION, SLIP FREE RING
589 7310-4755-01 g, SLIP 6″,P2 VERSION, SLIP FREE RING
590 7310-3526-01 ring, slip-free 3.0 in
591 7100-5759-01 ASM S-F/PKG 8IN N/FLT DTC,B VERSION, SLIP FREE RING
592 7450-0090-01 RING, SLIP-FREE 6 INCH
593 7100-5746-01 RING, SLIP-FREE,  5IN  7450-0032-05
594 7100-5762-02 assy s-f/pkg notch 6 in. 7310-5737-02 Slip Free Ring
595 7450-0091-01 RING, SLIP-FREE 8 INCH
596 7450-0059-04 RING, SLIP-FREE W/ NO FLAT,4 INCH, H Version
597 7450-0059-06 RING, SLIP-FREE W/ NO FLAT,6,INCH, J version
598 7450-0032-07 RING, SLIP-FREE,  6IN , 7100-5835-01A, L version
599 7650-0159-06 tube, purge exh
600 7310-4146-01 tube n2/purge retro intel, A Version
601 7500-0155-06 TRAY ALIGNMENT ,8 INCH
602 7500-0096-01 TRAY ALIGNMENT ,4 INCH, D  Version
603 7500-0096-03 TRAY ALIGNMENT ,6 INCH, E  Version
604 7200-0654-01 7310-3265-01,PLATE, WFR CARR, 8IN POPA
605 7450-0032-03 RING, SLIP-FREE,  6IN , E VERSION
606 7450-0033-01 RING, SLIP-FREE,  5IN
607 7450-0036-04 S-R RINGS R/B 7100-5751-01, 8 INCH
608 7450-0059-02 RING, SLIP-FREE W/ NO FLAT,5 INCH
609 7100-5999-01 RING, SLIP-FREE, 8IN, B Version
610 7310-3074-01 RING, SLIP-FREE, 6IN,A Version
611 7310-3026-02  RING SLIP-FREE 6 IN NOTCH, OL
612 7310-2887-02 A RING, SLIP-FREE
613 7100-5747-01B RING, SLIP-FREE 6 Inch
614 RING, SLIP-FREE 6 Inch
615 RING, SLIP-FREE 6 Inch
616 For Tegal Equipment PN 80-041-000 Spring
617 For Tegal Equipment PN 80-041-001 Graphite Control 10548338
618 For Tegal Equipment PN 80-041-003 Graphite Controls 10548312
619 For Tegal Equipment PN TGL-80-055-048
620 For Tegal Equipment PN TGL-80-080-028
621 For Tegal Equipment PN TGL-80-080-029
622 For Tegal Equipment PN TGL-80-080-031
623 For Tegal Equipment PN TGL-80-080-036
624 For Tegal Equipment PN TGL-80-080-038
625 For Tegal Equipment PN TGL-80-080-041
626 For Tegal Equipment PN TGL-80-080-054
627 For Tegal Equipment PN TGL-80-080-085
628 For Tegal Equipment PN TGL-80-080-093
629 For Tegal Equipment PN TGL-80-127-167
630 For Tegal Equipment PN TGL-80-127-183
631 For Tegal Equipment PN TGL-80-127-262
632 For Tegal Equipment PN TGL-80-127-273
633 For Tegal Equipment PN TGL-80-127-321
634 For Tegal Equipment PN TGL-80-127-325
635 For Tegal Equipment PN TGL-80-127-343
636 For Tegal Equipment PN TGL-80-127-350
637 For Tegal Equipment PN TGL-80-127-361
638 For Tegal Equipment PN TGL-80-127-362
639 For Tegal Equipment PN TGL-80-127-363
640 For Tegal Equipment PN TGL-80-127-364
641 For Tegal Equipment PN TGL-80-127-365
642 For Tegal Equipment PN TGL-80-127-366
643 For Tegal Equipment PN TGL-80-163-004
644 For Tegal Equipment PN TGL-80-163-005
645 For Tegal Equipment PN TGL-80-163-006
646 For Tegal Equipment PN TGL-80-165-002
647 For Tegal Equipment PN TGL-80-165-003
648 For Tegal Equipment PN TGL-80-165-004
649 For Tegal Equipment PN TGL-80-165-005
650 For Tegal Equipment PN TGL-80-165-008
651 For Tegal Equipment PN TGL-80-165-014
652 For Tegal Equipment PN TGL-80-165-025
653 For Tegal Equipment PN TGL-80-165-026
654 For Tegal Equipment PN TGL-42-100-001
655 For Tegal Equipment PN TGL-42-185-003
656 For Tegal Equipment PN TGL-42-271-005
657 For Tegal Equipment PN TGL-42-281-001
658 For Tegal Equipment PN TGL-42-282-002
659 For Tegal Equipment PN TGL-42-282-003
660 For Tegal Equipment PN TGL-42-285-002
661 For Tegal Equipment PN TGL-42-293-001
662 For Tegal Equipment PN TGL-42-307-001
663 For Tegal Equipment PN TGL-42-328-002
664 For Tegal Plasma Equipment PN TGL-40-003-002
665 For Tegal Plasma Equipment PN TGL-40-005-001 O Ring
666 For Tegal Plasma Equipment PN TGL-40-007-001
667 For Tegal Plasma Equipment PN TGL-40-011-001
668 For Tegal Plasma Equipment PN TGL-40-012-001
669 For Tegal Plasma Equipment PN TGL-40-017-002
670 For Tegal Plasma Equipment PN TGL-40-044-001
671 For Tegal Plasma Equipment PN TGL-40-053-001
672 For Tegal Plasma Equipment PN TGL-40-065-001
673 For Tegal Plasma Equipment PN TGL-40-102-002
674 For Tegal Plasma Equipment PN TGL-40-169-001
675 For Tegal Plasma Equipment PN TGL-40-177-001
676 For Tegal Plasma Equipment PN TGL-40-178-001
677 For Tegal Plasma Equipment PN TGL-40-230-001
678 For Tegal Plasma Equipment PN TGL-50-014-001
679 For Tegal Plasma Equipment PN TGL-50-016-001
680 For Tegal Plasma Equipment PN TGL-50-022-001
681 For Tegal Plasma Equipment PN TGL-50-039-001
682 For Tegal Plasma Equipment PN TGL-51-084-001
683 For Tegal Plasma Equipment PN TGL-51-092-001
684 For Tegal Plasma Equipment PN TGL-51-106-001
685 For Tegal Plasma Equipment PN TGL-51-114-001
686 4000-1044-07 Lamp Driver 208VAC 073-20747-02 AG Associates
687 7100-6159-05 Lamp Driver 400 VAC 073-20711-30
688 Tegal 903e Chuck 6 Inch, Black 39-927-008
689 Tegal Plasma Etcher Asher Spare Parts PN TGL-39-929-001
690 Tegal Plasma Etcher Asher Spare Parts PN TGL-39-946-002 Rev 2
691 Tegal Plasma Etcher Asher Spare Parts PN 39-954-001 Tegal 901e Tegal 903e 4 Inch
692 Tegal Plasma Etcher Asher Spare Parts PN 39-954-002 Tegal 901e Tegal 903e 5 Inch
693 Tegal Plasma Etcher Asher Spare Parts PN 30-232-001 Tegal 901e Tegal 903e 4 Inch
694 Tegal Plasma Etcher Asher Spare Parts PN 41-175-001 Tegal 901e Tegal 903e 4 Inch
695 Tegal Plasma Etcher Asher Upgrade Kit 29-208-001 Touchscreen Replacement
696 Tegal Plasma Etcher Asher Spare Parts PN TGL-39-954-003 Tegal 901e Tegal 903e 6”
697 Tegal Spare Parts PN TGL-39-967-002
698 Tegal Chuck 37-139-001. (39-139-001?)
699 Tegal Chuck 39-336-018
700 Tegal 903e Tegal 901e Shower Head PN 37-221-001 REV H
701 PN: 2451-0013-01 QIR208-1500SS IR Lamps For AG Associates Heatpulse 4108 8108
702 7200-0602-03 F Chamber Plate,For Heatpulse 8108 ,8800,4108,8008
703 7310-3445-01 A Chamber Plate,For Heatpulse 8108 ,8800,4108,8008
704 Chamber Plate,mount ERP Pyrometer For Heatpulse 8108 ,8800,4108,8008
705 7200-0365-01 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
706 7200-0604-02 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
707 7200-0607-03 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
708 7200-0811-02 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
709 7200-0810-01 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
710 7200-0603-01 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
711 7310-4606–01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
712 7310-1843-01 B + 7310-1844-01 +7310-1845-01 Robot Effector 7100-2906-01
713 PN 101-0575 Robot Effector Robot Arm
714 UFC-1100 HCL 200 SCCM MFC Unit Instruments Inc
715 UFC-1100 N2 1 SLM MFC Unit Instruments Inc
716 UFC-1100 Ar 10 SLM MFC Unit Instruments Inc
717 UFC-1100 N2 10 SLM MFC Unit Instruments Inc
718 UFC-1100 N2 2 SLM  MFC Unit Instruments Inc
719 FC-2950MEP5 N2 200 SCCM MFC Tylan 2900 Series
720 UFC-1100 N2 50 SCCM For Tegal Plasma Asher Strip Etcher Unit Instruments Inc
721 UFC-1400A N2 50 SCCM For Tegal Plasma Asher Strip Etcher Unit Instruments Inc
722 UFC-1100A O2 100  SCCM MFC Unit Instruments Inc
723 301 FSVP N2 10 SLM Mass Flow Controller MFC Porter Instrument Company
724 UFC-1101A HE 50 SCCM MFC Unit Instruments Inc
725 UFC-1020 N2 100 SCCM MFC Unit Instruments Inc
726 UFC-1020 O2 100 SCCM MFC Unit Instruments Inc
727 UFC-1000 SF6 50 SCCM MFC Mass Flow Controller Unit Instruments Inc
728 PN TGL-39-735-008 Low Electrode Chuck Tegal Spare Parts
729 PN TGL-39-750-001 Tegal Spare Parts
730 PN TGL-39-757-003 Tegal Spare Parts
731 PN TGL-39-815-003 Tegal Spare Parts
732 PN TGL-39-847-004 Tegal Spare Parts
733 PN TGL-39-848-004 Tegal Spare Parts
734 PN TGL-39-804-001 Tegal Spare Parts
735 PN TGL-39-848-003 Tegal Spare Parts 37-848-003 (?)
736 PN TGL-39-847-002 Tegal Spare Parts
737 APS-B-2710-10 PCB Contactor Relay Driver Applied Materials AMAT PCB
738 PN TGL-39-757-003 Tegal Spare Parts
739 PN TGL-39-815-001 Tegal Spare Parts
740 PN TGL-39-833-001 Tegal Spare Parts
741 PN TGL-39-758-001 Tegal Spare Parts
742 PN TGL-39-735-003 Low Electrode Chuck 5 Inch Tegal Spare Parts
743 PN TGL-39-751-001 Tegal Spare Parts
744 PN TGL-39-817-001 Tegal Spare Parts
745 PN TGL-39-776-001 (?) Tegal Spare Parts
746 PN TGL-39-819-001 Tegal Spare Parts
747 PN TGL-39-852-001 Tegal Spare Parts
748 PN TGL-39-848-005 Tegal Spare Parts
749 PN TGL-39-852-004 Tegal Spare Parts
750 PN TGL-39-852-002 RW Tegal Spare Parts
751 PN TGL-39-853-002 Tegal Spare Parts
752 64-2560TRN20 30 PSIG Max Tescom Regulated Valve Tescom
753 26-12000-05 AP1101SHM 2PW FV4 MV4 4.00 APTech Regulated Valves
754 AP1002S 2PW MV4 MV4 APTech Regulated Valves
755 AP1002S 2PW FV4 FV4 APTech Regulated Valves
756 AP1410SM 2PW FV4 MV4 APTech Regulated Valves
757 Tescom Regulated Valves 60 PSI
758 HIR2000SK43PV1FSMMM Parker VERIFLO Regulated Valves With Millipore Pressure G
759 Tescom Regulated Valves 60 PSI
760 400 Series AIRCO Regulated Valves With Marsh Meters Gauges
761 41500804 310819 Veriflo Regulated Valves With Span Instruments Meters Gauges
762 R501/2 67507-1-006 Air Products Regulated Valve
763 UPE75 581429 UPE375590 Advanced Specialty Gas Regulated Valve
764 SQ60330W2PFSMM And 944SSFSFF Regulated Valve
765 AP1101SHM 2PW FV4 MV4 4.00 APtech Regulated Valve
766 SQ60330W2PFSMM And 944SSFSFF VERIFLO Regulated Valve
767 PN TGL-39-662-001 Tegal Spare Parts For Tegal 1500 Plasma Etcher
768 PN TGL-39-683-001 Tegal Spare Parts For Tegal Plasma Etcher
769 PN TGL-39-654-001 Tegal Spare Parts
770 PN TGL-39-694-001 Tegal Spare Parts For Tegal Plasma Etcher
771 PN TGL-39-854-001A Tegal Spare Parts
772 PN TGL-39-659-001 Tegal Spare Parts
773 PN TGL-39-868-001 Tegal Spare Parts
774 PN TGL-39-858-001 ATegal Spare Parts
775 PN TGL-39-637-001 Tegal Spare Parts
776 PN TGL-39-870-001 Tegal Spare Parts
777 PN TGL-39-689-001 Tegal Spare Parts For Tegal Plasma Etcher
778 PN TGL-39-856-001 Tegal Spare Parts
779 PN TGL-39-872-001 Tegal Spare Parts
780 PN TGL-39-622-001 Tegal Spare Parts
781 PN TGL-39-854-001C Tegal Spare Parts
782 PN TGL-39-699-001 Tegal Spare Parts For Tegal Plasma Etcher
783 PN TGL-39-344-001 Tegal Spare Parts
784 PN TGL-39-858-001 Tegal Spare Parts
785 PN TGL-39-853-004 Tegal Spare Parts
786 PN TGL-39-687-002 (?)Tegal Spare Parts For Tegal Plasma Etcher
787 PN TGL-39-860-001 ATegal Spare Parts
788 PN TGL-39-628-001 Tegal Spare Parts
789 PN TGL-39-857-001 Tegal Spare Parts
790 PN TGL-39-854-001B Tegal Spare Parts
791 PN TGL-39-675-001 Tegal Spare Parts For Tegal 1500 Plasma Etcher
792 PN TGL-39-604-001 Tegal Spare Parts
793 PN TGL-39-636-001 Tegal Spare Parts
794 PN TGL-39-700-007 Tegal Spare Parts For Tegal Plasma Etcher
795 PN TGL-39-682-001 Tegal Spare Parts For Tegal Plasma Etcher
796 PN TGL-39-855-001 Tegal Spare Parts
797 PN TGL-39-655-001 Tegal Spare Parts
798 PN TGL-39-682-001 Tegal Spare Parts For Tegal Plasma Etcher
799 PN TGL-39-869-001 Tegal Spare Parts
800 PN TGL-39-696-001 Tegal Spare Parts For Tegal Plasma Etcher
801 PN TGL-39-633-001 Tegal Spare Parts
802 PN TGL-39-676-001 Tegal Spare Parts For Tegal 1500 Series Plasma Etcher
803 PN TGL-39-639-001 Tegal Spare Parts
804 PN TGL-39-680-003 Tegal Spare Parts For Tegal Plasma Etcher
805 S35 1/4 CW617N 06 450-CWP PN30 26 Valve
806 AP3625S 2PW FV4 FV4 APTech Valve
807 S—4D4L NUPRO  Valve
808 SS-43GXVCR4 Swagelok Valve
809 AP3625SM 2PW FV4 FV4 APTech Valve
810 AP3625SM 2PW FV4 FV4 APTech Valve
811 EWAL Valve
812 EWAL Valve
813 EWAL Valve (Red Covers)
814 SS-4BK V51-C NUPRO Valve
815 SS-4D4L V51 NUPRO Valve
816 SS-4TF TWPM  NUPRO Valve/filter
817 4Z(A)-PR4-VT-SS Parker Valve
818 94C19C6R Valcor Engineering Corp Valve
819 Span Pressure Meter With 316L Fitting
820 Span Pressure Meter With 316L Fitting
821 Span Instruments Si Pressure Meter
822 SS-BNV51 C NUPRON Valve 4HS-A9881 PALL Filter 7310-0229-01 A AG Associates
823 6L-M1V2858-II NUPRO Valve
824 SS-BNV51 C NUPRON Valve 7310-0229-01 A AG Associates
825 SS-8BK-TW-1D NUPROValve
826 SS-4BK-1C NUPRO Valve
827 SS-4BK-1C NUPRO Valve
828 SS-4BK-1C NUPRO Valve
829 SS-4BK-1C NUPRO Valve,part Only
830 SS-4BK V51-10 NUPRO Valve
831 SS-4BK-1C 2 Pieces And SST Tube NUPRO Valves
832 Gas Line With NUPRO SS-4BK V51-1C (3pieces) , SS-4D4L V51, Pall 4HS-R7608-1
833 Gas Line With NUPRO SS-4BK V51-1C (3) , SS-4D4L V51(2) ,Pall 4HS-F2075-1
834 Gas Line With NUPRO SS-4BK V51-1C (8), SS-4D4L V51(4),Pall 4HS-F2075-1 4HS-9889-
835 Gas Line With NUPRO SS-4BK V51-1C (4) SS-4D4L V51(4),Filter ,MFC Unit UFC-1100
836 Gas Line Valves And APTech AP3625SM 2PW FV4 FV4 ,AP3550S DUAL V Fv4Fv4Fv4 HS/2
837 Gas Line Valves Pressure Meter APTech AP3625SM 2PW FV4 FV4
838 Gas Line NUPRO 6L-M1V2858-II Pressure Meter Valve
839 Gas Line NUPRO SS-4BK TW-10 (2) SS-4TF-TW Filter UPC-1000 N2 100 SCM
840 Gas Line APTech AP3625SM 2PW MV4 TW4 PRX/7 ,AP3625SM 3PWG MV4 FV4TW4 PRX/8
841 Gas Line APTech AP3657S 2PW TW4 MV4 1.5 ,AP5008SZ 4 MT SC MK Wika Pressure Meter
842 Gas Line APTech AP1510SHM 2PM MV4 MV4 3.38 ,6L-FV4A-T4A Millipore Pressure Meter
843 Gas Line APTech 95930W2PFSMF,EWAL Valve, Millipore Pressure Meter
844 Gas Line With Valves SS-DSV51
845 8 Inch Cassette With Box
846 12 Inch Cassette Box
847 Matrix 105 Matrix 205 Matrix 106 Matrix 303 Matrix 403 Matrix Monitor Display
848 156x156mm Cassette
849 Blue-white F-400 Flow Meter , Pressure Meter
850 EWAL Valve Span Pressure Meter SST Tube Gas Line
851 PS-10N LOT 99Y P.R.S.-/+100 kPa Pressure Switch SigmaEltec, DV-4D-VCCR Teledyne
852 EISPAN 5626 A Label 23-0560 SPT200 Series
853 EISPAN 5626 A Label 23-0560 SPT200 Series
854 SS-4-BK TW-10 And SS-4TF TWPM-2 Filter NUPRO
855 NVZ110 (17 Pieces In One Set) SMC Solenoid Valve, Gas Line
856 ARO BRYAN 127122-000 Valve, Gas Line With Pressure Meter Plastic Tube
857 Tescom Valve 100 PSIG Max Outlet ,USG U.S.Gauge Pressure Meter
858 48600136 4 88,42700383 4 88,42800891,48600133,427-00384 VERIFLO
859 AP1510S 5PW SG Valve,HPI Valve SEMI 01-0241-B Pressure Meter
860 AP3625S 3PWD FV4 FV4 MV4 ASGT (5) ,AP1510S 5PW AL APTech
861 Gas Lines With Valves And Meters
862 Gas Lines With Valves And Meters
863 SS-42S4 Whitey , Gas Lines
864 Vynckier Enclosure Power Supply -power One HCC 15-3-A, F15-15-A
865 TAPESWITCH CB1
866 Filter Concepts 1146 3x40A 250 VAC 50/60 HZ
867 Filter Concepts 2065 3X100A 250 VAC 50/60 HZ
868 Filter Concepts 3H60 F 3X60A 277/480 VAC 50/60 Hz
869 Power Box
870 Leybold Pump Control Box 16x12x9 Inch
871 B-line LR 78446 Power Box
872 Power One Power Supply HDD15-5-A , HN12-5.1-A, SWITCHING POWER INC OFSX-225IECF
873 Cutler-Hammer DH361UGK Power Box
874 Cutler-Hammer DH361UGK Power Box
875 GE Safety Switch TH4322 Model 10 Amps 60A
876 Leybold Pump Control Box 16x12x9 Inch
877 ENI Model VL-400M1 Phase Shift Controller For STS ICP Equipment
878 P/N V20397 ORIF 1/4. V 120/60 FSI 40 Watts 11.0 SNAP-TITE / ALLIED Valve
879 Valve
880 6LV-DLBW4 NUPRO Regulator Valve Gas Line SST Tube
881 944SSFSFF VERIFLO Regulator Valve With Plate 031-0097 Rev A
882 944SSFSFF VERIFLO Regulator Valve
883 PSI 480-01 Pressure Meter PSI 300562-001
884 Span Pressure Meter 1504383
885 Valves-1
886 Valves-2
887 Valves-3
888 SS-1RS4 Whitey Valves-4
889 1666G2Y Micro HOKE. MITE Regulator Valves-5
890 EATON 7196-0018-0001 SST Tube Connector
891 Valve -7
892 SST Bracket With Connector- 8
893 SST Bracket-9
894 Fittings
895 Valve-10
896 SST Tube Gas Line With Fittings
897 SST Tube Gas Line With Fittings -6
898 SST Tube Gas Line With Fittings -7
899 SST Tube Gas Line With Fittings, 2 Of SS-4BK TW-1C, 3 Of SS-4TF TWPM 0.5 Micron
900 SST Tube Gas Line With Fittings
901 SST Tube Gas Line With Fittings
902 SST Tube Gas Line With Fittings
903 SST Tube Gas Line With Fittings
904 SST Tube Gas Line With Fittings
905 SST Tube Gas Line With Fittings, Adapter 839-338388-1 Rev E1
906 SST Tube Gas Line With Fittings, Gasonics PN  07-0004
907 053-0020 Chamber ,Branson/IPC L3200 Gasonics
908 7310-5874-01 A Gas Line AG Associates Heatpulse Rtp
909 7650-0157-01 SST Tube Gas Line With Fittings AG Associates Heatpulse
910 Tube Gas Line With Fittings AG Associates Heatpulse
911 7650-0100-01 Tube Gas Line With Fittings AG Associates Heatpulse
912 7650-0298-02 B Tube Gas Line With Fittings AG Associates Heatpulse
913 SST Tube Gas Line With Fittings 20
914 7650-0298-02 B (?)Tube Gas Line With Fittings AG Associates Heatpulse
915 SST Tube  Line With Fittings -22
916 SST Tube  Line With Fittings -23
917 SST Tube  Line With Fittings -24
918 SST Tube  Line With Fittings -25
919 SST Tube  Line With Fittings -26
920 SST Tube  Line With Fittings -27
921 SST Tube  Line With Fittings -28
922 SST Tube Line With Fittings -29
923 SST Tube  Line With Fittings -30
924 SST Tube  Line With Fittings -31
925 SST Tube  Line With Fittings , SS-4BK TW-10, SS-4TF-TW NUPRO -32
926 SST Tube  Line With Fittings-33
927 SST Tube  Line With Fittings-34
928 SST Tube  Line With Fittings-35
929 SST Tube  Line With Fittings-1
930 SST Tube  Line With Fittings-2
931 SST Tube  Line With Fittings-3
932 SST Tube  Line With Fittings-5
933 SST Tube  Line With Fittings-4
934 SST Tube  Line With Fittings-6
935 SST Tube  Line With Fittings-7
936 SST Tube  Plastic Tube Line With Fittings-13
937 SST Tube  Gas  Line With Fittings-9
938 SST Tube Gas Line With Fittings-12
939 SST Tube Gas Line With Fittings-8
940 SST Tube Gas Line With Fittings-11
941 7650-0100-01 AG Associates Heatpulse SST Tube Gas Line With Fittings-10
942 2601-0003-01 Fan For AG Associates Heatpulse , ETRI Model 99XU 99XU2182000
943 A36-B10A-15T2-000 Globe Motors ,Fan, 115 VAC 50/60 Hz 7/6 W Impedance Protected
944 WS2107FL-7 Boxer Fan Volts 115/230 A.C AMPS .24/.12 50/60 HzImpedance Protected
945 ETRI 133LP2182 115 VAC 13/12 W 170/150 mA 50/60 HzImpedance Protected
946 Fan For AG Associates Heatpulse , ETRI Model 99XU 99XU2181000 208/240V 50/60Hz
947 Antec 1.6
948 Pomona 4243-0 Double Binding Post For 0.063” To 0.250” Panel
949 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates
950 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates
951 DATA Transfer SWITCH A B Run Model Teach Model AG Associates
952 inmac Clear Signal T-Switch A B
953 Control Box Signal Box
954 TAC•386•KC Omega TC Box For Ag Associates Heatpulse
955 Box Power, RF
956 Box Power
957 2-440-GS40 O Ring G-B33SOL 0384164
958 PN 2908-0041-01 AG Associates Heatpulse H605-004 HL50501 Meter 1/2” Brass
959 J60100-3CR Fuse Buss Bussmann Cooper Industries
960 9830-0390 Station AG Associates Heatpulse, With9820-2020 SVPC-1
961 9830-0390 Station AG Associates Heatpulse, With9820-2020 SVPC-1
962 SMIF Cassette Station Box, 8 Inch
963 2105-220252-12(214) Pedestal Base, Quartz Base ROHM Device
964 2105-220252-12(214) Pedestal Base, Quartz Base ROHM Device
965 2105-220251-12(214) CAP Quartz ROHM Device
966 2105-220254-12(214) Cap Temp Check  ROHM Device
967 Quartz Plate , New BL-06
968 Acrylic Sheet Plate
969 01-5533 Rev 2 chamber Plate
970 2105-220252-12(214) ? Pedestal Base, Quartz Base ROHM Device
971 2105-320027-12 Rev 0 Rev 12-214Quartz Shutter ROHM Device
972 Plate For AG Associates Heatpulse -6
973 Alarm Lamps Frame For AG Associates Heatpulse
974 Plate With 7200-0714-01 , PCB Door Reversal  7100-5136- AG Associates Heatpulse
975 7100-0606-01 Rev A , 3 Fans Of ETRI 99 XM 99 XM 2182 99XM2182 AG Associates
976 Quartz Tube
977 Quartz Tube
978 Quartz Tube
979 Quartz Tube
980 Quartz rod Diameter 3/4” Length About 47” PN 902-0089
981 AC DC Power Box For AG Associates Heatpulse
982 Remote AC Box For Lam Rainbow LRC 4428 4528 4628 4728 4420 4520 4620 4720
983 Swagelok SS-45S8 MAC 912B-PM-111CA Whitey 133SR Cleveland Controls AFS-222 X2
984 Aluminum Chamber For AG Associates Heatpulse 4100 Heatpulse 610  RTP
985 Lamp Con. Assembly AG Associates w/ 7100-5428-01   7100-5429-01 PCB 2100-6106-06
986 Quartzware Quartz Part
987 2105-420045-12 Rev 0 Injector Sleeve Quartzware Quartz Part Rohm Corporation
988 2105-420025-12 Rev 0 Bart Tip Quartzware Quartz Part Rohm Corporation
989 2105-420294-11 (214) Pipe, Intake Gas  Quartzware Quartz Part Rohm Corporation
990 2105-420128-12 Rev 12 Sleeve Quartzware Quartz Part Rohm Corporation
991 2105-420054-12 Rev NA Quartzware Quartz Part Rohm Corporation
992 SP16-DR-A SYSMAC mini OMRON
993 Data Transfer Switch  , ATP Cal, Terminal, SECS, Robot, AG Associates Heatpulse
994 Data Transfer Switch  , Run Model, Teach Model, AG Associates Heatpulse
995 Robot Teach Switch , AG Associates Heatpulse 8108 8800 4108 4100
996 Robot Teach Switch , AG Associates Heatpulse 8108 8800 4108 4100
997 Data Transfer Switch  AG Associates Heatpulse
998 NilStat 3210 FlowBar Controller Quartz Timing ION Systems 91-3210A AG Associates
999 Switch
1000 Data Transfer Switch  , Run And Teach Model , AG Associates Heatpulse
1001 Data Transfer Switch  , Run And Teach Model , AG Associates Heatpulse
1002 O Ring Red
1003 Meter Signal Box
1004 Manhattan Data Transfer Switch  , ATP Cal, Terminal, Robot, Spare AG Associates
1005 Switch
1006 CYBEX PC-COMPANION Plus With/without Cable 7100-3389-03 AG Associates Heatpulse
1007 Battery Backup Unit For AG Associates Heatpulse
1008 CYBEX PC-COMPANION Plus With/without Cable 7100-2390-06 AG Associates Heatpulse
1009 Tric Plate For AG Associates Heatpulse -1
1010 Tric Plate For AG Associates Heatpulse -3
1011 Quartz Tray For Branson/IPC Plasma Asher
1012 Quartz Tray For Branson/IPC Plasma Asher
1013 PN 2100-0305-03 AG Associates Heatpulse Breakout Board
1014 DUNIWAY KF40 Flange, Flex Coupling, 20″ Long, KF40-FX20
1015 DUNIWAY KF40 Flange KF40-TE
1016 DUNIWAY KF25 Flange KF25-EL90
1017 DUNIWAY KF40 Flange 1.00″ KF40-100
1018 DUNIWAY KF25 Flange, 1.00″ KF25-100 Socket Weld
1019 FSI B/N290097-200 Rev A 290097-400 Solder Side ESI 838040 PCB
1020 Tegal PCB 98-044-002 / 99-044-002
1021 PC6062 Rev E Parallel I/O MBC Circuit Side
1022 FSI B/N290102-200 Rev A 290102-400 Rev B Solder Side ESI 752017 PCB
1023 2506491-21 / 2506-491-21 / 2506-491-01rev A PCB Interconnection Gas Mat810-03421
1024 09A208 Transistdc 2N4233A
1025 99-309-001 Rev B OSI-1 98-309-001 Rev A 42-730-001 Rev B 93-318-001 Tegal
1026 Tegal 99-206-001 Rev C GCP-1 Tegal PCB
1027 Tegal 99-188-001 Rev A EPE-1 Tegal PCB
1028 Tegal 99-240-003 Rev B ETS-3 Tegal PCB
1029 Tegal 50590-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
1030 Tegal 50574-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
1031 Tegal 50590-01 Tegal CM1104-00403 Tegal PCB
1032 Tegal 50590-01 Tegal CM1104-00402 Tegal PCB
1033 Varian L6281-303 KF40 Angle Vacuum Valve
1034 Varian L6281-302 NW25 Angle Vacuum Valve
1035 Varian L6281-301 NW16 Angle Vacuum Valve
1036 Gast DOA-P704-AA High Capacity Vacuum Pump
1037 Gast DOA-P704-AA High Capacity Vacuum Pump
1038 Gast DOA-P704-AA High Capacity Vacuum Pump
1039 Model ACG-10 ENI Power Systems RF Generator For Tegal 901e Tegal 903e
1040 Temescal BJD-1800 3-Cathode Sputter System
1041 SPTS FB319368 Z12575D Coolant Pipe & Block
1042 SPTS E06874 O-Ring 383V
1043 SPTS 206221 O-Ring 12mmx1.5
1044 VAT 315011 Stepper Motor Compl CO/N 222386 PN 315011 Nanotec-Munich
1045 SPTS Spare Parts Kit CO/N 222386 PN 543417 O/N 1915648/2
1046 VAT Bausatz SN 726682 And O-rings For STS ICP
1047 SPTS Silicone Insulated Wire Hook PN 541278 Quantity 2 MTs
1048 VAT Ring Compl PN 257469
1049 SPTS Inc AS311050 P.I.C. ASSY (loadlock) For STS ICP
1050 SPTS Inc O-Ring 010V PN E01482
1051 SPTS Technologies Part No E00163 JW Part No OB002965 O Ring
1052 SPTS E01714 O-Ring 280V Lower ICP CAB
1053 VAT O-Ring Set P/N 218703 For STS ICP
1054 SPTS Inc E06922 O-ring  320V For STS ICP
1055 SPTS Inc E06873 O-ring  377V For STS ICP
1056 SPTS Inc 206994 O-ring  BS386 V For STS ICP
1057 SPTS Inc 206078 O-ring  231 RS For STS ICP
1058 SPTS Inc E00313 O-ring  313V Used With 212002 For STS ICP
1059 SPTS Inc E00035 O-ring  035 V  For STS ICP
1060 SPTS Inc E01493 O-ring  109 V  For STS ICP
1061 SPTS Inc E01677 O-ring  212V  For STS ICP
1062 SPTS Inc E01494 O-ring  261V  For STS ICP
1063 SPTS Inc E01411 O-ring  011V  For STS ICP
1064 SPTS Inc E00618 O-ring  18mmx5mm V For STS ICP
1065 SPTS Inc E06060 O-ring  223V For STS ICP
1066 SPTS Inc 206096 O-ring  200.00×2.50mm For STS ICP
1067 SPTS Inc E06873 O-ring  377V For STS ICP
1068 SPTS Inc 206994 O-ring  BS386 V For STS ICP
1069 SPTS Inc DT304896 For STS ICP, Screw Vented CSK M4x6mm
1070 SPTS INC Quartz Discs PN 110865 For STS ICP
1071 SPTS FIN-ICP-001 Ceramic Shield , ICP For STS ICP Clean By Clean Sciences Tech
1072 Ceramic Ring 10.4335”OD X 1.6” Thk UHV Clean For STS ICP STS PRO ICP
1073 Uniformity Shield,ceramic , UHV Clean For STS ICP STS PRO ICP, SPTS
1074 Ceramic Ring Shield PN 1C7367  UHV Clean For STS ICP STS PRO ICP
1075 DT326005 Uniformity Shield 50mm UHV Clean For STS ICP STS PRO ICP
1076 111968 Electrode Upper Insulator UHV Clean For STS ICP STS PRO ICP
1077 DT326005 MOD3 Uniformity Shield 75mm UHV Clean For STS ICP STS PRO ICP
1078 Electrostactic chuck E Chuck 2 Inch For STS ICP STS PRO ICP
1079 Bay Seal Company Part Number 2-383V75 O-ring Viton 75d For STS ICP
1080 SPTS Inc E000017 O-ring 326V For STS ICP
1081 SPTS Inc E01483 O-ring 250V For STS ICP
1082 SPTS Inc E06016 O-ring 333V For STS ICP
1083 SPTS Inc E00392 O-ring 392V  For STS ICP
1084 SPTS Inc E01485 O-ring  274V  For STS ICP
1085 SPTS Inc DT307646 RF Shielding 1.5 Meters For STS ICP
1086 SPTS Inc E00163 O-ring 163V  For STS ICP
1087 SPTS INC PN 237824 Flow Switch FS380 1 SLPM For STS ICP, GEMS FS-380 177592
1088 SPTS Inc PN 112021 RF Connector Spacer For STS ICP
1089 PN 72-0043-002 Ring For STS ICP
1090 SS Ring For STS ICP Fin-082916
1091 SPTS Inc E00276 O-ring  276V  For STS ICP
1092 SPTS Inc E02200 O-ring  263V  For STS ICP
1093 SPTS Inc E00017 O-ring  326V  For STS ICP
1094 SPTS Inc 206997 O-ring  BS381V  For STS ICP
1095 SPTS Inc Part No 260024 Flow Controller Elbow For STS Pro ICP
1096 SPTS Inc E00278 O-ring  278V  For STS ICP
1097 SPTS Inc CL311403 LID SHUT SWITCH CABLE  For STS ICP
1098 SPTS Inc PB310170.02 CS310170.02  For STS ICP
1099 SPTS INC PN 561189 Centering Ring ISO 63 For STS ICP
1100 SPTS Inc 110867 Gasket DN40KF  For STS ICP
1101 SPTS Inc E08012 O-ring  127V  For STS ICP
1102 SPTS Inc 206218 O-ring  253mmx4mm V For STS ICP
1103 SPTS Inc DT313565  PTFE WASHER For STS ICP
1104 SPTS Inc 206996  O-ring  Seal Dowty No.625 For STS ICP
1105 SPTS Inc 206095 O-ring  157×2.5mm Silicone, For STS ICP
1106 SPTS Inc DT309770 PTFE NW 16 O-Ring CARR For STS ICP
1107 SPTS Inc E06015 O-ring  154V  For STS ICP
1108 SPTS Inc DT309771 PTFE NW25 O-ring  Carier  For STS ICP
1109 SPTS Inc E01410 O-ring  112V  For STS ICP
1110 SPTS Inc FB303040 RF Connector Assembly   For STS ICP
1111 SPTS INC Quartz Discs PN 110866 SODA LIME GLASS Discs UV For STS ICP
1112 SPTS Inc 236852 Index Laser Head For STS ICP
1113 SPTS UPS 625VA 50/60Hz PN 256739 For STS ICP. CS13250 Powercom UPS
1114 SPTS Antec Switching Power Supply Model EA-430D For STS ICP.
1115 SPTS Double Acting Cylinder PN 256459 For SPTS ICP STS ICP
1116 SPTS Inc KVM SWITCH Box Set PN 550031 For SPTS ICP STS ICP
1117 HV Inline Valve VAT PN 26524-KA21-0001/0692 For STS ICP
1118 EDWARDS A590-00-945 IH80-MK5 200-208V 50 -60HZ
1119 SMC XLA40-H5Q1A-CFR10120 SPTS PN 3003266
1120 UFC-7301  3cc N2 UNIT 7300 Series
1121 SPTS INC VAT 241783 For STS ICP
1122 Plasma Technology DP 80 PECVD Plasmalab
1123 Varian 3118 E-beam Evaporator And Thermal Evaporator System
1124 Kurt J Lesker Thin Film Dual Thermal Evaporator Used Complete Working
1125 Advanced Energy 3006-000-B / 0090-90279 High Voltage Unit Focus
1126 Advanced Energy 2012-000-K Power Supply , DC Power Supply
1127 Advanced Energy 2012-000-F Power Supply , DC Power Supply
1128 Advanced Energy 3152012-037TPower Supply , DC Power Supply
1129 Advanced Energy 01990 Power Supply , DC Power Supply
1130 Advanced Energy 2011-029-A Power Supply , DC Power Supply
1131 Advanced Energy 2011-029-D Power Supply , DC Power Supply
1132 Advanced Energy 2011-029-E Power Supply , DC Power Supply
1133 RF Power Products RFPP RF25M 7521968010
1134 Advanced Energy 2225-000-F Power Supply , DC Power Supply
1135 Advanced Energy 2225-000-S Power Supply , DC Power Supply
1136 Advanced Energy 2225-000-S Power Supply , DC Power Supply
1137 600-AKV-L ARAMID / FIBERGLASS BLEND 19 oz Aluminized Para Aramid Blend RN 104083
1138 Duniway Stockroom Corp I-100-K Tungsten, 1” Kovar, Glass Ion Gauge Tube, New
1139 Kurt J. Leaker Co. Multi-Gauge LR88590 120/240 VAC 50/60 Hz 5 A
1140 Duniway Stockroom Corp GD-IGH-10-843 Bakeable Ion Gauge Cable For GP 270,271,280
1141 Temescal 0629-0364-0 Assembly , TRC Drive, UPG , New, Never Used
1142 SiSPAN Model GCS-314 Serial 0557
1143 Water Panel With Lines And Valves
1144 Single Claw ISO63-100 Metric, AL PN P1001786 Made In Taiwan
1145 Duniway Stockroom Corp KF40-BCP
1146 Idealvac KF Clamps KF25CLA KF25 Clamp AL PN P101199 New Made In China
1147 Duniway Stockroom Thermocouple Vacuum Gauge Type 0531 TC Vacuum Gauge
1148 MKS Model 852B 12PCA2GC Range 100 PSIA Baratron Pressure Transducer
1149 MKS Type 250 Controller Model 250E-4-D
1150 MKS Baratron 390HA-00001 Range 1 Torr
1151 Eurotherm EFit By Schneider Electric PN 70727224 3700095947 EFIT/50A/240V
1152 MKS N2 MFC Type 2159B-00100RV 100 SCCM With SS-BN496 NUPRO Valve
1153 LEYBOLD INFICON Model 758-500-G1 Deposition Monitor
1154 C&C Power INC Battery Disconnect Panel , Custom Engineered Power Products
1155 Leybold-heraeus Turbovac 360 Made In Germany
1156 Leybold Turbotronic NT 150/360 Part No. 85472-3 Made In USA
1157 VAT High Vacuum Valve
1158 Matheson Totalizer Model 8124 0-100 Counts Per Minute And Newport P6000
1159 Inficon AG Type VGC 401 No. 390-010 F-No: 1262
1160 Granville-Phillips Brooks Automation 385007-GO-T Convectron ATM
1161 Watlow EZ-zone PM6C1CC-1LAJAAA SN 008062 DC 0819
1162 Cecomp Falcon WMPSK Wall-mount Power Supply Kit 115VAC/12VDC
1163 GTC Products L112-DV2-3E-FXFF
1164 CECOMP Electronics Model F16DA400INH2OVAC 0-400.0 INH2OVAC Digital Pressure Gaug
1165 CM192
1166 G&M Tech Inc Model 10RNS Flow Rate 10 LPM Oil-less Rocking Piston Pump Used
1167 Valve With Swagelok K37086 6LV-DAFR-P-O AFLJ , Used
1168 Ideal P103819 Adaptor Conical KF-40 To CF 1-1/3 In., ISO-KF NW-40 To Conflat
1169 Duniway Stockroom Corp Terranova Model 809 Diaphragm Gauge Controller Terra-809
1170 Varian Turbo-V 81-M Turbo Molecular Model 9698904M001 Serial 235934
1171 Corning Laboratory Stirrer Model PC-210
1172 MakeAclamp Make-A-Clamp Kit Stainless Steel Stock Number 4005
1173 ABB AL30 AL30-30-10
1174 VWR 1410 VWR Scientific Vacuum Oven With BC2208 Electromotors LTD BS 5000-11
1175 Grobet Model 3.5 QUART
1176 GP 303 Vacuum Process Controller Granville-Phillips Used
1177 Corning PYREX 9985-150 Watch Glass 7740 Glass 7 Pieces Left
1178 Corning PYREX Disposable Glass Pipettes 15253677
1179 Corning PYREX 9985-100 Watch Glass 7740 Glass 8 PC/ Pack
1180 Corning PYREX 9985-65 Watch Glass 7740 Glass 5 PC/ Pack
1181 Corning PYREX No 7103C TC/TD 20C  25+/-0.3mL, 12PC Package
1182 Branson/IPC S3000 Controller 1 Gas
1183 Branson/IPC 4000 Controller
1184 Branson/IPC PM 119 Generator
1185 Branson/IPC PM 119 RF Generator
1186 Branson/IPC 3000C Controller
1187 Solid Tek ACK-260A FCC: L2BACEKEY260 Keyboard
1188 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
1189 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
1190 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
1191 Alcatel OME 40 C2
1192 Stainless Tube Stainless Pipe
1193 Branson/IPC PM-921C-TEMP Controller And DIONEX GAS Plasma Systems PM119-500 RF
1194 7100-2282-06 , Top Plate Assembly, AG Association Heatpulse 8108, Heatpulse 8800
1195 Halmar Electronics Inc Power Controller Model 1P-2060
1196 Loyola Controls Inc Model EPAC-1-240-7.2 Part No. 01-5262 240v 50/60 Hz 30 Amp
1197 Felker USA 6×4 OD 12GA 304L A774 HT #460350 HT-0
1198 AG Associations 4502-0228-01 NUPRO 6L-MV2858-11 Valve
1199 NUPRO Magnetized Material UN 2807 PN A-5K-E4-L
1200 NUPRO Magnetized Material UN 2807 PN A-5K-E4-A
1201 AG Associates 0641-0796-02 C Kit, FlowMtr/Flowsw Retro
1202 Accurate Gas Control Systems Temperature Control AGT254200-1 PCB
1203 Tegal 700 Tegal 701 Tegal 703 PCB
1204 CE 454-8 Rev D VECTOR 3690-16 PCB
1205 Power DAR Extender Assy 102971-001 Rev A  21080057 FAB 102972-001 Rev B
1206 Extender Board Logic Assy 114473-001 Rev B Teltec 21010004 Fab 114472-001
1207 PCB 9214-97-3 SIDA 294
1208 PL 7901 Extender Card Assy 100108 9331459
1209 E Assy 113261 Rev C Fab 113260c Teltec 01V 1591 21010003
1210 SYNTAX No PC 207906
1211 AG Associates 7400-0109-06 quartz Tray For Heatpulse 8108 4108 8800
1212 SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-0033
1213 AG Associates Purge Interface 9830-2090 PCB
1214 Assy 10090 Motor Driver 10089 Rev A
1215 Assy 10092 Motor Driver 10091 Rev A
1216 PL Model 120 W/ RS-422 Breakout Board SBC-2A 94v-0 99-17
1217 Machine Technology Inc Temp CTRL 2217605210 Made In USA
1218 AG Associates 7200-0962-03 C
1219 AG Associates Heatpulse 7200-1069-01B
1220 AG Associates Heatpulse 7200-0428-01A Door
1221 7200-0067 SR5014590 ,For AG Associates Heatpulse
1222 7200-0383-01A For AG Associates Heatpulse
1223 7310-3473-01 B For AG Associates Heatpulse
1224 7310-3473-01 B For AG Associates Heatpulse
1225 2502-0040 For AG Associates Heatpulse
1226 7200-0746-02-P3 For AG Associates Heatpulse
1227 A93-038-01 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510
1228 A93-038-01 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510
1229 Theis Enterprises Computer With SW For Tegal 901e Tegal 903e Etcher, CS&S
1230 7310-2883-01 A For AG Associates Heatpulse
1231 7200-0983-01 For AG Associates Heatpulse
1232 7310-1064-01 B For AG Associates Heatpulse
1233 0641-0796-02 &7310-4730-01 A , 0710-0425-03 For AG Associates Heatpulse
1234 SP16-DR-A OMRON
1235   SP16-DR-A OMRON
1236   8210 SOLENOID VALVE
1237 AG Associates 4500-9020-01
1238   AG Associates PN 7310-4620-01
1239   AG Associates 7310-2956-01
1240 AG Associates 4502-0035-02 Phd Inc ML-32178 D
1241 AG Associates 031-0096 050-0296 Gas Lines With Valves
1242 03385 KRPA14AG-12V ASSEMBLED In Mexico Potter & Brumfield Control Components
1243 United Electric Controls Company 10-D11
1244 United Electric Controls Company Spectra 10 Model 10-D11
1245 AG Associates 4502-0035-02 Phd Inc ML-32178 D With 7210-0510-01 Bracket
1246 Power-0ne Power Supply HB200-0. 12-A
1247 AG Asscoiates Alarm Lamp With 7200-0514-03 C Plate
1248 AG Asscoiates Bracket 7200-0973-02 B
1249 Original Phd AUK 1 3/8 X 2 -B-M-P For AG Associates 4502-0035-02
1250 Bryant Midget Locking Male Base ,2 Pole 3 Wire Grounding 7595MB
1251 Two Layers Bracket For Wafer Central Station
1252 KW-509S FCC ID IJKW-509S PCB CMD LL CM16C550P 9748
1253 LAMBDA Model LVS-42E-5 For AG Asscoiates 4000-0010-01 SRV
1254 AG Asscoiates 7100-3689-01 Tool, Flange Pin Repl
1255 AG Associates 4502-0035-02 Phd Inc ML-32178 D With 7310-0532-01 A Bracket
1256 Swagelok NY-400-1-1 Male Connector VDYKE
1257 teflon fittings swagelok
1258 C&K(6)CR A Series 2.5 A 125VAC Key
1259 Assembled In Mexico AIRPAX Line LR26229 AG  Associates 5102-0033-01
1260 Magnehelic Dwyer Instruments Inc Pressure Meter
1261 ETRI Model 99XM 233-2662-57 Metron Technology AG Associates 2601-0030 Fan
1262 Interfan PM018-115-3B Fan
1263 5102-0023-01 Metron Technology AG Associates Heatpulse
1264 Assembled In Mexico AIRPAX Line 9309 AG  Associates 5102-0038-01
1265 Assembled In Mexico AIRPAX Line AG  Associates 5102-0034-01
1266 Assembled In Mexico AIRPAX AG  Associates 5102-0021-01
1267 Southco 03-180055-000 E31021550 PN 1409-0090-02
1268 7600-0272-01 AG Associates Heatpulse Chips
1269 CLTC-5975-HV 18108-01-R-A
1270 CLTC-4242-SM 18108-01-R-A, STK-2503-HV
1271 7200-0213-B for AG Associates Heatpulse RTP
1272 Matrix 990-95010
1273 AG Associates Heatpulse Pump 115 , GAST MOA-V113AE With 7100-1270-05 Wire Cable
1274 Yokogawa Meter With 93-957-001 Cable
1275 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
1276 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
1277 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
1278 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
1279 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
1280 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
1281 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
1282 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
1283 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP
1284 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP
1285 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
1286 Quartz Tray Fork
1287 Target Shield For Thin Film Deposition Equipment
1288 Target Shield For Thin Film Deposition Equipment
1289 Target Shield For Thin Film Deposition Equipment
1290 Cover For Thin Film Deposition Equipment
1291 SST Tube Plastic Components For Thin Film Deposition Equipment
1292 Fixtures For Thin Film Deposition Equipment
1293 Fixtures For Thin Film Deposition Equipment
1294 Fixtures For Thin Film Deposition Equipment
1295 Huntington Labs PN 4629219 MFR-132-50-MOD For Thin Film Deposition Equipment
1296 Cable , Temp,For Thin Film Deposition Equipment
1297 Cover, Cable With Sensor For Thin Film Deposition Equipment
1298 SRS Stanford Research Systems Inc Residual Gas Analyzer High Vacuum Component
1299 Plastic Cylinder For High Vacuum Component For Thinfilm Deposition Equip Part
1300 Power-one HD28-4-A
1301 ASCO Red-hat SCB262G91VH Valve Automatic Switch Co
1302 Parts For Thin Film Deposition Equipment
1303 Cable  For Thin Film Deposition Equipment
1304 Cable  With Fitting For Thin Film Deposition Equipment
1305 Cable For Thin Film Deposition Equipment
1306 Feedthrough Parts For Thin Film Deposition Equipment
1307 Feedthrough Parts For Thin Film Deposition Equipment
1308 Feedthrough Parts For Thin Film Deposition Equipment
1309 Feedthrough Parts For Thin Film Deposition Equipment
1310 Feedthrough Parts For Thin Film Deposition Equipment
1311 Feedthrough Parts For Thin Film Deposition Equipment
1312 Feedthrough Parts For Thin Film Deposition Equipment
1313 Feedthrough Parts For Thin Film Deposition Equipment
1314 Feedthrough Parts For Thin Film Deposition Equipment
1315 Panel For Thin Film Deposition Equipment
1316 Panel For Thin Film Deposition Equipment
1317 Panel For Thin Film Deposition Equipment
1318 PFEIFFER Vacuum 106225  For Thin Film Deposition Equipment
1319 09.2-0475 Bellow For Thin Film Deposition Equipment
1320 Thermal Evaporators Nest For Thin Film Deposition Equipment
1321 Thermal Evaporators Nest For Thin Film Deposition Equipment
1322 Panel With Omega CN79022 For Thin Film Deposition Equipment
1323 Vacuum Tubes For Thin Film Deposition Equipment
1324 Vacuum Ring For Thin Film Deposition Equipment
1325 Vacuum Flange For Thin Film Deposition Equipment
1326 Vacuum Structure For Thin Film Deposition Equipment
1327 Vacuum Structure With Bayside NE23-050-LB For Thin Film Deposition Equipment
1328 Adapter /flange For Thin Film Deposition Equipment
1329 Panel For Thin Film Deposition Equipment
1330 Panel For Thin Film Deposition Equipment
1331 Panel For Thin Film Deposition Equipment
1332 Evaporators Parts For Thin Film Deposition Equipment
1333 Evaporators Parts For Thin Film Deposition Equipment
1334 Cover For Thin Film Deposition Equipment
1335 CHA Industries Panel With Cables / Wires  For Thin Film Deposition Equipment
1336 6338-2886-0 Cable DB25M/DB25F ,20FT For Thin Film Deposition Equipment
1337 6338-2884-0 Cable DB15M/DB15F, 20FT For Thin Film Deposition Equipment
1338 Temescal 0620-7612-0 Cable , EBC Indexer DR For Thin Film Deposition Equipment
1339 Cable For Thin Film Deposition Equipment
1340 Cable 0001-00288-00 For Thin Film Deposition Equipment
1341 Cable With Fitting For Thin Film Deposition Equipment
1342 Cable/wire For Thin Film Deposition Equipment
1343 Raytek MI Sensor With Cable / Wire For Thin Film Deposition Equipment
1344 Cable For Thin Film Deposition Equipment
1345 Cable For Thin Film Deposition Equipment
1346 Cable For Thin Film Deposition Equipment
1347 Cable For Thin Film Deposition Equipment
1348 Cable For Thin Film Deposition Equipment
1349 Cable For Thin Film Deposition Equipment
1350 Cable For Thin Film Deposition Equipment
1351 Cable For Thin Film Deposition Equipment
1352 Cable For Thin Film Deposition Equipment
1353 Cable With XUP-J203135 For Thin Film Deposition Equipment
1354 Cable With XUP-J203135 For Thin Film Deposition Equipment
1355 Machine Parts For Thin Film Deposition Equipment
1356 Machine Parts For Thin Film Deposition Equipment
1357 Wafer Carrier: 12 Inch Si Ingot Wafer Carrier For 6 Inch Wafer With Flat
1358 Temescal FC-1800 Electron Beam Evaporator System – TES refurbished and upgra
1359 USED LAM Research 4400 715-011630-001 PEDESTAL ESC
1360 Genetix ClonePix FL Automated Clone Screening Colony Picker w/ Jun-Air OF302-10S
1361 Oxford Plasmalab System 100 RIE SN: 94-417795
1362 Oxford Plasmalab System 133 Serial No : 417718
1363 Oxford Plasmalab System 133 RIE Serial No : 417728
1364 15-00729-00 CLAMP, SPINDLE HUB MOUNT, Lam Research Novellus
1365 Electroglas 2001X EG 4090 Wafer Prober, 8 sets in a lot
1366 Neutronix NV2 Canon PLA 500/501 Wafer Mask Aligner
1367 Canon Neutronix PLA-545 UV Mask Aligner
1368 Canon  Neutronix PLA501 Front & Back Aligner, 3 Wafer
1369 Hewlett Packard 4061A Semiconductor Test System
1370 Kulicke & Soffa K&S 8028 Auto Gold Ball Bonder
1371 Kulicke & Soffa K(&S) Triton RDA Auto Wedge Bonder
1372 Sheldon  Bellco 1927 Laboratory Oven
1373 MTI OTF-1200X Open Tube Furnace
1374 Semitool SD250 Spin Dryer
1375 Technic Inc. Portable Wet Bench
1376 Technics Macro Stripper Series 2000 ID-D380-020
1377 Varian 3120 Electron Beam Evaporator
1378 Varian 3120 Electron Beam Evaporator
1379 Leecraft VCC 26-07 2000W 600V 10A , 2109-0050 Lamp Holder, 20 piece in a lot
1380 AJA International Model ST-4510 Sputter Cathode With Target 7x12x1.5 Inch
1381 AJA International Sputter Cathode
1382 AJA International Sputter Cathode Part
1383 Refurbished AG Associates Heatpulse 4100S Rapid Thermal Annealing Equipment
1384 Yield Engineering Systems Inc YES Model R1 YES R1 Asher  Dry Asher D380-017
1385 Yield Engineering Systems Inc YES Model R3 YES-R3 Asher  Dry Asher D380-018
1386 LFE Plasma Systems PP-151-9 Plasma Asher Plasma descum Dry Asher D380-019
1387 KLA Tencor Surfscan 4500
1388 Wafer Carrier: 6 Inch Quartz Disk (1mm Thickness) With  4 Of 2 Inch Pocket
1389 Plasma Etch BT1 Plasma Asher Etcher F22
1390 BOLD Technologies INC Batch Develop Station
1391 Electroplating Engineers Of Japan LTD EEJA Manual Plater Model #4
1392 Edwards High Vacuum Pump E2M40FSPX SN 3804
1393 Nanoline CD Measurement Nanometrics Critical Dimension Computer
1394 Tencor M-gage 200 Kla Tencor Mgage For 2 To 5 Inch Metal Thin Film Metrology
1395 Wafer Carrier: Silica With SiC Coating
1396 4 Inch Teflon Cassette PFA FLUOROWARE AI82-39MLBY A182-39MLBY
1397 6 Inch Teflon PFA FLUOROWARE AI82-60MU A182-60MU ? PFA Wafer Cassette
1398 5 Inch Teflon Cassette PFA FLUOROWARE AI82-50MBH PFA Wafer Cassette
1399 APT Applied Process Technology Steamboat Semiconductor Developer Wet Process
1400 Coppy MHY Bath 24 Liter,2 Bathes, Coppy MHY Plating Solution
1401 Gold Techni TG25T Bath Rhodium 225 Bath Complete Working
1402 Canon PLA-501 F Parallel Light Mask Aligner Crated, Canon 501 F Mask Aligner
1403 Canon PLA-501 F Parallel Light Mask Aligner Crated, Canon 501 F Mask Aligner
1404 Oven Technology Inc  Oven Technology 4400 Oven Technology 4600 OTI Bake-Oven
1405 VWR Scientific 1370 F Oven
1406 Blue M OV-472A-2 38C-260C
1407 Associated Vacuum Technology Lab-Line 3625 With LAB-LINE   SQUAROID Duo-VAC Oven
1408 Metal Cassette 4 Inch
1409 Stainless Steal Carrier 5inchx5inchx0.5inch
1410 Metal Carrier Aluminum Carrier , 2×4 Inch 2mm Thickness 1mm Pocket
1411 Metal Carrier 1mm Thickness Total ,300um About Depth 4 Inch Wafer Carrier
1412 Oven Accessories
1413 Oven Accessories
1414 Omega Engineering DP25-TC 115V 6 Watts Meters
1415 Timer
1416 Omega DP-116-JC1-DS Calibration J
1417 Metal Cassette 4.5 Inch
1418 Varian 3180 Shield Varian 3180 Sputter System
1419 Varian 3180 Plate Varian 3180 Sputter System
1420 Wet Process Equipment
1421 Wet Process Equipment
1422 Wet Process Equipment
1423 STI Semitool Spin Rinse Dryer ST-260
1424 Canon PLA-501 F Parallel Light Mask Aligner
1425 Boc Edwards Spectron 5000 Helium Leak Detector Vacuum Pump 18 1.5
1426 Applied Materials Plasma II Plasma Etching
1427 Ushio HB-25105AP Mercury Lamp Power Supply for Neutronix NV2 Canon PLA 500/501
1428 Varian 3180 O-Ring ,shutter F/T Size 2-112, 10 Pcs In A Package
1429 Varian 3180 O-Ring , 1.5 I.D.X. 13W (CRS P/N 3180029 ) 1/27-45967 8 In A Package
1430 Varian 3180 O-Ring , 2.25 I.D.X. 13W (CRS P/N 3180030) 1/27-459656 8 In Package
1431 Varian 3180 O-Ring , Coax F/T To Front Plate Size 2-157 P/n 3/27-409274-00
1432 Varian 3180 O-Ring ,7.225 ID X 0.210w (CRS P/N 3180041) 8 Pcs In A Package
1433 Varian 3180 O-Ring  (CRS P/N 3180021) 5Pcs In A Package
1434 Varian 3180 O-Ring  (CRS P/N 3180020) 9 Pieces  409230 In A Package
1435 Varian 3180 O-Ring  (CRS P/N 3180022) ,10 Pieces In A Package, 409233
1436 Varian 3180 O-Ring  (CRS P/N 3180025) ,5 Pieces In A Package, 2-149
1437 Varian 3180 O-Ring  (CRS P/N 3180019) ,10 Pieces In A Package, 403-021
1438 Varian 3180 O-Ring  (CRS P/N 3180018) ,10 Pieces In A Package, 402-414
1439 Varian 3180 O-Ring  8.25 ID X 0.210WCRS P/N 3180042),8Pieces In A Package
1440 Varian 3180 O-Ring  Shutter F/T To Rear Plate P/N 3180036) 10 Pieces In Package
1441 Varian 3180 O-Ring  Seal Ring 4 Lobe Coax Feedthru 3180032) 10 Pieces In Package
1442 Varian 3180 O-Ring  P/N  3180020 1 PieceIn Package, 409-230
1443 Varian 3180 Cover/shield  P/N  3180043
1444 Varian 3180 Ceramic Ring P/N  318012, 3180012(?)
1445 Varian 3180 Cover Shield P/N  3180007
1446 Varian 3180 Whitman Controls Corp P117G-3H-F11L6-X Switch 10 PSI, P/n 3180037
1447 Varian 3180 Whitman Controls Corp P117V-3N-F11L13-X-674930 Switch 3180038
1448 Varian 3180 P/N 3180010,Humphrey
1449 Varian 3180 P/N 3180009,Humphrey
1450 Varian 3180 Spare Parts P/N 3180014
1451 Varian 3180 Spare Parts P/N 3180013, 3180015(?)
1452 Varian 3180 Spare Parts P/N 3180023
1453 Varian 3180 Spare Parts P/N 3180008 Switch Pressure 35 PSI 2 Pieces In A Pack
1454 Varian 3180 Spare Parts 2/0067194600 AR213180-027 PN 3180027
1455 Varian 3180 Spare Parts 1/A674682 PN 3180034 Cap, Sensor
1456 Varian 3180 Spare Parts PN 3180005 ,100 Pieces 652-004
1457 Varian 3180 Spare Parts PN 3180006, 100 Pieces 600-024
1458 Varian 3180 P/N 3180046
1459 Varian 3180 P/N 3180026
1460 Varian 3180 P/N 3180033, Mount , Sensor A674536
1461 Wentworth Labs Assy 3-102-1868 A CSP12X8-SB-150 #2 S.O. #25561
1462 Wentworth Labs Assy 3-102-1868 A TT1244DA8XSBSD #1 S.O. #26767
1463 Wentworth Labs Assy 3-402-0069 Rev C CSP6X6 CSP8 #2(?)
1464 Wentworth Labs Assy A/w 3-102-1868 Rev A CSP12X8-SB-SD , S.O.#26378
1465 Wentworth Labs Assy A/w 3-102-1868 Rev A TT1244DA8SBSD , S.O.#26767
1466 Wentworth Labs Assy 3-102-1868 A TT1244DA-8X-SB #1 /#2 S.O. #26767
1467 Wentworth Labs Assy 3-102-1868 A TT2214A4XSBSD #1 /#2 S.O. #26767
1468 Wentworth Labs Assy 3-102-1868 A TT2214A-4X-SB #1 /#2 S.O. #26767
1469 BS CSP 140X190 448 S/N:05 /04/06
1470 Accessories, Package Sale
1471 Wentworth Labs Probe Card
1472 Teravicta R-CSP8-01 PCB
1473 Teravicta RT-CSP8X6-01-PKg PCB
1474 Teravicta 8_Dev_Doc Rev b With 8_CotoB41_DC_b PCB
1475 Teravicta RT_Liadboard_Dock Rev a With TT1244A
1476 Teravicta RT_CSP12X8-01 Rev C
1477 Canon BH4-0226-03 BG6-0126 PCB09 For Canon 501 F Mask Aligner
1478 Canon BH4-0224-03 BG6-0124 PCB07 For Canon pLA-501 F Mask Aligner
1479 Canon BH4-0227-04 BG6-0127 PCB10 For Canon 501 F Mask Aligner
1480 Canon BH4-0225-03 BG6-0125 PCB08 For Canon 501 F Mask Aligner
1481 Canon BH4-0605-02 BG6-0586 PCB06F For Canon PLA-501 F Mask Aligner
1482 Canon BH4-0228-03 BG6-0128 PCB11 For Canon pLA-501 F Mask Aligner
1483 Canon BH4-0223-03 BG6-0123 PCB06M For Canon pLA-501 F Mask Aligner
1484 Canon BH4-0227-04 BG6-0127 PCB10 For Canon 501 F Mask Aligner
1485 Canon BH4-0228-02 BG6-0128 PCB11 For Canon pLA-501 F Mask Aligner
1486 Canon BH4-0226-02 BG6-0126 PCB09 For Canon 501 F Mask Aligner
1487 Chuck  For Canon 501 F Mask Aligner?
1488 USH-500FU USHIO Lamps Super High Pressure Mercury Lamp
1489 Varian 3180 Spare Parts Varian 3180 Sputter System
1490 ST 001 Printer Paper P/N#2920-000008
1491 ST 055 T.E.M. Filter Company Gas Filter No. TEM-811-P Max Press 750 PSI 0.003um
1492 ST 004 RSI Power C98B07926 MW Mean Well SP-300-24
1493 ST 052 Norgren 11-018-100 Precision Pressure Regulator
1494 ST 029 Norgren 11-018-146 REG Max Set 28PSI
1495 ST 034 Parker B2D X271DC2AC2 24 VDC
1496 ST 032 Rechner Sensors KAS-70-20-S ,711800
1497 PN 233-1729-65 Pallet, 4 Inch, 22 Wafers For Perkin-Elmer 4400 4410 4450 Spu
1498 PN 233-1728-41 Shutter, Full Circle For Perkin-Elmer 4400 4410 4450 Spu
1499 PN 233-1722-63 Magnetron , Delta For Perkin-Elmer 4410 4450  with 221-313-100
1500 0992-40375 Electrode Full mesh Groud, for Matrix 103,106,105,205,108, 206 plasma
1501 B09755 Quartz, Gas Ball Quartz For Branson IPC 3000, 2000, 4000 Series Asher
1502 B10465 Quartz CUP JOINT EXHAUST GAS For Branson IPC 3000 2000 4000 Series Asher
1503 B09757 Quartz TRANSUCER VACUUM FITTING For Branson IPC 3000, 2000, 4000 Series
1504 C04476  Window 12″ Reactor Quartz Window For Branson IPC 3000, 2000, 4000 Series
1505 054-0009-01 Quartz Fitting For Branson IPC 3000, 2000, 4000 Series Plasma Asher
1506 ASM/A Plasma Enhanced Deposition System Control Cabinet Control Box, ASM AMERICA
1507 HAMAMATSU PHOTONICS K.K. C956-04 Made In Japan
1508 ASCO Scientific AL374 VI30PSI 5102 24VDC
1509 ST024  API Gettys 23D-6112M Model 20 VDC Voltage
1510 ST025 J54S 126 Option 9043 E/R 15A 480 VAC United Electronic Controls
1511 ST005
1512 ST033 Parker B14Dx35 Valve 24V DC
1513 ST017 C 501920 1033.20
1514 ST087 Theta Stage Rolling Diaphragms 52-02-00170
1515 ST070 263-790 LT1013CN8
1516 0992-70051 ELECTRODE, SILVER, POSITIVE for Matrix 103,106,105,205,108, 206
1517 ST042 Part Number 1N6282 Century Electronics
1518 ST053 Output Wafer Sensor Board 0513-491400
1519 ST060 PN 2510-000001 Focus Motor Timing Belt
1520 32 Bit Interface Model No. 120 Semi fusion Corp #356 Tr. ST9 Or ST600 Or ST009?
1521 Century Electronics PN DM74S473AN +B8504, in ST071 package
1522 ST073 LT1012 CN8 Or LT1012CN8?
1523 ST046 VN10KM Transistor MOSFET
1524 ST015
1525 ST063 HP Hewlett-Packard 10780A Receiver 2204A06514
1526 ST020 PN 2504-110045 O-ring ,black VITON
1527 ST151 200 Micron Cross Mask 0606-446200 500210 KS Equipment Inc
1528 ST061 O-ring, Black VITON PN 2504-110033
1529 ST045 Mask Change Switch Assy P/N 681-0065-011 SGS99347 TIP127 Italy
1530 ST085 Inalnd Servo Brush 50-02-00334
1531 ST021 O-ring, Black VITON PN 2504-110033
1532 ST012
1533 ST022 O-ring Black VITON PN 2504-106030
1534 ST037 Mirror ,Detector 2% Transmitted
1535 MC6821P For Tegal PCB
1536 Tegal 903e Tegal 901e UPP Electrode PN 39-188-002
1537 Tegal 903e Tegal 901e Ring PN 39-908-001
1538 Tegal 903e Tegal 901e 99-165-003 And 99-197-001 And Omron E2K-X4ME
1539 74LS 160A  Or 74LS160A 5.22 , Original For TEGAL 901e Tegal 903e Tegal Equipm
1540 AD7541JN 8719, Original For TEGAL 901e Tegal 903e Tegal Etcher
1541 AD2020 406 , Original For TEGAL 901e Tegal 903e Tegal Etcher
1542 Am27128DC 8411LPP AMD , Original For TEGAL 901e Tegal 903e Tegal Etcher
1543 Olympus MX50A-F With Nikon DN 100, Digital Net Camera
1544 TGL-80-506-008 OPTICAL FILTER 777 NM endpoint Filter Tegal Spare Parts
1545 TGL-80-506-013 OPTICAL FILTER 750 NM endpoint Filter Tegal Spare Parts
1546 TGL-80-506-003 OPTICAL FILTER 520 NM endpoint Filter Tegal Spare Parts
1547 L-8CS :Door Seal, 8″, for Branson 2000 / 3000. / 4000 IPC Plasma asher
1548 055-0032-01: Pump Adapter Seal ,for Branson IPC Plasma asher
1549 Perkin Elmer backing plate DC Magnetron 8″ diameter # 221-249-400
1550 0995-10512 INDEXER,CASSETTE for Matrix 103,106,105,205,108, 206
1551 PN:40-750-601  Tegal 6″ Focus Ring
1552 Quartz Tube For Branson/IPC 3000, 2000, 3100, 3001s, 4000
1553 Quartz Tube Quartz Adaptor For Branson/IPC 3000, 2000, 3100, 3001s, 4000
1554 Quartz Tube Quartz Adaptor Quartz Connector For Branson/IPC 3000, 2000, 3100,
1555 Quartz Cover Quartz Cap For Branson/IPC 3000, 2000, 3100,4000,3001s
1556 Clamp For Quartz Connection For Branson/IPC 3000, 2000, 3100,4000,3001s
1557 Clamp For Quartz Connection For Branson/IPC 3000, 2000, 3100,4000,3001s
1558 PN:33-085-002 Tegal 903e Tegal 901e Bellows Lower electode
1559 HP4062 Semiconductor Process Control System
1560 HP4062UX Semiconductor Process Control System , 10 PC Of HP 4084B
1561 HP4062UX Semiconductor Process Control System
1562 HP 4085B Switching Matrix
1563 HP 4085B Switching Matrix
1564 HP 4062 Process Control System With 4x HP 4142B And 1X Keithley 7002 Switch Sys
1565 HP 4062UX Process Control System,  With 4x 4142B Modular DC Source / Monitor
1566 HP 4062UX Process Control System
1567 Matrix 303 Plasma Etcher Top Chamber
1568 Matrix 303 Plasma Etcher Chuck
1569 Matrix 303 Plasma Etcher Chuck
1570 Matrix 303 Matrix 105 (?) Plasma Etcher Plasma Asher Bottom Of Chamber
1571 Gasonics A01-008-03 Rev A For Gasonics AE 2001 Or Gasonics Aura 1000
1572 Matrix 303 Plasma Etcher Ceramic Ring
1573 A95-205-01 Rev A & A95-053-02 C Gasonics Lamp Assembly For Gasonics Aura
1574 R95-3009 Rev D Gasonics Lamp Assembly For Gasonics Aura 3010
1575 A95-205-01 Rev A & A95-053-02 C Gasonics Lamp Assembly For Gasonics Aura
1576 A01-132-01 / 01-2125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall
1577 A01-132-01 / 01-2125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall
1578 39-793-001 Tegal Top Electrode Raines Technologies
1579 95-3618 Gasonics Lamp Tray For Gasonics Aura 3010, 3000, 2000LL, L3510
1580 39-535-003 Tegal Spare Part
1581 40-329-002  Tegal Spare Part
1582 40-215-001 Tegal Spare Part
1583 33-295-001 A Tegal Spare Part
1584 39-562-001 Tegal Spare Part
1585 1E-011 Tegal Spare Part, Bellow
1586 80-202-291 Tegal Spare Part
1587 80-095-256 Pin Lifter Fiber Optic Cable Tegal Spare Part
1588 80-202-327 Tegal Spare Part
1589 99-195-003  Tegal 1513e PCB, Switch Assembly Spare Part
1590 1116-40601 Tegal 1513e Assembly Lower Electrode Spare Part
1591 94-287-002 Tegal 1513e Switch , Differential Spare Part
1592 Tegal 1513e Loft Assembly, Wafer,
1593 Tegal 80-202-341 Spare Parts
1594 Tegal 80-055-182 Spare Parts
1595 Tegal 80-197-032 Spare Parts
1596 Tegal 80-197-032 Spare Parts
1597 Tegal Spare Parts
1598 Tegal Spare Parts
1599 Tegal 83-126-003 PCB Spare Parts
1600 Tegal 99-244-001 Rev C PCB Spare Parts
1601 Tegal 99-136-001 D PCB Spare Parts
1602 Tegal 99-126-005 A PCB Spare Parts
1603 Tegal 99-240-003 C PCB Spare Parts
1604 Tegal 99-129-006 RW PCB Spare Parts
1605 Tegal 99-232-004 N PCB Spare Parts
1606 Varian F9350001 Ring, Clamp, 100mm CTG Spare Parts
1607 Varian F9350001 Ring, Clamp, 100mm CTG Spare Parts
1608 Tegal 80-095-223 Spare Parts
1609 Tegal 81-007-138 Bellow Spare Parts
1610 Millipore 300894-001 / 1ZR9745J Spare Parts
1611 Tegal 80-679-008 Spare Parts
1612 Varian 00-674163-00 Anode Cap Spare Parts
1613 PN 12S1038A Spare Parts, Varian?
1614 Tegal 99-299-001 G PCB  Spare Parts
1615 Tegal 1513e DC Module Item CE 1029  Parts
1616 Tegal 1513e AC Module Item CE 1028 Parts
1617 Tegal 1513e AC Module Item CE 1028 Parts
1618 Tegal 1513e Motor Controller Item CE 1093  Parts
1619 Tegal 1513e Matching Network Item CR 1031
1620 Tegal? Fabco-Air Inc E 521 Xk
1621 Tegal  40-244-002 A
1622 Tegal  93-128-004 Rev E Cable RF
1623 Tegal 1513e Aligment Tools-Jigs ID-AWS-010
1624 Varian 105120001 Blbctrode ID-AWS-011
1625 Whitey Co 133 SR 200psi 90 Spring Return With SST Tube   ID-AWS-011
1626 SST Tube   ID-AWS-011
1627 Varian  1094341 +opto Insolator Assy ID-AWS-011
1628 Varian  05720001 Retainer Seal  ID-AWS-011
1629 LISK S-1997 ID-AWS-011
1630 Clippard Minimatic Lock-in 4-way R431 ID-AWS-011
1631 10 Inch Shield Panel  ID-AWS-011
1632 Amperex 4-400A 97-12  ID-AWS-011
1633 Tegal 1513e Gas Controller Item CG 1150 -00202 ID-AWS-012
1634 Tegal 1513e Main Controller Item CD 1043-00205 ID-AWS-012
1635 Tegal 1513e Main Controller Item CD 1043-00205RW ID-AWS-012
1636 Tegal Bias Power Supply 80-095-164 ID-AWS-012
1637 Tegal T-1000E DC Supply  ID-AWS-012
1638 NESLAB Instruments Inc 76mm 1mm PN 4100-005-02 ID-AWS-013
1639 LSL-024R Light Module ID-AWS-013
1640 Matrix 105 (?) RF Matching Network ID-AWS-013
1641 pRO-1010 High Capacity Two Bed 248-7-2374W 09/2009 ID-AWS-014
1642 MKS ION Gauge Controller 290B-01 Type 290 ID-AWS-015
1643 Lamp Reflect Assy ID-AWS-013
1644 Loctite Electronics Division 1600-0005-01 For AG Associates Heatpulse ID-AWS-016
1645 0641-0254-01 With 7310-1059-01 For AG Associates Heatpulse ID-AWS-016
1646 7310-1059-01 For AG Associates Heatpulse ID-AWS-016
1647 0641-0253-01 With 7310-1058-01 For AG Associates Heatpulse ID-AWS-016
1648 7310-1058-01 For AG Associates Heatpulse ID-AWS-016
1649 0641-0941-01 With 7100-5992-01 Etc For AG Associates Heatpulse ID-AWS-016
1650 Hydrogen Leak Detector For 5000 Ppm ID-AWS-017
1651 Vexta Stepping Motor Distributed Motion Inc PH2654L-05B-C10A ID-AWS-017
1652 H2 Reg. & Gauge 44-2261-242-019 ID-AWS-017
1653 Parker HIR2000SK43PV1FSMMM  ID-AWS-017
1654 MDC Ultra High Vacuum 3 Inch Angle And Inline Manual Valve LAV-300 ID-AWS-017
1655 Vexta Stepping Motor Distributed Motion Inc PH2654L-05B-C10A ID-AWS-017
1656 Springville MFG Co Inc I 125x4M 5/80 Max 250 Psi ID-AWS-018
1657 Springville MFG Co Inc I 125x7m 5/80 Max 250 Psi ID-AWS-018
1658 Swagelok SS-12-UT-A-16 ID-AWS-018
1659 Swagelok ? Connector ID-AWS-018
1660 VAT Valve F26-60307-871 Assembly ID-AWS-018
1661 MKS Baratron 1torr 227AHS-A-1 ID-AWS-018
1662 GP 275 Mini-convectron Granville-phillipg ID-AWS-019
1663 Eurotherm 101 Temperature Controller ID-AWS-019
1664 Swagelok SS-600-3LQ ID-AWS-019
1665 Tyco Electronics Alcoswitch RM200 5-1437614-4 ID-AWS-019
1666 NAF2000-N01-C SMC ID-AWS-019
1667 Varian Type 0531 Tc Vacuum Gauge 1/4” VCR AMAT 3310-01074 ID-AWS-019
1668 APtech AP1506S 4PW MV4 FV4 FV4 BO Pressure Regulator Silane ID-AWS-20
1669 MKS Barathon 142A-13243 10 Torr ID-AWS-20
1670 Filterchem Plastic Valve PR-75-2 ID-AWS-20
1671 TAIYD 35H-3 HYDRAULIC CYL 1CB80B300-AB-X ID-AWS-008
1672 TAIYD 35H-3 HYDRAULIC CYL 1CB80B300-AB ID-AWS-008
1673 Airproducts 809-470172904A SST Gas Tube ID-AWS-021
1674 Airproducts 809-451247704A SST Gas Tube ID-AWS-021
1675 7EC4889-850 Pall Trinity Micro SST Gas Tube ID-AWS-021
1676 Dynatex International DX-III Scriber Breaker ID-AWS-7-5
1677 Keller Technology Corp KTC Bondtest-30 BT-30 W/TD 110v 3A 60Hz ID-AT-012
1678 Matrix 105 Matrix 205 Matrix 303 Matrix 403 Monitor Front Frame ID-AWM-D-1-001
1679 Pacific Scientific SC323A032 115/230 1/3 Ph ID-AWM-D-1-002
1680 Nikon 200493 Camera-2 Camera Control Unit ID-AWM-D-1-003
1681 Jofra D50RC D 50 RC ID-AWM-D-1-004
1682 PFEIFFER Balzers Vent Control TCF 102 PM C01 350 A/F 420 ID-AWM-D-2-1-001
1683 Inficon 901-200-04 Quadrex-200 SCU Mass Filter Control ID-AWM-D-2-1-002
1684 MKS Electronic 170M-6C ID-AWM-D-2-1-003
1685 SPAN LR300 ID-AWM-D-2-1-004
1686 Bold Technologies 625 DTS Model 625D Dump Rinse Control ID-AWM-D-2-2-001
1687 DE10002A208V Softswitching Used With SST Same Model ID-AWM-D-2-2-002
1688 Burr-Brown TM25-300HT Farco ID-AWM-D-2-2-003
1689 MotorSTP Controller BSH-250 ID-AWM-D-2-2-005
1690 Electro Craft Servo Products E-652-0 9092-0004 AMPL ID-AWM-D-1-005
1691 AMAT 0020-04018 Rev G NDM-176-041 Chuck ID-AWM-D-2-1
1692 OTI ? UTI 2221 RF Generator 04020 ID-AWM-D-2-2-006
1693 Edwards Type Code A528-06-000 QDP Exhaust Pressure Module ID-AWM-D-2-3-001
1694 Sumitomo Heavy Industries Temperature Control TPD 04C ID-AWM-D-2-3-002
1695 Farnell AF350M2S3H3S2X AF350 DC Power? ID-AWM-D-2-4-001
1696 Static Eliminator F167 4000464 G100-001 MO-016-003 ID-AWM-D-2-4-002
1697 Static Eliminator M-1205wC 4001678 Transformer ID-AWM-D-2-4-003
1698 Static Eliminator Model 1207 90001-07300  ID-AWM-D-2-4-004
1699 Micropump 000-605 20070 113 020-000 81851 073 ID-AWM-D-2-5-001
1700 LFE Plasma System PD-152-14 4128 A-PD-1201-0002 RF Marching? ID-AWM-D-2-1
1701 GP-ISRY Ulvac Japan ID-AWM-D-2-3
1702 j50-24 V.ADJ  ID-AWM-D-2-3
1703 IE IEMIERSON AcDC RBT 61 1730-7005 Power Supply ID-AWM-D-2-5-003
1704 680-0146-021 Perkin Elmer LH TM11-E1501/230CV Power Supply ID-AWM-D-2-5-004
1705 Power-one HTAA-16w-A Power Supply ID-AWM-D-2-5-005
1706 Schroff Switchpac 30w Typ TPS 15/2 Power Supply ? ID-AWM-D-2-5-005
1707 KB Model KBIC-120 9429A ID-AWM-D-2-5-006
1708 SEMTRoNICS Analyzer EN425 ID-AWM-D-2-5-007
1709 MO 015 042 FSI 301202-001 ID-AWM-D-2-5-008
1710 Fire Sentry Corp SS2-1 Model ID-AWM-D-2-6-001 And AWM-F-2-2-004
1711 Burr-Brown TM25-300HT Farco ID-AWM-D-2-2-003-2
1712 Flexilux 90 HLU 2987  ID-AWM-D-2-6-002
1713 Farco S.A. CH2400 LE Locle  Thermode ID-AWM-D-1-006
1714 4855 Digital Beam Control Interface Fisons Kevex Instrumentals ID-AWM-D-1-007
1715 Tegal 915 965 RF Generator CR1087-20101 RF Plasma Products T-502S ID-AWM-D-1-008
1716 Model No 2100-4 SN 9303 PCB 61-000817-03 PCA 71-000818-03 ID-AWM-D-1-008
1717 Tamarack Scientific Integrating Exposure Controller EC-12-ID ID-AWM-D-1-009
1718 INJ. Valve Controller With Fuji Temperature Controller ID-AWM-D-1-010
1719 W140-371-00/xx Converter Concepts ID-AWM-D-2-5
1720 Schroff Switchpac Typ TPS 5/5 T-12 Power Supply ? ID-AWM-D-2-6-003
1721 Schroff Switchpac Typ TPS 5/10 1100s-057 Farco Power Supply ? ID-AWM-D-2-6-004
1722 Schroff Switchpac Typ TPS 15/3.5 1100s-059 Farco Power Supply ? ID-AWM-D-2-6-005
1723 VWR Traceable Mini-controller ID-AWM-D-2-6-006
1724 Superior Electric SLO-syn Synchronous/Stepping Motor SS1508 ID-AWM-D-2-6-006
1725 Compumotor M57-40-DO ID-AWM-F-1-001
1726 Compumotor M57-51 ID-AWM-F-1-001
1727 AIR Velocity Meter Model 440 ID-AWM-F-1-002
1728 MKS PWR SUP /readout Pressure Readout Type 110A ID-AWM-F-1-003
1729 AE Advanced Energy 4013-012-01 Plasma Technology Control ID-AWM-F-1-005
1730 HPS 88-9167 / 100889167 Seal , CF,CU/NI Plt, 8 ID-AWM-F-1-005
1731 RF Plasma Products AG 300 AG-300 Auto Gain Endpoint Detector ID-AWM-F-1-006
1732 Inficon Leybold-heraeus 013-093 ID-AWM-F-1-007
1733 AD Data Inc Model 5600 Switching Control Mainframe ID-AWM-F-1-009
1734 Eurotherm 810/ZCP/00/PL2/0-1200C/SPS/s  SS2F60P14 Platinell II ID-AWM-F-1-010
1735 Simpson Temperature Meter Controller ID-AWM-F-1-011
1736 #S-002 DX-3 Temp DX3-KCWNR Temperature Meter Controller ID-AWM-F-1-012
1737 Lindberg 919/ZCP/KP2/200-1199C/PIO/A/X NS2C184X30 7014-1003-00A ID-AWM-F-1-013
1738 FLW 203A-3 , SN Plasma-therm Inc ID-AWM-F-1-015
1739 N Newport P6000 Gate ID-AWM-F-1-016
1740 Omega Engineering Inc DP25-TC-A Temperature Controller ID-AWM-F-1-017
1741 Omega Engineering Inc CN5052K Temperature Controller ID-AWM-F-1-018
1742 Omega Engineering Inc Trendicator ID-AWM-F-1-019
1743 Newport Quanta Q2005-S  ID-AWM-F-1-020
1744 Newport Quanta Q902/S ID-AWM-F-1-021
1745 Newport Quanta Q902/S ID-AWM-F-1-022
1746 Eurotherm 820/4-20MA/00/PL2/0-1200C ID -AWM-F-1-026
1747 DCA Simpson Electric ID -AWM-F-1-028
1748 Watlow Series 808 Temperature Control ID -AWM-F-1-029
1749 Watlow Series 945Temperature Control ID -AWM-F-1-030
1750 Bausch & Lomb Transformer Cat No 31 35 28 AWM-F-2-1-001
1751 Olympus Optical Co Model TGHM  ID-AWS-L-3-5-002 ID-AWS-F-2-1 (1 Pc)
1752 Electro Powerpacs Model 1173 E.Leitz Model 05026 AWM-F-2-1-002
1753 Electro Powerpacs Model 1113  Vickers Instruments AWM-F-2-1-003
1754 Nikon 216986 Motor Control AWM-F-2-1-004
1755 Electrothermal Cat No 228 Power Regulator AWM-F-2-1-005
1756 Electro-craft Servo Products Model E-652-0 Part No 9092-0004 AMP AWM-F-2-2-001
1757 Omron 3G2S6-CPU15 Sys .Mac S6 3G2A3-PS221 3G2A3-OC221 Assembly AWM-F-2-2-002
1758 Linear Instruments 0156-0000 PMS 4,146,828 AWM-F-2-2-005
1759 Newport Bias Meter DC Meter (?) AWM-F-2-2-006
1760 Air Products ANSI B40.1 Pressure Meter 2.5” 0-1400kpa Cajon N-70 AWM-F-2-4-001
1761 AG Associates 4000-0005-01 LVS-44 E-5 HAL-01-386 R-1 AWM-F-2-4-002
1762 Power General Unitrode Model 244CM AWM-F-2-4-003
1763 Caleb CM1.12.500-115 Power Supply AWM-F-2-4-005
1764 A&N Cooperation 4-way Flange SST New AWM-F-2-5
1765 A&N Cooperation 2-way Flange SST New AWM-F-2-6
1766 SC Technology Inc Delta Laser Controller DES-200LM AWM-F-2-7
1767 MKS Baratron Pressure Meter Controller 250A For Matrix 105, 106,303, AWM-F-2-8
1768 Matrix 303 Matrix 403 Chamber AWM-C-5-1-001
1769 STI TC-20 Resistivity Monitor Harris Corp 00128 920M-A02P AWM-C-5-1-002
1770 Symbol Technologies VRC4040-00E40DUS Computer And Monitor AWM-C-5-1-003
1771 Matrix 303 Matrix 403 Plasma Etcher Chamber Bottom Plate BAWM-C-5-2-001
1772 Matrix 303 Matrix 403 Plasma Etcher Chamber Parts AWM-C-5-2-002
1773 Matrix 303 Matrix 403 Plasma Etcher Chamber Parts AWM-C-5-3-001
1774 Matrix 105 Matrix 205  Plasma Asher Chamber Parts AWM-C-5-4-001
1775 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring AWM-C-5-4-002
1776 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring AWM-C-5-4-003
1777 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring AWM-C-5-4-004
1778 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer AWM-C-5-4-005
1779 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer 6-97103-07  AWM-C-5-4-006
1780 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer 6-97103-07  AWM-C-5-4-007
1781 Matrix 303 Matrix 403 Plasma Etcher Chamber Buffer AWM-C-5-4-008
1782 Matrix 303 Matrix 403 Plasma Etcher Chamber Pump Ring  AWM-C-5-4-009
1783 Matrix 303 Matrix 403 Plasma Etcher Chamber Pump Ring  AWM-C-5-4-010
1784 Matrix 303 Matrix 403 Plasma Etcher Chamber Ceramic Ring  AWM-C-5-4-011
1785 Matrix 303 Matrix 403 Plasma Etcher Chamber Ring  AWM-C-5-4-012
1786 Tegal 801 803 701 703 Plasma Etcher Chuck 39-754-009 New AWM-C-5-5-001
1787 Chuck Bottom  AWM-C-5-6
1788 VAT F03-87530 / 005 03509-UA24-1003 CE High Vacuum Valve AWM-C-5–7-001
1789 VAT F03-87530 / 003 03509-UA24-1003 CE High Vacuum Valve AWM-C-5–7-002
1790 VAT F03-81131-01  CE High Vacuum Valve AWM-C-5–7-003
1791 Novellus Gasonics BA95-121-01 Chamber Door For Plasma Asher Equip AWM-C-5–7-004
1792 ASGT American Speciality Gas Technology S/n 4104 Gas Controller AWM-D-3-2-003
1793 Chamber Parts AWM-C-4-1-002
1794 Chamber Parts AWM-C-4-1-003
1795 Tegal 901e Tegal 903e Top Electrode Cleaned AWM-C-4-1-004
1796 Hine Design Ser 006413 With DC Motor 2326.939-13.216-200 Robot AWM-C-4-2-001
1797 Matrix 101 103 102 104 Plasma Asher Chuck AWM-C-4-2-002
1798 Matrix 101 103 102 104 105 205 106 Plasma Asher 992-60094 Ring AWM-C-4-2-003
1799 Matrix 101 103 102 104 105 205 106 Plasma Asher 992-60126 Ring AWM-C-4-2-003
1800 Matrix 101 103 102 104 105 205 106 Plasma Asher 992-60126 Ring AWM-C-4-2-004
1801 Robot AWM-C-4-3-001
1802 A.D.smith AC Motor Type 5 S# S48A16 SER 2C00 HP 1/4 RPM 1725 AWM-C-4-6
1803 APTech AP3625S 2PW FV4 FV4 AWM-C-4-7-001
1804 APTech AP3625SM 2PW FV4 FV4 AWM-C-4-7-002
1805 Huntington Flange AWM-C-4-7-003
1806 APTechAP 3550SM Or  AP3550S  2PW FV4 FV4 AWM-C-4-8-001
1807 PCB BA #001-0009-01 Rev 1.6 AWM-G-4-1-001
1808 Broadway Networks Inc 701-00009-01 /0098-90AWM-G-4-1-002
1809 Broadway Networks Inc 701–00006 06-4640 AWM-G-4-1-003
1810 Broadway Networks Inc 701–00002 iST 94V-0 0624 IM-0  AWM-G-4-1-002
1811 Broadway Networks Inc 701-00003 AWM-G-4-1-005
1812 Broadway Networks Inc 701-00001-01 No Components On The PCB AWM-G-4-1-006
1813 Broadway Networks Inc 35-00001-01 /35-00002-01/ 35-00003-01 AWM-G-4-1-007
1814 Pylon Inc 500SD Version 5.3 PRT 131 Rev 3 PCB CC0101
1815 80-095-278 Rev 10 RW Tegal 901e Tegal 903e Main Control AWM-G-5-1-001-SOLD
1816 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-002
1817 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-005
1818 80-095-278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWM-G-5-1-005
1819 80-095-278 Tegal  901e Tegal 903e Main Control Board PCB AWM-G-5-1-006
1820 99-138-001 Tegal  901e Tegal 903e  PCB AWM-G-5-1-008
1821 99-125-004 Tegal  901e Tegal 903e  PCB AWM-G-5-1-010
1822 Display Item CD 1011 -00301 With 99-125-004 /008 Tegal PCB AWM-G-5-1-011
1823 Profacture Upgrade Kit 90x Windows PC Interface Tegal PCB AWM-G-5-1-012
1824 Tegal 901e 903e Display 03601-22-040 Flip Tegal PCB AWM-G-5-1-013
1825 Tegal 901e 903e Display 03601-22-040 Flip Tegal PCB 99-125-008 AWM-G-5-1-014
1826 Tegal 901e 903e Tegal PCB 99-125-008 AWM-G-5-1-015
1827 Tegal  Item CD 1011  With 99-125-004 /008 & Flip 03600-22-040 AWM-G-5-2-001
1828 Tegal  Item CD 1011  With 99-125-004 /008 & Flip 03601-22-040 AWM-G-5-2-002
1829 Tegal  Item CD 1011  With 99-125-004 AWM-G-5-2-003
1830 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM-G-5-3-001
1831 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWM-G-5-3-002
1832 99-181-002 D EPD-0 PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWM-G-5-3-004
1833 99-181-001 B EPD-1PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWM-G-5-3-005
1834 99-172-003 Tegal 901e Tegal 903e AWM-G-5-3-006
1835 99-172-002 Tegal 901e Tegal 903e AWM-G-5-3-007
1836 99-126-005 PCB  Tegal 901e Tegal 903e AWM-G-5-3-008
1837 99-126-006 PCB  Tegal 901e Tegal 903e AWM-G-5-3-009
1838 Matrix Integrated System 1000-0082 1010-0082 AWM-G-5-3-001
1839 Matrix Integrated System  RFS500W Controller Assy 9200-04- AWM-G-5-3-002
1840 Matrix RF Service RFS500M ControllEr 03-00011- Rev 4 17-0005-00 2 AWM-G-5-3-003
1841 Matrix RF Service RFS500M ControllEr 9200-0004-02 AWM-G-5-3-004
1842 Matrix RF Service RFS500M Controller 17-0005-00 2 03-00011-00 4 AWM-G-5-3-005
1843 RF Service RFS500M Controller 17-0006-00 2 03-00012- 9200-0005-02 AWM-G-5-3-006
1844 Matrix RF Service RFS500M ControllEr 17-0006-00 2 03-00012- AWM-G-5-3-008
1845 Tegal  Item CD 1011  With 99-125-004 /008 & Flip 03601-22-040 AWM-H-1-001
1846 Tegal 901e Tegal 903e Chuck 30-735-019 A ID-AWM-E-5-2-001  6”
1847 Tegal 901e Tegal 903e Chuck 30-735-019 A ID-AWM-E-5-2-002 6”
1848 Tegal 901e Tegal 903e Chuck A ID-AWM-E-5-2-003 6”
1849 Tegal 901e Tegal 903e Chuck A ID-AWM-E-5-2-004 6”
1850 Branson/IPC Automatch Board PWA804-13284-01 B ID-AWM-E-5-2-005
1851 Branson/IPC Automatch Board PWA804-13284-01 LL ID-AWM-E-5-2-006
1852 Tegal 901e 903e Tegal PCB 99-173-003 B RFG-3 AWM-E-5-2-007
1853 2100-0007-1000-002 AW-Etch-1000-002 PCB AWM-E-5-2-008
1854 Matrix RF Service RFS500M ControllEr AW-105-500 AWM-E-5-2-009
1855 Matrix RF Service RFS500M ControllEr A17-0006-00 A03-00012-2 Motor AWM-E-5-2-010
1856 Bay A.T. Gas Distributor PCB AWM-G-E-2-011
1857 Module Control Siltec Assy 0122-0653 Rev H  64288 PCB AWM-G-E-2-012
1858 Gasonics 95-0320-01 Rev C Seagate ST3660A Driver AWM-G-E-2-013
1859 Tegal 901e Tegal 903e Main Control Board PN 80-095-278 AWM-B-4-4-001
1860 Tegal 901e Tegal 903e Main Control Board PN 80-095-278 AWM-B-4-4-002
1861 NEMIC-LAMBDA 94v-0 PCL-12 SCB028C Power Supply ? AWM-B-4-4-003
1862 PD-1653-0005 A 220-978-4?? PD-1653-5 PCB AWM-B-4-4-004
1863 5990-0147-0001 A 7188-0194-0001 Spacer PCB AWM-B-4-4-005
1864 5990-0147-0001 A PCB AWM-B-4-4-006
1865 E_T.N M4032 Assy No 1519540 B 1419540 PCB AWM-B-4-4-007
1866 Printer Driver Board 62-0003-00 5-1064 PCB AWM-B-4-4-008
1867 Extender Memory 62-0002-00 5-10930 PCB AWM-B-4-4-009
1868 RS-232 Board 62-0006-00 5-1078 PCB AWM-B-4-4-010
1869 Component Side B/N A/N290103-200 Rev-A AWM-B-4-5-003
1870 994750-0001 70 DG840600169 Assy 994752-000 /994751-000 AWM-B-4-5-004
1871 Component Side A/N290102-400C AWM-B-4-5-006
1872 FSI Component Side A/N290020-400 E AWM-B-4-5-007
1873 2S700-601-(2S003-017) Japan With STK6722H AWM-B-4-5-008
1874 Bay Pneumatic Inc BES-530-8-PCB 80-095-255. (?) PWK52486 AWM-B-4-5-009
1875 Siltec Module Control Assy No 0122-0653 Rev M AWM-B-4-5-010
1876 Bay A.T. BES-4243 BES 4243 BES4243 AWM-B-4-5-011
1877 Nanometrics 62-0004-00 PMT Pre-Amp Board 010-8000 AWM-B-4-5-012
1878 VBT-D-8V01 94v-0 1896 AWM-B-4-5-014
1879 FSI B/N290091-200A 815035 815-035? A/N290091-400 B  AWM-B-4-5-015
1880 Little Giant 00167456 SNC200F2 Z-world Davis CA USA AWM-B-4-5-016
1881 Agilent HFBR 5903e 0105 Made In Malaysia AWM-B-4-5-017
1882 AG Associates 2100-0201-01 Rev 005 VGA/FLAT Panel Video Card AWM-B-4-5-018
1883 Gasonics Aura 3010 L3510 Plasma Asher Display Assembly Monitor 95-0296 AWM-B-4-6
1884 Gasonics Aura 3010 L3510 Plasma Asher Display Assembly Monitor 95-0296 AWM-B-4-7
1885 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-1
1886 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-2
1887 Tegal 901e Tegal 903e Press/Vacuum  W/ATM SNS Item CG 1146 ID-AWM-B-5-3
1888 Tegal 901e Tegal 903e Vacuum Assembly ID-AWM-B-5-4
1889 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-5
1890 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-6
1891 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-B-5-7
1892 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1145 ID-AWM-H-2-001
1893 Tegal 901e Tegal 903e Gas Line Has DLVY Item CG 1015  W/ 99-126-005 AWM-H-3-001
1894 Tegal 901e Tegal 903e Vacuum Line Item CG 1014  AWM-H-3-002
1895 Tegal 901e Tegal 903e Vacuum Line AWM-H-3-003
1896 Tegal 901e Tegal 903e Vacuum Pressure Line Item CG1180 AWM-H-3-004
1897 Noah Precision Inc Model 2002 Tegal 901e Tegal 903e Chiller Circulator AWR-003
1898 Noah Precision Inc Model 2002 Tegal 901e Tegal 903e Chiller Circulator AWR-004
1899 Tegal FC-1000S 2500W 230/50 Tegal 901e Tegal 903e Chiller Circulator AWR-005
1900 Neslab Coolflow CFT-75  Refrigerated Recirculator BOM 349104040116 AWR-006
1901 Neslab Coolflow CFT-25 Refrigerated Recirculator BOM 393103031602 AWR-007
1902 Neslab Coolflow CFT-25 Refrigerated Recirculator BOM 39310603162 AWR-008
1903 M And W Systems Flowrite Cooling RPCX17-A-D-D12x10”-LI-CMII-HE AWR-009
1904 FTS Systems RC75C0011HH 208v 10 Amps  Maxi Cool AWR-010
1905 GENMARK Automation M0352 Robot AWR-029
1906 Edwards   QDP 40 ? 80? With Blower 1250? AWR-030
1907 Tek-Temp Instruments TKD200/5118IR Was For Tegal 903e Etcher Working AWR-031
1908 Tek-Temp Instruments TKD200/5118T1 Was For Tegal 903e Etcher Working AWR-032
1909 Lab-line Instruments Imperial IV Ultra-clean “100” Oven  AWR-033
1910 6001-0021-01Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type I AWR-036
1911 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  II AWR-037
1912 Brooks Equipe PRI Robot ,Controller, Prealigner Cable Type  I/II AWR-038
1913 Gail Motion Control DMC-630 3 Axis PC/CT/AT Bus Equipe/ PRI AWR-039-BB-3-1-001
1914 Gail Motion Control DMC-8230 For Equipe/ PRI AWR-039-CC-1-001
1915 Gail Motion Control DMC-8240  For Equipe/ PRI AWR-039-CC-1-002
1916 Gail Motion Control DMC-630 3 Axis PC/CT/AT Bus PN 2100-0302-01 PRI AWR-039-CC-2
1917 Advanced Motion For Pre-aligner Ver.2 12403-0040 PC3XC-PM1 AWR-039-CC-1-004
1918 Brooks PRI Equipe Technologies 2-08-1004 Layer 1 PN 2002-0004 ? AWR-039-CC-1-005
1919 Genmark Automation PA3H Rev. 1 GPN D2-01-2-00050 /2100-0302-01 AWR-039-CC-1-006
1920 Gasonics? GES PT-9012-286 397226 AWR-039-CC-3-001
1921 Gasonics? GES PT-9012-286 370704 AWR-039-CC-3-002
1922 MCSI IND-88-4 CPU Rev A  AWR-039-CC-5-001
1923 Equipe Pre-Aligner I/O Control Board Ver 2C 2002-0066 2008-1026 AWR-039-CC-6-001
1924 PIO-24 PC6422-14075 Rev C PC6422 14075 C AWR-039-CC-7-001
1925 Equipe PRI Brooks Controller Module AWR-039-BB-3-2-001
1926 Equipe PRI Brooks Controller Module AWR-039-BB-3-2-002
1927 Brooks Equipe PRI ASYST 12919-002 Robot Controller AWR-040-AA-9-002
1928 Brooks Equipe PRI Robot Controller ESC-218BT-S293 AWR-040-AA-9-003
1929 Brooks Equipe PRI Robot Controller ESC-212 For ATM-100 AWR-040-AA-8-001
1930 Brooks Equipe PRI Robot Controller ESC-218V Firmware V4.4913AV AWR-040-AA-8-002
1931 Equipe PRI Robot Controller ESC-200 Frame And Components AWR-040-AA-7-003
1932 Equipe PRI Robot Controller ESC-212B-S293 Frame And Components AWR-040-AA-6-001
1933 Equipe PRI Robot Controller ESC-210 Frame V4.24A And Components AWR-040-AA-6-002
1934 Equipe PRI Robot Controller ESC-100 Frame For Heatpuls 4100 AWR-040-AA-5-001
1935 Equipe PRI Robot Controller ESC-100 Frame For Heatpuls 4100 AWR-040-AA-5-002
1936 Machine Working Station W/ Enco Sander-Grinder 163-4650(SN-160) ,Toyang 301 Saw
1937 Plastic Courrugated Pipe  ID 2 “ ,OD 2 1/4 “ , 130-134” Length For Vacuum Pipe
1938 Plastic Courrugated Pipe  ID 4“ ,OD 41/4 “ , 110-120” Length For Vacuum Pipe
1939 Stainless Tube Flange 2 1/2” To 3” Flange For Vacuum Pipe
1940 Stainless Tube Flange 2 1/2” To 3” Flange For Vacuum Pipe
1941 Stainless Tube ID 1 3/8 Inch  For Vacuum Pipe
1942 Temescal FC-1800 Evaporator Shielding shield. ID-DQ-1-1-001
1943 Temescal FC-1800 Evaporator Shielding shield. ID-DQ-1-1-002
1944 FC-1800 Evaporator Shuttle ? Shields? Shielding . ID-DQ-1-1-005
1945 FC-1800  CTI-CRYOGENICS Cryopump Relief Valve Filter PN 8044112 . ID-DQ-1-1-007
1946 GP270 Gauge Controller Granville-Phillips Airco Temescal FC-1800. ID-D-EV001-1
1947 Airco Temescal FC-1800 Controller ID-D-EV001-2
1948 Temescal FC-1800 BOC Coating Technology TRC-3460 Pocket Select ID-EV001-3
1949 Airco Temescal XY Sweep BOC Coating Technology Programmable Sweep 0040-1054-2
1950 Airco Temescal FC-1800 CV-8 High Voltage Control  ID-D-EV001-7
1951 Airco Temescal FC-1800 Gun Control 1   ID-D-EV001-8
1952 Airco Temescal FC-1800 Shutter Control Boc Coating Technology ID-D-EV001-9
1953 Airco Temescal FC-1800 Manual Vale Control ID-D-EV001-10
1954 Airco Temescal FC-1800 Substrate Heat ID-D-EV001-11
1955 Airco Temescal FC-1800 Leak Check Sensitivity Varian ID-D-EV001-12
1956 Airco Temescal FC-1800 CV-8 High Voltage Control ID-D-TRACK-2-001
1957 Airco Temescal FC-1800 Gun Control 1 ID-D-TRACK-2-002
1958 Airco Temescal FC-1800 Varian Vacuum Process Control 980-6100 ID-D-TRACK-2-004
1959 Airco Temescal FC-1800 Varian Accessory Control ID-D-TRACK-2-005
1960 Airco Temescal FC-1800 Varian 843 Vacuum Ionization Gauge  ID-D-TRACK-2-006
1961 Airco Temescal FC-1800 MKS HPS Products 937A Gauge Controller ID-D-TRACK-2-007
1962 Robot Effector Robot ARM AWR-BB-3-001
1963 Robot Effector Robot ARM AWR-BB-4-001
1964 Robot Effector Robot ARM B&C 56-010559 B AWR-BB-4-002
1965 Robot Effector Robot ARM AWR-BB-4-003
1966 Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-004
1967 Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-005
1968 Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-006
1969 Robot Effector Robot ARM AWR-BB-5-001
1970 Robot Effector Robot ARM AWR-BB-5-002
1971 Robot Effector Robot ARM AWR-BB-5-003
1972 Robot Belt 150T80 Z2 PN 4100-0044-24 AWR-BB-6-001
1973 Robot Belt 112T80 D5 Or SDP 6B16-112037 PN 4100-0044-19AWR-BB-6-002
1974 Robot Belt 120XL LO Or 120XL U1 Or SDP 6R3-060037 PN 4100-0044-23 AWR-BB-6-003
1975 Robot Belt 212T80 Z3 E3 PN 4100-0044-22 AWR-BB-6-004 and OTHERS
1976 Robot Belt 215T80 L1 PN 4100-0044-25 AWR-BB-6-005
1977 Robot Belt 215T80 Z3 PN 4100-0044-25 AWR-BB-6-006
1978 Robot Belt 215T80 A5 /215T80 E5 / 215T80 B5 PN 4100-0320-01 AWR-BB-6-007
1979 Robot Belt 165T80 Z5 AWR-BB-6-010
1980 Robot Belt 155T80 AWR-BB-6-012
1981 Robot Belt Uniroyal 283827-2 120xL037 AWR-BB-6-013
1982 Robot Belt Uniroyal 90XL037 283827-1 AWR-BB-6-014
1983 Robot Belt Gates Powergrip 170×037 066MC PN 2400-0012-01 AWR-BB-6-015
1984 Robot Belt D5 AWR-BB-6-017
1985 Robot Belt AWR-BB-6-018
1986 7100-2751-01 Shell A1VANIA Grease 14 oZS Type L AG Associates AWR-BB-7-002
1987 Radiation Power Systems 2130-C2 1KW DUV  Illumination Controller AWR-BB-4-001
1988 Pipe Heat WCSH-0120-0000 105.0 0920c37-50144 E43684 HPS 4525-0017 A ID-DQ-2
1989 Liquid Nitrogen LN2 Bottle 531-13 00004986-000 Was For Hitachi CD-SEM ID-DW-6-5
1990 New Stainless Steel Flange 8”/10” ? ID-DZ-7-001
1991 New Stainless Steel Flange 5.5” /7” ID-DZ-7-002
1992 New Stainless Steel Flange 6” /11”-7” ? ID-DZ-7-003
1993 New Stainless Steel Flange 4”/6” ? ID-DZ-7-005
1994 Alcatel-Annecy Ty 5402 C15 Turbo Pump ? ID-DZ-7-006
1995 General Purpose Transformer Type 2 Enclosure Catalog No T-3-53044-S SE ID-DY-5
1996 CHA 2KW QT&E 1627 60 Hz 87-21 Transformer ID-DZ-7-007
1997 Bay Seal Co $32240-325-WS6$ MIO-MS-R-325-W-404 ID-DW-6-4-2-001
1998 Telemecanique XUP J203135 Photoelectric Sensor ID-DW-6-4-2-002
1999 Sola , Unit Of General Signal SLS-24-012 9602 Power Supply ID-DW-6-4-2-003
2000 6149-2293-624 No 2293624 UM 45-D15SUB/S/ZFKDS Ch. No 3005804625 ID-DW-6-4-2-004
2001 6149-2293-637 No 2293637 UM 45-D25SUB/S/ZFKDS Ch. No 3005815597  ID-DW-6-4-2-005
2002 Phcenix Contact PLC-BsP-24DC/21 6149-2966-472 Relay PLC 24 DC ID-DW-6-4-2-006
2003 MW Mean Well DR-120-24 MW02 ID-DW-6-4-2-007
2004 Omron S8VS-06024B Power Supply ID-DW-6-4-2-008
2005 Bay Seal Co ? American Variseal R40-214-W99S ID-DW-6-4-2-012
2006 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-6-4-001
2007 Gaertner Scientific Corp Programmable Stepper Motor Controller CC1.2 AWW-6-4-002
2008 HP E5255A 24(8×3)CH Multiplexer Hewlett Packard ID-AWW-6-4-005
2009 4145B Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-6-3-001
2010 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-6-3-002
2011 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard ID-AWW-7-4-001
2012 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-7-4-002
2013 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-7-4-003
2014 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-7-4-004
2015 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-005
2016 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-006
2017 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-007
2018 HP 4084B Switching Matrix Controller Hewlett Packard ID-AWW-7-4-008
2019 HP 4140B pA Meter / DC Voltage Source Hewlett Packard ID-AWW-8-4-002
2020 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-003
2021 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-004
2022 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-005
2023 HP Controller 382 Hewlett Packard ID-AWW-6-4-006
2024 Tektronix Inc Type 576 Curve Tracer ID-AWW-8-4-006
2025 HP Agilent 8110A 150 MHz Pulse Generator Hewlett Packard ID-AWW-8-4-006
2026 Hewlett Packard device Set To SCSI ID 2 C2944D ID-AWW-8-2-1-001
2027 Computer Dynamics ID-AWW-8-2-1-002
2028 intralux 4000 Volpi AG CH-8952 Schlieren ID-AWW-8-2-1-003
2029 HP 437B Power Meter Hewlett Packard ID-AWW-8-2-4-001
2030 Philips Type PM 3212 Q NC 9444 022 12007 No DQ/04 04558 0-25 MHz ID-AWW-6-3-003
2031 Boonton Electronics Model 72BD Capacitance Meter Tester ID-AWW-8-2-4-002
2032 intralux 4000 Volpi AG ID-AWW-8-2-1-005
2033 intralux 4000 Volpi AG  ID-AWW-8-2-2-001
2034 intralux 4000 Volpi AG  ID-AWW-8-2-2-002
2035 HP 436A Power Meter Hewlett Packard ID-AWW-8-2-2-003
2036 HP 3466A Digital Multimeter  Hewlett Packard ID-AWW-8-2-4-004
2037 Tektronix P6102 Probe With Options ID-AWW-8-2-1-006
2038 intralux 4000 Volpi AG  ID-AWW-8-2-3-001
2039 Agilent E5940B #300 /E5840B  TracePort Analyzer ID-AWW-8-2-3-002
2040 Orion Research Model 211 Digital PH Meter ID-AWW-8-2-3-004
2041 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  1-7x ID-AWW-7-2-1-001
2042 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  1-7x ID-AWW-7-2-1-002
2043 Bausch&Lomb Microscope W/ Zoom 200M  1-7x ID-AWW-7-2-2-001
2044 Bausch&Lomb Microscope W/ StereoZoom 4 Zoom 200M  0.7x – 3x ID-AWW-7-2-2-002
2045 Olympus W/ 110AL0.75x WD130, SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-7-2-3-002
2046 Olympus W/ 110AL0.75x WD130, SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-7-2-3-003
2047 Olympus Microscope W/ VM VMZ 1x-4x  ID-AWW-7-2-2-003
2048 AO American Optical  Model 572 2x W/ 2 Of Nikon CFWE 10xA/18 ID-AWW-7-2-4-001
2049 Olympus 242569 BLI.082 Microscope Zoom 0.7-4.0 , 2 Of G20x AWW-7-2-4-003
2050 Bausch&Lomb Microscope Zoom 1x-7x , 2 Of 10x W.F. Stered ID-AWW-7-2-4-004
2051 Bosch&Lomb Microscope Sterea Star Zoom 0.7x-4.2 570 2 Of 15x ID-AWW-6-2-1-001
2052 Light Station 28” High ID-AWW-6-2-2-001
2053 Light Station 15” High ID-AWW-6-2-2-002
2054 Microscope Station 15” High With Olympus ID-AWW-6-2-2-003
2055 Microscope Station 10” High ID-AWW-6-2-1-003
2056 Fluke 2176A Multi-point Digital Thermometer ID-AWW-8-2-3-005
2057 Sony Camera With Adaptor CMA-D1CE ,DXC-101P CCD Olympus 268986 ID-AWW-6-2-1-004
2058 SC Technology Delta Laser Head DES-200LPT Complies With 21 CFR ID-AWW-6-2-3-001
2059 SC Technology Delta Laser Head DES-200LM Complies With 21 CFR ID-AWW-6-2-3-002
2060 Model F-IM2 Newport Optical Fiber Microinterferometer ID-AWW-6-2-4-001
2061 FSM Frontier Semiconductor Measurements FSM8800 Complies W/ 21 CFR AWW-8-3-001
2062 Olympus W/ 110AL0.75x WD130, SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-2-001
2063 Olympus W/ 110AL0.75x WD130? SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-2-002
2064 Olympus W/ 110AL0.75x WD130? SZ30 SZ 3060 ,2 Of GSWH20x12.5 ID-AWW-8-1-3-001
2065 HP Hewlett Packard HP Visualize Workstations B2000 For HP 4062UX ID-AWW-9-5-1
2066 HP Hewlett Packard HP E3171A3 Option U62  For HP 4062UX ID-AWW-9-5-3
2067 Optem International 25-81-01 Zoom W/ Panasonic Power GP-KS102-2F ID-AWW-8-1-5
2068 Optem International 25-81-01 Zoom W/  iAi CV-M2250 Power ID-AWW-8-1-6
2069 Optem International 25-81-01 Zoom W/  iAi CV-M2250 Power ID-AWW-7-1-1
2070 Optem International 25-81-01 Zoom W/  iAi CV-M2250 Power ID-AWW-7-1-2
2071 Optem International 25-81-01 Zoom W/  iAi CV-M1250K Power ID-AWW-7-1-3
2072 Optem International 25-81-01 Zoom W/ Panasonic Power GP-KS102C ID-AWW-7-1-4
2073 HP 4085B Switching Matrix Frame Hewlett Packard ID-AWW-AWW-9-3-1
2074 HP 8443 Tracking Generator . Counter Hewlett Packard ID-AWW-AWW-9-3-2
2075 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-3
2076 HP 4145B Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-4
2077 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-5
2078 HP 3499A Switch/Control System Hewlett Packard ID-AWW-AWW-9-3-6
2079 HP 3499A Switch/Control System Hewlett Packard ID-AWW-AWW-9-3-8
2080 HP 3499A Switch/Control System Hewlett Packard ID-AWW-AWW-9-3-7
2081 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-9
2082 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-10
2083 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-9-3-11
2084 HP 4145B Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-10-3-1
2085 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-10-3-2
2086 HP 4145A Semiconductor Parameter Analyzer Hewlett Packard ID-AWW-AWW-10-3-3
2087 HP 1651A Logic Analyzer Hewlett Packard ID-AWW-AWW-9-3-12
2088 HP 1660A Logic Analyzer Hewlett Packard ID-AWW-AWW-10-3-4
2089 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-2
2090 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-1
2091 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-3
2092 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-4
2093 Chuck For EG 1034 EG 2001 EG 3001 EG 2010 EG 2080 Wafer Probe AWW-AWW-7-1-5-1-5
2094 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-6
2095 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-7
2096 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-8
2097 Chuck For EG 1034 EG 2001 EG 3001 PN 033-0100-01 ?Wafer Probe AWW-AWW-7-1-5-1-9
2098 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-1
2099 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-2
2100 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-3
2101 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-4
2102 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-5
2103 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-6
2104 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-7
2105 Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-8
2106 Chuck 5” ? For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-3-1
2107 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-5
2108 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-6-1
2109 Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-6-2
2110 Prometrix StatTrax SP9514 SP9516 20 megabytes FT-500 Spectramap ID-AWW-6-1-1
2111 Optem International 25-81-01 Zoom W/ Panasonic Power GP-KS102 /29-60-02 AWW-6-2
2112 Optem International HF-65 Microscope W/ 28-90-39 Zoom , 28-90-08 ID-AWW-6-1-3
2113 Optem International Zoom ID-AWW-6-1-4
2114 Olympus 280856 Zoom 0.7 -4.0 , 2 Of  20x W.F. / Olympus 122 AWW-6-2-4-001
2115 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-002
2116 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-003
2117 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-004
2118 American Optical AO Instrument Model 572 2x With 599 AWW-6-2-4-005
2119 Olympus 307678 Zoom 0.7-4.0,H62107M AWW-6-1-5-001
2120 Olympus 291731 Zoom 0.7 -4.0 , H01104 S.K AWW-6-1-5-002
2121 Olympus 200499 Microscope Zoom 0.75x-3x AWW-6-1-5-003
2122 Olympus 252549 Microscope Zoom 0.7x-4.0x AWW-6-1-5-004
2123 Olympus 214464  Microscope Zoom 0.7x-4.0x AWW-6-1-6-001
2124 Microscope Holder/ Adaptor AWW-6-1-6-002
2125 Microscope Holder/ Adaptor AWW-6-1-6-003
2126 Microscope Holder/ Adaptor AWW-6-1-6-004
2127 Microscope Holder/ Adaptor AWW-6-1-6-005
2128 Microscope Holder/ Adaptor AWW-9-2-1-001
2129 Microscope Holder/ Adaptor AWW-9-2-1-002
2130 Microscope Nikon 022936 AWW-9-2-1-003
2131 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-001
2132 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-002
2133 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-003
2134 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-004
2135 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-005
2136 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-006
2137 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-007
2138 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-008
2139 Shuttle , Shield ,For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-009
2140 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-010
2141 Shuttle , Shield , For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-2-011
2142 Pallet For Perkin-Elmer? Sputtering, Evaporator Equip AWW-9-2-3/4/5-001
2143 Shield, Cover,  Shutter For Perkin-Elmer? Sputtering Equip AWW-9-2-3/4/5-002
2144 Shield, Cover,  Shutter For Perkin-Elmer? Sputtering Equip AWW-9-2-3/4/5-004
2145 Mask Aligner Adaptor Plate 2.5×2.5×0.6 To 4×4 AWW-9-2-1-005
2146 Mask Aligner Adaptor Plate AWW-9-2-1-006
2147 Mask Aligner Adaptor Plate AWW-9-2-1-007
2148 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-001
2149 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-002
2150 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-003
2151 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-004
2152 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-005
2153 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-006
2154 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-007
2155 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-009
2156 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-010
2157 Hewlett Packard HP 16076A System Test Module AWW-9-2-8-1-011
2158 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-1
2159 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-2
2160 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-3
2161 Hewlett Packard HP 16058A Test Fixture  AWW-9-2-7-4
2162 Hewlett Packard HP 16067A Low Leakage Fixture AWW-9-2-8-1-012
2163 Hewlett Packard HP 16067A Low Leakage Fixture AWW-9-2-8-1-013
2164 Hewlett Packard HP 85029 B Verification Kit AWW-9-2-6-1
2165 Hewlett Packard HP 85021 B Calibration Kit AWW-9-2-6-2
2166 Hewlett Packard HP 16078A Adapter 4145A-4085A AWW-9-2-6-4
2167 Hewlett Packard HP 16078A Adapter 4145A-4085A AWW-9-2-6-5
2168 Hewlett Packard HP 16072A 16072-26561,A-3030  W/ Adaptor For HP4085B AWW-6-3-006
2169 Hewlett Packard HP 16072A 16072-26551,B-3422 W/ Adaptor For HP4085B AWW-6-3-007
2170 Hewlett Packard HP 16072A 16072-26551,B-3422 W/ Adaptor For HP4085B AWW-6-3-008
2171 EICO Job #1321 Cypress/Mospro Motherboard R3 W/ Adaptor For HP4085B? AWW-6-3-011
2172 Olympus Microscope 808-627  Zoom 0.7 -4.0 , AWW-9-2-1-008
2173 EG 1034 Wafer Probe Option D AWW-9-2-6-6
2174 Hewlett Packard HP 82937A HP-IB Interface AWW-9-2-6-7
2175 CHUO SEIKI Microscope Station Zoom 0.75x-4.5x AWW-6-3-012
2176 Bausch&Lomb Microscope StereoZoom 7  1.0-7.0x With 2 Of 10x W.F. AWW-6-3-013
2177 MKS 253A-11165 253A-1-40-1/ S045-90 Throttle Control Valve AWW-10-1-1-001
2178 MKS 253A-11165 253A-1-40-1/ S045-90 ?Throttle Control Valve AWW-10-1-1-002
2179 MKS 253A-11165 253A-1-40-1-S Throttle Valve PN 03-01705-2-901 AWW-10-1-1-003
2180 MKS 253A-11165 253A-1-40-1/S045-90 Pressure Flow Throttle Valve AWW-10-1-2-001
2181 MKS 253A-11165 253A-1-40-1/S045-90 ?Pressure Flow Throttle Valve AWW-10-1-2-002
2182 MKS 253A-11165 253A-1-40-1/S045-90 Pressure Flow Throttle Valve AWW-10-1-2-003
2183 MKS 253A-11165 253A-1-40-1/S045-90 ? Pressure Flow Throttle Valve AWW-10-1-3-001
2184 MKS 253A-11165 253A-1-40-1/S045-90 ? Pressure Flow Throttle Valve AWW-10-1-3-002
2185 MKS 253B-11165 Pressure Flow Throttle Valve , 03-01705-2-901 A AWW-10-1-3-003
2186 MKS 253A-11165 Pressure Flow Throttle Valve , AWW-10-1-3-004
2187 MKS 253A-11165 Pressure Flow Throttle Valve , AWW-10-1-3-005
2188 MKS 253A-11165 253A-1-40-1/ S45-90 Pressure Flow Throttle Valve , AWW-10-1-3-006
2189 MKS 253A-11165 253A-1-40-1/S045-90 ? Pressure Flow Throttle Valve AWW-10-1-3-007
2190 MKS 253A-1-40-1-SP Pressure Flow Throttle Valve AWW-10-1-3-008
2191 SpectraMap SM200/e ID-AWM-10-3-1
2192 Wafer Holder For Sputter / Evaporator Equipment DA7-2-1-001
2193 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-001
2194 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-002
2195 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-003
2196 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-004
2197 Wafer Holder For Sputter / Evaporator Equipment DA7-2-1-002
2198 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-005
2199 Wafer Holder For Sputter / Evaporator Equipment DA7-2-2-006
2200 AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-001
2201 AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-002
2202 AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-003
2203 AJA Sputtering Cathode With Target . Not Sure Of The Material DZ-6-004
2204 Japan SANWA LC361C Wafer Probe With MU-6 Olympus Microscope SZ40 SZ CHI , 5000V
2205 Gaertner Scientific L106A Laser Ellipsometer 05-LHP-321 Laser Head ID-AWW-9-4-2
2206 Rudolph Research AutoEL Automatic Ellipsometer Laser Model 2,4C ID-AWW-9-4-3
2207 Gaertner Scientific L125 B Laser Ellipsometer 05-LHP-321 Laser Head ID-AWW-6-5-1
2208 Gaertner Scientific L3W25C.488.830 Ellipsometer 3222H-PC Laser Head AWW-6-5-2
2209 Gaertner Scientific L2W25C.488  Ellipsometer 3222H-PC Laser Head AWW-7-5-2
2210 Gaertner Scientific L117 Ellipsometer No Laser Head , 3-3.5 Inch, AWW-10-3-5
2211 Wafer Automatic Load/unload , Gaertner Scientific Laser Ellipsometer, AWW-6-5-4
2212 Gaertner Scientific L116A Laser Ellipsometer 05-LHP-321 Laser Head AWW-7-5-1
2213 Gaertner Scientific L116 A Ellipsometer With Hughes HAC Laser Head AWW-6-5-5
2214 KLA-Tencor Alpha-step MDL No 10-00020 AWW-9-2-5-001
2215 Gaertner Scientific L115B Ellipsometer With 3222H-PC-60  Laser Head AWW-7-5-3
2216 Gaertner Scientific L116 A  Ellipsometer With 50-LHP-321 Laser Head AWW-8-5-1
2217 Gaertner Scientific L116 B Ellipsometer With 50-LHP-321 Laser Head AWW-8-5-2
2218 Gaertner Scientific L116-C Ellipsometer With 50-LHP-321 Laser Head AWW-8-5-3
2219 Gaertner Scientific L116-A Ellipsometer No Laser Head AWW-8-5-4
2220 MP2020 Wentworth Labs Wafer Probe , Up To 8 Inch W/ Temptronic TP0315B-1 Chiller
2221 Sanyo VM5509 B/W Monitor With Cables AWW-10-2-1-001
2222 Fluke 8024B Multimeter AWW-10-2-1-002
2223 Kla-tencor 6470-22732 Digitec Cooperation Printer AWW-10-2-1-003
2224 KLA-Tencor Digital Interface Model 10-0?? AWW-10-2-1-004
2225 KLA-Tencor 20-03030 Digital Data Converter 20 03030 AWW-10-2-1-005
2226 Kla-tencor 6470-23004 Digitec Cooperation Printer AWW-10-2-1-007
2227 FLUKE 8050A Digital Multimeter AWW-10-2-1-008
2228 Practical Peripherals Microbuffer In-line Serial AWW-10-2-1-009
2229 Belkin Pro Series PC Compatible CGAVGA/Serial Mouse F2N209-06 Cable AWW-10-2-2
2230 YHP 04145-66525 B-2808 33-PCB AWW-10-2-3-001
2231 Hewlett Packard HP-IB 98624A 98624-66501 B-2244 217230 ,52-2509 AWW-10-2-3-002
2232 Hewlett Packard HP-IB 98624A 98624-66501 B-2244 217230 ,52-2509 AWW-10-2-3-003
2233 Hewlett Packard HP-IB 98624A 98624-66501 B-2933 3415 DIV 53F AWW-10-2-3-004
2234 PCBA 09-00169-01 A PCB AWW-10-2-3-006
2235 Adaptec AHA-2944UW Assy 991506-12 FGT-2944UW 05394C-C/O SG-A00 AWW-10-2-3-007
2236 Adaptec AHA-2944W / 2944UW Assy 917306-00 FGT-2944UW BA0J7310G1F AWW-10-2-4-001
2237 A1-9604 FCC ID B9482335 HP 3345 82335-60001 F 82235-8001 AWW-10-2-4-002
2238 SYMBIOS LOGIC SYM8951U 348-0037217 A 348-0037218A AWW-10-2-4-003
2239 Adaptec AHA-2940W/ 2940UW Assy 917306-52 FGT2940UW BD0B20105BE AWW-10-2-4-004
2240 Miller Design And Equipment ,Interface , CPU Prober Controller AWW-10-2-4-005
2241 ElectroGlas CRT Controller Lamp Driver Assy 250259-001 C AWW-10-2-4-006
2242 FCC ID EJMNpDBACH4 E139761 668061-005 668062-005 689661-003 AWW-10-2-4-007
2243 FCC ID B9482335 A1-9424 HP 82335-60001 Rev B 82335-80001 3345 AWW-10-2-4-008
2244 National Instruments 180212-01 A Assy 180210-01 Rev A 46412 AWW-10-2-4-009
2245 HP 0-2306-40 2415-5963 98256A T35 8C 0591285 AWW-10-2-4-010
2246 HP CW-4-94 Rev B 98257-66524 7268005-56 B-2622-56 98257A 56-2730 AWW-10-2-4-011
2247 HP CW-4-94 Rev B 98257-66524 7267721-56 B-2622-56 98257A 56-2730 AWW-10-2-4-012
2248 Kla-tencor 056529 D , 056S29 D ? 003840 Teltecolv 1185 AWW-10-2-4-013
2249 08244017 ? 21036018 244287-001 E / 244288-001 M Ester Interface AWW-10-2-4-014
2250 A7 424 Bar Code Reader 4 Port Serial I/O Assy II 246067-001 Rev L AWW-10-2-5-001
2251 XYNETICS Inc ASSY 103808 X510313 +/-15 VDC Regulator AWW-10-2-5-002
2252 PWA00021-EV-170 FCC ID E3E5UVEV-170 7635 AWW-10-2-5-003
2253 FCC ID J59453-2S1P1G 50090410 AWW-10-2-5-004
2254 ElectroGlas A5 Theta Z Function II  Assy 245023-001 Rev F AWW-10-2-5-005
2255 ElectroGlas A4 Assy 244288-001 ,21031002, 244287 Rev D AWW-10-2-5-006
2256 A5 ElectroGlas Theta Z Joystick Assy 250262-001 Rev E AWW-10-2-5-007
2257 ElectroGlas Wafer Probe 100936 Assy C 100935 FAB, AWW-10-2-5-008
2258 ElectroGlas Wafer Probe X80092111 100936 Assy E 100935 FAB, AWW-10-2-5-009
2259 ElectroGlas CRT Controller Lamp Driver Assy 250259-001 C AWW-10-2-5-010
2260 ElectroGlas CRT Controller Lamp Driver Assy 250259-001 C AWW-10-2-5-011
2261 Qua Tech Inc PXB-721 Rev C PX 4936 AWW-10-2-6-001
2262 Pixesmart PCI Bis Ver 3.1 XC 2499 MA07H14 E199143 94v-0 0728 AWW-10-2-6-002
2263 CE 4896 IDEG21 FCC ID EUD SU9 BRI4632 61216 16 PN4632 E128381 KE AWW-10-2-6-003
2264 Digital Equipment Corp 50-19588-01 A01 T 11292 , 54-19589-01 AWW-10-2-6-005
2265 SN 800533641 94v-0 9119 , B1010A , AWW-10-2-6-006
2266 Quick-loader Interface Assy 247155-001 Rev D Teltec OIV 4089 AWW-10-2-6-007
2267 ElectroGlas Wafer Sense Interface Assy 247204-001 Rev E AWW-10-2-6-008
2268 S7061601403 V5C071670-9 , BTC1670 1545700010 AWW-10-2-6-009
2269 ELOGRAPHICS Inc E271-2201 PCB P/N 170020 Rev B ,Assm P/N 002201 A AWW-10-2-6-010
2270 7137 Rev E4, SST-8900 FCC ID HNG890CL-BBD1TIA1 AWW-10-2-6-011
2271 ElectroGlas A4 Assy 100254-E Teltec X80061992 FAB 100253 Rev C AWW-10-2-6-012
2272 ElectroGlas A3 X80101206 MET/ENG DAR RON1685 100863B/100863J AWW-10-2-6-013
2273 ElectroGlas A2 X80112234 MET/ENG DAR 10220008, 100863B/100863J AWW-10-2-6-014
2274 ElectroGlas Assy 250262, P95197 THETA Z JOYSTICK AWW-10-2-6-015
2275 ElectroGlas A4 Tester Interface 244288-001 Rev A D 21012056 AWW-10-2-6-016
2276 ElectroGlas A4 Tester Interface 244288-001 Rev A D 21009086 AWW-10-2-6-017
2277 ElectroGlas A4 Tester Interface 244288-001 Rev A A 21010039 AWW-10-2-6-018
2278 ElectroGlas PL TEMP LOGIC MUX Assy 246713-001 Rev K  AWW-10-2-6-019
2279 ElectroGlas 05024036 244273-001 H/ CRT Conteoller Assy 244274-001 AWW-10-2-6-020
2280 ElectroGlas CRT Conteoller Assy 947271 TB008 FAB 947272 AWW-10-2-6-021
2281 ElectroGlas Keyboard Assy 244294-001 D , 21033016, WP9050620 AWW-10-2-6-022
2282 ElectroGlas EXTENDER Assy 100028 , X82042939, FAB 100027 Rev b AWW-10-2-6-023
2283 ElectroGlas EXTENDER Assy 100028 , X80102172, FAB 100027 Rev b AWW-10-2-6-024
2284 ElectroGlas EXTENDER Assy 100028 , X80041041 , FAB 100027 Rev b AWW-10-2-6-025
2285 ElectroGlas EXTENDER Assy 100257 , 11180471, FAB 100256 Rev b AWW-10-2-6-026
2286 ElectroGlas EXTENDER Assy 100024  ,X603373, FAB 100023 Rev b AWW-10-2-6-027
2287 ElectroGlas 28V Solenoid Drivers Assy 114824-001 Rev A WP86041959 AWW-10-2-7-001
2288 ElectroGlas Theta Z Inker Deivers Assy 244736-001 Rev F AWW-10-2-7-002
2289 ElectroGlas PRE-Align Driver Assy 244639-002 Rev K FAB 244638-001 AWW-10-2-7-003
2290 ElectroGlas Theta Z Inker Drive Controller II Assy 948775 AWW-10-2-7-004
2291 ElectroGlas A8 AssY GMS6529-01-C Floppy/Winchester , Controller AWW-10-2-7-006
2292 ElectroGlas A1 AssY GMS6529-01-C Floppy/Winchester , Controller AWW-10-2-7-007
2293 ElectroGlas A1 CPU 020 Assy 251411-002 REV E FAB 251410-001 A AWW-10-2-7-008
2294 ElectroGlas A3 CPU BD Assy 244888-001 C FAB 244889-001 A 21037001 AWW-10-2-7-009
2295 ElectroGlas A1 System Memory Assy 248981-002 C R? FAB 248980-001 AWW-10-2-7-010
2296 ElectroGlas A1 Memory BD Assy 244842-001 Rev B FAB 244841-001 A AWW-10-2-7-011
2297 ElectroGlas A1 System Memory Assy 248981-001 Rev A FAB 248980-001 AWW-10-2-7-012
2298 ElectroGlas A1 System Memory Assy 248981-002 Rev C FAB 248980-001 AWW-10-2-7-013
2299 ElectroGlas A3 CPU BD Assy 244888-001 Rev K FAB 244889-001 Rev C AWW-10-2-7-014
2300 ElectroGlas Memory BD Assy 244842-xxx FAB 244841-001 A Teltec AWW-10-2-7-015
2301 ElectroGlas A1 CPU 020 Assy 251411-002 Rev E FAB 251410-001 B AWW-10-2-7-016
2302 ElectroGlas A8 AssY GMS6529-01-C Floppy/Winchester , Controller AWW-10-2-7-017
2303 ElectroGlas A3 CPU BD Assy 244888-001 Rev L FAB 224889-001 C AWW-10-2-7-018
2304 ElectroGlas A1 System Memory Assy 248981-001 C FAB 248980-001!A AWW-10-2-7-019
2305 ALPHA Systems Lab Inc MBA-WV1-F PCB-WV1-F FCC ID JSQASLWACJJMP1 AWW-10-2-7-020
2306 ALPHA Systems Lab Inc MBA-WV1-F PCB-WV1-F FCC ID JSQASLWACJJMP1 AWW-10-2-7-021
2307 ALPHA Systems Lab Inc MBA-WV1-F PCB-WV1-F FCC ID JSQASLWACJJMP1 AWW-10-2-7-022
2308 FCC ID IDW87082034 1580 Rev E PCB REV D Paradise 61-603646-000 AWW-10-2-7-023
2309 ElectroGlas EG 1034 Upgrade kit S2100-1034-006 AW-1034-006 Ver 9.0 9AWW-10-2-8
2310 ElectroGlas EG 1034 Upgrade kit S2100-1034-006 AW-1034-006 Ver 9.0 9AWW-10-2-9-1
2311 ElectroGlas EG 1034 Upgrade kit S2100-1034-006 AW-1034-006 Ver 9.0 9AWW-10-2-9-2
2312 ElectroGlas EG 1034 PC Upgrade kit AWW-10-2-10
2313 ElectroGlas EG 1034 Upgrade Board S2100-1034-005 AW-1034-005 Ver 9.0 AWW-10-2-11
2314 ElectroGlas EG 1034 Upgrade Board 21-0004-1034-003’AW-1034-003 Ver E AWW-10-2-12
2315 ElectroGlas A6 Material Handling Logic Assy XA6A 244636-002 H AWW-10-2-13-001
2316 ElectroGlas A5 Theta Z Function II Assy 245023-001 Rev H AWW-10-2-13-002
2317 ElectroGlas A5 Theta Z Function II Assy 250262-001 Rev D AWW-10-2-13-003
2318 ElectroGlas A5 Theta Z Function II Assy 245023-001 Rev D AWW-10-2-13-004
2319 ElectroGlas A5 Theta Z Function II Assy 250262 AWW-10-2-13-005
2320 ElectroGlas A5 Theta Z Joystick Assy 250262 H AWW-10-2-13-006
2321 ElectroGlas A5 Theta Z Function II Assy 245023-001 R AWW-10-2-13-007
2322 ElectroGlas A5 Theta Z Function II Assy 245023-001 K AWW-10-2-13-008
2323 ElectroGlas A5 Theta Z Function II Assy 245023-001 M AWW-10-2-13-009
2324 ElectroGlas A5 Theta Z Function II Assy 245023-001 k AWW-10-2-13-010
2325 ElectroGlas A5 Theta Z Joystick Assy 250262 AWW-10-2-13-011
2326 ElectroGlas A5 Theta Z Joystick Assy 250262 AWW-10-2-13-012
2327 ElectroGlas 277443-001 REV.F Assy 252158-001 A911 MUX/Driver DA5–1-1-001
2328 ADESSO EasyTouch Mini keyboard 12”, 87 Keys Layout 1.12 Lbs AWW-10-2-15
2329 ElectroGlas A1 Power DAR Assy 244425-001 Rev L AWW-10-2-16-001
2330 ElectroGlas Assy 100257 FAB 100256 X9121653 Extension Board ? AWW-10-2-16-002
2331 Adaptec AHA-2940W/2940UW Assy 917206-18 BF0A71403H9 FGT2940UW AWW-10-2-16-003
2332 Matrox 590-00 REV B 16551-74L-1827 00059264 Rev. A00 MGA-MIL/4/ AWW-10-2-16-004
2333 HP 24541-660031 B-2732-63 041-00540 AWW-10-2-16-005
2334 Alpha? MBA-WV1-F FCC ID JSQASLWACJJMP1 JAX-8228 Clone Cirrus AWW-10-2-16-006
2335 MGP-SD AWW-10-2-16-007
2336 SIIG Inc IO1866 V1.0 P014 61 J6M000001059 JJ-P04212 AWW-10-2-16-008
2337 SNB-C032 2SIPIG Card WP93024747 AWW-10-2-16-009
2338 Kouwell KW-524H FCC ID FSS KW-524H AWW-10-2-16-010
2339 KLA-Tencor Daytron Inc DI-5049!B AWW-10-2-17-001
2340 KLA-Tencor B-22 AWW-10-2-17-002
2341 KLA-Tencor PCB AWW-10-2-17-003
2342 KLA-Tencor PCB AWW-10-2-17-004
2343 KLA-Tencor Digital Data Converter Model No 20 03030 /20-02030 AWW-10-2-17-005
2344 KLA-Tencor Mgage 200 Mgage 300 Parts W/M77744 V7169 AWW-10-2-17-006
2345 HP 4142B Main Frame ,Module DC Source /Montitor Hewlett Packard ID-AWW-10-3-6
2346 ElectroGlas EG 2001 Wager Probe Disk Driver AWW-10-3-7
2347 ElectroGlas EG 2001 EG2010 PSM-C Power Supply Module  AWW-10-4-4
2348 Maaway Products MPD 41620 Power Supply 120 VAC 30 AMP 50/60 Hz AWW-10-3-8
2349 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-1
2350 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-2
2351 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-3
2352 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-4
2353 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-7
2354 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-6
2355 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-5
2356 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-8
2357 Gasonics Aura 3010 Gasonics Aura 3000 Plasma Asher Plasma Clean AWD-1-8
2358 Gasonics PEP 3510 Frame ? Plasma Asher Plasma Clean AWD-1-9
2359 Matrix System One Stripper Style 10X Plasma Asher Plasma Clean AWD-1-10
2360 Matrix System One Stripper Style 10X, Matrix 103 Plasma Asher Descum AWD-1-11
2361 Matrix System One Etcher Style 302 Matrix 302 Plasma Etch, Dry Etcher AWD-1-12
2362 AG Associates Heatpulse Rapid Thermal Processor Model 610I , 4 Bank , AWD-1-13
2363 CIT-ALCATEL RSV250 For Alcatel 113 Fomblin Y25/5 ?, W/ BBC HEUCST 90 S2 AWD-1-14
2364 CIT-ALCATEL RSV250 For Alcatel 113 Fomblin Y25/5 ?, W/ BBC HEUCST 90 S2 AWD-1-15
2365 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-1
2366 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-2
2367 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-3
2368 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-4
2369 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-5
2370 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-7
2371 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-8
2372 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-9
2373 Gasonics Aura 2000-LL Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-10
2374 Matrix 209S Matrix BobCat 209S  Microwave Plasma Asher,Plasma Descum ID-AWO-2-1
2375 Matrix  209S Microwave Plasma Asher , Stripper Plasma Descum , 8 Inch ID-AWO-2-2
2376 Genmark Automation Robot Controller AWR-040-AA-5-003
2377 Genmark Automation Robot Controller AWR-040-AA-4-001
2378 Genmark Automation Robot Controller AWR-040-AA-4-002
2379 CVC Power Supply For Temescal FC-1800 Evaporator DP-4-2
2380 Branson/IPC L3200 Plasma Asher Plasma Stripper AD-AWO-1-13
2381 Gasonics AE 2001 Plasma Etcher Dry Etcher AWO-1-14
2382 Matrix 10 Plasma Asher Plasma Clean , Up To 8 Inch Wafer AWO-1-15
2383 Gasonics Aura 1000 Plasma Asher Stripper Plasma Clean Dry Asher AWO-1-16
2384 Neslab System III Coolflow Liquid Recirculator 23x27x36 “ AWD-D-1-3-001
2385 Air Applitec Inc Model F236S ,AG Associates Mattson Blower AWD-D-1-5-001,-4-001
2386 Matrix 10 Plasma Asher Plasma Descum Equipment , 8 Inch AWD-D-1-7
2387 Lam Research Lam 490B Plasma Etcher Equipment AWD-D-1-8
2388 Lam Research Lam 590 Plasma Etcher 4/6 Inch Without Change Equipment AWD-D-1-9
2389 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWD-D-1-10
2390 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWD-D-2-1
2391 Branson/IPC L3200 Plasma Asher , Descum, 8” 2 chambers Equipment AWD-D-2-2
2392 Gasonics Aura 3010 Plasma Asher Plasma Clean AWD-D-2-3
2393 Matrix System One Etcher Style 402 Matrix 403 Plasma Etch, Dry Etcher AWD-D-2-4
2394 Matrix System One Stripper Matrix 20x  AWD-D-2-5
2395 Matrix System One Stripper Matrix 205 AWD-D-2-6
2396 Matrix System One Etcher Matrix 302? Matrix 303 ?AWD-D-2-7
2397 MKS ENI OEM-6 RF Generator For Matrix 105 Asher AWD-D-2-8-002
2398 0101-1001 /101-1001 / 1000-1001 For Matrix 10 Plasma Asher AWD-D-2-9-001
2399 101-0999 /0101-0999 For Matrix 10 Plasma Asher AWD-D-2-9-002
2400 101-1000 For Matrix 10 Plasma Asher AWD-D-2-9-003
2401 101-1000 For Matrix 10 Plasma Asher AWD-D-2-9-004
2402 Has Box For Matrix 10 Plasma Asher, No MFC Inside?  AWD-D-2-9-005
2403 Gas Box For Matrix 10 Plasma Asher, No MFC Inside?  AWD-D-2-9-006
2404 Chamber For Gasonics Aura 3010 Plasma Asher Plasma Clean AWD-D-2-3-002
2405 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-001
2406 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-002
2407 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-003
2408 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-004
2409 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-005
2410 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-006
2411 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-007
2412 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-008
2413 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-009
2414 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-010
2415 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-011
2416 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-012
2417 Tegal 901e 903e Circulating System Precision Scientific Chiller AWD-D-2-10-013
2418 Tegal 901e 903e Circulating System FC-1000-S Chiller AWD-D-2-10-014
2419 Tegal 901e 903e Circulating System FC-1000-S Chiller AWD-D-2-10-015
2420 Tegal 901e 903e Circulating System Neslab RTE-101 AWD-D-2-10-016
2421 Tegal 901e 903e Circulating System Neslab RTE-101 AWD-D-2-10-017
2422 Tegal 901e 903e Circulating System Neslab RTE-211 AWD-D-2-10-018
2423 Tegal 901e 903e Circulating System Neslab RTE-9DD AWD-D-2-10-019
2424 Tegal 901e 903e Circulating System Neslab FTC-350 AWD-D-2-10-020
2425 Tegal 901e 903e Circulating System Neslab RTE-100  AWD-D-2-10-021
2426 Noah Precision Model 2002 Chiller AWD-D-2-10-023
2427 M And W Systems M&W RPCX17A-D-D12x10”-LI-CMII-HE Chiller AWD-D-2-10-024
2428 101-0178 AC Controller Assy For Matrix Asher Etcher Systems AWD-D-2-11-001
2429 101-0461 System Main Controller For Matrix Asher Etcher Systems AWD-D-2-11-002
2430 101-0049 DC Power Distribution For Matrix Asher Etcher Systems AWD-D-2-11-003
2431 101-0461 ? System Main Controller For Matrix Asher Etcher Systems AWD-D-2-11-004
2432 023-0103 AC Power Discussion For Matrix Asher Etcher Systems AWD-D-2-11-005
2433 023-0103 AC Power Discussion For Matrix Asher Etcher Systems AWD-D-2-11-006
2434 023-0103 101-0448 ? AC Power Discussion For Matrix Asher Etcher AWD-D-2-11-007
2435 101-0461 ? System Main Controller For Matrix Asher Etcher AWD-D-2-11-008
2436 101-0461 ? System Main Controller For Matrix Asher Etcher AWD-D-2-11-009
2437 101-0461 ? System Main Controller For Matrix Asher Etcher AWD-D-2-11-010
2438 101-0178 AC Controller Assy For Matrix Asher Etcher Systems AWD-D-2-11-011
2439 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-012
2440 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-013
2441 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-014
2442 101-0178 AC Controller Assy 101-0446 For Matrix Asher Etcher AWD-D-2-11-015
2443 101-0049 DC Power Distribution For Matrix Asher Etcher Systems AWD-D-2-11-016
2444 023-0103? 101-0448 AC Power Dis For Matrix Asher Etcher Systems AWD-D-2-11-018
2445 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-001
2446 17317-01D AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-002
2447 17317-01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-003
2448 17317-01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-004
2449 17317-01 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-005
2450 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-006
2451 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-007
2452 17317-01  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWD-D-2-12-008
2453 MKS 722A21TCB3FJ  20 Torr AWD-D-1–1-2-002
2454 Microtek CB3121 ISS 3 PCB AWD-D-1–1-3-001
2455 Microtek CB3121 ISS 3 PCB AWD-D-1–1-3-002
2456 Utek Microtek CB3012 ISS 4  PCB AWD-D-1–1-3-003
2457 Microtek CB2055 ISSU 2 CB2056 ? PCB AWD-D-1–1-3-004
2458 Microtek CB2021 ISSU 5 CB2022 ?PCB Temperature Controller AWD-D-1–1-3-005
2459 13 Mhz Matching Unit Controller SRE 134040 ISS. 3 MA-CB3622 AWD-D-1–1-4-001
2460 Microtek Utek Avonlid CB3082 ISS 4 PCB AWD-D-1–1-4-002
2461 Microtek Utek 187Khz Matching Unit Controller Board SRE 134048 AWD-D-1–1-4-003
2462 Microtek Utek CB3012 CB 3012 ISS 4 PCB  AWD-D-1–1-4-004
2463 Microtek Utek CB3121 ISS3 CB 3121 ISS 3 PCB  AWD-D-1–1-4-005
2464 Microtek Utek CB3017 ISS3 CB 3017 ISS 3 PCB  AWD-D-1–1-4-006
2465 Microtek Utek CB3017 ISS4 CB 3017 ISS 4 PCB  AWD-D-1–1-4-008
2466 Microtek AVON LTD Utek CB3124 ISS5 CB 3124 ISS 5 PCB  AWD-D-1–1-4-009
2467 Microtek AVON LTD Utek CB3121 ISS3 CB 3121 ISS 3 PCB  AWD-D-1–1-4-010
2468 Thermocouple/resistance Thermometer PRE-Amplifier SN 445 PL59 AWD-D-1–1-4-011
2469 Microwave Quartz Tube For Gasonics Aura 3010 Plasma Asher AWD-D-1–1-4-012
2470 Ceramic Ring For Matrix 302 Matrix 303 Matrix 403 Plasma Etcher AWD-D-1-1-5-002
2471 Gasonics Cooling Station 17387-01 E  For Gasonics Aura 3010 AWD-D-1-1-6-001
2472 Gasonics Cooling Station 17387-01 ? For Gasonics Aura 3010 AWD-D-1-1-5-003
2473 Gasonics Cooling Station 17387-01 ? For Gasonics Aura 3010 AWD-D-1-1-5-004
2474 Gasonics Ring ? For Gasonics Aura 3010 AWD-D-1-1-5-005
2475 MUEGGE MW2101A-260EC National Electronics 2722-162-10471 AWD-D-1-1-001
2476 The Pancake Line FABCO-AIR HPS-48 AWD-D-1-1-002
2477 Gasonics PCA Display Panel 90-2678 B W/ 90-2679 , 89-2637? 4004 AWD-D-1-1-7-001
2478 Gasonics A89-005-01 B A90-005-02 R Controller Board AWD-D-1-1-7-002
2479 Gasonics PCA Display Panel 90-2678 B W/ 90-2679 , 89-2637? 4004 AWD-D-1-1-7-003
2480 Gasonics A89-005-01 7 A90-005-02 D Controller Board AWD-D-1-1-7-004
2481 Gasonics A89-005-01 7 A90-005-02 J Controller Board AWD-D-1-1-7-005
2482 Gasonics A89-005-01 B A90-005-02 N? P? Controller Board AWD-D-1-1-7-006
2483 Matrix Integrated Systems 1000-200-4 Monochrometer Endpoint PCB AWD-D-1-1-7-007
2484 Matrix Integrated Sys 1000-0027  9000-0027? Process Controller AWD-D-1-1-7-008
2485 Matrox Electronic Systems STD 2480 136-C06-3BCDE AWD-D-1-1-7-009
2486 Enterprise Systems 10764-UMC PN 706015 A/W 900178 AWD-D-1-1-7-010
2487 Assy 108811 D/C CCD 108810 D Z80 Processor For Lam AutoEtch AWD-D-1-1-7-011
2488 Lam Research 392A 392-1-B-H23-585 For Lam AutoEtch AWD-D-1-1-7-012
2489 Load lock Cover For Lam AutoEtch 490 590 690 790 AWD-D-1-1-7-014
2490 P.S.U.1 Controller For Gasonics? Matrix ? Lam Research? STS AWD-D-1-5-2-004
2491 PFEIFFER Vacuum Controller TCP 380 AWD-D-1-5-2-005
2492 STS RF Cable AWD-D-1-5-3-001
2493 STS RF Cable AWD-D-1-5-3-002
2494 Cassette Holder For Gasonics Aura 2000 Lam Rainbow 4420 4428 4520 AWD-D-1-5-4
2495 Industrial Control Transformer Sola HEVI-duty E150 FS1002 AWD-D-1-5-5
2496 Brounley Engineering Mode BE1113 13 Mhz RF Generator AWD-D-1-5-6-001
2497 Brounley Engineering Mode BE1113 13.56 Mhz RF Generator AWD-D-1-5-6-002
2498 Brounley Engineering Mode BE1113 13.56 Mhz RF Generator AWD-D-1-5-6-003
2499 STP-200 Turbo Molecular Pump Seiko-Seiki Great Condition AWD-D-1-5-7
2500 Klinger Motor UE71 Current 1 AMP AWD-D-1-4-2-001
2501 Klinger Motor Controller ? AWD-D-1-4-3-001
2502 Klinger Motor Controller ? AWD-D-1-4-3-002
2503 ETI 4336TN Glass Ion Tube AWD-D-1-4-4-004
2504 Duniway Stockroom T-075-P Glass Ion Tube AWD-D-1-4-4-005
2505 Huntington IK-100 Glass Ion Tube AWD-D-1-4-4-006
2506 THT RG75TK Glass Ion Gauge AWD-D-1-4-4-008
2507 ETI 4336K  Glass Ion Gauge AWD-D-1-4-4-009
2508 MKS HPS   Glass Ion Gauge AWD-D-1-4-4-010
2509 Gasonics Lamptray Assy For Gasonics Aura 3010 3000 2000LL? AWD-D-1-4–5-001
2510 Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–5-001
2511 Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–6-001
2512 Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–6-002
2513 Branson/IPC Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–7
2514 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–8
2515 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–9
2516 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–10-001
2517 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–10-002
2518 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-4–11
2519 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-2-001
2520 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-2-002
2521 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-3-001
2522 Gasonics Lamptray For Gasonics Aura 3010 3000 Plasma Asher AWD-D-1-3-3-002
2523 Gasonics Lamptray PN 17327-01 D For Gasonics Aura 3010 3000  AWD-D-1-3-3-003
2524 Gasonics Controller ? Gas Panel ? With Dayton Electronic For 6X543 AWD-D-1-3-4
2525 06764-001, A90-005-06 C , A90-014-01 B ,A90-030-01 C PCBs AWD-D-2-8-3-001
2526 Home Design Robot Assembly For Gasonics Aura 2000-LL AWD-D-2-8-4-001
2527 Home Design Cassette Station For Gasonics Aura 2000-LL AWD-D-2-8-4-002
2528 Motor Station For Gasonics Aura 2000-LL AWD-D-2-8-5-001
2529 MKS Type 152 Automatic Pressure Controller Gasonics Aura 2000-LL AWD-D-2-8-5-002
2530 Door With Cylinder For Gasonics Aura 2000-LL AWD-D-2-8-5-003
2531 Kooltronic KBB36-XX09, Capacitor Z97F5704 A95-125-02 A AWD-D-2-8-6-001
2532 Lot: Vacuum Parts For Gasonics Aura 2000-LL AWD-D-2-8-7
2533 Gas Box Assembly For Gasonics Aura 2000LL AWD-D-2-8-8
2534 Chamber Top With Home Design Elevator For Gasonics Aura 2000LL AWD-D-2-8-8
2535 A95-107-01 B Control Panel For Gasonics Aura 2000LL AWD-D-2-8-10
2536 Lamptray Assembly W/ A95-053-02, A95-205-01, Gasonics Aura 2000LL AWD-D-2-8-11
2537 Quality Transformer 6300 For Gasonics Aura 2000LL AWD-D-2-8-12-001
2538 Quality Transformer 6300 For Gasonics Aura 2000LL AWD-D-2-8-12-002
2539 PN 36-2019 Novellus A3292 Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-004
2540 PN 36-2019 Novellus A3292 Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-005
2541 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-006
2542 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-007
2543 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-008
2544 Parts ComIng With  Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-009
2545 Rorzeco RD-323M10, Sysmac c40H , 12N-010-13, Acopian U24Y350 AWD-D-2-8-13-001
2546 Lot: Varian L6281-703 NW40 A/O, Sigmameltec PS-10N , Tele Byte, AWD-D-2-8-13-002
2547 Kooltronic KBB36-XX09, Capacitor Z97F5704 A95-125-02 A AWD-D-2-8-14-001
2548 Chamber Door Assy W/ BIMBA Cylinder, A01-173-02 A , A05-043-02 AWD-D-2-8-14-002
2549 A95-107-01 B Control Panel For Gasonics Aura 2000LL AWD-D-2-8-10-003
2550 06764-001, A90-2608 A, A90-2607 B ,A90-2609 A PCBs AWD-D-3-1-1-001
2551 ARM Controller Board Assy 06764-002 For Gasonics Aura 2000-LL AWD-D-2-8-12-011
2552 48V Control Board Assy 06763-005 Rev3 For Gasonics Aura 2000-LL AWD-D-2-8-12-010
2553 Cables For Gasonics Aura 2000-LL AWD-D-2-8-12-012
2554 Home Design Cassette Station For Gasonics Aura 2000-LL AWD-D-3-1–1-002
2555 Hine Design Motor Station For Gasonics Aura 2000-LL AWD-D-3-1-2-001
2556 Chamber Top With Home Design Elevator For Gasonics Aura 2000LL AWD-D-3-1-3-001
2557 Home Design Robot Assembly For Gasonics Aura 2000-LL AWD-D-3-4-001
2558 Lamptray Assembly Gasonics Aura 2000LL AWD-D-3-1-4-002
2559 SST Tube For  Gasonics Aura 2000LL AWD-D-3-1-5-001
2560 MKS Type 152 Automatic Pressure Controller Gasonics Aura 2000-LL AWD-D-3-1-5-003
2561 Varian L6281-701 NW-16-A/O With SST Tube AWD-D-3-1-5-004
2562 Varian L6280302 NW25 H/O , MKS 122AA-00010DB With SST Tube AWD-D-3-1-5-005
2563 A95-060-01 Gasonics INTL With SST Tube AWD-D-3-1-5-006
2564 Varian NW-40-A/O L6281-703 AWD-D-3-1-5-008
2565 Varian NW-16-A/O L6281701 L6281-701 AWD-D-3-1-5-009
2566 Gasonics PWM Motor Driver 810-2850-001 AWD-D-3-1-5-011
2567 Banner Modulated Photoelectric Amplifier MA3 AWD-D-3-1-5-012
2568 Gasonics 95-2872  SST Tube ,Millipore WG2FT1RR2/ C7PM3221 Filter AWD-D-3-1-6-001
2569 Gasonics A95-134-01 SST Tube AWD-D-3-1-6-002
2570 Gasonics Aura 2000 Plastic Cover , Loadlock?AWD-D-3-1-6-003
2571 Utility Panel Assy For Gasonics Aura 3010 AWD-D-3-1-6-004
2572 Gasonics/IPC AC CNTRL PWR ASSY 16563-01? 1656B-01? 16568-01? AWD-D-3-1-6-005
2573 17542-01 A SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD-D-3-1-7-001
2574 17461-01 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD-D-3-1-7-002
2575 17351-01 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWD-D-3-1-7-003
2576 BRanson/IPC Display Driver 16498-01 B AWD-D-3-1-7-004 2/2
2577 BRanson/IPC Display Driver 16498-01 B AWD-D-3-1-7-005 2/2
2578 Opto 22 PB4 For Branson/IPC ? Gasonics? AWD-D-3-1-7-006 2/2
2579 90-1061 A Watchdog Gasonics Aura 3010 3000 PCB AWD-3-1-7-007 2/2
2580 Proteus Ind FSDC REVD 200077 062999 PCB AWD-D-3-1-7-008 2/2
2581 Connector Station For Gasonics Aura 3010 3000 Aura 2000LL? AWD-D-3-1-7-009 2/2
2582 Branson/IPC Display Driver 16498-01 PCB AWD-D-3-1-8-001
2583 Gasonics BRanson/IPC Display Driver 16498-01 B AWD-D-3-1-8-002
2584 90-1061 A Watchdog Gasonics Aura 3010 3000 PCB AWD-D-3-1-8-003
2585 Cable 16879-02 C Branson/IPC ? AWD-3-1-8-004
2586 Cable 1766-01 C Branson/IPC ? AWD-3-1-8-005
2587 Signal DP-241-8-28 Transformer Branson/IPC ? AWD-3-1-8-006
2588 Signal 241-6-24 Class B-3 Transformer Branson/IPC ? AWD-3-1-8-007
2589 BIMBA D-17469-A-8 Stainless Filter? For Gasonics Aura 3010 3000  AWD-D-3-1-9-001
2590 BIMBA D-17469-A-8 Stainless Filter? For Gasonics Aura 3010 3000 AWD-D-3-1-10-001
2591 BIMBA D-17469-A-8 Plastic Filter? For Gasonics Aura 3010 3000 AWD-D-3-1-10-002
2592 Gasonics 17547-01 Rear Control Assy For Gasonics Aura 3010 3000 AWD-D-3-1-10-003
2593 Gasonics 97042-01 Power Conditioner For Gasonics Aura 3010 3000 AWD-D-3-1-11
2594 Gasonics 97042-01 Power Conditioner For Gasonics Aura 3010 3000 AWD-D-3-1-12
2595 Gasonics Plate For Microwave Guide For Gasonics Aura 3010 3000 AWD-D-3-1-12-002
2596 Gasonics Thermocouple For Gasonics Aura 3010 3000 AWD-D-3-1-12-003
2597 Gasonics Lamp A95-214-01 USHIO EHG 120V 750W For Aura 3010 3000 AWD-D-3-1-13-001
2598 Proteus Industries Inc Model 0200C24 Valve For Aura 3010 3000 AWD-D-3-1-13-002
2599 Honeywell GKMB13W2 For Aura 3010 3000 AWD-D-3-1-13-003
2600 SPAN Gas Minder Display Assembly 1083 For Aura 3010 3000 AWD-D-3-1-13-004
2601 Telebyte OPTO-ISOLATION Module 268 Model  For Aura 3010 3000 AWD-D-3-1-13-005
2602 Telebyte OPTO-ISOLATION Module 268 Model  For Aura 3010 3000 AWD-D-3-1-13-006
2603 Tegal 93-124-002 Cable AWD-D-3-1-13-007
2604 17382-01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD-D-3-1-14-001
2605 17382-01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD-D-3-1-14-002
2606 17382-01 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWD-D-3-1-14-003
2607 ASYST SAM-4410 SAM 4410 Gasonics Aura 3010 ,3000, L3510 AWD-D-3-1-14-004
2608 A95-205-01  With A90-048-01 ,A90-029-02 Gasonics Aura 3010 AWD-D-3-1-14-005
2609 MKS Type 152 Pressure Controller Gasonics Aura 3010 AWD-D-3-1-14-006
2610 Lamp Heat Connected Station ? For Gasonics Aura 3010 AWD-D-3-1-15-001
2611 Lamp Heat Connected Station ? For Gasonics Aura 3010 AWD-D-3-1-15-002
2612 Advanced Radiation Corp CSA75-SPEC For Gasonics Aura 3010 AWD-D-3-1-15-003
2613 Cable 96-0181 Rev A Z-BOT For Gasonics Aura 3010 AWD-D-3-1-15-004
2614 SMC NCD-G03, 2509-0133-01 For Gasonics Aura 3010 AWD-D-3-1-15-005
2615 Branson/IPC Power Distribution LP P/N 12255-G AWD-D-3-2-1
2616 CTI-Cryogenics 8200 Compressor AWD-D-3-2-2
2617 LASELCO 2201745 Transformer For Gasonics Aura 3010, 3000, 2000-LL AWD-D-3-2-3
2618 Front Control Panel Display CD 1011 For Tegal 901e 903e AWD-D-3-2-4
2619 PN 46-274-001 For Tegal 901e 903e AWD-D-3-2-5
2620 PN 46-275-001 For 6 Inch Tegal 901e 903e AWD-D-3-2-6
2621 PN 38-232-001 A For 6 Inch Tegal 901e 903e AWD-D-3-2-6-002
2622 PN 46-273-001 A For 4 Inch Tegal 901e 903e AWD-D-3-2-7-001
2623 PN 46-383-001 For 3 Inch Tegal 901e 903e AWD-D-3-2-7-002
2624 Keyboard Panel For Gasonics Aura 3010 3000 AWD-D-3-2-7-003
2625 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-8
2626 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-9
2627 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-10
2628 RF Matching RF Delivery CR 1044 For Tegal 901e 903e AWD-D-3-2-11
2629 AC Service CF 1004 For Tegal 901e 903e AWD-D-3-2-12
2630 Wafer Transfer CW1078  For Tegal 901e 903e AWD-D-3-2-13
2631 AC Remote Box For Lam Research Lam Rainbow 4428 4528 4420 4520 4500 AWD-D-3-3-1
2632 Branson/IPC Power Distribution  PN 12255-G Branson/IPC 3001, 3000 AWD-D-3-3-3
2633 Chamber 9995-99629, AMU1A-20 Trazar , 0101-0724 For Matrix 10  Asher AWD-D-3-4-1
2634 0101-1210 ? 0101-1013 For Matrix 10 Plasma Asher AWD-D-3-4-2-001
2635 17-041114-00 A RA8000 2796  For Matrix 10 Plasma Asher AWD-D-3-4-2-002
2636 Gasonics Distribution 95-0293 B For Aura 3000 3010 Plasma Asher AWD-D-3-4-3
2637 Gasonics Distribution 95-0552  A For Aura 3000 3010 Plasma Asher AWD-D-3-4-4
2638 Gasonics Distribution 95-0552  A For Aura 3000 3010 Plasma Asher AWD-D-3-3-5
2639 Gasonics Chamber Door PN 17329-01 For Gasonics Aura 3010 3000  AWD-D-3-11-1
2640 Gasonics Lamp Control  Module PN 17447-01  95-0550? Aura 3010 3000  AWD-D-3-11-2
2641 Gasonics Lamp Control  Module PN 17447-01  95-0550? Aura 3010 3000  AWD-D-3-11-3
2642 Gasonics Lamp Control  Module PN 17447-01  95-0550? Aura 3010 3000  AWD-D-3-11-3
2643 Telebyte For Gasonics Aura 3010 3000  AWD-D-3-11-4-001
2644 CHAM HTR PWR Interface Box 17391-01 , Gasonics Aura 3010 3000  AWD-D-3-11-4-002
2645 Chamber Plate For Gasonics Aura 3010 3000  AWD-D-3-11-5-001
2646 Gas Box  For Gasonics Aura 3010 3000  AWD-D-3-11-6-001
2647 Gas Box  95-0261 For Gasonics Aura 3010 3000  AWD-D-3-11-7-001
2648 Lamp Enable SSR Assy 17700-01  For Gasonics Aura 3010 3000  AWD-D-3-11-7-002
2649 Lamp Enable SSR Assy 17700-01  For Gasonics Aura 3010 3000  AWD-D-3-11-8-001
2650 Lamp Enable SSR Assy 17700-01  For Gasonics Aura 3010 3000  AWD-D-3-11-8-002
2651 ENI LPG-12A Solid State Power Generator, RF Generator AWD-D-3-5-001
2652 ENI LPG-12A Solid State Power Generator, RF Generator AWD-D-3-5-002
2653 ENI LPG-12A Solid State Power Generator, RF Generator AWD-D-3-5-003
2654 ENI OEM-6 Solid State Power Generator, RF Generator AWD-D-3-5-005
2655 ERATRON HFP 85000 8500/1200  RF Generator AWD-D-3-5-007
2656 MDX-1K Advanced Energy Power AWD-D-3-5-008
2657 5017-000-E Advanced Energy Power AWD-D-3-5-010
2658 ENI ACG-5J RF Generator AWD-D-3-5-011
2659 ENI VPA-1987-21121 RF Generator ? AWD-D-3-5-012
2660 95-0593 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-002
2661 95-0503  Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-003
2662 95-0503  ? 95-3014 F Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-004
2663 95-0503  ? 95-2665 A Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-005
2664 95-0503  ? 95-2665 A Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-006
2665 95-0503  95-3014 (?) Waveguide For Gasonics Aura 3010 3000  AWD-D-3-7-008
2666 95-0503 ? 95-2665 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-6-002
2667 95-0503 ? 95-3014 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-6-003
2668 95-0503 ? 95-3014 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-6-004
2669 95-0503 ? 95-3014 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-6-005
2670 95-0503 ? 95-0483 Rev 1 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-002
2671 95-0503 ? 95-0483 Rev 1 Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-003
2672 95-0503 ? 95-2665 Rev A Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-004
2673 95-0503 ? 95-2665 Rev A Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-005
2674 95-0503 ? 95-2665 Rev A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-006
2675 95-0503 ? 95-2665 Rev A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-007
2676 95-0503 ? 95-2665 Rev A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-008
2677 95-0503 A ,95-2665 Rev A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-009
2678 NL-10256-16 Assy,95-0503 A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-010
2679 Parts For 95-0503 A ?Waveguide For Gasonics Aura 3010 3000  AWD-D-3-8-011
2680 17330-0 Rev C Process Chamber Assy For Gasonics Aura 3010 3000  AWD-D-1-0-001
2681 17330-0 Rev C Process Chamber Assy For Gasonics Aura 3010 3000  AWD-D-1-0-002
2682 17305-01 Gerling C12932-2 Microwave Power Source,Gasonics 3010 AWD-D-1-0-003
2683 17305-01? Gerling C12365-1 Microwave Power Source,Gasonics 3010 AWD-D-1-0-004
2684 61-0052 AGL Gerling C12932-2 Microwave Power Source,Gasonics 3010 AWD-D-1-0-005
2685 17305-01 AGL Gerling Microwave Power Source,Gasonics Aura 3010 AWD-D-1-0-006
2686 17305-01?AGL Gerling C12932-2 Microwave Power Source,Gasonics 3010 AWD-D-1-0-007
2687 17305-01?AGL Gerling C139 HVS Microwave Power Source,Gasonics 3010 AWD-D-1-0-008
2688 61-0052-U AGL Gerling C12932-2-U Microwave Power Source,Aura 3010 AWD-D-1-0-009
2689 61-0052-U AGL Gerling 139HVS Microwave Power Source,Aura 3010 AWD-D-1-0-010
2690 AGL Gerling 139HVS Microwave Power Source,Gasonics 3010 61-0052-U AWD-D-1-0-011
2691 95-2992 Microwave Power Supply Assy For Gasonics PEP 3510? AWD-D-1-0-012
2692 BOC Edwards Remote Control Box SX/S8-S9 For QDP80 Dry Vacuum Pump AWD-D-1-0-014
2693 .2 Meter Monochrometer/ Detector , Verity Instruments, Matrix 303 AWD-D-1-0-015
2694 Jennings UCSL-1000-5S AWD-D-1-0-016-001
2695 Jennings UCSL-500-10S AWD-D-1-0-016-002
2696 Eurotherm Controls 815S/TC/4MA20/C4MA20/DHD/NONE 485/96/F/03 AWD-D-1-0-017
2697 Supertex 2090057, Gasonics L6125301 Bellow Kit AWD-D-1-0-018
2698 716-6368-1 Rev 3 Lam Research 71663681 14C5996R AWD-D-1-0-018-002
2699 Cordon ENI Filter C9724 20-1368 20VW6 F7772 AWD-D-1-0-019
2700 96-0148 C , Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-001
2701 17409-01 A , Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-002
2702 15651-01 C , 15437-01 B ,Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-003
2703 96-2110 B ,1828YT, Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-004
2704 96-2110 A, 96-2372 A, Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-005
2705 96-2110 A, 96-2372 A, Cable For Gasonics Aura 3010 3000 AWD-D-1-0-020-006
2706 17405-01 A, 96-0331 A, 96-0154 B, 17394-01 A, 17403-01 B & PCB AWD-D-1-0-020-007
2707 17405-01 A, 96-0331 A, 96-0154 B, 17394-01 A, 17403-01 B & PCB AWD-D-1-0-020-008
2708 17396-01 B , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-009
2709 15721-02 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-010
2710 15721-02 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-011
2711 96-2611 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-012
2712 15198-01 E , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-013
2713 15198-01 E , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-014
2714 96-2610 A, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-015
2715 15198-02 E, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-016
2716 16881-01 D, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-017
2717 17397-01 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-018
2718 17397-01 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-019
2719 16510-02 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-020
2720 16510-01 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-021
2721 16511-01 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-022
2722 16512-01 B , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-022
2723 15649-01 C , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-023
2724 16881-01 C , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-024
2725 96-0121 A , Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-025
2726 16122-01 D, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-026
2727 96-3667 A, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-027
2728 16878-02 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-028
2729 16878-01 B, Cable For Gasonics Aura 3010, 3000 AWD-D-1-0-020-029
2730 CBPB-XT , PCB  For Gasonics Aura 3010, 3000 AWD-D-1-0-021-001
2731 CBPB-XT , 95-3510-44 PCB  For Gasonics Aura 3010, 3000 AWD-D-1-0-021-002
2732 95-0288 486 MTHBD  PCB  For Gasonics Aura 3010, 3000 AWD-D-1-0-021-004
2733 16924-01 Graph CTR PCB  For Gasonics Aura 3010, 3000 AWD-D-1-0-021-006
2734 1X0-0170-001 EKSUSA4COM STB System For Gasonics Aura 3010,3000 AWD-D-1-0-021-007
2735 PC545FS-W 000024 PCB For Gasonics Aura 3010,3000 AWD-D-1-0-021-008
2736 16321-01 D (2) 16321-01 B (1) For Gasonics Aura 3010,3000 AWD-D-1-0-021-009
2737 Branson/IPC 300C Controller D380-001
2738 Branson/IPC 300LP Controller , With SIEMENS SIMATIC C7-633 D380-002
2739 Branson/IPC 2000C Controller D380-003
2740 Branson/IPC 3000C Controller D380-004
2741 Branson/IPC 4000 Controller D380-005
2742 Branson/IPC S3000 Controller D380-006
2743 Dionex Gas Plasma Systems Branson/IPC PM-119 RF Generator  D380-009
2744 Dionex Gas Plasma Systems Branson/IPC PM-119 RF Generator  D380-010
2745 Dionex Gas Plasma Systems Branson/IPC PM-921C Temp Controller D380-011
2746 Dionex Gas Plasma Systems Branson/IPC PM-921C Temp Controller D380-012
2747 Dionex Gas Plasma Systems Branson/IPC Process Programmer PM906U 4 D380-013
2748 Dionex Gas Plasma Systems Branson/IPC Process Programmer PM906U-4 D380-014
2749 Dionex Gas Branson/IPC S4075-11020 ,10 Inch , Barrel Plasma Asher  D380-015
2750 Neslab CFT-75 Refrigerated Recirculator D380C-001
2751 Neslab CFT-75 Refrigerated Recirculator D380C-002
2752 Neslab CFT-33 Refrigerated Recirculator D380C-003
2753 Neslab Coolflow Liquid/liquid Recirculator System I D380C-004
2754 Neslab Chiller RTE-134, AG Associates Heatpulse 4100 4108 8108  7100-3764-06
2755 Neslab Chiller RTE-134, AG Associates Heatpulse 4100 4108 8108  7100-3764-06
2756 Neslab Chiller RTE-134, AG Associates Heatpulse 4100 4108 8108  7100-3764-06
2757 Neslab Chiller RTE-134, AG Associates Heatpulse 4100 4108 8108  7100-3764-06
2758 Neslab Chiller RTE-221 D380C-010
2759 Neslab Chiller RTE-221 D380C-011
2760 Termotek P302-16466-1 ,2009 Vintage D380C-012
2761 Termotek Rack 700w P307-055 2002 Vintage D380C-013
2762 Termotek P302-16466-1 2009 Vintage D380C-014
2763 Termotek P307-19717-1 ,2018 Vintage D380C-015
2764 Neslab RTE-9DD Pyrometer Chiller, Refrigerated Recirculator D380C-016
2765 Fisher Scientific Inc 211131800 Chiller , Refrigerated Recirculator D380C-017
2766 Neslab RTE-110 Pyrometer Chiller, 900303 Refrigerated Recirculator D380C-018
2767 Airco Temescal CV-8-2666 Power Supply For FC-1800 Evaporator ID-D380power-001
2768 CTI-CRYGENICS 8510 Compressor ID-D380-022
2769 Marelco Power Systems Inc Howellmi M17716SE MEC 200!transformer D380-022
2770 AG Association Emissivity/Temperature Calibration D380-023
2771 AG Association Emissivity/Temperature Calibration Frame D380-024
2772 AG Associates Heatpuls 8108 7310-0647-02-B Exhausting Adapter D380-024
2773 Heatpuls 8108 Controller Station.W/ 05-1 598-01A Monitor, Dynapro 1780A D380-026
2774 Neslab  Merlin M33 For Tegal 901e 903eb Refrigerated Recirculator D380C-021
2775 Plasma Etch PE-100 Plasma Asher Etcher ID-D380-022
2776 Cables & Power-one MAP130-4000 Etc, Gasonics Aura 3010, 3000 AWD-D-1-0-022-001
2777 Cables & Power-one MAP130-4000 Etc, Gasonics Aura 3010, 3000 AWD-D-1-0-022-002
2778 15114-01 E ,Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-003
2779 15867-02 G, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-004
2780 15867-02 G, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-005
2781 16752-01 C, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-006
2782 15867-02 G, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-007
2783 16752-01 C, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-008
2784 15114-01 E, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-009
2785 15114-01 E, Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-010
2786 16121-01 D,Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-011
2787 15112-01 E Cable For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-022-012
2788 63-0084 A,Cable For Gasonics Aura 3010, 3000 2000LL L3510 (?) AWD-D-1-0-022-013
2789 214423 , Cable For Gasonics Aura 3010, 3000 2000LL L3510 (?) AWD-D-1-0-022-014
2790 16122-01 D & 16512-01 B, Cable ,Gasonics Aura 3010, 3000 L3510 AWD-D-1-0-022-015
2791 17665-01 C,Cable ,Gasonics Aura 3010, 3000 L3510 AWD-D-1-0-022-016
2792 96-0150 B, Cable ,Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-017
2793 96-4045 A, Cable ,Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-018
2794 15417-01 C, Cable ,Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-020
2795 16879-01 C With Key Cable ,Gasonics Aura 3010, 3000 ,L3510 AWD-D-1-0-022-021
2796 17407-01 B, Cable For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-022
2797 96-2110 B, Cable For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-023
2798 17407-01  B, Cable For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-024
2799 96-0169 A, 17407-01 B Cable Gasonics Aura 3010, 3000 ,L3510 AWD-D-1-0-022-025
2800 7100-2152-03 H For AG Associates Heatpulse 4100 4108 8108 -BG-3-3-3
2801 96-1061 A PCB For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-027
2802 96-1061 A PCB For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-028
2803 96-1061 A PCB For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-029
2804 95-0289 Gas INT PCB For Gasonics Aura 3010, 3000 ,2000, L3510 AWD-D-1-0-022-030
2805 Telebyte W/ 96-0255 A, 96-0256 A For Gasonics Aura 3010, L3510 AWD-D-1-0-022-031
2806 96-3713 C For Gasonics Aura 3010, L3510 AWD-D-1-0-022-032
2807 17407-01 B , Cable For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-033
2808 96-3199 B ,Cable For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-035
2809 96-0169 A, Cable For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-036
2810 17407 B , Cable For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-037
2811 17407 B , Cable For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-038
2812 Cables And Holder For Gasonics Aura 3010, 3010 2000LL, L3510 AWD-D-1-0-022-039
2813 Power Supply For Gasonics Aura 3010, 3000 2000LL, L3510 AWD-D-1-0-023-002
2814 Power Supply For Gasonics Aura 3010, 3000 2000LL, L3510 AWD-D-1-0-023-003
2815 Permit-yuan 408PS071203 TB Xentek   SX300-82000 Power Supply AWD-D-1-0-023-004
2816 Mean Well MW Q-120C Power Supply For Gasonics Aura 3010 3000 AWD-D-1-0-023-005
2817 Power-one MAP130-4000 Power Supply For Gasonics Aura 3010 3000 AWD-D-1-0-023-006
2818 Power Supply For Gasonics Aura 3010 3000 2000LL L3510 Plasma AWD-D-1-0-023-007
2819 Power Supply Power-One MAP130-4000 Gasonics 3010 2000L L3510 AWD-D-1-0-023-008
2820 Power Supply Power-One MAP130-4000 Gasonics 3010 2000L L3510 AWD-D-1-0-023-009
2821 Power Supply For Gasonics Aura 3010 3000 2000LL L3510 Plasma AWD-D-1-0-023-010
2822 16321-01D For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-023-011
2823 NEC ElectraEliteIPK Electra Elite IPK II (?) Computer AWD-D-1-0-023-012
2824 Parts For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-023-013
2825 LN2-150 Tank? Container? Bottle? AWD-D-1-0-025
2826 Digital Monitor VT420 For Tegal 901e 903e AWG-1-3-002
2827 Monitor For Matrix 101 102 103 104 105 106!205 206 302 303 403 Asher AWG-1-4-002
2828 Monitor For Matrix 101 102 103 104 105 106!205 206 302 303 403 Asher AWG-1-5-002
2829 95-0296 B Touch Screen Monitor for Gasonics Aura 3010,Gasonics L3510 AWG-1-6-002
2830 95-1260 C Touch Screen Monitor for Gasonics Aura 3010,Gasonics L3510 AWG-1-6-003
2831 95-0296 A Touch Screen Monitor for Gasonics Aura 3010,Gasonics L3510 AWG-1-6-004
2832 Brooks Robot PAT. No. 4,666,366 For AG Associates Heatpulse 2106 AWG-1-7-001
2833 Asyst Technologies Mini Environmental Cassette 6 Inch , For Gasoncis AWG-1-7-002
2834 Asyst Technologies?Mini Environmental Cassette 6 Inch , AWG-1-7-003
2835 Asyst Technologies Mini Environmental Cassette 6 Inch , For Gasoncis AWG-1-7-004
2836 Asyst Technologies ? Mini Environmental Cassette 6 Inch? AWG-1-7-005
2837 Aluminum Profile . Originally Used For Mini Environment Clean Room AWG-1-0
2838 Layton MFG 55632 Mixed Bed-type I Calcalligan Service AWR-043
2839 PN 085-1038-01 Copper gasket for 2.75 OD flange For Perkin-Elmer 4400 4410 4450
2840 PN 085-1230-01 Convectron Gauge  For Perkin-Elmer 4400 4410 4450
2841 PN 120-121-000 O-Ring, Bearing Housing  For Perkin-Elmer 4400 4410 4450 Sputter
2842 PN 121-394-300,O-Ring for the insulator and water manifold,for Perkin-Elmer 4450
2843 PN 121-394-500 , O-Ring for the insulator,  for Perkin-Elmer  4400 4410 4450
2844 PN 121-395-900, O-Ring, Bearing Housing, for Perkin-Elmer  4400 4410 4450
2845 PN 121-964-940, Belt, FHP, Hoist, Sputter, for Perkin-Elmer  4400 4410 4450
2846 PN 122-148-500, Belt, TBL ROT, TMG 3/8 Pitch, for Perkin-Elmer  4400 4410 4450
2847 PN 178-095-000,O-Ring, Table, Water Tube, -115 for Perkin-Elmer  4400 4410 4450
2848 PN 221-246-700 Water Manifold for Perkin-Elmer  4400 4410 4450
2849 PN 221-288-900 Water Tube Assembly, for Perkin-Elmer  4400 4410 4450
2850 PN 221-386-000,Table Shaft Assembly, for Perkin-Elmer  4400 4410 4450
2851 PN 300-400-023, Top Plate Shield (C)    for Perkin-Elmer  4400 4410 4450
2852 PN 300-400-030 Shield, Top Flange for Perkin-Elmer  4400 4410 4450
2853 PN 300-400-040 Shield Vertical for Perkin-Elmer  4400 4410 4450
2854 PN 300-400-050 Shield Horizontal for Perkin-Elmer  4400 4410 4450
2855 PN 720-016-000 Marco Insulator for Perkin-Elmer  4400 4410 4450
2856 80-202-357 O RIng for Tegal 903e Tegal 901e
2857 670-097431-070 KT Protector ID-AWM-F-5-1-001
2858 The Barden Corp Barden Precision Bearings 100H 0-9 R31E ID-AWM-F-5-1-002
2859 The Barden Corp Barden Precision Bearings 100H 0-9 Q28R ID-AWM-F-5-1-003
2860 The Barden Corp Barden Precision Bearings 100H 0-9 R19A ID-AWM-F-5-1-004
2861 The Barden Corp Barden Precision Bearings 100H 0-9 P31B ID-AWM-F-5-1-005
2862 The Barden Corp Barden Precision Bearings 100H 0-9 P21D  ID-AWM-F-5-1-006
2863 The Barden Corp Barden Precision Bearings 100H 0-9 Q6N ID-AWM-F-5-1-007
2864 Honeywell PG1LM0100 0/100 Gauge ID-AWM-F-5-1-008
2865 Millipore CWFV Filter (?) ID-AWM-F-5-2-009
2866 PD-1653-0008 PCB For Branson/IPC ? ID-AWM-F-5-2-001
2867 PBM 6241 COMP Side Rev A  PCB For Branson/IPC ? ID-AWM-F-5-2-002
2868 D261133 RF Generator Flow Volt For Branson/IPC ID-AWM-F-5-2–003
2869 503992 PCB  DSCILATOR Board For Branson/IPC ?  ID-AWM-F-5-2-004
2870 250715 PCB  Board For Branson/IPC ?  ID-AWM-F-5-2-005
2871 251906  PCB  Board For Branson/IPC ?  ID-AWM-F-5-2-006
2872 262078 Relay   PCB  Board For Branson/IPC ?  ID-AWM-F-5-2-007
2873 PD-1653-3   PCB  Board For Branson/IPC ?  ID-AWM-F-5-2-008
2874 PD-1653-9 PCB  Board For Branson/IPC ?  ID-AWM-F-5-2-009
2875 PD-1995-0025 Switch Thumbwhefl For Branson/IPC ?  ID-AWM-F-5-2-010
2876 081551-0000, 203-2-1-62-752-4-1-1 Lite36k/1000k Switch ID-AWM-F-5-2-011
2877 AB-7493 252559B ID-AWM-F-5-2-012
2878 221-127-600 PES0071001 ,0509003 3/4” Disk , Perkin-Elmer 4400 ID-AWM-F-5-2-013
2879 Volpi Art. 10074 ID-AWM-F-5-2-014
2880 0508912, KM0C2M2ES7 Capacitor -2 MFD 2000 VOCW PLR Perkin-Elmer, AWM-F-5-3-001
2881 0508916 , 133-211-000, Capacitor 5 MPO ,For Perkin-Elmer 4400 4450 AWM-F-5-3-002
2882 0500310 Transistor DC Bias Power Slip For PErkin-Elmer 4400 4450, AWM-F-5-3-003
2883 0508968, 8080-250k-024 Switch 15A BreakEr For PErkin-Elmer 4450, AWM-F-5-3-004
2884 0508935, 122275700 Amplifier-isolation ,3650HG BB Burr-brown , AWM-F-5-3-005
2885 0508913, 133273700,capacitor-2 Microfarrd, AWM-F-5-3-006
2886 0508978, DMOF120.5 Relay-.5 Second Time Delay AWM-F-5-3-007
2887 BA2-B0-34-615-121-D , 683-0021, Load/last Netz/line, Mexico AWM-F-5-3-008
2888 0508524, 23F4049 Switch Micro DT-2RV3-A7 AWM-F-5-4-002
2889 Helicalohm MW22-10MM ETI Systems Switch AWM-F-5-4-003
2890 0508954, 120-144-000 Switch Micro For Perkin-Elmer 4400 4450 4410 AWM-F-5-4-004
2891 0508970 , 90F2193, Switch Toggle 7103 ,Perkin-Elmer 4400 4450 4410 AWM-F-5-4-005
2892 Dayton Mechanical Shaft Seal For Perkin-Elmer 4400 4450 4410 ? AWM-F-5-4-006
2893 160-124-00 Fan Blade For Perkin-Elmer 4400 4450 4410 ? AWM-F-5-4-007
2894 Siemens Potter & Brumfield W23-X1A1G-10 Switch AWM-F-5-4-008
2895 MEC DMOF12D.5B Master Electronic Controls For Perkin-Elmer 4400 ? AWM-F-5-4-010
2896 7212 AWM-F-5-5-001
2897 MP 402869-001 A Code Wheel , Indexing AWM-F-5-5-002
2898 MO-015-114 , Air Shuttle Valve 102-0321 AWM-F-5-5-003
2899 MO-015-016 , FSI 230029-006,P3AC-6-70D PNEUMATICO INC AWM-F-5-5-005
2900 MO-015-036, FSI 230029-007, P3AC-3  PNEUMATICO INC AWM-F-5-5-006
2901 MO-015-027, FSI 230092-001, AWM-F-5-5-007
2902 MO-015-020, FSI 300555-001 ,cylinder, Air , AWM-F-5-5-009
2903 MO-015-092, FSI 290025-400,P.C. HTR , Control Assy , AWM-F-5-5-010
2904 MO-015-013,FSI 230240-001 AWM-F-5-5-011
2905 MO-015-029, FSI 300565-001 Cylinder Air AWM-F-5-5-012
2906 F252549A Or F252599A ? AWM-F-5-5-013
2907 MO-015-060, FSI 402160-001 Pin AWM-F-5-5-014
2908 75 VITON Size .003 , A Lot AWM-F-5-5-015
2909 X-253, 6342-1916-1 Wire/cable , A Lot AWM-F-5-5-016
2910 Western 665, CGA 660, 670 Washer” AWM-F-5-5-019
2911 AMAT Applied Materials 0200-09626 Si Collard W/Step , New
2912 Lam Research 716-140141-261 C Si Collard W/Step , New
2913 Lam Research 853-210622-306 Coupling Ring, New
2914 UNIT Mass Flow Controller High Performance UFC-1100A N2 1 SLM AWM-F-5-6-001
2915 UNIT Mass Flow Controller High Performance VFC-1020 O2 , 50 SCCM AWM-F-5-6-002
2916 UNIT Mass Flow Controller High Performance UPC-1100A CHF3, 50 SCCM AWM-F-5-6-003
2917 MKS 1179A01313CR1CV N2 1000 SCCM Mass-Flo Controller AWM-F-5-6-004
2918 Brooks Mass Flow Controller He 0-200 SCCM 5850E W/ 4HS-S2675-1 AWM-F-5-6-005
2919 Brooks Mass Flow Controller SF6 0-15 SCCM 5850E W/ 4HS-S2677-1 AWM-F-5-6-006
2920 UNIT Mass Flow Controller High Performance UPC-8100 N2 1 SLM AWM-F-5-6-007
2921 MKS 1179A N2 100 SCCM Mass-Flo W/ Swagelok SS-4TF-05,SS-4C-1 AWM-F-5-6-008
2922 UNIT Mass Flow Controller High Performance UPC-1100 HCL 200 SCCM AWM-F-5-6-009
2923 UNIT Mass Flow Controller High Performance UPC-1000 N2 2 SLM  AWM-F-5-6-010
2924 UNIT Mass Flow Controller High Performance UFC-1660 O2 20 SCCM AWM-F-5-6-011
2925 UNIT Mass Flow Controller High Performance UFC-1660 NF3 100 SCCM AWM-F-5-7-001
2926 Brooks Mass Flow Controller He 500 SCCM 5850E AWM-F-5-7-002
2927 UNIT Mass Flow Controller High Performance UFC-1020 CHF3 10 SCCM AWM-F-5-7-003
2928 Brooks Mass Flow Controll 5850E CF4 100 SCCM Carbon Tetrafluoride AWM-F-5-7-004
2929 UNIT Mass Flow Controller High Performance UPC-1000 225 N2 SCCM AWM-F-5-7-005
2930 UNIT Mass Flow Controller High Performance UFC-1100A O2 10 SLM AWM-F-5-7-006
2931 UNIT Mass Flow Controller High Performance UFC-1100 SF6 20 SCCM AWM-F-5-7-007
2932 UNIT Mass Flow Controller High Performance UFC-1100A N2 2 SLM AWM-F-5-7-008
2933 UNIT Mass Flow Controller High Performance UFC-1100 NH3 5 SLM AWM-F-5-7-009
2934 Brooks Mass Flow Controller CHF3 100 SCCM 5850E AWM-F-5-7-010
2935 UNIT Mass Flow Controller High Performance UFC-1020 SF6 15 SCCM AWM-F-5-7-011
2936 UNIT Mass Flow Controller High Performance UFC-1020 HE 200 SCCM AWM-F-5-7-012
2937 UNIT Mass Flow Controller High Performance UPC-1300 N2 225 SCCM AWM-F-5-7-013
2938 UNIT Mass Flow Controller High Performance UFC-1100A CF4 100 SCCM AWM-F-5-7-014
2939 UNIT Mass Flow Controller High Performance UFC-1100 He 200 SCCM AWM-F-4-1-001
2940 UNIT Mass Flow Controller High Performance UPC-1300 N2 225 SCCM AWM-F-4-1-002
2941 UNIT Mass Flow Controller High Performance UFC-1100A SF6 100 SCCM AWM-F-4-1-003
2942 UNIT Mass Flow Controller High Performance UFC-1100 N2 25 SCCM AWM-F-4-1-004
2943 UNIT Mass Flow Controller High Performance UFC-1000 N2 15.0 SCCM AWM-F-4-1-005
2944 UNIT Mass Flow Controller High Performance UFC-1100 SF6 25 SCCM AWM-F-4-1-006
2945 UNIT Mass Flow Controller High Performance UFC-1000 CHF3 60.0 SCCM AWM-F-4-1-007
2946 UNIT Mass Flow Controller High Performance UFC-1000 CHF3 50 SCCM AWM-F-4-1-008
2947 UNIT Mass Flow Controller High Performance UFC-1100 O2 500 SCCM AWM-F-4-1-009
2948 UNIT Mass Flow Controller High Performance UFC-1020 SF6 15 SCCM AWM-F-4-1-010
2949 Brooks Mass Flow Controller CHF3 60.0 SCCM 5850E AWM-F-4-1-011
2950 Brooks Mass Flow Controller O2 0-50 SCCM 5850E AWM-F-4-1-012
2951 MKS 1179A01352CR1CV N2 500 SCCM Mass-Flo Controller AWM-F-4-1-014
2952 AG Associates Metron Technology UK 5102-0024-01 CB Rotary Handle AWM-F-4–1-015
2953 SMC Air Cylinder NCDGTN50-XA-0030US With THOMSON TWN 16 ADJ ?  AWM-F-4-2-001
2954 AG Associates Metron Technology UK 2200-0031-02 Tescom 2200 AWM-F-4–2-004
2955 AG Associates Metron Technology UK 2200-0051-01 Tescom 2200 AWM-F-4–2-005
2956 AG Associates Metron Technology 2109-0140 Adaptor / Connector? AWM-F-4–2-006
2957 AG Associates Metron Technology 9830-0560-02 AWM-F-4–2-007
2958 STS ICP RIE Ceramic Clamp For 150mm / 6 Inch (6”) Wafer
2959 032212-00 Holder Connect, DBD-E DE ,3.5 G-SM For STS ICP RIE (?) -NM
2960 A39-006-01   Lamp, 1000W, 225VAC  for Gasonics Aura 1000 Plasma Asher
2961 36-7000-01: Capacitor  for Gasonics Aura 1000 Plasma Asher
2962 4100-0048-01: Robot Vacuum Valve   , Equipe PRI Brooks
2963 83-096-001 VAC Valve VCR FTG, 180degree,Tegal 901e Tegal 903e Plasma Etcher
2964 83-096-002 VAC Valve VCR FTG, 90 degree,Tegal 901e Tegal 903e Plasma Etcher
2965 80-080-054 CYLINDER DBL-PVT MT 10S, 1-1/16B,Tegal 901e Tegal 903e Plasma Etcher
2966 0992-40375 Electrode, Full Mesh Ground for Matrix 105 Plasma Asher Descum
2967 0995-99788 Adjustable Band Clamp, Matrix for Matrix 105 Plasma Asher Descum
2968 0994-30034 #10 Nylon Washer for Matrix 105 Plasma Asher Descum
2969 0992-70284 Clamp, Quartz Chamber for Matrix 105 Plasma Asher Descum
2970 0992-30034 Coil, RF Output Inductor    for Matrix 105 Plasma Asher Descum
2971 0992-60253-1 Baffle   for Matrix 105 Plasma Asher Descum, 0992-60253-01
2972 0992-60135 Ceramic Pin   for Matrix 105 Plasma Asher Descum
2973 0992-60126 Chuck ceramic ring for Matrix 105 Plasma Asher Descum
2974 0995-16521 Valve,Clippard,24V LP,Door/Pin    for Matrix 105 Plasma Asher Descum
2975 0994-60063 O-ring    for Matrix 105 Plasma Asher Descum
2976 0994-60007 O-ring  for Matrix 105 Plasma Asher Descum
2977 0994-60050 O-ring    for Matrix 105 Plasma Asher Descum
2978 0994-60006 O-ring for Matrix 105 Plasma Asher Descum
2979 0994-60005 O-ring   for Matrix 105 Plasma Asher Descum
2980 0101-0501 Assy, Heater Chuck  for Matrix 105 Plasma Asher Descum
2981 0101-0761 Assy, Heater Chuck  for Matrix 106 Plasma Asher Descum
2982 80-095-794 UPC,N2,225SCCM ,Tegal 901e Tegal 903e Plasma Etcher
2983 PN:80-080-077 CYL, AIR 3/4 SFT 2.09s 2B, Tegal 901e Tegal 903e Plasma Etcher
2984 PN:80-080-072 CYL, Dbl Ended 1/2S, 1 1/8B Tegal 901e Tegal 903e Plasma Etcher
2985 50-056-001 SCREW LEAD ELEV  for Tegal 9013 903e Plasma etcher
2986 40-375-001 PAD ISOLATION  for Tegal 9013 903e Plasma etcher
2987 56-042-001 NUT ELEV for Tegal 901e Tegal 903e Plasma Etcher
2988 31-233-006 Assy., Loader Spatula for Tegal 901e Tegal 903e Plasma Etcher
2989 0101-0484      Lift Bellow Assembly     for Matrix 105 Plasma Asher Descum
2990 0992-60149 Insulator, Heater    for Matrix 105 Plasma Asher Descum
2991 0992-60133 Insulator, Door for Matrix 105 Plasma Asher Descum
2992 0995-10575 ASSY,POTENTIOMETER  for Matrix 105 Plasma Asher Descum
2993 0101-0351 Motor, Rotate   for Matrix 105 Plasma Asher Descum
2994 0995-10569 Assy, Shaft Gear       for Matrix 105 Plasma Asher Descum
2995 0995-99711 Baratron Gauge, MKS  for Matrix 105 Plasma Asher Descum
2996 0995-99712 Matrix105 Throttle valve MDVX-015 for Matrix 105 Plasma Asher Descum
2997 0101-0425 ASSEMBLY,  PINS/DOOR VALVE  for Matrix 105 Plasma Asher Descum
2998 0101-0692 ASSY,ORBITRAN MOTOR  for Matrix 105 Plasma Asher Descum
2999 39-732-001 Collar Pin  for Tegal 9013 903e Plasma etcher
3000 80-200-342 Band Cont Fem 125pin  for Tegal 901e 903e Plasma etcher
3001 80-200-340 RING EXT 1/4SFT .025THK SST  for Tegal 901e 903e Plasma etcher
3002 C9-344-AW Upper Electrode  for Tegal 901e 903e Plasma etcher
3003 0990-94012 Flow Switch .01GPM  for Matrix 105 Plasma Asher Descum
3004 0992-80203  Bracket, Mount Autotune  for Matrix 105 Plasma Asher Descum
3005 0995-10575 Orbitran Pot Assembly for Matrix 105 Plasma Asher Descum
3006 025-0138 Temperature Controller, Omega  for Matrix 105 Plasma Asher Descum
3007 0990-95026 Vacuum Switch  for Matrix 105 Plasma Asher Descum
3008 1090-0033-C Chuck Heater Assy. 2”-4”  for Matrix 105 Plasma Asher Descum
3009 80-080-072 Cylinder  for Tegal 901e 903e Plasma etcher
3010 80-080-062 Pneu Spring, EAA  Tegal 901e Tegal 903e Plasma Etcher
3011 80-080-065 Cylinder  for  Tegal 901e Tegal 903e Plasma Etcher
3012 80-197-013 VALVE TGL 3WAY-TAC2 NC BRS  for Tegal 901e Tegal 903e Plasma Etcher
3013 80-200-121 BBRG FLG DSHLD 1/4B   for Tegal 901e Tegal 903e Plasma Etcher
3014 80-200-127 Bearing    for Tegal 901e Tegal 903e Plasma Etcher
3015 80-200-144 Bushing Ball, 3/8B  for Tegal 901e Tegal 903e Plasma Etcher
3016 CW1078-60401 Spatula Drive, Module 6”   for Tegal 901e Tegal 903e Plasma Etcher
3017 CW1105-00202 Carriage Assy.  for Tegal 901e Tegal 903e Plasma Etcher
3018 40-297-002 Quartz Pin, for Tegal 901e Tegal 903e Plasma Etcher
3019 064-0002 Ceramic Ring, 4″, for Tegal 901e Tegal 903e Plasma Etcher 40-294-003 ?
3020 93-229-005B RF Cable, to chuck, 24″ , for Tegal 901e Tegal 903e Plasma Etcher
3021 065-0008 1/4 hose barb valve in line,coupling insert for Tegal 901e Tegal 903e
3022 0995-16527 Clippard Valve        for Matrix 105 Plasma Asher Descum
3023 80-198-054 Valve, EVO-3M-24  for Tegal 901e Tegal 903e Plasma Etcher
3024 CE1004-00901 Power Supply.  for Tegal 901e Tegal 903e Plasma Etcher
3025 Brooks Equipe PRE-201B-CE   Pre-Aligner
3026 Item CR1113-00301 RF Matching  Network For Tegal 901e 903e DQ-2-001
3027 AG Associates Heatpulse 410 RTA RTP RTO RTN Rapid Thermal Annealing SN 1M7052
3028 TermoTek P300 Series Chiller P307-19717
3029 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-002
3030 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-003
3031 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-004
3032 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-005
3033 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-006
3034 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-007
3035 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-008
3036 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-009
3037 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-010
3038 Item CR1113-00301 W/ 31-086-004 /CR1124-00701 ,Tegal 901e 903e DQ-2-011
3039 Item CR1113-00301 W/ 31-086-004 /CR1124-00101 ,Tegal 901e 903e DQ-2-012
3040 Item CR1113-00301 W/ 31-086-004 /CR1044-302 Tegal 901e 903e DQ-2-013
3041 Item CR1113-00301RW W/ 31-086-004 /CR1124-01001 Tegal 901e 903e DQ-1-003
3042 Item CR1113-00801RW W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-014
3043 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-015
3044 Item CR1113-00301 W/ 31-086-004 RF Matching  Network ,Tegal 901e 903e DQ-2-016
3045 CW1078 W00262 Wafer Transfer W/99-200-006 PCB For Tegal 901e 903e DQ-1-004
3046 CW1078-40401 Wafer Transfer W/99-275-003 PCB For Tegal 901e 903e DQ-1-005
3047 CW1078-40401 Wafer Transfer W/99-274-003 PCB For Tegal 901e 903e DQ-1-006
3048 Reaction Chamber CC1106-01301 (?) Top Assembly For Tegal 901e 903e DQ-5-001
3049 Reaction Chamber CC1106-01301 Top Assembly For Tegal 901e 903e DQ-5-002
3050 Reaction Chamber CC1106-01301 Top Assembly For Tegal 901e 903e DQ-5-003
3051 Reaction Chamber CC1012-00101 Top Assembly For Tegal 901e 903e DQ-5-004
3052 DC Power Supply Item CEL1005-00101 For Tegal 901e 903e DQ-1-009
3053 DC Power Supply Item CEL 1005-00202 For Tegal 901e 903e DQ-1-010
3054 DC Power Supply Item CEL 1005-00302 For Tegal 901e 903e DQ-1-011
3055 DC Power Supply Item CEL 1005-00202 For Tegal 901e 903e DQ-1-012
3056 DC Power Supply Item CEL1005-00202RW For Tegal 901e 903e DQ-013
3057 AC Power Supply AC Service Item CE 1004-00402 , Tegal 901e 903e Etcher DQ-5-005
3058 AC Power Supply AC Service Item CE 1004-00402, Tegal 901e 903e Etcher DQ-5-007
3059 AC Power Supply AC Service Item CE 1004-00402, Tegal 901e 903e Etcher DQ-5-008
3060 AC Power Supply AC Service Item CE 1004-001001, Tegal 901e 903e Etcher DQ-5-010
3061 RF Plasma Products T-502S  500W , cr1087-20101rw ? 80-055-140 ? Tegal  DQ-4-001
3062 RF Plasma Products T-502 500W RF Generator For Tegal 915 965 Etcher DQ-4-002
3063 Gas Delivery Item CG 1145-W00493 W/ 99-126-005 ,Tegal 901e 903e Etcher DQ-4-003
3064 Gas Delivery Item CG 1015-00500 W/ 99-126-005 ,Tegal 901e 903e Etcher DQ-4-004
3065 Gas Delivery Item CG 1015-00504 W/ 99-126-006 Tegal 901e 903e Etcher DQ-4-005
3066 Press/Vacum W/ATM SNSR CG1146-01301 ,Refurbished Tegal 901e 903e Etcher DQ-4-006
3067 Press/Vacum W/ATM SNSR CG1146-W00876 Tegal 901e 903e Etcher DQ-4-007
3068 Gas Delivery Item CG 1145-W00948 W/ 99-126-006 ,Tegal 901e 903e Etcher DQ-4-008
3069 ENDPT DET CD1151-00102 & Display 99-125-008,Tegal 901e 903e Etcher DQ-5-011
3070 Display 99-125-008, EEE FLIP 03601-22-040 Tegal 901e 903e Etcher DQ-5-012
3071 Display 99-125-008, EEE FLIP 03601-22A-040 Tegal 901e 903e Etcher DQ-5-013
3072 Display 99-125-008, EEE FLIP 03601-22-040 ?Tegal 901e 903e Etcher DQ-5-014
3073 Display 99-125-004 ,EEE FLIP 03601-22-040 ?Tegal 901e 903e Etcher DQ-5-015
3074 Reaction Chamber CC 1026-00201 , Tegal 915 Plasma Etcher /Asher (?) SS380-7-1
3075 Reaction Chamber CC 1026-00066 , Tegal 915 Plasma Etcher /Asher (?) SS380-7-2
3076 Tegal 901e Plasma Etcher SS380-7-3
3077 PN 39-344-002 Upper Electrode For Tegal 901e Tegal 903e Plasma Etcher DT-1-001
3078 UFC-1200 100 SCCM SiH2Cl2 For Tegal 901e Tegal 903e Plasma Etcher DT-1-002
3079 UFC-1100 100 SCCM PH3/N2  For Tegal 901e Tegal 903e Plasma Etcher DT-1-003
3080 UFC-1100 100 SCCM N2 For Tegal 901e Tegal 903e Plasma Etcher DT-1-004
3081 UFC-1100 100 SCCM N2 For Tegal 901e Tegal 903e Plasma Etcher DT-1-005
3082 TYLAN FC 280 N2 5 SLM MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-006
3083 UNIT UFC-1100A N2 50 SCCM  MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-007
3084 UNIT UFC-1100 N2 50 SCCM  MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-008
3085 UNIT UFC-1020  He 200 SCCM  MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-009
3086 UNIT UFC-1200 N2 50 SCCM  MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-010
3087 UNIT UFC-1100 N2 50 SCCM  MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-011
3088 UNIT UPC-1300 N2 2 SLM MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-012
3089 TYLAN FC-2900V N2 / 20 SCCM MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-013
3090 Unit UPC-1300 N2/ 100 SCCM MFC For Tegal 901e Tegal 903e Plasma Etcher DT-1-014
3091 Tylan  FC-2900V O2/ 25 SCCM For Tegal 901e Tegal 903e Plasma Etcher DT-1-020
3092 Tylan  FC-2900M CHF3 / 50 SCCM For Tegal 901e Tegal 903e Plasma Etcher DT-1-021
3093 Tylan  VC-5900VU-55 N2/ 500 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-022
3094 Tylan  FC-2900M SF6 / 20 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-023
3095 VEXTA PH266L-33-A1 Stepping Motor For Tegal 901e Tegal 903e DT-3-9-001
3096 VEXTA PH266L-33-A1 Stepping Motor For Tegal 31-169-001 DT-3-8-001
3097 VEXTA PH266L-33-A1 Stepping Motor For Tegal 901e Tegal 903e DT-3-2-001
3098 VEXTA PH268-23 Stepping Motor For Tegal 901e Tegal 903e DT-3-1-001
3099 VEXTA PH266L-33-A1 Stepping Motor For Tegal 901e Tegal 903e DS-2-12-001
3100 VEXTA PH268-23 Stepping Motor For Tegal 901e Tegal 903e DS-2-10/11-001
3101 MKS 122AA-00010AB Baratron 10 Torr For Tegal 901e Tegal 903e DS-2-8/9-001
3102 MKS 122AA-00010BB Baratron 10 Torr For Tegal 901e Tegal 903e DS-2-12-002
3103 MKS 127A-11356 Baratron 10 Torr For Tegal 901e Tegal 903e DS-3-12-001
3104 MKS 127AA-00010A Baratron 10 Torr For Tegal 901e Tegal 903e DS-3-12-002
3105 MKS 127AA-00010A-SP016-88 Baratron 10 Torr For Tegal 901e Tegal 903e DS-3-13-002
3106 Tylan  pC-5900UB N2/ 2 SLM MFC For Tegal 901e Tegal 903e Etcher DT-1-024
3107 Tylan  FC-2900V SF6 / 20 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-025
3108 Tylan  FC-2900V O2 / 50 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-026
3109 Tylan  PC-5900UV N2 / 400 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-027
3110 Tylan  PC-2900V SF6 / 100 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-028
3111 Tylan  PC-5900UV N2 / 500 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-029
3112 Tylan  FC-2900V He 200 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-030
3113 Tylan  FC-2900V SF6 / 50 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-031
3114 Tylan  FC-2900V O2 / 15 SCCM MFC For Tegal 901e Tegal 903e Etcher DT-1-032
3115 MKS 222BHS-A-A-10 Baratron 10 Torr For Tegal 901e Tegal 903e DS-2-10-002
3116 MKS 122AA-00010BB Baratron 10 Torr For Tegal 901e Tegal 903e DS-2-10-003
3117 99-200-003 PCB For Tegal 901e Tegal 903e DS-2-1-001
3118 99-129-004 ? PCB For Tegal 901e Tegal 903e DS-2-2-001
3119 99-200-005 PCB For Tegal 901e Tegal 903e DS-2-1-002
3120 99-200-003 PCB For Tegal 901e Tegal 903e DS-2-2-002
3121 99-126-005 PCB For Tegal 901e Tegal 903e DS-2-3-001
3122 99-126-005 PCB For Tegal 901e Tegal 903e DS-2-3-002
3123 99-129-003 PCB For Tegal 901e Tegal 903e DS-2-4-001
3124 99-200-005 PCB For Tegal 901e Tegal 903e DS-2-4-002
3125 99-196-002  PCB For Tegal 901e Tegal 903e DS-2-5-001
3126 99-196-002  PCB For Tegal 901e Tegal 903e DS-2-5-002
3127 80-095-278  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-9-001
3128 80-095-278  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-8-001
3129 80-095-278  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-7-001
3130 80-095-278  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-6-001
3131 99-200-005 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-2-6-001
3132 99-200-005 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-2-6-002
3133 99-200-003 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-2-7-001
3134 99-200-005 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-2-7-002
3135 99-186-002 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-1-001
3136 99-126-003 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-1-002
3137 99-200-005 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-3-001
3138 99-200-001 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-3-002
3139 99-200-003 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-2-001
3140 99-200-001B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-2-002
3141 99-209-001B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-4-001
3142 99-187-001B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-4-002
3143 99-173-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-4-003
3144 99-186-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-4-004
3145 99-200-001B  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-5-001
3146 99-173-004 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-5-002
3147 99-173-004 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-5-003
3148 99-128-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-6-001
3149 99-200-003B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-6-002
3150 99-200-003B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-7-001
3151 99-200-005B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-7-002
3152 99-200-005B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-8-001
3153 99-181-005B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-8-002
3154 99-209-001B  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-9-001
3155 99-139-001B  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-9-002
3156 99-173-005B   PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-3-9-003
3157 99-126-003B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-1-001
3158 99-139-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-1-002
3159 99-173-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-1-003
3160 99-200-003B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-2-001
3161 99-139-001B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-2-002
3162 99-187-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-2-003
3163 CD1151-00102 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DT-4-3-001
3164 CD1151-00102 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DT-4-4-001
3165 CD1151-00201 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DT-4-5-001
3166 CD1151-00102 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DU-2-9-001
3167 CD1151-00102 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DU-2-8-001
3168 CD1151-00102 /99-249-002 PCB For Tegal 901e Tegal 903e Plasma Etcher DU-2-7-001
3169 99-139-002B PCB For Tegal 901e Tegal 903e Plasma Etcher DU-2-7-002
3170 99-173-004 PCB For Tegal 901e Tegal 903e Plasma Etcher DU-2-7-003
3171 80-095-278  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-6-002
3172 80-095-137 D   PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-5-002
3173 80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-4-002
3174 80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-1-001
3175 80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-1-002
3176 80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-1-003
3177 80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-2-001
3178 80-095-278RW PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-2-002
3179 80-095-278 B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-2-003
3180 80-095-278RW PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-3-001
3181 99-247-002 D PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-3-001
3182 99-247-002 D PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-3-002
3183 99-247-002 B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-4-001
3184 99-247-002 M PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-4-002
3185 03601-22-040 Display Assy For Tegal 901e Tegal 903e Plasma Etcher DU-2-6/5-001
3186 99-129-003 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-5-001
3187 99-129-003 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-5-002
3188 50590-01 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-6-001
3189 50590-01 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-7-001
3190 50590-01 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-8-001
3191 99-173-008 C PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-6-002
3192 99-173-008 C PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-7-002
3193 99-173-008 C PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-8-002
3194 CD1151-00102 W/ 99-249-002 For Tegal 901e Tegal 903e Plasma Etcher DT-5-1-001
3195 99-128-002 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-9-001
3196 99-214-002 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-9-002
3197 99-214-002 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-10-001
3198 99-200-003 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-10-002
3199 99-197-001 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-11-001
3200 99-209-001  B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-11-002
3201 99-187-002 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-12-001
3202 99-187-002 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-13-001
3203 99-209-001  B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-12-002
3204 99-126-003 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-13-002
3205 99-126-003 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-14-001
3206 99-173-004 D PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-14-002
3207 99-173-005 A PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-15-001
3208 99-126-006 G PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-15-002
3209 99-126-006 G PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-16-001
3210 99-126-005 D PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-17-001
3211 99-126-006 F PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-17-002
3212 99-207-004 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-16-002
3213 99-207-004 A PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DU-2-9-002
3214 99-206–001 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DU-2-8-002
3215 99-165-003 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-5-003
3216 99-165-003 A PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-6-003
3217 99-165-003 F PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-7-003
3218 99-246-003 B PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-8-003
3219 99-138-004 F PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-9-003
3220 99-278-004 PCB  For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-10-003
3221 28F6518F ASM28F6519 28F6520 PCB DS-4-11-003
3222 99-200-005 B  PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-1-001
3223 99-247-002 M ? PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-1-002
3224 99-173-005 A PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-2-001
3225 99-247-002 K PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-2-002
3226 99-200-005 A PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-3-001
3227 99-173-004 D PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-3-002
3228 99-173-004 D PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-4-001
3229 99-126-005 P W/ 99-206-001 D PCB For Tegal 901e Tegal 903e Plasma DS-5-4-002
3230 99-126-005 E W/ 99-206-001 D PCB For Tegal 901e Tegal 903e Plasma DS-5-5-001
3231 99-126-005 J PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-5-002
3232 99-138-002 B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-6-001
3233 99-173-008 C PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-6-002
3234 99-287-003 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-7-001
3235 99-172-002 B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-7-002
3236 99-138-004 C PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-8-001
3237 99-172-001 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-8-002
3238 99-172-001 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-9-001
3239 99-138-001 A PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-10-001
3240 99-129-004 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-10-002
3241 99-138-001 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-11-001
3242 99-206-001 D PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-5-11-002
3243 CD 1049-00102 Display  W/ 99-126-003 D, 03601-22-040 Tegal 901e 903e DS-5-12-001
3244 CD 1049-00100 Display  W/ 99-126-003  , 03601-22-040 Tegal 901e 903e DS-5-17-001
3245 CD 1011-00301 Display  W/ 99-125-004  , 03601-22-040 Tegal 901e 903e DS-5-15-001
3246 CD 1011-00303 Display  W/ 99-125-008, 03601-22-040 Tegal 901e 903e DT-5-2-001
3247 CD 1049-00102 Display  W/ 99-125-003 ,Tegal 901e 903e DT-5-3001
3248 690-5349-002 W/ 690-8048-002 Pneumatic Controller , Tegal DS-5-13-001
3249 99-181-002 PCB For Tegal 901e 903e Plasma Etcher Etching DS-5-9-002
3250 Wires Cables For Tegal 901e 903e Plasma Etcher Etching DQ-2-017
3251 Wires Cables For Tegal 901e 903e Plasma Etcher Etching DQ-2-018
3252 Wires Cables For Tegal 901e 903e Plasma Etcher Etching DQ-2-019
3253 Wires Cables W/ 99-142-001 For Tegal 901e 903e Plasma Etcher Etching DQ-2-020
3254 Wires Cables W/99-172-003, 99-142-001 For Tegal 901e 903e Plasma DQ-5-017
3255 Filter For STS
3256 AD-NPTM-KF50X050 Adaptor KF/NPT-M .50x.50” .304/L.75mm RLgroup Advantorr
3257 Trident video card JA-8237A/V2 , Modular Process Technology. MPT RTP-600
3258 STS ICP RIE PECVD Clamp, Used.5 Inch
3259 STS ICP RIE PECVD Ceramic Ring
3260 STS ICP RIE PECVD Ceramic Ring
3261 STS ICP RIE PECVD Ceramic Ring
3262 STS ICP RIE PECVD Ceramic Ring
3263 STS ICP RIE PECVD Ceramic Ring
3264 STS ICP RIE PECVD Chuck Assembly
3265 STS ICP RIE PECVD V06-1547H Hate Compl 77006-R1
3266 STS ICP RIE PECVD Clamp Bracket Balance,23kg
3267 STS ICP RIE PECVD Clamp Bracket Balance , 20 Kg
3268 Poles For STS ICP RIE PECVD Clamp Bracket Balance
3269 HPS Corp. Boulder Co. 1104404 ? CC04404 ? For Tegal Etcher
3270 Used Chuck For EG 2001 Wafer Probe, ElectroGlas
3271 Used Chuck For EG 2001 Wafer Probe, ElectroGlas
3272 Used 246975-002A For EG 2001 Wafer Probe, ElectroGlas
3273 Used Parts  For EG 2001 Wafer Probe, ElectroGlas
3274 Wafer Carrier: 4 Inch Si Wafer With  3 Inch Pocket
3275 80-202-298 for Tegal 901e Tegal 903e
3276 46-467-001 for Tegal 901e Tegal 903e
3277 7400-0098 / 2005A-0002  T-shape TC Water, 7100-0948, AG Associates Heatpulse RTP
3278 Omega Meter For AG Association Heatpulse 8108, 8800, 4108,4100, 610, 410,310,210
3279 7200-0257-A For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3280 4500-0026-03 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3281 4500-0026-03 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3282 2500-0003-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3283 4900-0005-08 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3284 2112-9020-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3285 7500-0098-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3286 DM-xxxx(x)-1 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3287 Littelduse 930915, AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3288 2451-0040 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3289 2100-0214-01  For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3290 2504-0033-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3291 5102-1024-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3292 2522-0060 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3293 7200-0389-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3294 7300-0350-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3295 MDA-12 448H Min. Fuse For AG Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3296 5101-0002-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3297 5101-0100 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3298 5101-0150 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3299 5101-0011-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3300 4810-0040-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3301 7200-0069 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3302 3701-0003-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3303 5101-1302-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3304 2502-0080 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3305 Quartz Pin  For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3306 Quartz Pin  For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3307 2901-0040 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3308 4100-0044-15  For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3309 233-2663-94 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3310 SR5017613 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3311 7310-4762-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3312 7200-0616-02 C For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3313 7100-3192-01 F For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3314 9020-1540-B For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3315 7200-0211-A For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3316 Elson MDC Plate For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3317 7200-0256-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3318 9020-4010 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3319 plastic ring For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3320 4810-0270 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3321 7310-0716-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3322 7310-2861-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3323 SMC ZSE2-T1-15 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3324 7310-0927-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3325 9092-0022 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3326 Key For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3327 233-2660-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3328 2502-0004-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3329 7100-1223-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3330 4810-0045-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3331 7600-0041 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3332 2451-0046-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3333 7650-0101-02 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3334 7600-0039 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3335 3900-0001 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3336 Bracket For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3337 2502-0004-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3338 9020-4010 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3339 7100-1035-01 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3340 5602-0050 For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3341 7310-4525-03 C For AG Associates Heatpulse 8800. 8108,4108,4100 RTA RTP RTO RTN
3342 7200-0190-C For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
3343 7200-0381-02 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
3344 7200-0210 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
3345 7200-0384-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
3346 9020-0480 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
3347 7310-1782-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
3348 7200-0385-02 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
3349 7310-3213-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
3350 7310-3203-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
3351 7310-4621-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
3352 7310-3576-01 For AG Asscoiates Heatpulse 8800, 8108,4108,4100,4100S
3353 NM49AB LM566CN For TEGAL 901E 903E
3354 M8724 LF347N For TEGAL 901E 903E
3355 LM224J For TEGAL 901E 903E
3356 L8324 For TEGAL 901E 903E
3357 MC1458CP1 For TEGAL 901E 903E
3358 CD4925BE AE UBE For TEGAL 901E 903E
3359 MC14070B CP FF8722A  For TEGAL 901E 903E
3360 922CB TL074CN   For TEGAL 901E 903E
3361 SN74LS02N For TEGAL 901E 903E
3362 Tegal 903e Tegal 901e Chuck PN 39-735-002
3363 AMAT 0200-02085 Pin, Wafer Lift, Quartz EPI 200mm
3364 SSI Solaris 200 Rapid Thermal Processing -SOLD
3365 White VMO- O-RIng-2504-0015-02 for AG Associates Heatpulse 8108
3366 PN 7310-3480-04 ,Adapter, Thin Arm, AG Associates Heatpulse
3367 USHIO HB-25105AP Mercury Lamp Power Supply For Canon Mask Aligner
3368 GAST D0A-U191-AA Pump
3369 Super Fine Color CCD CAMERA CV-950 With Cables
3370 Model 20 Output 150 Watts Labpro PowerLite 150
3371 GASONICS A-2000LL AID “AIR ION DEVICE” PREFIRE ASSY A95-027-03 NOS
3372 AG Associates 2100-0110 B For Heatpulse 4100,4100S, 4108,8108,8800,
3373 AG Associates 2100-0120 A STD MIO-24 For Heatpulse 4100,4100S, 4108,8108,8800,
3374 AG Associates 7100-5188-01 (-02?)For Heatpulse 4100,4100S, 4108,8108,8800,
3375 AG Associates 7100-5118 Rev 1.0 For Heatpulse 4100,4100S, 4108,8108,8800,
3376 AG Associates 9830-2030 Sensor For Heatpulse 4100,4100S, 4108,8108,8800,
3377 AG Associates 2100-0140  For Heatpulse 4100,4100S, 4108,8108,8800,
3378 AG Associates 9830-2040 C For Heatpulse 4100,4100S, 4108,8108,8800,
3379 AG Associates 2100-0150 A For Heatpulse 4100,4100S, 4108,8108,8800,
3380 AG Associates 2100-0090 B   For Heatpulse 4100,4100S, 4108,8108,8800,
3381 AG Associates 9380-2080 B For Heatpulse 4100,4100S, 4108,8108,8800,
3382 AID AIR ION DEVICES A954-027-04 Power Supply For Gasonics Aura 1000, 2000
3383 80-202-034 GROMMET 5/16ID 1/2DIA HOLE    for Tegal 901e Tegal 903e Plasma Etcher
3384 99-176-001 PCB, SNS-1, SNSR 15    for Tegal 901e Tegal 903e Plasma Etcher
3385 99-224-001 PCB, PCB, CSN-1, CTR SNSR    for Tegal 901e Tegal 903e Plasma Etcher
3386 80-200-177 SHAFT, PRCN, 3/8DIA 19~3/8    for Tegal 901e Tegal 903e Plasma Etcher
3387 KLA-Tencor Prometrix SpectraMap SM-300 W/ Wafer Handler C2C / SN 9202C2C-02
3388 KLA-Tencor Prometrix Film Thickness Probe  W/ FT-650, Cognex 3100 Vision System
3389 0995-16524 Isolation valve,1/2 VCR Male,NC   for Matrix 105 plasma asher descum
3390 12 Inch  Si Wafer With 8 Inch Pocket
3391 8 Inch Wafer With 4 Inch Pocket
3392 8 Inch Si Wafer Carrier With 6” Pocket, 4 Pieces Ceramic Screws,140mm ID Ring
3393 Plasma Therm 790 Series Reactive Ion Etching / Plasma Enhanced System RIE
3394 CPA S-Gun Sputter Deposition / Sputter-Gun System (3 S-Guns)
3395 Termotek P307 Series Chiller p307-19717-1
3396 Emscope SC-650 Series Coater Sputter for SEM, 4 Of 1.5 Inch Cathode
3397 AG Associates 7100-4061-01 (?) Robot Effecto For Heatpulse 8108 Heatpulse 8800
3398 Branson IPC B3003 Controller
3399 Cables For Branson IPC B3003
3400 PM 119 Generator  For Branson IPC B3003 Branson/IPC
3401 0995-11501 RF GENERATOR, RF 650 WATTS for Matrix 105 Plasma Asher Descum
3402 PN 7400-0061-01 Quartz Pin for AG Associates Heatpulse Cooling Station
3403 Load Lock Control 221-610-900 REV-B For Perkin-Elmer 4400 4410 4450 Sputtering
3404 Thermocouple Gauge Control 070-815-000 .Perkin-Elmer 4400 4410 4450 Sputtering
3405 Power Supply TCP 300 ,PFEIFFER For Perkin-Elmer 4400 4410 4450 Sputtering
3406 221-443–010 Servo Control For Perkin-Elmer 4400 4410 4450 Sputtering
3407 940-5804- W/ Westcon 2410 For Perkin-Elmer 4400 4410 4450 Sputtering
3408 940-6130-001 For Perkin-Elmer 4400 4410 4450 Sputtering
3409 221-201-100, 706-6230 Model For Perkin-Elmer 4400 4410 4450 Sputtering
3410 DC Magnetron Power Supply Module For Perkin-Elmer 4400 4410 4450 Sputtering
3411 Wide Range Gauge 221-647-100 For Perkin-Elmer 4400 4410 4450 Sputtering
3412 1003623 Digital Gauge Control For Perkin-Elmer 4400 4410 4450 Sputtering
3413 221-517-400 Precision Table Rotation Speed Control ,Perkin-Elmer 4400 4410 4450
3414 Meissner Trap Control 221-619-000 For Perkin-Elmer 4400 4410 4450 Sputtering
3415 221-443-000 Servo Control For Perkin-Elmer 4400 4410 4450 Sputtering
3416 221-236-600 Ultek Controller For Perkin-Elmer 4400 4410 4450 Sputtering
3417 221-320-700 Cryo-pump Regeneration Control For Perkin-Elmer 4400 4410 4450
3418 270003 Model 02 Granville-Phillips  Series 270 For Perkin-Elmer 4400 4410 4450
3419 Auto Pumpdown Control For Perkin-Elmer 4400 4410 4450 Sputtering
3420 221-170-200 Ultek Auto Pumpdown Control For Perkin-Elmer 4400 4410 4450
3421 221-242-300 Ultek Lock Control For Perkin-Elmer 4400 4410 4450 Sputtering
3422 721-170-010 Digital Clock Timer For Perkin-Elmer 4400 4410 4450 Sputtering
3423 221-320-700 Cryopump Regeneration Control For Perkin-Elmer 4400 4410 4450
3424 221-320-700 Cryo-pump Regeneration Control For Perkin-Elmer 4400 4410 4450
3425 940-6086-001 Precision Table Rotation Speed Control Perkin-Elmer 4400 4410 4450
3426 221-517-400 Precision Table Rotation Speed Control Perkin-Elmer 4400 4410 4450
3427 791-570-600 Digital Clock Timer Perkin-Elmer 4400 4410 4450 Sputtering
3428 221-647-100 Wide Range Gauge For Perkin-Elmer 4400 4410 4450 Sputtering
3429 221-372-350 Wide Range Gauge For Perkin-Elmer 4400 4410 4450 Sputtering
3430 221-647-100 Wide Range Gauge For Perkin-Elmer 4400 4410 4450 Sputtering
3431 940-6210-001 Servo Match Control For Perkin-Elmer 4400 4410 4450 Sputtering
3432 791-570-200 Timer For Perkin-Elmer 4400 4410 4450 Sputtering
3433 221-112-090  Digital Gauge Control For Perkin-Elmer 4400 4410 4450 Sputtering
3434 940-0500-002 Drv Assy Precision TSL For Perkin-Elmer 4400 4410 4450 Sputtering
3435 Table Rotation Controller For Perkin-Elmer 4400 4410 4450 Sputtering
3436 CPA Substrate Temperature Controller For Perkin-Elmer 4400 4410 4450 Sputtering
3437 221-647-100 Digital Gauge Control For Perkin-Elmer 4400 4410 4450 Sputtering
3438 221-112-160 Digital Gauge Control For Perkin-Elmer 4400 4410 4450 Sputtering
3439 221-161-600 Randex Power Stabilizer For Perkin-Elmer 4400 4410 4450 Sputtering
3440 221-0610-900 Load Lock Control For Perkin-Elmer 4400 4410 4450 Sputtering
3441 Unit 500 Autoregeneration Control  Assy 0342-0853 /4 Perkin-Elmer 4400 4410 4450
3442 940-6034-001 Controller 5 In 1 Main System Control Perkin-Elmer 4400 4410 4450
3443 Industrial Computer Source 7308-24V
3444 Electroglas 1034x  033-0115-01 Ring Insert EG 1034
3445 Electroglas 1034x probe card holder, EG 1034 wafer probe
3446 PN: 2451-0010-05  PTA/J208V-1500WB3 LAMP For AG Associates Heatpulse 4100 4100S
3447 PN 7310-0508-01  #37 for AG Associates Heatpulse 8800 8108
3448 PN 7310-0509-01 #6  for AG Associates Heatpulse 8800 8108
3449 PN 7200-0753-02  #9 for AG Associates Heatpulse 8800 8108
3450 PN 7310-6023-01 #8  for AG Associates Heatpulse 8800 8108
3451 PN 7200-0756-01 #11  for AG Associates Heatpulse 8800 8108
3452 PN 7310-6022-01 #15 for AG Associates Heatpulse 8800 8108
3453 PN 7200-0755-01 #10 for AG Associates Heatpulse 8800 8108
3454 PN 7200-0590-03 #5 for AG Associates Heatpulse 8800 8108
3455 PN 7200-0757-01  #21 for AG Associates Heatpulse 8800 8108
3456 PN 7200-0655-02 Spacer , Door Support,for AG Associates Heatpulse 8800 8108
3457 PN 7200-0758-01 #22 ,for AG Associates Heatpulse 8800 8108
3458 2908-0060-01, Meter,Water Flow, 0.3-6GPM, 1/2″ NPT for AG Associates Heatpulse
3459 2908-0080-01, Meter, Nitrogen Flow Switch, 4-30CFM for AG Associates Heatpulse
3460 PN 272072-00 Lam Research Lam Rainbow 44xx Series Etcher, 4420,4520,4620,4720
3461 PN 272072-00 Lam Research Lam Rainbow 44xx Series Etcher, 4420,4520,4620,4720
3462 PN 880-12537-101 A3,Lam Research Rainbow 44xx Series Etcher, 4420,4520,4620,4720
3463 PN 880-12537-101 A3,Lam Research Rainbow 44xx Series Etcher, 4420,4520,4620,4720
3464 PN 880-12537-101 A3,Lam Research Rainbow 44xx Series Etcher, 4420,4520,4620,4720
3465 PN 880-12537-101 A2,Lam Research Rainbow 44xx Series Etcher, 4420,4520,4620,4720
3466 PN 70113-003 K PCB Lam Research Rainbow 44xx Series Etcher, 4420,4520,4620,4720
3467 PN 880-12535-101 A2 Lam Research Rainbow 44xx Series Etcher, 4420,4520,4620,4720
3468 PN 880-12536-101 A1 Lam Research Rainbow 44xx Series Etcher, 4420,4520,4620,4720
3469 PN 880-12536-101 A1 (?) Lam Research Rainbow 44xx Series ,4420,4520,4620,4720
3470 PN 810-17032-3-C Lam Research Rainbow 44xx Series Etcher ,4420,4520,4620,4720
3471 PN 810-17032-3-C Lam Research Rainbow 44xx Series Etcher ,4420,4520,4620,4720
3472 Assy GMS6529-01-C Control Module For Electroglas EG2001 EG 3001 Wafer Probe
3473 Tylan 2900 Series FC-2900V He 200 SCCM
3474 2P 3P For TEGAL 901E 903E plasma etcher
3475 Pin For TEGAL 901E 903E plasma etcher
3476 Pin For TEGAL 901E 903E plasma etcher
3477 Connector For TEGAL 901E 903E plasma etcher
3478 15 /16 Pin For TEGAL 901E 903E plasma etcher
3479 Pin For TEGAL 901E 903E plasma etcher
3480 Pin For TEGAL 901E 903E plasma etcher
3481 Connector For TEGAL 901E 903E plasma etcher
3482 Connector For TEGAL 901E 903E plasma etcher
3483 Parts For TEGAL 901E 903E plasma etcher
3484 Pin For TEGAL 901E 903E plasma etcher
3485 Connector For TEGAL 901E 903E plasma etcher
3486 Connector For TEGAL 901E 903E plasma etcher
3487 Parts For TEGAL 901E 903E plasma etcher
3488 Pin For TEGAL 901E 903E plasma etcher
3489 Pin For TEGAL 901E 903E plasma etcher
3490 Connector For TEGAL 901E 903E plasma etcher
3491 Connector For TEGAL 901E 903E plasma etcher
3492 Connector pin For TEGAL 901E 903E plasma etcher
3493 80-305-002 224k For TEGAL 901E 903E plasma etcher
3494 80-400-073 10k,5%,1/4w resistor For TEGAL 901E 903E plasma etcher
3495 80-401-008 270k,5%,1/4w resistor For TEGAL 901E 903E plasma etcher
3496 1N4001 resistor For TEGAL 901E 903E plasma etcher
3497 Pin 80-700-408 For TEGAL 901E 903E plasma etcher
3498 Pin For TEGAL 901E 903E plasma etcher
3499 80-400-025 100 OHM 5% 1/4W resistor For TEGAL 901E 903E plasma etcher
3500 80-400-077 15K 5% 1/4W resistor For TEGAL 901E 903E plasma etcher
3501 80-400-014 470k 5% 1/4W resistor For TEGAL 901E 903E plasma etcher
3502 80-510-013 resistor For TEGAL 901E 903E plasma etcher
3503 Connector 80-700-407 For TEGAL 901E 903E plasma etcher
3504 Pin connector For TEGAL 901E 903E plasma etcher
3505 Plasma Etch BT1 Plasma Asher Etcher SS380-7
3506 Technics PD II-A Plasma System,11 Inch Chuck, D380RIE-001
3507 PN 7100-1081-03 AG Associates Heatpulse 4100 wire, lamp
3508 PN 7100-3749-01 / 7100-3750-01 AG Associates Heatpulse 8108 8800 wire, lamp
3509 AC Power Supply AC Service Item CE 1004-00901, Tegal 901e 903e Etcher DQ-5-009
3510 Termotek P307 Series Chiller p307-16273-1 and coherent PT11 1259744
3511  Leitz Ergolux AMC Microscope
3512 Z-BOT 001-6300-03 for Gasonics Aura 3010, 3000 Gasonics L3510 AWG-1-5-001
3513 March PX-250 Plasma Etch Plasma Asher Plasma Descum in CA USA
3514 Temescal BJD-1800 Evaporator
3515 Oxford PlasmaLab 80 Plus RIE PECVD
3516 Oxford Instruments Plasmalab 80+ DPCVD
3517 Tegal 915 RF Matching With 5623301 99-214-003RW For Plasma Barrel Etcher Asher
3518 Silica With One Side SiC Coat , Susceptor Cover, Disk, For 6 Inch
3519 Silica With One Side SiC Coat , Susceptor Cover, Disk, For 6 Inch
3520 Silica With One Side SiC Coat , Susceptor Base For 6 Inch
3521 4803-0010 SCR Dual-Pair,90-Amp 600-Volt & 4718-0122 and Tubing Heatpulse  8108
3522 CRYSTAL TECHNOLOGY AODS 20160-8R Leica Laser Modulator
3523 Crystal Technology 2003-2004 25-02820-01 Motherboard A0DS 20160-8 w Power Supply
3524 Gasonics 17622-01 Plate Diffuser, Lamp, Quartz, IPC, L3510-DI DG5-2
3525 17-301682 Millipore Mykrolis CDH80-M11S12 0.1  ID-DG5-2
3526 CR1113-00801 RF Matching  Network ,Tegal 901e 903e,replacement of CR1113-00301
3527 PRO-LOG COMPUTERS IN CONTROL NDC SYSTEMS BOARD CARD NDC 68030 CPU CARD
3528 Astex AX2115 Microwave Generator Cable
3529 14738-01 BUBBLER,QUARTZ, For Lam Research Gaaonics
3530 3700-01844 AMAT Applied Materials 9242-SC513 O Ring 3.984x.139 Inch
3531 Wafer Carrier: 4 Inch Al Carrier With  2 Inch Pocket, Original For 4 Inch Tegal
3532 Wafer Carrier: 8 Inch Metal Al Wafer Carrier For 4  Inch Substrate
3533 Wafer Carrier: 8 Inch Metal Al Wafer Carrier For 4  Inch Substrate
3534 Bushing  Bore Dia 12mm O.D. 22mm Length 32mm For STS ICP STS PRO ICP
3535 Temescal Technical Engineering Services (T.E.S.) FC-1800 Load Locked E-Beam
3536 Advanced Surface Technologies INC. Plasma Etch
3537 CHA Industries Mark 50 E-Beam Eveporator
3538 Temescal FC1800 E Beam Evaporator
3539 MiMir UV Ultra Violet Curing System
3540 WTi (90/10 wt%) 99.95% 8″x0.250″ ,Backing Plate 74S5006 ,for VEM/MRC 8667/8671
3541 Ni 99.995% 8″x0.100″  ,Backing Plate 28S0128 ,for VEM/MRC 8667/8671
3542 Ti 99.95% 8″x0.250″ target w/  Backing Plate for VEM/MRC 8667/8671 sputtering
3543 target w/  Backing Plate for VEM/MRC 8667/8671 sputtering deposition equipment
3544 Hewlett Packard HP 16072A 16072-26561A-3030 W/ Adaptor For HP4085B AWW-6-3-005
3545 Hewlett Packard HP 16072A 16072-26561 A-3030 W/ Adaptor For HP4085B AWW-6-3-010
3546 Hewlett Packard HP 16072A 16072-26561 A-3030 W/ Adaptor For HP4085B AWW-6-3-009
3547 Refurbish your used MPT RTP-600s RTP-600XP RTP RTA Modular Process Technology
3548 Master Board For MPT RTP-600s Modular Process Technology
3549 PCB For MPT RTP-600s Modular Process Technology
3550 PCB TC/pyrometer Adapter For MPT RTP-600s Modular Process Technology
3551 PCB  For MPT RTP-600s Modular Process Technology
3552 PCB  7100-5174-01 H Oven Control For MPT RTP-600s Modular Process Technology
3553 PCB  For MPT RTP-600s Modular Process Technology
3554 Card Cage For MPT RTP-600s Rapid Thermal Process Modular Process Technology
3555 SST Tube , Process Exhaust,For MPT RTP-600s Modular Process Technology
3556 TAC-386-kc OMEGA TC Box For MPT RTP-600s Modular Process Technology
3557 Trica Plate Assembly For MPT RTP-600s Modular Process Technology
3558 Refurbish your used AG Associates Heatpulse 8108 Rapid Thermal Processing equip
3559 Refurbish your used AG Associates Heatpulse 4108 Rapid Thermal Processing equip
3560 Refurbish your used AG Associates Heatpulse 4100 Rapid Thermal Processing equip
3561 Refurbish your used AG Associates Heatpulse 610 Rapid Thermal Processing equip
3562 Refurbish your used AG Associates Heatpulse 410 Rapid Thermal Processing equip
3563 Refurbish your used AG Associates Heatpulse 210 Rapid Thermal Processing equip
3564 Refurbish your used AG Associates Mini-pulse 310 Rapid Thermal Processing equip
3565 Refurbish your used  Annealsys AS-Micro Rapid Thermal Process RTP equipment
3566 Refurbish your used  Annealsys AS-One Rapid Thermal Process RTP equipment
3567 Refurbish your used  Annealsys AS-Premium Rapid Thermal Process RTP equipment
3568 Refurbish your used  Annealsys AS-Master Rapid Thermal Process RTP equipment
3569 Refurbish your used  Annealsys Zenith-150 Rapid Thermal Process RTP equipment
3570 Refurbish your used Surface Science Integration SSI Inc RTP Solaris 100
3571 Refurbish your used Surface Science Integration SSI Inc RTP Solaris 150
3572 Refurbish your used Surface Science Integration SSI Inc RTP Solaris 200
3573 Refurbish your used Surface Science Integration SSI Inc RTP Solaris 150UV
3574 Refurbish your used Jipelec JETFIRST JETSTAR JETLIGHT Rapid Thermal Processor
3575 Refurbish your used UniTemp RTP-100 RTP-100-HV Rapid Thermal Processor
3576 Refurbish your used UniTemp RTP-150 RTP-150-EP RTP-150-HV Rapid Thermal Process
3577 Refurbish your used UniTemp VPO-300 VPO-300-HV Rapid Thermal Process
3578 Refurbish your used Tegal 901e Tegal 903e Plasma Etcher equipment
3579 Refurbish your used STS SPTS  ICP RIE DRIE Bosch Process
3580 Refurbish your used Oxford ICP RIE DRIE Bosch Process
3581 Refurbish your used Plasma-Therm ICP RIE DRIE Bosch Process
3582 Refurbish your used Matrix 105 101 103 104 205 Plasma Asher Descum equipment
3583 Refurbish your used Matrix 302 303 403 Plasma Etcher
3584 Refurbish your used Branson 2000 3000 3001 4000 4055 plasma asher etcher
3585 Refurbish your used Airco Temescal FC-1800 BJD-1800 Evaporator
3586 Upgrade your used Perkin-Elmer 2400 4400 4410 4450 4480 Sputtering Deposition
3587 Refurbish your used MRC 603 643 902 903 904 943 Sputtering Deposition
3588 Refurbish your used Gasonics Aura 1000 2000 3000 3010 L3510 Plasma Asher
3589 Refurbish your used Electroglas EG 1034 EG 2001 2010 EG 4090 4090u+ Wafer Probe
3590 Refurbish your used Brooks Equipe PRI Robot, Robot Controller Pre-aligner
3591 Semitool STI ST-260 SRD Spin Rinser Dryer  & Semitool P225-4E.7 Controller 4”
3592 Lam Research Lam A06-005-01 Shower Head Quartz 5 Inch
3593 C-08-0101-03 Quartz Ring Grid Assy IND
3594 DT-0285 Ceramic Flat Skirt Deposition Technology Inc
3595 AMAT Applied Materials 0200-00674 DEP 8” IMP
3596 Lam Research Lam A06-001-01 Window Vented
3597 Lam Research Lam A06-002-01 Window
3598 DT-0352 Insulator PIK2 Style 6 Inch
3599 AMAT Applied Materials 0200-20426 Insulator Quartz 8 Inch pCII
3600 AMAT Applied Materials 0200-00152 Insulator Quartz 6 Inch pCII
3601 AMAT Applied Materials 0200-09824 Shield Flat 125 Mm
3602 AMAT Applied Materials 0200-03728
3603 74HC00 Quad 2 In NAND
3604 74HC4020 14 Stage Counter Surplus spares parts in stock
3605 GAL16V8 Surplus spares parts in stock
3606 TL082 Dual FET-Input Op-AMP Surplus spares parts in stock
3607 IR2117 9720 Floating Gate Driver 600V Surplus spares parts in stock
3608 SARONIX 36.000 NMP 360 Surplus spares parts in stock
3609 FIT106-1 AXM 9730 Surplus spares parts in stock
3610 TL084 Quad FET-Input Op-Amp Surplus spares parts in stock
3611 GAL20V8A Surplus spares parts in stock
3612 74HC4040 12 Stage Counter Surplus spares parts in stock
3613 74HC02 Quad 2 In NOR Surplus spares parts in stock
3614 NP9624SH MM74HC04N MC74HC04N Surplus spares parts in stock
3615 GAL22V10 Surplus spares parts in stock
3616 LM324A Quad G.P. Op-Amp Surplus spares parts in stock
3617 Lattice ispLSI 2128 80LQ A825T02 Surplus spares parts in stock
3618 74HC08 Quad 2 In AND Surplus spares parts in stock
3619 ST MAL LM358AN 94D622 Surplus spares parts in stock
3620 LM385-1.2 1.2V Reference Diode Surplus spares parts in stock
3621 74HC14 HEX Schmitt Inverter Surplus spares parts in stock
3622 LM385Z-2.5 2.5V Reference Diode 3P To-92 Surplus spares parts in stock
3623 74HC32 Quad 2 In OR Surplus spares parts in stock
3624 LM393 Dual Comparator Surplus spares parts in stock
3625 767163512G CTS9741 Surplus spares parts in stock
3626 LM385 Adjustable Voltage Reference Diode Surplus spares parts in stock
3627 74HC86 Quad XOR Surplus spares parts in stock
3628 74HC74 Dual D Flip FLOP Surplus spares parts in stock
3629 DIGI-KEY 800 344-4539 PB284-ND Relay Surplus spares parts in stock
3630 7200-0945-01 w/ 7200-0982-03 D Robot Effector Robot ARM AG Associates Heatpulse
3631 AG Associates 7100-4061-01 (?) Robot Effecto For Heatpulse 8108 Heatpulse 8800
3632 Pyrometer For MPT RTP-600s RTP-600XP RTP RTA Modular Process Technology
3633 JIPELEC JETFIRST 100 Rapid Thermal Annealing Rapid Thermal Processing equipment
3634 LEYBOLD Trivac Type D65BCSPFPE B8111397 Vacuum Pump AWD-D-1-2-001
3635 F.E.I. Quanta 200 FEG SEM Field Emission Gun Scanning Electron Microscope
3636 SAMCO RIE 10 NR – SAMCO RIE 10NR Reactive Ion Etch System | Dry Etching System
3637 Tencor Sonogage 200 Model 20-02000. Check for  Tencor Sonogage 300 available
3638 Tencor Sonogage 200  Kla Tencor Mgage For 2 To 5 Inch Metal Thin Film Metrology
3639 Equipe Technologies Brooks PRI Robot PCB 71300301 Rev  E CPU 2002-0088
3640 Tegal 915 RF Generator RF Plasma Products Model T-502E 500w CR1087-20101RW
3641 6 Inch Wafer Carrier, Graphite With SIC Coating, For Rapid Thermal Processing
3642 Pure SiC Wafer Carrier ,16 Of 2 Inch Pocket, For Rapid Thermal Processing
3643 Electroglas 4085x Prober  Wafer Probe
3644 0992-70284,Electrode,Full Mesh Group, for Matrix 105 Plasma Asher Descum
3645 Robinson Detector Etpsemra Sydney Australia , ID- DA6-4
3646 Delta Cathode Cooling Part, Perkin-Elmer 4450 4480 Sputtering Equp ID- DW2-3
3647 Delta Cathode Plate Part, Perkin-Elmer 4450 4480 Sputtering Equp ID- DW2-3
3648 Si3N4 99.5%, Delta Cathode W/ Target ,Perkin-Elmer with 221-313-100- ID- DW2-3
3649 Ceramic Ring Aluminum Ring Teflon Chamber  ID- DA7-2
3650 3 Of MKS 1179 N2 200 SCCM  MFC , 4 Gas Line With Valves Assembly ID- DA7-2
3651 Applied Materials 0010-09019 (M?)  Throttle Valve, AMAT Etch Took From P5000
3652 Temescal 0040-2423-0 Gun Driver PCB For Temescal FC-1800 Ebeam Evaporator ?
3653 1350EFA6LFT1A Brooks Instrument , Emerson Electric Co. B SHO-RATE Flow Meter
3654 Gaertner Scientific L117
3655 Pressure/ Vacuum W/ATM SNS CC1146-01201, Tegal 901e, 903e , 915 Plasma Etcher
3656 Pressure/ Vacuum W/ATM SNS CC1146-01201, Tegal 901e, 903e , 915 Plasma Etcher
3657 Gas DLVY Item CG1145 W00831 For Tegal 901e, 903e , 915 Plasma Etcher
3658 Pressure/ Vacuum W/ATM SNS CC1146-01201, Tegal 901e, 903e , 915 Plasma Etcher
3659 Spatula Driver Item CW 1078-30203 For Tegal 901e, 903e , 915 Plasma Etcher
3660 Gas DLVY Item CG1145 W00831  ? For Tegal 901e, 903e , 915 Plasma Etcher
3661 Pressure/ Vacuum W/ATM SNS CC1146-01201, Tegal 901e, 903e , 915 Plasma Etcher
3662 Gas DLVY Item CG1145-02001 For Tegal 901e, 903e , 915 Plasma Etcher
3663 Pressure/ Vacuum W/ATM SNS CC1146-00301 Tegal 901e, 903e , 915 Plasma Etcher
3664 Spatula Driver Item CW 1078-60401 For Tegal 901e, 903e , 915 Plasma Etcher
3665 UFC-1100A O2 15 SCCM MFC Took From Tegal 901e 903e Plasma Etcher DX-2-001
3666 UFC-1300 50 SCCM He MFC Took From Tegal 901e 903e Plasma Etcher DX-2-002
3667 UFC-1100 200 SCCM He MFC Took From Tegal 901e 903e Plasma Etcher DX-2-003
3668 UPC-1300 N2 2 SLM/2000 SCCM MFC Took From Tegal 901e 903e Plasma Etcher DX-2-004
3669 UPC-1000 N2 100 SCCM MFC Took From Tegal 901e 903e Plasma Etcher DX-2-005
3670 UFC-1100A He 200 SCCM MFC Took From Tegal 901e 903e Plasma Etcher DX-2-006
3671 UFC-1020 SF6 50 SCCM MFC Took From Tegal 901e 903e Plasma Etcher DX-2-007
3672 UFC-1500A O2 15 SCCM MFC Took From Tegal 901e 903e Plasma Etcher DX-2-008
3673 UPC-1000 N2 100 MFC Took From Tegal 901e 903e Plasma Etcher DX-2-009
3674 UPC-1000 N2 2 SLM 2000sccm MFC Took From Tegal 901e 903e Plasma Etcher DX-2-010
3675 UFC-1100A CHF3 100 SCCM  MFC Took From Tegal 901e 903e Plasma Etcher DX-2-011
3676 UFC -8100 CHF3 50 SCCM MFC Took From Tegal 901e 903e Plasma Etcher DX-2-012
3677 Lintec MC-2100 He 100 SCCM MFC Took From Tegal 901e 903e Plasma Etcher DX-2-014
3678 Lintec MC-2100 O2 20 SCCM MFC Took From Tegal 901e 903e Plasma Etcher DX-2-013
3679 Tylan 2900 Series FC-2900V O2 15 SCCM MFC From Tegal 901e 903e Etcher DX-2-015
3680 Tylan 2900 Series FC-2900V SF6 15 SCCM MFC From Tegal 901e 903e Etcher DX-2-016
3681 Aera FC-7800C SF6 15 SCCM MFC From Tegal 901e 903e Plasma Etcher DX-2-017
3682 UPC-1000 N2 100  SCCM  MFC Took From Tegal 901e 903e Plasma Etcher DX-2-018
3683 Unit UFC-1020 CHF3 50 SCCM  MFC ,From Tegal 901e 903e Plasma Etcher DX-2-019
3684 Unit UFC-1020 O2 15 SCCM  MFC ,From Tegal 901e 903e Plasma Etcher DX-2-020
3685 Unit UFC-1100A He 200 SCCM  MFC ,From Tegal 901e 903e Plasma Etcher DX-2-021
3686 Unit UPC-1000 N2 2 SLM SCCM  MFC ,From Tegal 901e 903e Plasma Etcher DX-2-022
3687 Unit UFC-1000 SF6 100 SCCM  MFC ,From Tegal 901e 903e Plasma Etcher DX-2-023
3688 Unit UFC-1100 SF6 15 SCCM  MFC ,From Tegal 901e 903e Plasma Etcher DX-2-024
3689 Unit UFC-11200A He 200 SCCM  MFC ,From Tegal 901e 903e Plasma Etcher DX-2-025
3690 Unit UFC-8100 O2 500 SCCM  MFC ,From Tegal 901e 903e Plasma Etcher DX-2-026
3691 Aera FC-7800CU SF6 15 SCCM MFC From Tegal 901e 903e Plasma Etcher DX-2-028
3692 Aera FC-7800CU CHF3 50 SCCM MFC From Tegal 901e 903e Plasma Etcher DX-2-027
3693 Unit UPC-1000 N2 2 Slm MFC ,From Tegal 901e 903e Plasma Etcher DX-2-029
3694 Unit UFC-1020 CF4 50 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-2-030
3695 Unit UFC-1100A SF6 100 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-2-031
3696 Unit UFC-1020 O2 50 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-2-031
3697 Unit UFC-1000 Ar 60 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-2-032
3698 Unit UPC-1300 N2 SLM MFC , From Tegal 901e 903e Plasma Etcher DX-3-001
3699 Unit UFC-1100A CHF3 100 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-002
3700 Unit UFC-1020 O2 15 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-003
3701 Unit UFC-1020 HE 200 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-3-004
3702 Unit UFC-1400A N2 1000 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-3-005
3703 Unit UFC-1020 O2 50 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-3-006
3704 Unit UPC-1000 N2 2 SLM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-007
3705 Unit UFC-1100A CHF3 50 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-008
3706 Unit UPC-1300 N2 2 SLM   MFC , From Tegal 901e 903e Plasma Etcher DX-3-009
3707 Unit UFC-1100A O2 100 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-3-010
3708 Unit UPC-1300 N2 2000 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-3-011
3709 Unit UFC-1000 C2F6 50  SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-3-012
3710 Unit UFC-1100A CHF3 50 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-3-013
3711 Unit UPC-1100A N2 2 SLM MFC , From Tegal 901e 903e Plasma Etcher DX-3-014
3712 Unit UFC-1020 He 200 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-015
3713 Unit UFC-1101 SF6 10 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-016
3714 MKS MFC O2 1000 SCCM 117A01514CR1CV , From Tegal 901e 903e Plasma DX-1-3-018
3715 FC-280 SAV N2 300 SCCM , Tylan,From Tegal Matrix Plasma DX-1-3-019
3716 Unit UFC-1100A C2F6 100 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-020
3717 Unit UFC-1100A N2 2SLM   MFC , From Tegal 901e 903e Plasma Etcher DX-3-021
3718 Unit UFC-1200A Cl2 50 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-3-022
3719 Unit UFC-1000 CHF3 50 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-023
3720 Unit UFC-1020 SF6 20 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-024
3721 Unit UFC-1100A CHF3 50 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-025
3722 Unit UPC-1300 N2 2 SLM MFC , From Tegal 901e 903e Plasma Etcher DX-3-026
3723 Unit UFC-1200A BCL3 100 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-3-027
3724 Unit UFC-8100 CHF3 50 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-3-028
3725 Tylan 2900 Series PC5900 U10V N2 100  SCCM , Tylan,From Tegal Matrix DX-1-3-030
3726 MKS MFC 1179A22646 N2 10 SCCM , From Tegal 901e 903e Plasma DX-1-3-029
3727 MKS MFC 1159B-00020RV-Spcal He 20 SCCM , From Tegal 901e 903e Plasma DX-1-3-031
3728 Unit UPC-1300 N2 225 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-032
3729 FC-2900, Tylan,Unit UFC-1100 MFC,From Tegal Matrix Plasma DX-1-3-033
3730 Unit UFC-1100A SF6 10 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-034
3731 Unit UFC-1100A CHF3 50 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-3-035
3732 Unit UFC-1100A SF6 15 SCCM  MFC , From Tegal 901e 903e Plasma Etcher DX-4-001
3733 Unit UFC-1100A N2 2 SLM  MFC , From Tegal 901e 903e Plasma Etcher DX-4-002
3734 Unit UFC-1100A N2 200 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-4-003
3735 Unit UFC-1661C He 200 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-4-004
3736 Unit UFC-1100A O2 100 SCCM MFC , From Tegal 901e 903e Plasma Etcher DX-4-005
3737 Unit UFC-8100 CF4 50 SCCM MFC , From Tegal 901e 903e Plasma Etch DX-4-007
3738 Unit UFC-8100 Ar 50 SCCM MFC , From Tegal 901e 903e Plasma Etch DX-4-008
3739 Unit UFC-8160 N2 20 SLM MFC , From Tegal 901e 903e Plasma Etch DX-4-009
3740 Tylan 2900 Series CHF3 50  SCCM , MFC,Tylan,From Tegal Matrix DX-1-4-010
3741 Tylan 2900 Series SF6 25 SCCM , MFC,Tylan,From Tegal Matrix DX-1-4-011
3742 Tylan 2900 Series He 200 SCCM , MFC,Tylan,From Tegal Matrix DX-1-4-012
3743 Aera FC-7700CU He 2 SLM MFC From Tegal 901e 903e Plasma Etcher DX-4-013
3744 MKS Baratron 127AA 10 Torr , From Tegal 901e 903e Plasma Etcher ID-DV-2-12-001
3745 MKS Baratron 127AA 10 Torr , From Tegal 901e 903e Plasma Etcher ID-DV-2-12-002
3746 MKS Baratron 127AA 10 Torr , From Tegal 901e 903e Plasma Etcher ID-DV-2-12-003
3747 MKS Baratron 127AA 10 Torr , From Tegal 901e 903e Plasma Etcher ID-DV-2-11-001
3748 MKS Baratron Type 625A-13127 , From Tegal 901e 903e Plasma Etcher ID-DV-2-11-002
3749 MKS Baratron Type 627A11TBC , From Tegal 901e 903e Plasma Etcher ID-DV-2-11-003
3750 MKS Baratron 122AA-00010DB, From Tegal 901e 903e Plasma Etcher ID-DV-2-10-002
3751 MKS Baratron 122AA-00010AB From Tegal 901e 903e Plasma Etcher ID-DV-2-10-003
3752 Vexta Stepping Motor PH266L-33-A1 , From Tega 901e 903e Plasma Etcher
3753 Vexta Stepping Motor PH266L-33, From Tega 901e 903e Plasma Etcher
3754 Lin Engineering 5618M-03M Stepping Motor ,From Tega 901e 903e Plasma Etcher
3755 UNIT Mass Flow Controller UFC-8100 He 200 SCCM ,From Tegal 901e 903e DX-4-016
3756 UNIT Mass Flow Controller UFC-8100 CHF3 50 SCCM ,From Tegal 901e 903e DX-4-017
3757 UNIT Mass Flow Controller UFC-8100 O2 15 SCCM ,From Tegal 901e 903e DX-4-018
3758 UNIT Mass Flow Controller UPC-1300 N2 2 SLM ,From Tegal 901e 903e DX-4-020
3759 UNIT Mass Flow Controller UFC-1100A O2 15 SCCM ,From Tegal 901e 903e DX-4-021
3760 UNIT Mass Flow Controller UFC-1100A He 200 SCCM ,From Tegal 901e 903e DX-4-022
3761 UNIT Mass Flow Controller UFC-1100A O2 15 SCCM ,From Tegal 901e 903e DX-4-023
3762 UNIT Mass Flow Controller UFC-1100A SF6 15 SCCM ,From Tegal 901e 903e DX-4-024
3763 UNIT Mass Flow Controller UFC-1100A CHF3 50 SCCM ,From Tegal 901e 903e DX-4-025
3764 UNIT Mass Flow Controller UFC-1100A He 200 SCCM ,From Tegal 901e 903e DX-4-026
3765 UNIT Mass Flow Controller UFC-1100A CHF3 50 SCCM ,From Tegal 901e 903e DX-4-027
3766 UNIT Mass Flow Controller UFC-1100A SF6 15 SCCM ,From Tegal 901e 903e DX-4-028
3767 UNIT Mass Flow Controller UFC-1100A SF6 15 SCCM ,From Tegal 901e 903e DX-4-029
3768 UNIT Mass Flow Controller UFC-1100A He 200 SCCM ,From Tegal 901e 903e DX-4-030
3769 UNIT Mass Flow Controller UFC-1100A CHF3 50  SCCM ,From Tegal 901e 903e DX-4-031
3770 UNIT Mass Flow Controller UFC-1100A O2 15 SCCM ,From Tegal 901e 903e DX-4-032
3771 FC-280 SAV SF6 15 SCCM , Tylan,From Tegal Matrix Plasma DX-4-033
3772 80-095-278 Tegal 901e Tegal 903e Main Control Board PCB Profacture DT-4-9
3773 WASP CEMWR8001R Chiller Dual Chanel M And W Systems, Lam Research Lam Rainbow
3774 TCU 2080 Chiller Dual Chanel Temperature Control  Unit Lam Research Lam Rainbow
3775 Silicon Carbide Boat , SiC Boat, CVD 795084-1 ,For 6 Inch, Furnace Paddle, New
3776 Repair Brooks  Equipe PRI ESC-210-XP Firmware Version V4.24K Robot Controller
3777 Edwards E2M40 High Vacuum Pump W/ Outlet Mist Filter For Tegal 901e  903e AWR-61
3778 AC Box Electrical Cabinet For Tegal 901e Tegal 903e AWR-62
3779 AC Box Electrical Cabinet For Tegal 901e Tegal 903e AWR-63
3780 Branson/IPC EPD Low Particulate 12120, PN 06260 C  Assy A06261 AWR-030-AA-4-003
3781 Branson/IPC DPS 2300-9 07685 PN 06260 C  Assy A06261 AWR-030-AA-4-004
3782 Tegal Gas DLVY CG1145-01801 W/ UFC-8100 UFC-1660 UFC-1100A MFC ,901e 903e
3783 Tegal Gas DLVY CG11015-01102 W/ UFC-1100 UFC-1020 UFC-1 500A MFC ,901e 903e Etch
3784 Model ACG-10B-03 ENI Power Systems RF Generator For Tegal 901e Tegal 903e AWR-64
3785 ACG-10T / ACG-10-11631-51 RF Generator For Tegal 901e Tegal 903e AWR-65
3786 Allwin21 Accuthermo AW 810 Rapid Thermal Processing Rapid Thermal Annealing
3787 Analog Board 9800-0310 A , For AG Associates Heatpulse 610 210 410 310 4100 4108
3788 Analog Board 9800-0310 C, For AG Associates Heatpulse 610 210 410 310 4100 4108
3789 Analog Board 9800-0310 A , For AG Associates Heatpulse 610 210 410 310 4100 4108
3790 Analog Board 9800-0310 A , For AG Associates Heatpulse 610 210 410 310 4100 4108
3791 Analog Board 9800-0150 A, For AG Associates Heatpulse 610 210 410 310 4100 4108
3792 Analog Board 9800-0150 A, For AG Associates Heatpulse 610 210 410 310 4100 4108
3793 Analog Board 900-0240 Rev 10, AG Associates Heatpulse 610 210 410 310 4100 4108
3794 Analog Board 900-0240 Rev 11, AG Associates Heatpulse 610 210 410 310 4100 4108
3795 Analog Board 900-0240 Rev 11, AG Associates Heatpulse 610 210 410 310 4100 4108
3796 7100-5040  B PCA Linearizer Card AG Associates Heatpulse 610 210 410 310 4100
3797 7100-5040  A PCA Linearizer Card AG Associates Heatpulse 610 210 410 310 4100
3798 7100-5080-029 2101 OCB1 Rev A AG Associates Heatpulse 610 210 410 310 4100
3799 AG Associates 7400-0023-01 G. Isolation Quartz Tube HeatPulse 4100 4100s, 610
3800 ACG-10T RF Generator For Tegal 901e Tegal 903e CR 1112-00203 /00203RW Location D
3801 99-126-009 A IGC-9 PCB For Tegal 901e 903e Plasma Etcher Etching
3802 99-126-006 F IGC-6PCB For Tegal 901e 903e Plasma Etcher Etching
3803 Endpoint Detector CD1151-00102 For Tegal 901e 903e Plasma Etcher Etching
3804 99-173-003 /98-173-003 REV 2 PCB For Tegal 901e 903e Plasma Etcher Etching
3805 AW-105-0019 REC C Allwin21 PCB For Matrix 105 106 101 102 103 104 205 302 303
3806 99-351-005 A PCB For Tegal 901e 903e Plasma Etcher Etching
3807 99-173-007 /98-173-007 REV C RFG-7 PCB For Tegal 901e 903e Plasma Etcher Etching
3808 99-126-003 C IGC-3 PCB For Tegal 901e 903e Plasma Etcher Etching
3809 99-126-005 H IGC-5 PCB For Tegal 901e 903e Plasma Etcher Etching
3810 99-126-006 G IGC-6PCB For Tegal 901e 903e Plasma Etcher Etching
3811 99-173-007 C RFG-7 PCB For Tegal 901e 903e Plasma Etcher Etching
3812 99-173-008 C PCB For Profacture Tegal 901e 903e Plasma Etcher Etching
3813 99-173-008RW C RFG-8 PCB For Profacture Tegal 901e 903e Plasma Etcher Etching
3814 99-173-008 C RFG-8 PCB For Profacture Tegal 901e 903e Plasma Etcher Etching
3815 99-173-008 C RFG-8 PCB For Profacture Tegal 901e 903e Plasma Etcher Etching
3816 99-173-008 C RFG-8 RF PCB For Profacture Tegal 901e 903e Plasma Etcher Etching
3817 ENI 1945-338 REV D ? PCB For Tegal 901e 903e Plasma Etcher Etching ?
3818 99-172-003 A IMN-3 PCB For Tegal 901e 903e Plasma Etcher Etching
3819 80-095-278 A PCB For Tegal 901e 903e Plasma Etcher Etching
3820 80-095-278 PCB For Tegal 901e 903e Plasma Etcher Etching
3821 99-424-005 REV 2 PCB For Tegal 901e 903e Plasma Etcher Etching ?
3822 NIB GE FANUC IC6000-BF904K OUTPUT MODULE CIRCUIT BOARD 44A717645-001 R04/5
3823 Tegal 903e Tegal 901e Ceramic Ring For 5 Inch  Wafer? Plasma Etcher
3824 Tegal 903e Tegal 901e Ceramic Ring For 4 Inch  Wafer? Plasma Etcher
3825 39-680-003 Rev D Top Electrode , For Tegal 903e Tegal 901e Plasma Etcher
3826 Top Electrode , For Tegal 903e Tegal 901e Plasma Etcher
3827 Solid State Cooling System ThermoCube 10-200-3G25-10-S2-R2-STDC-ARDI Chiller
3828 Solid State Cooling System ThermoCube 10-300-2D-1-CP-AR Chiller, ID-D-TC-002
3829 Solid State Cooling System ThermoCube 10-400-2D-1-EF-90 Chiller, ID-D-TC-003
3830 Solid State Cooling System ThermoCube 10-400-1C-4-RS-LT-AR-37 Chiller ,D-TC-004
3831 Tegal 901e Etcher  Plasma Etch Plasma Etcher Dry Etch
3832 Tegal 901e Etcher  Plasma Etch Plasma Etcher Dry Etch
3833 7310-2885-01 Quartz Baffle For Heatpulse 8108 AG Associates Metron ,EZ-DTC
3834 ARM Controller Board Assy 06764-002 For Gasonics Aura 2000-LL AWD-D-2-8-3-002
3835 7100-6159-01 Lamp Driver 400 VAC 073-20711-30
3836 PCB 08001-4072-001-00 Kulicke And Soffa IND., INC N08001-4072-000-06
3837 PCB Kulicke And Soffa IND., INC N08002-4063-000-09
3838 PCB Kulicke And Soffa IND., INC N08001-4116-000-06
3839 PCB Kulicke And Soffa IND., INC N08001-4116-000-06 DV-4-4
3840 Cable Kulicke And Soffa IND., INC N08001-1413-0-10
3841 AG Associates 7400-0068-02H Isolation Quartz Tube HeatPulse
3842 KLA Tencor 710-774063-001 DMP2 Board from E-Beam Wafer Inspection tool
3843 KLA PLLAD-8710-658164-20 REV A0 BOARD ,as Is,Without Warranty/return
3844 2006-1055-002 Rev B Copley Controls Corp 800-283A ,as Is,Without Warranty/return
3845 Lam Research Master Module Modified By ASI . ID-M11
3846 Lam Research Master Module , Receipt Module . ID-M15
3847 Lam Research Master Module , Receipt Module . ID-M14, A853-05684-001
3848 Lam Research Master Module , Receipt Module . ID-M12
3849 Lam Research Master Module , Receipt Module . ID-M13 . As Is , No Warranty
3850 Lam Research Master Module , Receipt Module (? ). As Is , No Warranty/return
3851 Lam Research Master Module As Is , No Warranty/return
3852 Lam Research Master Module As Is , No Warranty/return SN 1644
3853 Lam Research Master Module As Is , No Warranty/return SN 1257
3854 Lam Research Master Module As Is , No Warranty/return SN 1376
3855 Lam Research Master Module As Is , No Warranty/return SN 9094
3856 Lam Research Master Module As Is , No Warranty/return SN1299 , A853-005683-001
3857 Lam Research Master Module As Is , No Warranty/return SN1454
3858 Lam Research Master Module As Is , No Warranty/return SN1246 ID-M-02
3859 Lam Research Master Module As Is , No Warranty/return SN0000 ID-M-01
3860 ST  M27128AF1 , As Is , No Warranty/return ID-M-16, Price Is For 1 Piece Only
3861 Lam Research Recipe Module As Is , No Warranty/return ID-R-40
3862 Lam Research Recipe Module As Is , No Warranty/return ID-R-39
3863 Lam Research Recipe Module As Is , No Warranty/return ID-R-38
3864 Lam Research Recipe Module As Is , No Warranty/return ID-R-32.
3865 Lam Research Recipe Module As Is , No Warranty/return ID-R-34
3866 Lam Research Recipe Module As Is , No Warranty/return ID-R-35
3867 Lam Research Recipe Module As Is , No Warranty/return ID-R-33
3868 Lam Research Recipe Module As Is , No Warranty/return ID-R-36
3869 Lam Research Recipe Module As Is , No Warranty/return ID-R-31
3870 Lam Research Recipe Module As Is , No Warranty/return ID-R-37
3871 Lam Research Recipe Module As Is , No Warranty/return ID-R-30
3872 Lam Research Recipe Module As Is , No Warranty/return ID-R-28
3873 Lam Research Recipe Module As Is , No Warranty/return ID-R-27
3874 Lam Research Recipe Module As Is , No Warranty/return ID-R-26
3875 Lam Research Recipe Module As Is , No Warranty/return ID-R-25
3876 Lam Research Recipe Module As Is , No Warranty/return ID-R-24
3877 Lam Research Recipe Module As Is , No Warranty/return ID-R-23
3878 Lam Research Recipe Module As Is , No Warranty/return ID-R-22
3879 Lam Research Recipe Module As Is , No Warranty/return ID-R-21
3880 Lam Research Recipe Module As Is , No Warranty/return ID-R-29
3881 Lam Research Recipe Module As Is , No Warranty/return ID-R-20
3882 Lam Research Recipe Module As Is , No Warranty/return ID-R-19
3883 Lam Research Recipe Module As Is , No Warranty/return ID-R-18
3884 Lam Research Recipe Module As Is , No Warranty/return ID-R-17
3885 Lam Research Recipe Module As Is , No Warranty/return ID-R-16
3886 Lam Research Recipe Module As Is , No Warranty/return ID-R-16
3887 Lam Research Recipe Module As Is , No Warranty/return ID-R-14
3888 Lam Research Recipe Module As Is , No Warranty/return ID-R-13
3889 Lam Research Recipe Module As Is , No Warranty/return ID-R-12
3890 Lam Research Recipe Module As Is , No Warranty/return ID-R-11
3891 Lam Research Recipe Module As Is , No Warranty/return ID-R-10
3892 Lam Research Recipe Module As Is , No Warranty/return ID-R-9
3893 Lam Research Recipe Module As Is , No Warranty/return ID-R-8
3894 Lam Research Recipe Module As Is , No Warranty/return ID-R-7
3895 Lam Research Recipe Module As Is , No Warranty/return ID-R-6
3896 Lam Research Recipe Module As Is , No Warranty/return ID-R-5
3897 Lam Research Recipe Module As Is , No Warranty/return ID-R-4
3898 Lam Research Recipe Module As Is , No Warranty/return ID-R-3
3899 Lam Research Recipe Module As Is , No Warranty/return ID-R-2
3900 Lam Research Recipe Module , Particle Test, As Is , No Warranty/return ID-R-1
3901 Lam Research Recipe Module , A853-05684-001!, As Is , No Warranty/return ID-R-0
3902 7310-3479-02 B+ 7310-3840-04 B Robot Effector AG Associates
3903 Tegal 26-041-013 New ID-D-7-6-6-10
3904 670789  New ID-D-7-6-6-9
3905 JL2764HS 851300 New ID-D-7-6-6-8
3906 54002 G 26-037-001  New ID-D-7-6-6-7
3907 Tegal 84-12-13 8507 FG/ 8508 FG / 8342 FC 8335 FC 8301 BG New ID-D-7-6-6-6
3908 D2617A S M2564B 850701-851A 852A 850A 857A 856A 853A 802A 809C New ID-D-7-6-6-5
3909 Tegal CM1091-00210 New ID-D-7-6-5-10
3910 Tegal 54002 G 26-037-001 New ID-D-7-6-5-9
3911 Tegal D6E241C V4.02 U1 U2 U3 U4 U5 U6 U7 New ID-D-7-6-5-8
3912 7158 New ID-D-7-6-5-7
3913 M756 3723 2800 M27c256B Microcontroller New ID-D-7-6-5-6
3914 671493JS 8537S New ID-D-7-6-5-5
3915 8541LLP AM2732A-20C New ID-D-7-6-4-10
3916 TMS 2564JL M2564E New ID-D-7-6-4-9
3917 NMC 27C16Q-45 New ID-D-7-6-4-8 , Tegal?
3918 MC6821P New ID-D-7-6-4-7, Tegal? Chips Components
3919 MC6809P , New ID-D-7-6-4-6   ,Tegal? Chips Components
3920 MC14093 BCP FR8643 New ID-D-7-6-4-5,     Tegal? Chips Components
3921 SEEQ DQ2764-30 , ID-D-7-6-3-10 , Tegal? Chips Components
3922 MBM2764-30 , 2764-25JL, MBM27C64-20!, ID-D-7-6-3-9, Tegal? Chips Components
3923 MC14070B CP FF8722A , ID-D-7-6-3-8,   Tegal? Chips Components
3924 MC6821P, MC68B21P, MC6822P, ID-D-7-6-3-7 , Tegal? Chips Components
3925 KM6264AL-10 , MCM60L64P12, HY6264LP-10, ID-D-7-6-3-6, Tegal? Chips Components
3926 DM74LS02N, DM74LSO2N (?), ID-D-7-6-2-10 , Tegal? Chips Components
3927 CD40103BE, ID-D-7-6-2-9, Tegal? Chips Components
3928 CD40106BE , ID-D-7-6-2-8,Tegal? Chips Components
3929 CD4042BCN , ID-D-7-6-2-7 , Tegal? Chips Components
3930 CD4042BCN , ID-D-7-6-2-6, Tegal? Chips Components
3931 DM7417N , ID-D-7-6-1-10, Tegal? Chips Components
3932 MM74C221N , ID-D-7-6-1-9 , Tegal? Chips Components
3933 CD74HCT24IE , ID-D-7-6-1-8 , Tegal? Chips Components
3934 SN74LS244N, ID-D-7-6-1-7 , Tegal? Chips Components
3935 T74LS273B1, ID-D-7-6-1-6 , Tegal? Chips Components
3936 SN74LS273N, ID-D-7-5-6-10, Tegal? Chips Components
3937 SN74LS32J, ID-D-7-5-6-9 , Tegal? Chips Components
3938 HD74LS490P, ID-D-7-5-6-8, Tegal? Chips Components
3939 SN74LS04N , ID-D-7-5-6-7 , Tegal? Chips Components
3940 DM74S288N, ID-D-7-5-6-6 , Tegal? Chips Components
3941 MC14052BCP , 80-530-038 , ID-D-7-5-6-5 , Tegal? Chips Components
3942 MC14512 , ID-D-7-5-6-4 , Tegal? Chips Components
3943 ULN2002A , ID-D-7-5-6-3 , Tegal? Chips Components
3944 ULN2003A, ID-D-7-5-6-2 , Tegal? Chips Components
3945 ULN2065B , ID-D-7-5-6-1 , Tegal? Chips Components
3946 ULN2066B , ID-D-7-5-5-10, Tegal? Chips Components
3947 AIR27S281pc  , AIR27S281RC, IA27S281pc, IR27S281pc , ID-D-7-5-5-9 , Tegal?
3948 Socket 18 Pin , ID-D-7-5-5-8, Tegal?
3949 SN75492N , ID-D-7-5-5-7 , Tegal?
3950 MD 74S240N, ID-D-7-5-5-6 , Tegal?
3951 74HCT574,  , ID-D-7-5-5-5 , chips Components
3952 74HCT573N, DM74LS573N, ID-D-7-5-5-4, chips Components
3953 MM74HC245AN, ID-D-7-5-5-3 , chips Components
3954 MM74HC175N, MC74HC175N , ID-D-7-5-5-2 , chips Components
3955 MM74HC174N , MC74HC174N, ID-D-7-5-5-1, chips Components
3956 MM74HC165N, MC74HC165N , ID-D-7-5-4-10, chips Components
3957 MM74HC154N , MC74HC154N , ID-D-7-5-4-9 ,chips Components
3958 MM74HC138N , MC74HC138N , ID-D-7-5-4-8 , chips Components
3959 MM74HC125N , MC74HC125N, ID-D-7-5-4-7, chips Components
3960 8255 8031 AT27C256R , ID-D-7-5-4-6 , chips Components
3961 LM324N M9812AB M9630AG, ID-D-7-5-4-5. , chips Components
3962 74HC573N, ID-D-7-5-4-4, chips Components
3963 ST M74HC158B1 99214R , ID-D-7-5-4-3   , chips Components
3964 DM7407N , ID-D-7-5-4-2    , chips Components
3965 Maxim MAX691CPE  , ID-D-7-5-4-1    , chips Components
3966 A 6N138 0015 RJ , A6N1380015RJ, ID-D-7-5-3-10  , chips Components
3967 DS26LS32CN, ID-D-7-5-3-9, chips Components
3968 CD74HCT04E, ID-D-7-5-3-8  ,chips Components
3969 SN74LS04N, ID-D-7-5-3-7   ,  chips Components
3970 DB50 Male to Male Cable for ESC-100, ESC-200 Robot controller, ATM-105 ATM-104,
3971 M5L2764K RT-1200_U1 , 0TELEVIDEO 180002-00G ID-D-7-5-3-6,  chips Components
3972 M5L27256k ,  ID-D-7-5-3-5, chips Components
3973 AM2764DC ,  ID-D-7-5-3-4,  chips Components
3974 MBM2764-30,  ID-D-7-5-3-3, chips Components
3975 DQ2764-30 , ID-D-7-5-3-3/2 , chips Components
3976 HN462732G , ID-D-7-5-3-2/1 , chips Components
3977 AM2732A-20C , ID-D-7-5-3-2/2, chips Components
3978 AM2716DC, ID-D-7-5-3-2/3, chips Components
3979 MM74C221N 38348 , ID-D-7-5-3-1/1 , Chips , Components
3980 X353,  6356-1J , ID-D-7-5-3-1/2, Chips , Components
3981 DAC1210LCJ , ID-D-7-5-2-10-1 , Chips , Components
3982 M9742AH , ID-D-7-5-2-10-2, Chips , Components
3983 74LS378N , ID-D-7-5-2-9, Chips , Components
3984 CD74HC564E, ID-D-7-5-2-8 , Chips , Components
3985 MAXIM MAX150ACPP, ID-D-7-5-2-7, Chips , Components
3986 IRF IRLD024, ID-D-7-5-2-6, Chips , Components
3987 MAXIM MAX690, ID-D-7-5-2-5-1 , Chips , Components
3988 LM393N , ID-D-7-5-2-5-2, Chips , Components
3989 DS26LS31CN  , ID-D-7-5-2-4, Chips, Components
3990 CD74HC30 MC74HC30, ID-D-7-5-2-3, Chips , Components
3991 74HC02, ID-D-7-5-2-2, Chips , Components
3992 Winbond W27C512-45 STAnc RAM SRM20256LC 14532A, ID-D-7-5-2–1 ,Chips , Components
3993 NEC D43256BCZ-70L , SRM20256LC? 14532A? ID-D-7-5-2–1 /2, Chips , Components
3994 MID400 , ID-D-7-5-1-10 ,Chips , Components
3995 TIL 113 , ID-D-7-5-1-9 , Chips , Components
3996 74HC11 ,  MC74HC11N, ID-D-7-5-1-8 , Chips , Components
3997 M74HC08B1, ID-D-7-5-1-7 , Chips , Components
3998 74HC00 , MM74C00N , ID-D-7-5-1-6 , Chips , Components
3999 PWB 110494-005 for Lam Research Lam AutoEtch PN 810-001314-002 (?)
4000 EETOOLS TOPMAX TM-A48 Universal Programmer
4001 PN 7200-1108-01 ,for AG Associates Heatpulse 8800 8108 4100 4108 4100S
4002 PN 7200-1109-01 for AG Associates Heatpulse 8800 8108 4100 4108 4100S
4003 The R. D. Mathis Company Aluminum AL59X44-KG Pellets ID-DD7-BR-3-001
4004 The R. D. Mathis Company Aluminum AL59X44-KG Pellets ID-DD7-BR-3-002
4005 Temescal 0503-0302 15CC Intermatalic ID-DD7-BR-3-003 Crucible
4006 Al Shot 4.91s Aluminum ID-DD7-BR-3-004
4007 Kurt J. Lesker Nickel Pellets EVMNI45EXEJ ID-DD7-BR-3-005
4008 Kurt J. Lesker Aluminum Pellets EVMAL50EXEJ ID-DD7-BR-3-006
4009 Feedthrough For Evaporator Equipment ID-DD7-BR-2-1-001
4010 Feedthrough For Evaporator Equipment ID-DD7-BR-2-1-002
4011 Feedthrough For Evaporator Equipment ID-DD7-BR-2-1-003
4012 Feedthrough For Evaporator Equipment ID-DD7-BR-2-2-001
4013 Feedthrough For Evaporator Equipment ID-DD7-BR-2-2-003
4014 Feedthrough For Evaporator Equipment ID-DD7-BR-2-2-004
4015 Feedthrough For Evaporator Equipment ID-DD7-BR-2-3-001
4016 Feedthrough For Evaporator Equipment ID-DD7-BR-2-3-002
4017 Feedthrough For Evaporator Equipment ID-DD7-BR-2-3-003
4018 The R. D. Mathis Company C6-AO Crucible ID-DD7-BR-2-4-001
4019 Vacuum Engineering & Materials Mo Starter Surface 42E0026 ID-DD7-BR-2-4-002
4020 Vacuum Engineering & Materials Ti Starter Surface 22E0088 ID-DD7-BR-2-4-003
4021 EB0284 Fil-Tech Thermal Evaporator Fixture?  ID-DD7-BR-3-007
4022 Evaporator Fixture ID-DD7-BR-3-008
4023 The R. D. Mathis Company F7-2X.040W 5PC A Lot ID-DD7-BR-2-5-001
4024 The R. D. Mathis Company Crucible Element Heating ID-DD7-BR-2-5-002
4025 Fil-Tech QI8010 6MHz Gold Au Evaporator ID-DD7-BR-2-6-001
4026 Fil-Tech QI8008 Evaporator ID-DD7-BR-2-6-002
4027 Fil-Tech QI8008 Evaporator ID-DD7-BR-2-6-003
4028 Fil-Tech QI8010 6MHz Gold Au Evaporator ID-DD7-BR-2-6-004
4029 Fil-Tech QI8010 6MHz Gold Au Evaporator ID-DD7-BR-2-6-005
4030 Phelps Electronics Inc 4.4 Mhz Gold Au Crystals Pe 105-02  ID-DD7-BR-2-6-006
4031 75 VITON Size 473 ID-DW5-5-5-001 O Ring
4032 O Ring ID-DW5-5-5-002
4033 O Ring ID-DW5-5-5-003
4034 Cabinet ,Controller For AG Associates Heatpulse 8108 Which Was Used In Japan
4035 Cabinet ,Controller For AG Associates Heatpulse 8108 Which Was Used In Japan
4036 222-083-100-02 Delta Magnetron Insert Exterior, for Perkin-Elmer 4410, 4450,4480
4037 222-083-100-01 Delta Magnetron Insert Center, for Perkin-Elmer 4410, 4450,4480
4038 Inficon IC6000 Controller ID-DW3-4-001
4039 Inficon IC6000 Controller ID-DW3-4-002
4040 Inficon IC6000 Controller ID-DW3-6-001
4041 Inficon IC6000 Controller ID-DW3-6-003
4042 Inficon IC6000 Controller ID-DW2-4-001
4043 Inficon IC6000 Controller ID-DW2-4-002
4044 Inficon IC6000 Controller ID-DW5-4-010
4045 RF Matching Network,New, replacement of Matrix 105  A0995-99753-R  RF Matching
4046 Aluminum Chamber Assembly for AG Associates Heatpulse 610 MPT RTP-600S
4047 Brooks Equipe PRI ATM105-1-S-CE robot
4048 Brooks Equipe PRI ESC-212-KLA-UNI robot controller
4049 Brooks Equipe PRI 001-0080-10-001-0080-09 etc. 4900-0006-089 aligners
4050 Brooks Equipe PRI PRE-300 series pre-aligners
4051 Plasma Therm 790 Series PECVD Plasma Enhanced CVD
4052 91097-31 Topaz Ultra-isolator Line Noise Suppressor 750 VA AWD-D-1-0-026
4053 E04598-00 12 inch quartz chamber reactor For Branson IPC 3000, 2000, 4000 Asher
4054 Used KLA Tencor P-7 Profilometer Surface Profilometer surface metrology
4055 Used KLA Tencor P-6 Profilometer Surface Profilometer surface metrology
4056 7310-5786-01 Robot Effector Robot ARM AG Associates Heatpulse , 7310-6210-01?
4057 4 Inch  Si Wafer 51mm Pocket
4058 4 Inch  Si Wafer 77mm Pocket
4059 ST8107-154 STS ICP RIE PECVD aC3740/01 Assy 2nd Gen P P D Rack Power Supply
4060 STS ICP RIE PECVD Loadlock/dealer  Supply P P D Rack Power Supply Auxiliary
4061 STS ICP RIE PECVD Earth Leakage Reset Mains Isolator P P D Rack Power Supply
4062 STS SPTS ICP RIE PECVD DRIE Loadlock Dealer PC Computer Cluster With Disk
4063 STS SPTS ICP RIE PECVD DRIE Load Operator PC Computer Cluster
4064 STS SPTS ICP RIE PECVD DRIE Server Data Exchange PC Computer Cluster
4065 STS SPTS ICP RIE PECVD DRIE VAT valve , cluster loadlock, before turbo
4066 STS SPTS ICP RIE PECVD DRIE PFEIFFER vacuum turbo pump TPH 062 cluster loadlock
4067 STS SPTS ICP RIE PECVD DRIE loadlock to chamber VAT valve
4068 STS SPTS ICP RIE PECVD DRIE loadlock to cassette station VAT valve
4069 STS SPTS ICP RIE PECVD DRIE LEYBOLD NT 20 turbotronik NT 20 controller
4070 STS SPTS ICP RIE PECVD DRIE H.C.L.1 chamber lid temp display controller DQ-4-012
4071 STS SPTS ICP RIE PECVD DRIE AMC 1A AC138 A.C.M.1A A.M.C.1-2 controller DQ-4-013
4072 STS SPTS ICP RIE PECVD DRIE AMC 1A A.C.M.1A A.M.C.1controller DQ-4-014
4073 STS SPTS ICP RIE PECVD DRIE E.C.U.1 controller for Echuck controller? DQ-4-015
4074 STS SPTS ICP RIE PECVD DRIE V.A.C.1 HI-VAC controller DQ-4-016
4075 STS SPTS ICP RIE PECVD DRIE Inficon IG3 vacuum gauge model 850-200-G2 DQ-4-017
4076 STS SPTS ICP RIE PECVD DRIE EDwards Active Gauge AIM-S-NW25 PN D14545000
4077 STS SPTS ICP RIE PECVD DRIE LTH DCD 23 controller DQ-4-019
4078 STS SPTS ICP RIE PECVD DRIE EDwards Active Gauge APG-M-NW16 PN D02171000
4079 STS SPTS ICP RIE PECVD DRIE Needs NDS-1512 manual data switch exchange DQ-4-021
4080 STS SPTS ICP RIE PECVD DRIE LEAD AC200V to AC100V transformer ? DQ-4-022
4081 STS SPTS ICP RIE PECVD DRIE ATEN CS-142 miniview kvm switch with cables DQ-4-023
4082 STS SPTS ICP RIE PECVD DRIE CE-120 console extender for PS/2 w/cables DQ-4-024
4083 STS SPTS ICP RIE PECVD DRIE  UPS 500 mini uninterrutible power system DQ-4-025
4084 STS SPTS ICP RIE PECVD DRIE icp chamber pc Computer Cluster , dantum/B DQ-4-027
4085 STS SPTS ICP RIE PECVD DRIE cluster power distribution module DQ-4-028
4086 STS SPTS ICP RIE PECVD DRIE cluster power RF generator ENI ACG-3LP2 DQ-4-029
4087 STS SPTS ICP RIE PECVD DRIE cluster RF maching ,chuck 300W RF generator DP-1-001
4088 STS SPTS ICP RIE PECVD DRIE cluster RF maching ,coil,1000w RF generator DP-1-002
4089 STS SPTS ICP RIE PECVD DRIE cluster high vacuum valve DP-1-003
4090 STS SPTS ICP RIE PECVD DRIE cluster VAT high vacuum valve DP-1-004
4091 Find Your Item with MiLi MiTag HD-P16 Apple Licensed Product Design for IOS only
4092 ElectroGlas 277443-001 REV.F Assy 252158-001 A911 MUX/Driver DA5–1-1-002
4093 ElectroGlas 277443-001 REV.F Assy 252158-001 A911 MUX/Driver DA5–1-1-003
4094 ElectroGlas 277443-001 REV.A Assy 252158-001 A911 ? MUX/Driver DA5–1-1-004
4095 ElectroGlas 277443-001 REV.A Assy 252158-001 A911 ? MUX/Driver DA5–1-1-005
4096 ElectroGlas 277443-001 REV.A Assy 252158-001 A911 MUX/Driver DA5–1-1-006
4097 ElectroGlas 277443-001 REV. P3 Assy 252158-001 A911 ? MUX/Driver DA5–1-1-007
4098 ElectroGlas Prober M401020045 A911 Mux/Driver 2 Assy 267125-001 DA5–1-1-008
4099 ElectroGlas Prober Assy 258936- Xxx With 258931-001 , 250789-002 Etc DA5–1-2-001
4100 ElectroGlas Prober Assy 258936- Xxx With 258931-001 , 250789-002 Etc DA5–1-2-002
4101 ElectroGlas Prober 256303-001 DA5–1-3-001
4102 ElectroGlas Prober 282962-001 ,D1601 AAP01503 EG5300 All Optics DPS ?DA5–3-002
4103 ElectroGlas Prober 282962-001? D1601 AAP01503 EG5300 All Optics DA5–3-001
4104 ElectroGlas Prober X-drive 0.125 PZ9 Parts DA5–3-003
4105 ElectroGlas Prober X-drive 0.125 PZ9 Parts DA5–3-004
4106 ElectroGlas Prober Z Stage W/ 251085-001 A, 032987-018  DA5–2-001
4107 ElectroGlas Prober Power Dar 3 Assy 256938-001 DA2-4-001
4108 ElectroGlas Prober A14B Material Handler Assy 260331-001 ? DA5-1-2-003
4109 ElectroGlas Prober A14B Material Handler Assy 260331-001 ? DA5-1-2-004
4110 ElectroGlas Prober A14B Material Handler Assy 260331-001 ? DA5-1-2-005
4111 ElectroGlas Prober A14B Material Handler Assy 260331-001 ? DA5-1-2-006
4112 ElectroGlas Prober A14B Material Handler Assy 260331-001 ? DA5-1-2-007
4113 ElectroGlas Prober A14B Material Handler Assy 260331-001 ? DA5-1-2-008
4114 ElectroGlas Prober A14B Material Handler Assy 260331-001 ? DA5-1-2-009
4115 ElectroGlas Prober A14B Material Handler Assy 260331-001 ? DA5-1-2-010
4116 ElectroGlas Prober Power Dar 3 256938-001 DA2-4-2-001
4117 ElectroGlas Prober Power Dar 2 251074-002 DA2-4-2-002
4118 ElectroGlas Prober Power Dar 3 266875-001 DA1-5-1-002
4119 Branson IPC L3200 8″ Plasma Asher , Descum front end semiconductor equipment
4120 ElectroGlas Prober Assy In-circuit Test 200-0057-1 200-0057-2 DA5–1-4-001
4121 ElectroGlas Prober Assy In-circuit Test 200-0057-1 200-0057-2 DA5–1-4-002
4122 ElectroGlas Prober 012065-044 Matrox Corona 688-06 MQ90460 DA5–2-002
4123 ElectroGlas Prober 255423-W07 Rev L Micr Cont Assy USA 4-115v DA5–2-003
4124 ElectroGlas Prober Galil Motion Control X07 25A8E-GAL DA5–2-004-001
4125 ElectroGlas Prober Galil Motion Control  X10 B12A6D-GAL DA5–2-004-002
4126 ElectroGlas Prober Galil Motion Control  X02 B12A6F GAL DA5–2-004-003
4127 Advanced Motion Controls Brushless PWM Servo Amplifier X04 BE12A6E-QD
4128 Advanced Motion Controls Brushless PWM Servo Amplifier X02 BE12A6E-QD
4129 Advanced Motion Controls Brushless PWM Servo Amplifier X03 BE12A6E-QD
4130 Advanced Motion Controls Brushless PWM Servo Amplifier X04 BE12A6E-QD
4131 Advanced Motion Controls Brushless PWM Servo Amplifier B97301 B15A8D 107405 9523
4132 ElectroGlas Prober 019955-001 IM483-PLG Intelligent Motion Systems DA5-2-003-002
4133 ElectroGlas Prober Logic Probe Card Changer 255616-001 Rev E DA2-5-001
4134 ElectroGlas Prober Logic Probe Card Changer 255616-001 Rev EA DA2-5-002
4135 ElectroGlas Prober 252221-001 E Base Unit Panel DA2-5-003
4136 ElectroGlas Prober 252221-001 E ? Base Unit Panel DA2-5-004
4137 ElectroGlas Prober 252221-001 E Base Unit PNEU MDL DA2-5-005
4138 ElectroGlas Prober 252221-001 E ? Base Unit PNEU MDL DA2-5-006
4139 ElectroGlas Prober 252221-001 E ,Base Unit PNEU MDL DA2-5-007
4140 ElectroGlas Prober Logic Probe Card Changer 255616-001 DA2-5-008
4141 ElectroGlas Prober 252221-001 E ,Base Unit PNEU MDL DA2-5-009
4142 ElectroGlas Prober MRV2/VID Matrox 521-0201 Rev C DA2-4-3-001
4143 ElectroGlas Prober Assy 253105-001 F 8 Channel Serial Communication DA2-4-3-002
4144 ElectroGlas Prober Corona/8/E , Corona-LC/8/E Matrox 688-06 B DA2-4-3-003
4145 ElectroGlas Prober Matrox Pulsar 586-04 A DA2-4-3-004
4146 ElectroGlas Prober Matrox  Pulsar 586-04 B DA2-4-3-005
4147 ElectroGlas Prober 1007-0034 Rev 1 DA2-4-3-006
4148 ElectroGlas Prober DMC-1760 Motion Control Daughter Board D1700E DA2-4-3-007
4149 ElectroGlas Prober DMC-1780 D Galil Motion Control Daughter Board DA2-4-3-008
4150 ElectroGlas Prober DMC-1760 F Galil Motion Control Daughter Board DA2-4-3-009
4151 ElectroGlas Prober 217422-501 B DA2-4-3-010
4152 ElectroGlas Prober DMC-1730 Galil Motion Control Daughter Board DA2-4-3-011
4153 ElectroGlas Prober Air Dryer 20SCFM Puregas Twin Tank p020397 DA9-4-001
4154 ElectroGlas Prober CPU 251411-003 DA2-4-4-001
4155 ElectroGlas Prober 55000405 , 50000342 J 77000037  DA2-4-4-002
4156 ElectroGlas Prober Assy In-circuit Test 200-0057-1 200-0057-2 DA9-3-1-001
4157 ElectroGlas Prober Assy In-circuit Test 200-0057-1 200-0057-2 DA9-3-1-002
4158 ElectroGlas Prober Assy Model H VPM-3434 ? DA9-3-1-003
4159 ElectroGlas Prober Assy H494070118, VPM-2004? 012031-002? DA9-3-1-004
4160 ElectroGlas Prober 4090u PSM ? DSM 220 VAC DP1-003
4161 ElectroGlas Prober Display Control Module-4 DQ-3-EG001
4162 ElectroGlas Prober Vision Module-MICRO DQ-3-EG002
4163 ElectroGlas Prober Display Control Module- DCM 2 , DQ-3-EG003
4164 ElectroGlas Prober 4090 Panel ? Top Access,? DB-D-001
4165 ElectroGlas Prober 4090 ? 292460-001 A DB-D-002
4166 ElectroGlas Prober 263413-001, 7335-01, 252221-001 H DA9-3-2-001
4167 ElectroGlas Prober A14 Board 251883-?  DA9-3-2-002
4168 ElectroGlas Prober 4090 Pentium 133 Mhz Motherboard P133597-64!DA9-3-3-001
4169 ElectroGlas Prober 4090 Pentium Motherboard P133597-64!DA9-3-3-002
4170 ElectroGlas Prober 4090 Pentium Motherboard ? Peak/DM 386DX DA9-3-3-003
4171 ElectroGlas Prober 4090 Memory Card ? DA9-3-3-004
4172 ElectroGlas Prober 4090 Fan Cable Pcb . A Lot . DA9-3-3-005
4173 ElectroGlas Prober 5/300 AC Box Delta 266349-001 . DP-1-004
4174 ElectroGlas Prober Transport Accessories??? DA9-3-4
4175 ElectroGlas Prober Transport Accessories???.W/ 264564 Material Handler DA9-3-5
4176 ElectroGlas Prober Transport Accessories???.W/ 264564 Material Handler DA9-3-6
4177 ElectroGlas Prober EG 2001x Disk Exynetics  DA9-2-001
4178 ElectroGlas Prober D3030 EG 2001x Disk ?Exynetics  DA9-2-002
4179 ElectroGlas Prober EG 2001x Disk ?Exynetics  DA9-2-003
4180 ElectroGlas Prober Micro Scope Assy ??? Exynetics  DA9-2-4-001
4181 ElectroGlas Prober Micro Scope Assy ??? Exynetics  DA9-2-4-002
4182 ElectroGlas Prober SV-5P CCD Video Camera Module Exynetics  DA9-2-4-003
4183 ElectroGlas Prober SV-5P CCD Video Camera Module 019955-00? DA9-2-4-004
4184 ElectroGlas Prober SV-5P CCD Frame 019955-00? DA9-2-4-005
4185 ElectroGlas Prober 060-0220-10 SFE73550240 G1B19110 PXC200AF DA9-2-4-006
4186 ElectroGlas Prober 4080 4090 OCR Camera Assy DA9-2-5-001
4187 ElectroGlas Prober 4080 4090 OCR Camera Assy DA9-2-5-002
4188 ElectroGlas Prober 4080 4090 OCR Camera Assy DA9-2-5-003
4189 ElectroGlas Prober 4080 4090 OCR Camera ? DA9-2-5-004
4190 ElectroGlas Prober 4080 4090 OCR Camera ? DA9-2-5-005
4191 ElectroGlas Prober SV-5P CCD Video Camera Module 019955-00? DA9-2-6-001
4192 ElectroGlas Prober SV-5P CCD Video Camera Module 019955-00? DA9-1-EG001
4193 ElectroGlas Prober Card Cage Only , 250183-003 DA10-3-001
4194 ElectroGlas Prober Card Cage Only , 250183-003 DA10-3-002
4195 ElectroGlas Prober Card Cage Only , 250183-003 DA10-3-003
4196 ElectroGlas Prober Card Cage Only , 250183-003 DA10-3-004
4197 ElectroGlas Prober Card Cage Only , 250183-003 DA10-3-005
4198 ElectroGlas Prober Transport Accessories???.W/ 264564 Material Handler DA10-3-06
4199 ElectroGlas Prober Transport Accessories???.W/ 264564 Material Handler DA10-3-07
4200 ElectroGlas Prober Card  DA10-3-08
4201 ElectroGlas Prober X Scale ??? DA10-3-09
4202 ElectroGlas Prober X Scale ??? 0.125 HT04110724 E15A3604-0024 DA10-3-10
4203 ElectroGlas Prober X Scale ??? 0.125 HT04110724 ? E15A3604-0024 ?DA10-3-11
4204 ElectroGlas Prober X Scale ??? 0.125 HT04110724 ? E15A3604-0024 ?DA10-3-12
4205 ElectroGlas Prober X Scale ??? 0.125 HT04110724 ? E15A3604-0024 ?DA10-3-13
4206 ElectroGlas Prober X Scale ??? 0.125 HT04110724 ? E15A3604-0024 ?DA10-3-14
4207 ElectroGlas Prober X Scale ??? 0.125 HT04110724 ? E15A3604-0024 ?DA10-3-15
4208 ElectroGlas Prober X Scale ??? 0.125 HT04110724 ? E15A3604-0024 ?DA10-3-16
4209 ElectroGlas Prober X Scale ??? 0.125 HT04110724 ? E15A3604-0024 ?DA10-3-17
4210 ElectroGlas Prober X Scale ??? 0.125 HT04110724 ? E15A3604-0024 ?DA10-3-18
4211 ElectroGlas Prober In-sight 1720 Cognex 270325789 00Dp2401A8EF Lens DA9-1-EG002
4212 ElectroGlas Prober In-sight 1701- 2 Cognex 800-5798-1 B ESI 1502Lens DA9-1-EG003
4213 ElectroGlas Prober In-sight 1701- 2 Cognex 800-5798-1 D Lens DA9-1-EG004
4214 ElectroGlas Prober 264269-001 A ?XFER ARM Subassy 4-8 Inch DA9-1-EG005
4215 ElectroGlas Prober 270496-001 XFER ARM Subassy  DA9-1-EG006
4216 ElectroGlas Prober  XFER ARM Subassy  ??? DA9-1-EG007
4217 ElectroGlas Prober 262758-001 A XFER ARM Subassy  DA9-1-EG008
4218 ElectroGlas Prober 262758-001 A XFER ARM Subassy  DA9-1-EG009
4219 ElectroGlas Prober XFER ARM Subassy  DA9-1-EG010
4220 ElectroGlas Prober 263194-001, Edge Sensor Inker   Application DA11-5-2-001
4221 ElectroGlas Prober Edge Sensor Inker   Application DA11-5-2-002
4222 ElectroGlas Prober Theta Drive  W/ LH1713-M10A7, 274533-001 DA11-5-2-004
4223 ElectroGlas Prober 253007-00 Panel DA11-5-2-005
4224 Parts, ElectroGlas Vicor Power Factor Corrected MegaPAC MP4-77502 DA11-5-3-001
4225 Parts, ElectroGlas Vicor Power Factor Corrected MegaPAC MP4-77502 DA11-5-3-002
4226 Parts, ElectroGlas Monitor ELO ET1515L DA11-5-4-001
4227 Parts, ElectroGlas Monitor ELO ET1515L DA11-5-4-002
4228 Parts, ElectroGlas Robot ? Material Handler DA12-4-001 – 004
4229 ElectroGlas  Prober 4090u+ Cables And Other Parts In The Photos DA12-4-005
4230 ElectroGlas  Prober SDL 34-00235 3470-s-807-2.5-s-20  DA11-5-2-006
4231 ElectroGlas  Prober 4090 Cassette Station Assy DA11-5-2-007
4232 ElectroGlas  Prober 282977-0014 , For EG 4090u? DZ-2-001
4233 ElectroGlas  Prober EG5300 278251-001 A , For EG 4090u? DZ-2-002
4234 ElectroGlas  Prober EG5300 278251-001 A , 00003 For EG 4090u? DZ-2-003
4235 ElectroGlas  Prober EG5300 275316-001 DE1303  For EG 4090u? DZ-2-004
4236 ElectroGlas  Prober EG5300 275316-001 DE1301  For EG 4090u? DZ-2-005
4237 ElectroGlas  Prober EG5300? 262297-001 Lens   For EG 4090u? DZ-2-006
4238 ElectroGlas  Prober EG5300? 262297-001 Lens   ? ?? For EG 4090u? DZ-2-007
4239 ElectroGlas  Prober EG5300? 262297-001 Lens   ? ?? For EG 4090u? DZ-2-008
4240 ElectroGlas  Prober EG5300? 262297-001 Lens   ? ?? For EG 4090u? DZ-2-009
4241 ElectroGlas  Prober EG5300? 275104-001 A 00005 For EG 4090u? DZ-2-010
4242 ElectroGlas  Prober Assy 262835-001 A, 269723-001 B For EG5300? DZ-2-011
4243 ElectroGlas  Prober Cables For EG5300 For EG 4090 Prober DZ-2-012
4244 ElectroGlas  Prober Chuck Assembly For EG 4090 EG4200 Prober? DZ-6-002
4245 ElectroGlas  Prober Chuck Assembly Parts For EG 4090  Prober? DZ-6-003
4246 ElectroGlas  Prober Chuck  Z Scale Parts For EG 4090  Prober? DZ-6-004
4247 ElectroGlas  Prober Chuck  Z Scale Chuck Parts For EG 4090  Prober? DZ-5-001
4248 ElectroGlas  Prober Chuck  Z Scale Chuck Parts For EG 4090  Prober? DZ-5-002
4249 ElectroGlas  Prober Chuck  Z Scale Chuck Parts For EG 4090  Prober? DZ-5-003
4250 ElectroGlas  Prober  Parts For EG 4090  Prober? DZ-5-004
4251 ElectroGlas  Prober  17 Of Fans  For EG 4090  Prober? A Lot Sale DZ-4-001
4252 ElectroGlas  Prober  Thermochuck Thermal Inducing Vacuum Platform, 4090 DZ-4-002
4253 ElectroGlas  Prober  Thermochuck Thermal Inducing Vacuum Platform, 4090 DZ-4-003
4254 ElectroGlas  Z Scale Thermochuck Thermal Inducing Vacuum Platform, 4090 DZ-4-004
4255 ElectroGlas Prober Gold Chuck For EG 4090 DZ-3-001
4256 ElectroGlas Prober Gold Chuck For EG 4090 DZ-3-002
4257 ElectroGlas Prober Gold Chuck For EG 4090 DZ-3-003
4258 ElectroGlas Prober Gold Chuck For EG 4090 DZ-3-004
4259 ElectroGlas Prober 260668-001  For EG 4090 , Lot Sale. DZ-3-005
4260 Hard Driver Maxtor 51024U2 W/ A Cable For ElectroGlas Prober EG 4090 DZ-3-006
4261 Spare Part. A Lot Sale. For ElectroGlas Prober EG 4090 DY-5-002
4262 Spare Part 256138-001 (?) , For ElectroGlas Prober EG 4090 DZ-3-007
4263 Spare Part For 256138-001 (?) , A Lot. For ElectroGlas Prober EG 4090 DZ-3-008
4264 Connectors A21930407 SKU 83R1477(?) , For ElectroGlas Prober EG 4090 DZ-3-009
4265 Cylinder For ElectroGlas Prober EG 4090 (?) DZ-3-010
4266 Tools ? Fixtures For ElectroGlas Prober EG 4090 (?) DZ-3-011
4267 Spare Part , Sensor ? For ElectroGlas Prober EG 4090 (?) DZ-2-013
4268 Spare Part For Sensor ? For ElectroGlas Prober EG 4090 (?) DZ-2-014
4269 Screws For Spare Part ,For ElectroGlas Prober EG 4090 (?) DA5-2-005
4270 500983-01-0. Wa3700/B-2221 8 Mm Barcode Reader ST 3700 EG 4090 (?) DY-2-001
4271 Spare Parts For Wa3700/B-2221 8 Mm Barcode Reader ST 3700 EG 4090 (?) DY-2-002
4272 12 Inch  Si Wafer With 6 Inch Pocket
4273 12 Inch  Si Wafer With 8 Inch Pocket
4274 KEY Instruments KI LPM Air Flow Meter For ElectroGlas Prober EG 4090 ? DY-2-001
4275 chain , Black , About 40L X 1W X  0.5” For ElectroGlas Prober EG 4090 ? DY-2-003
4276 chain , Black , About 40L X 2W X  0.5” For ElectroGlas Prober EG 4090 ? DY-2-004
4277 Spare Parts/ Tools For The chain For ElectroGlas Prober EG 4090 ? DY-2-005
4278 Part Motor For ElectroGlas Prober EG 4090 ? DY-2-6-001
4279 Part Motor PH265L-04 Vexta For ElectroGlas Prober EG 4090 ? DY-2-6-002
4280 Part Motor C5262-9212 Vexta For ElectroGlas Prober EG 4090 ? DY-2-6-003
4281 Part Motor PH265L-04 Vexta For ElectroGlas Prober EG 4090 ? DY-2-6-004
4282 Part Motor 250887-003 A ,Vexta ?For ElectroGlas Prober EG 4090  4080? DY-2-6-005
4283 Part Motor STH-56D118 For ElectroGlas Prober EG 4090  4080? DY-2-6-006
4284 Part Motor  250551-001 A For ElectroGlas Prober EG 4090  4080? DY-2-6-007
4285 Part Motor  C5262-9212 For ElectroGlas Prober EG 4090  4080? DY-2-6-008
4286 Part Motor  C5262-9212 For ElectroGlas Prober EG 4090  4080? DY-2-6-009
4287 Part Motor  With 280634-001 P1 For ElectroGlas Prober EG 4090  4080? DY-2-6-009
4288 Part Motor  For ElectroGlas Prober EG 4090  4080? DY-2-6-010
4289 Part Motor  C5262-9212 For ElectroGlas Prober EG 4090  4080? DY-2-6-011
4290 Part Motor  ? For ElectroGlas Prober EG 4090  4080? DY-2-6-012
4291 Part 295-621-03 Heidenhain For ElectroGlas Prober EG 4090  4080? DY-2-6-013
4292 Parts For  For ElectroGlas Prober EG 4090  4080? DY-2-6-014
4293 Dewpoint Sensor Xentaur Cosa For ElectroGlas Prober EG 4090  4080? DY-2-6-015
4294 Tube For ElectroGlas Prober EG 4090  4080? DY-2-7-001
4295 300 Watt Step Up Down Power Transformers For ElectroGlas Prober EG 4090 DY-3-1
4296 2W-025-08 Pneumatic Element 2w For ElectroGlas Prober EG 4090 DY-3-2-001
4297 Pneumatic 062E1 For ElectroGlas Prober EG 4090 DY-3-2-002
4298 AR20-N02-2  For ElectroGlas Prober EG 4090 DY-3-2-003
4299 Valves For ElectroGlas Prober EG 4090 4080 4085 DY-3-2-004
4300 A Lot Of Parts For ElectroGlas Prober EG 4090 4080 4085 DY-3-2-005
4301 A Lot Of Parts For Tube ElectroGlas Prober EG 4090 4080 4085 DY-3-2-006
4302 Cable For ElectroGlas Prober EG 4090 4080 4085 ? DY-3-3-001
4303 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-3-3-002
4304 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-3-3-003
4305 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-3-3-004
4306 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-3-3-005
4307 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-3-4-001
4308 Cables O Ring For ElectroGlas Prober EG 4090 4080 4085 ? DY-3-4-002
4309 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-3-4-003
4310 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-3-4-004
4311 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-4-001
4312 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-4-002
4313 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-4-003
4314 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DX-5-1-001
4315 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DX-5-1-002
4316 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-4-004
4317 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-4-005
4318 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-4-006
4319 Cables For ElectroGlas Prober EG 4090 4080 4085 ? DY-4-007
4320 ElectroGlas Prober 4090u PSME 115 VAC /230VAC Power Supply Module DA8-4-EG001
4321 ElectroGlas Prober 4090u PSMG Power Supply Module DA8-4-EG002
4322 Genmark GENCOBOT 7S/3L Robot/Controller
4323 Parts For ElectroGlas Prober 4090u PSMG Power Supply Module DX-6-001
4324 Parts For ElectroGlas Prober 4090u PSMG Power Supply Module DX-6-002
4325 MegaPAC MP6-78515 ElectroGlas Prober 4090u PSME Power Supply ,2223127-10,DX-6-03
4326 MegaPAC MP5-77523 ElectroGlas Prober 4090u PSME Power Supply ,037564-001,DX-6-04
4327 MegaPAC MP6-78504 ElectroGlas Prober 4090u PSME Power Supply ,DX-6-05
4328 MegaPAC ElectroGlas Prober 4090u PSME Power Supply ,DX-6-06
4329 Power One PFC375-4001FS133 For ElectroGlas Prober 4090u Power Supply ?DX-6-07
4330 Power One PFC375-4000 For ElectroGlas Prober 4090u Power Supply ?DX-6-08
4331 Power One PFC375-4000 For ElectroGlas Prober 4090u Power Supply ?DX-6-09
4332 XP Power F7B6B6G2  For ElectroGlas Prober 4090u Power Supply ?DX-5-003
4333 Integrated power SRW-45 -? For ElectroGlas Prober 4090u Power Supply ?DX-6-10
4334 Tool For ElectroGlas Prober 4090u, DYTAAN 4119 Current Source DX-5-004
4335 Tool For ElectroGlas Prober 4090u, 263425-001 MHN Set-up DX-5-005
4336 LH Research SX500 U1BBFLALNH, For ElectroGlas Prober 4090u Power Supply ?DX-6-11
4337 ELCO P100U-15 15V7A, For ElectroGlas Prober 4090u Power Supply ?DX-6-12
4338 Parts For ElectroGlas Prober 4090u Power Supply ?NBB-90w, Condor, DX-5-006
4339 AG Associates 7310-4769-01A quartz Tray 6 In RMV Pins, Heatpulse 8108 4108 8800
4340 Panel For ElectroGlas Prober 4090 4080 ?DA12-top
4341 Top Plate  For ElectroGlas Prober EG2001 Wafer Prober  ?DA12-top
4342 Plate  For ElectroGlas Prober EG 4090 EG 4080 Wafer Prober  ?DA12-top
4343 Panels For ElectroGlas Prober EG 4090 EG 4080 Wafer Prober  ?DA12-top
4344 Panels For ElectroGlas Prober EG 4090 EG 4080 Wafer Prober  ?DA12-top
4345 0992-60147 Quartz Chamber for Matrix 105
4346 PN 720-192-010 DARK SPACE SHIELD 8″ for Perkin-Elmer  4400 Sputtering Deposition
4347 PN 720-193-000, GROUND RING 8″ for Perkin-Elmer  4400 Sputtering Deposition
4348 Electrical Connectors For ElectroGlass Wafer Prober PN 103957-4 995154-1 28078-1
4349 Electrical Connectors For ElectroGlass Wafer Prober PN 103957-4 995154-1 28078-1
4350 Electrical Connectors For ElectroGlass Wafer Prober PN 103957-4 995154-1 28078-1
4351 Electrical Connectors For ElectroGlass Wafer Prober 103644-5 995154-1 702973-1
4352 AG Associates 4502-0041-01 Valve 4 Way, solenoid Heatpulse 4100 8108 4108 8800
4353 For ElectroGlas Prober EG 4090 EG 4080 Wafer Prober  ?DA8-top-001
4354 DVD-ROM Drives For ElectroGlas Prober EG 4090 EG 4080 Wafer Prober  ?DA8-top-002
4355 55-008-001 for Tegal 901e Tegal 903e
4356 55-004-001 for Tegal 901e Tegal 903e
4357 55-005-001 for Tegal 901e Tegal 903e
4358 89-202-297 Belt 1/4 W 115 GRV 40DP for Tegal 901e Tegal 903e
4359 1410-00321 / 737-879  / for Tegal 901e Tegal 903e?Myriad Semiconductor Equipment
4360 Refurbish Repair your Robot, Controller, Pre-Aligner, Pyrometer Chiller LAMP PWR
4361 PN 7100-5209-01 AG Associates PCB, Replacement Of 7100-4066-01 (?)PCA RMS Analog
4362 EQUIPE,PRI,BROOKS PRE-200 200mm ALIGNER BELT, Replacement Of DFACT 112 DA7-2-010
4363 Electroglas 2001X Wafer Prober, Used. In Morgan Hill, CA 95037 USA
4364 Electroglas 2001X Wafer Prober, #1, Used. In Morgan Hill, CA 95037 USA
4365 80-202-297 for Tegal 901e Tegal 903e
4366 6 Inch Sapphire Wafer , AL2O3 ,C Plane w/ Flat, Single Crystal wafer,1.3mm thick
4367 Brooks Equipe PRI PRE-100  pre-aligners SN PRE-1692
4368 Gould AS-H819-107 Programmable Control P884 B803 J878 B821 B814
4369 Gould AS-H819-100 Programmable Control B833 B824 B863 B864 B804 B814-108
4370 Gould AS-H819-100 Programmable Control B826 B826 B827 B827 B875 B872 B814-108
4371 LAM Research Lam Autoetch 590 REBUILT BY ASPECT SYSTEMS
4372 Precision Robots Inc PRI Equipe Brooks. WPI  Termiflex Inc 301913 Remote Control
4373 12S398601 50105 EBARA Technologie A-3000-394-001 Assembly Center Ring With Filte
4374 HITACHI S-4700 SCANNING ELECTRON MICROSCOPE WITH EDAX X-RAY OPTION
4375 CV-8 Power Supply For Temescal FC1800 E Beam Evaporator SS380-001
4376 Materials Research Corporation MRC 8671 Sputtering System
4377 Replacement DC Power  For Protek PU200-37-1C For Oxford’s Etcher ICP RIE PECVD
4378 Gasonics Aura 1000 Plasma Asher Plasma descum Dry Asher
4379 Matrix 205 System One Stripper Plasma Asher Plasma descum Dry Asher Dry Etch
4380 Upgrade Kits for your used  Rapid Thermal Processing Equipment
4381 Upgrade Kits for your used  Branson/IPC 3100S 2000 4000 4055 4150
4382 Upgrade Kits for your used  Branson/IPC L3200 plasma asher descum equipment.
4383 Upgrade Kits for your Matrix 105 106  205 302 303 403 plasma asher etcher equip
4384 Upgrade Kits for your Gasonics Aura 1000 3000 3010 L3510 2000LL AE 2001 AE 2000
4385 Upgrade Kits for Tegal 901e Tegal 903e  Plasma Etch Plasma Etcher Dry Etch
4386 Upgrade Kits for Tegal 915 Tegal 965  Plasma Etch Plasma Etcher Dry Etch
4387 Upgrade Kits for Lam Research Lam AutoEtch 490 AutoEtch 590 690 790
4388 Upgrade Kits for Lam Research Lam Rainbow 4420 4520 4620 4720 etc
4389 Upgrade your used Tencor Sonogage Mgage 200 300 Kla Tencor M-gage
4390 Upgrade Kits for MRC 603 MRC 643 MRC 903 MRC 943 MRC 923 TES 603 643 903
4391 NEW OPEN BOXOMEGA PX302-015AV Pressure Transducer
4392 Gasonics PCB Plasma/lamp Failure Detection A90-031-03 Rev. J
4393 Gasonics PCB Plasma/lamp Failure Detection A90-031-03 Rev. E
4394 Quartz Boat, 4 Inch , 25 Slots, For Furnace, Asher , Etcher Semiconductor
4395 Perkin-Elmer 221-250-500 Replacement,Gate Valve,ASA/ANSI 6″ &Gate Valve Adapter
4396 Electrogrip Model DR4-4 For STS ICP High Votage Driver Rack Driver 1000V Output
4397 Electrogrip Model DR4-4 For STS ICP High Votage Driver Rack Driver 1000V Output
4398 Electrogrip Model DR4-4 For STS ICP High Votage Driver Rack Driver 1000V Output
4399 ASM PECVD Micon III Advanced Semiconductor Materials 95-108414P00
4400 ASM PECVD Micon III Advanced Semiconductor Materials 95-108414P00
4401 ASM PECVD Advanced Semiconductor Materials Gas PCB 16-900604D01 Rev D
4402 ASM Advanced Semiconductor Materials Line Conditioner Stabilizer LC-1200
4403 ASM Advanced Semiconductor Materials Lambda DC Power LUD 16-44
4404 ASM PECVD Advanced Semiconductor Materials PCB Dual DVM Scaling Card 900552
4405 ASM Advanced Semiconductor Materials EN Electro-numerical EN-35L-04N EN35L-p696
4406 MFC Cable For ASM PECVD Advanced Semiconductor Materials
4407 MFC Unit UFC 1500A N2O 5 SLM For ASM PECVD Advanced Semiconductor Materials
4408 MFC Unit UFC 1500A C2F3 ? 2 SLM For ASM PECVD Advanced Semiconductor Materials
4409 MFC Unit UFC 1500A NH3 5 SLM For ASM PECVD Advanced Semiconductor Materials
4410 MFC Unit UFC 1500A N2 2 SLM For ASM PECVD Advanced Semiconductor Materials
4411 MFC Unit UFC 1500A N2 2 SLM For ASM PECVD Advanced Semiconductor Materials
4412 MFC Unit UFC 1500A O2 100 Sccm For ASM PECVD Advanced Semiconductor Materials
4413 MFC Unit UFC 1500A SiH4 500 Sccm For ASM PECVD Advanced Semiconductor Materials
4414 MFC Unit UFC 1500A N2 2 SLM  For ASM PECVD Advanced Semiconductor Materials
4415 MFC Unit UFC 1500A N2 2 SLM  For ASM PECVD Advanced Semiconductor Materials
4416 MFC Unit UFC 1500A N2O 5 SLM  For ASM PECVD Advanced Semiconductor Materials
4417 MFC Unit UFC 1500A NH3 5 SLM  For ASM PECVD Advanced Semiconductor Materials
4418 MFC Unit UFC 1500A SiH4 500 Sccm For ASM PECVD Advanced Semiconductor Materials
4419 Valve With NUPRO SS-4BK V13-1C  For ASM PECVD Advanced Semiconductor Materials
4420 Valve With NUPRO SS-4BK V13-10 For ASM PECVD Advanced Semiconductor Materials
4421 Valve With NUPRO SS-4BK V13-1c For ASM PECVD Advanced Semiconductor Materials
4422 AG Associates Heatpulse 410 RTA RTP RTO RTN Rapid Thermal Annealing
4423 AG Associates Heatpulse 610 RTA RTP RTO RTN Rapid Thermal Annealing
4424 AG Associates Heatpulse 610 RTA RTP RTO RTN Rapid Thermal Annealing
4425 AG Associates Heatpulse 8108 RTA RTP RTO RTN Rapid Thermal Annealing
4426 AG Associates Heatpulse 8800 8800i RTA RTP RTO RTN Rapid Thermal Annealing
4427 AG Associates Heatpulse 4100 RTA RTP RTO RTN Rapid Thermal Annealing
4428 Tegal 901e Tegal 903e PCB 80-095-278 Rev A DAC 2

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

ID-SS380 Inventory20240412

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers