Top

Semiconductor equipment parts in Asia

Description

Semiconductor equipment parts in Asia. Valid Time: Subject to prior sale without notice. These items are only for end user.

Special  For Sale

1) TEL TE-8500 ETCHER 8″ &  Process Parts 19set
2) ULVAC ENTRON-EX W300 (8CH) 300mm Sputter PVD
3) Nikon  OPTIPHOT-300D Inspection  MICROSCOPE  12″
4) LEICA DM8000M Inspection Microscope  8″
5) Plasmalab ICP380 & PLASMALAB100 ICP Etcher + PECVD
6) LAM  NOVELLUS  GASONICS L3510 8″Ashers
7) LAM  SEZ 223  Wet
8) DNS SSW-80B-AR   Scrubber
9) Hitachi S-8820 CDSEM   As-is offer
10) AMAT Edura 5500 300mm  PVD 5set
11) Nikon NSR- 2205 i11D Stepper
12) Nikon NSR- 2205 i11D Stepper

SS1159-S-13

Steppers and tracks:

NO Machine Maker Model Inch Resolution
1 Stepper Nikon NSR-S207D 8″-12″ 0.11um
2 Stepper Nikon NSR-S208D 8″-12″ 0.11um
3 Stepper Nikon NSR-S308F 12 0.12um
4 Stepper Nikon NSR-SF140 12 0.16um
5 Stepper Nikon NSR-S307 8″-12″ 0.12um
6 Stepper Nikon SF-200 6-8 0.15um
7 Stepper Nikon SF-130 6-9 0.16um
8 Stepper Nikon Scanner S305B 8
9 Stepper Nikon Scanner 204B 6 – 8 0.15um
10 Stepper Nikon Scanner 204B 6 – 8 0.15um
11 Stepper Nikon Scanner 203B 6 – 8 0.18um
12 Stepper Nikon NSR-TFH-i14DL 6 – 8 0.5um
13 Stepper Nikon NSR-2205i12D 6 – 8 0.35um
14 Stepper Nikon NSR-2205i11D 6 – 8 0.35um
15 Stepper Nikon NSR-4425i 6 – 8 0.35um
16 Stepper Nikon NSR-2205i11C 6 – 8 0.40um
17 Stepper Nikon NSR-2205i10D 6 – 8 0.45um
18 Stepper Nikon NSR-2205EX14C 8 0.25um
19 Stepper Nikon NSR-1755i8A 6 – 8 0.45um
20 Stepper Nikon NSR-1755i8A 6 – 8 0.45um
21 Machine Maker Model Inch Resolution
22 Stepper Nikon NSR-1755G7A 6 0.5um
23 Stepper Nikon NSR-1755i7B 6 0.5um
24 NSR-1755i7A 6 0.5um
25 Stepper Nikon NSR1505 G6E 6 0.65um G-line
26 Stepper Canon FPA3000i4 6-8 0.35um
28 Track TEL ACT8 (2C2D) 8 Double Block
29 Track TEL ACT8 (2C4D) 8 Double Block
30 Track TEL ACT12(1C2D) 12 Single Block
31 Track TEL CLEAN TRACK ACT 12 12
32 Track TEL CLEAN TRACK LITHIUS Pro 12
33 Track TEL Mark-8 1C2D 8
34 Track TEL Mark-8 2C2D 8
35 Track TEL Mark-7 1C2D 8
36 Track DNS SK80BW 3C3D 8
37 Track DNS SK80A 2C 1D 8

SS1519-S-13

Used Equipment for sale:

No Equip. Maker Model Size
1 FIB TESCAN Ga-FIB S9000G FIB+SEM 8
2 FIB TESCAN Xe (Amber X) 8
3 CVD AMAT P5000 MarkII 6
4 CVD AMAT P5000 MarkII 6
5 CVD AMAT Amat Endura 5500 8
6 PVD AMAT Amat Centura 8
7 OVERLAY KLA-Tencor 5200XP 8
8 FESEM Hitachi SU8040 FESEM 8
9 CDSEM Hitachi Hitachi S-8820 8
10 CDSEM Hitachi Hitachi S-8820S 8
11 Track TEL TEL Mark8 8
12 Track TEL TEL Mark8 8
13 Track TEL TEL Mark8 8
14 Track TEL TEL ACT8 8
15 Track TEL TEL ACT12 12
16 CMP Ebara F-Rex 300S 12
17 CVD AMAT C3 Dual ALTUS 12
18 RTP AMAT Centura 1 12
19 RTP AMAT Vantage Vulcan 12
20 RTP AMAT Vantage Radiance+ 12
21 Aligner Canon MPA600 8
22 CMP AMAT REFLEXION LK 12
23 DIFF TEL ALPHA-805 8
24 DIFF TEL ALPHA-8SE 8
25 DIFF KE DJ-853V-J3 8
26 DIFF KE DJ-823V 8
27 ETCH AMAT P-5000 MXP 8
28 ETCH AMAT P-5000 MXP+ 8
29 CVD AMAT P-5000 SACVD 8
30 CVD AMAT Producer SE 12
31 CVD AMAT Producer SE 12
32 ETCH AMAT LAM 2300 Exelan Flex Ex 12
33 PVD AMAT Endura CL Versa 12
34 ETCH AMAT VECTOR EXPRESS 12
35 ETCH AMAT STRATA 12
36 CVD AMAT Centura WxZ 12
37 ETCH LAM 2300 VERSYS KIYO45 12
38 Wet LAM SEZ223 8
39 PVD AMAT Endura 5500 12
40 Scrubber DNS SSW-80B-AR 8
41 CVD WJ WJ999 8
42 ETCH TEL TE-8500 & Process Parts 19set 6
43 Ashers LAM  NOVELLUS GASONICS L3510  Plasma Cleaner 8
44 ICP /CVD Oxford Lasmalab ICP380 & PLASMALAB100 ICP Etcher 8
45 Profiler Veeco 200Si 8
46 Film Thickness Rudolph FE4D 8
47 AFM Veeco Demension 5000 6~8
48 Profiler KLA-Tencor P-15 6
49 WET DNS SU-3200 12

SS1519-S-13

12 inch Used equipment for sale:

No Equip. Maker Model Description
1 CMP AMAT REFLEXION LK Multi-Process
2 CMP Ebara F-Rex 300S STI Oxide CMP System
3 CMP Ebara F-Rex 300S W CMP System
4 CMP Ebara F-Rex 300S W CMP System
5 CVD AMAT Producer SE 3Twin PESIN
6 CVD Lam Novellus Concept Three Dual ALTUS W CVD system
7 CVD LAM VECTOR EXPRESS AHM AHM
8 CVD LAM Strata-GX 3 CH
9 ETCH LAM 2300 Exelan Exelan
10 PVD AMAT Endura 3CH, 4CH
11 PVD AMAT Endura CL Versa TTN 3CH + Degas STD 2CH
12 RTP AMAT Centura 1 platform + 2 DPN + 2 ISSG
Radiance+
RTP system with 3 process chambers
(gate oxidation & oxide nitridation)
13 RTP AMAT Vantage Vulcan 2 chambers
14 RTP AMAT Vantage Vulcan 2 chambers
15 RTP AMAT Vantage Radiance+ 2 chambers
16 RTP AMAT Vantage Radiance 2 chambers
17 WET LAM EOS 8 Chambers (FN IPA+O3+FOM)
18 WET LAM EOS 16 Chambers
19 WET TEL Cellesta+ 12 chamber
20 ETCH LAM 2300e5 Exelan Flex FX
21 WET DNS SU-3200 Single Wafer Processing
22 Track TEL CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer)
23 Track TEL CLEAN TRACK ACT 12 Multi Block (Resist Coater/Developer)
24 Track TEL CLEAN TRACK LITHIUS SINGLE BLOCK
25 PVD AMAT Endura II Aluminum Interconnect Aluminum Interconnect
26 PVD AMAT Endura II Chambers Multiple
27 PVD AMAT Endura II Front-End Metallization
28 PVD ULVAC Entron-EX W300 Muilt- 8Chamber
29 PVD Lam Novellus INOVA
30 MET KLA Archer 500 AIM Overlay Measurement System
31 MET KLA Surfscan SP3 – Shipping Kit Particle Measurement
32 MET KLA ASET-F5x Film Thickness Measurement System
33 ETCH AMAT Centura AP DPS II Polysilicon Polysilicon Etch
34 ETCH AMAT Centura AP DPS AdvantEdge Metal Metal Etch
35 ETCH AMAT Centura AP AdvantEdge G5 Metal Metal Etch
36 ETCH AMAT Producer Etch eXT Dielectric
37 ETCH LAM 2300e6 KIYO EX Polysilicon Etch
38 ETCH LAM 2300e6 Exelan Flex HX Dielectric Etch
39 ETCH LAM 2300e4 KIYO MCX Metal Etch
40 ETCH LAM 2300 KIYO EX Polysilicon Etch
41 ETCH LAM 2300 Versys Metal Etch
42 ETCH LAM 2300e4 Exelan Flex ES Dielectric Etch
43 ETCH LAM 2300e4 Exelan Flex GX Dielectric Etch
44 ETCH LAM 2300e4 Exelan Flex ES
45 ETCH LAM 2300e4 Exelan Flex GX
46 ETCH TEL Tactras Vesta NV3 NV3
47 ECD Lam Novellus SABRE 3D ECD (Electro Chemical Deposition)
48 ETCH TEL Tactras NCCP SCCM NCCP SCCM
49 CVD AMAT Producer SE PECVD TEOS
50 CVD TEL Triase+ Ti/TiN Metal CVD
51 ETCH TEL Tactras Vesta NV3 Polysilicon Etch
53 CVD AMAT Producer SE SACVD HARP
54 CVD AMAT Producer GT PECVD Silane
55 CVD Lam Novellus Concept Three Altus Max WCVD (Chemical Vapor Deposition)
56 CVD TEL Triase+ Ti/TiN
57 CVD TEL Triase+ EX-II Ti/TiN Metal CVD Ti/TiN
58 CVD Lam Novellus Concept Three SPEED MAX (LITE) STI 3CH
59 CMP AMAT Reflexion LK Poly/STI
60 CMP AMAT Reflexion LK Oxide
61 Furnace Kokusai ZESTONE DJ-1223VN-DF HQ-SiO2(SL)
62 Furnace TEL FORMULA Nitride Vertical Furnace  Nitride
63 Furnace TEL INDY Plus IRAD Oxide Vertical Furnace   Oxide

SS1519-S-13

1 Track DNS SK80BW 3C3D
2 Track DNS SK80A 2C 1D
3 CVD AMAT P5000 PLIS Standard TEOS USG x3 Chamber
4 Stepper Nikon S203B
5 Stepper Nikon S204B
6 Stepper Nikon NSR 2205 i11D
7 Stepper Nikon NSR 4425
8 Defect Review SEM HITACHI RS-5500
9 PARTICLE  COUNTER KLA SP3
10 Flatness Measuring KLA (ADE) AFS-3220
11 Wafer sight3 KLA PHX DF 5.0
12 Surface Profiler KLA P-15
13 Wafer Inspection Leica INM300
14  Edge Polisher BBS E-450 Prime
15 Double Size Polisher Peter Wolters AC-1500P
16 Double Size Polisher Hamai 300mm
17 FURNACES TEL ALPHA 8S POLC3
18 FURNACES TEL 805CN LP CVD
19 MOCVD AIXTRON AIX200/4RFS(2″X3)
20 Sputter TEAMs ITO
21 Evaporator AST PEVA-600I
22 Bonder EVG 510 Wafer bonder
23 Etcher Amat Centura2 DPS+ Poly Etch
24 CVD AMAT Centura Ultima
25 Stepper Nikon NSR-2005 I 9C
26 Stepper Nikon NSR 2205 I 12D
27 Stepper Nikon NSR-SF200
28 Track TEL Mark-7 1C2D
29 Track TEL ACT8 2C4D, Double Block
30 Track TEL ACT12  Single Block
31 SEM Hitachi FESEM S4700 I
32 SEM Hitachi FESEM S4700 II
33 SEM Hitachi FESEM S4800 II
34 Lithography Hitachi HL8000M
35 Lithography Hitachi HL7800M
36 Prober TEL P-12XL Probe
37 CVD AMAT P5000
38 Saw DISCO DFD641 saw
39 MOCVD Aixtron Crius II
40 MOCVD Nippon Sanso
41 Etcher Maxis 300LA ICP
42 CVD AMAT P5000
43 Sputter ULVAC  ENTRON-EX W300  Chamber(4CH)
44 Etcher Plasma Therm Versaline ICP-RIE #2 PSS ICP 4″x4
45 E-Beam ULVAC Ulvac ei-5K
46 E-Beam ULVAC Ulvac ei-7K
47 E-Beam CHA Revolution
48 Aligner USHIO UX-4440 Aligner
49 Track DNS SK3000
50 Wet DNS SU3200
51 Wet DNS SU3100
52 PECVD Oxford Plasmalab ICP380 & Plasmalab100 ICP Etcher 100 RIE+PECVD
53 CMP Ebara EPO222  CMP
54 CMP Amat  mirra MESA CMP
55 Track TEL Mark V  2C2D
56 CVD AMAT Centura EPI  4CH
57 Spin wet SEZ SP203
58 Wet Station TEL UW300Z (200mm)
59 Sputter Barian  XM90 PVD
60 Thermal Shock Chamber ESPEC  TSA-41L-A -70℃ ~300℃
61 Thermal Shock Chamber ESPEC TSA 715W  -65℃~ 200℃
62 Temp & Humid Chamber ESPEC PR-4KTH     -20℃~+180℃
63 PECVD AKT AKT-1600A
64 Sputter ULVAC SMD450C
65 Dry Etcher_PE TEL ME-450II
66 Stepper NIKON FX601
67 Track TEL CS450
68 Aligner CANON MPA3000W
69 Oven KOYO GLO-67-ASN
70 H/T Thickness
Measurment
K-MAC ST8000
71 Particle Counter TORAY IQ530
72 Pattern Inspection KLA KLA6020
73 Pattern Inspection ORBOTECH FPI-7098
74 Review Station OLYMPUS MHL-525

Please contact us for more information on the product:

[dynamichidden dynamichidden-813 "CF7_URL"]

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS1159-00-6-1-1

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers