Description
Used Semiconductor Equipment Parts -Updated November 10 the 2025.
These are subject to prior sale. These are only for end user. Appreciate your time.
The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.
To search this webpage for keywords (e.g., model number, device manufacturer), press Ctrl + F on your keyboard. Then type your target keyword in the pop-up search box.
| Equip ID | Manufacturer -OEM | Equipment Model | Equipment Type | Wafer Size |
| SS5319-1 | Accretech/TSK | UF200 | Production Wafer Prober | 200mm |
| SS5319-2 | Accretech/TSK | UF200 | Production Wafer Prober | 200mm |
| SS5319-3 | Accretech/TSK | UF200 | Production Wafer Prober | 200mm |
| SS5319-4 | Accretech/TSK | A-PM-90A | Production Wafer Prober | 200mm |
| SS5319-5 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-6 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-7 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-8 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-9 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-10 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-11 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-12 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-13 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-14 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-15 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-16 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-17 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-18 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-19 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-20 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-21 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-22 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-23 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-24 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-25 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-26 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-27 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-28 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-29 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-30 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-31 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-32 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-33 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-34 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300mm |
| SS5319-35 | Accretech/TSK | W-GM-4200 | Wafer Edge Grinding | 150mm |
| SS5319-36 | Accretech/TSK | W-GM-4200 | Wafer Edge Grinding | 200mm |
| SS5319-37 | Accretech/TSK | W-GM-4200 | Wafer Edge Grinding | 200mm |
| SS5319-38 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-39 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-40 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-41 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-42 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-43 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-44 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-45 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-46 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-47 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-48 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-49 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-50 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-51 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-52 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-53 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-54 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-55 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-56 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-57 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-58 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-59 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-60 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-61 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-62 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-63 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-64 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-65 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-66 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-67 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-68 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-69 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-70 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-71 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-72 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-73 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-74 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-75 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-76 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-77 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-78 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-79 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-80 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-81 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-82 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm |
| SS5319-83 | Accu-Seal / Accuseal Corp. | 675 | Packing Equipment | N/A |
| SS5319-84 | ADE Corporation | AFS-3220 | Wafer Characterization | 300mm |
| SS5319-85 | Advanced Engineering | UV-951 | UV Cure System | 200mm |
| SS5319-86 | Advanced Laser Separation International (ALSI) | DCM802 | Laser Saw | 150mm |
| SS5319-87 | Advantest | T5377 | Memory Tester | 300mm |
| SS5319-88 | Advantest | T5377 | Memory Tester | 300mm |
| SS5319-89 | Aetrium | 1164 | Dynamic Life Test System | 200mm |
| SS5319-90 | Air Control, Inc. | Microvoid FH-45D-S | Fume Hood Workstation | 150mm |
| SS5319-91 | Air Control, Inc. | Microvoid FH-45D-S | Fume Hood Workstation | 150mm |
| SS5319-92 | Air Control, Inc. | Microvoid FH-45D-S | Fume Hood Workstation | 150mm |
| SS5319-93 | Air Control, Inc. | Microvoid FH-45D-S | Fume Hood Workstation | 150mm |
| SS5319-94 | Air Control, Inc. | Microvoid FH-45D-S | Fume Hood Workstation | 150mm |
| SS5319-95 | Air Control, Inc. | Microvoid FH-45D-S | Fume Hood Workstation | 150mm |
| SS5319-96 | Air Control, Inc. | Microvoid FH-45D-S | Fume Hood Workstation | 150mm |
| SS5319-97 | Air Control, Inc. | Microvoid FH-45D-S | Fume Hood Workstation | 150mm |
| SS5319-98 | Air Control, Inc. | Microvoid FH-45D-S | Fume Hood Workstation | 150mm |
| SS5319-99 | Aixtron | AIX G10 SiC CVD | Epitaxial Silicon (EPI) | 150mm |
| SS5319-100 | Aixtron | AIX G10 SiC CVD | Epitaxial Silicon (EPI) | 150mm |
| SS5319-101 | Aixtron | AIX G10 SiC CVD | Epitaxial Silicon (EPI) | 150mm |
| SS5319-102 | Alcatel | A1803H | Dry Pump | N/A |
| SS5319-103 | AMAT / Varian | VIISta 810 | Mid Current Implanter | 300mm |
| SS5319-104 | AMAT / Varian | EHPi-500 | Mid Current Implanter | 150mm |
| SS5319-105 | AMAT / Varian | EHPi-500 | Mid Current Implanter | 150mm |
| SS5319-106 | AMAT / Varian | VIISta HCPi | High Current Implanter | 300mm |
| SS5319-107 | AMAT / Varian | VIISta HCPi | High Current Implanter | 300mm |
| SS5319-108 | AMAT / Varian | VIISta HCPi | High Current Implanter | 300mm |
| SS5319-109 | AMAT / Varian | EHP-500 | Mid Current Implanter | 150mm |
| SS5319-110 | AMAT / Varian | EHPi-500 | Mid Current Implanter | 150mm |
| SS5319-111 | AMAT / Varian | EHP-500 | Mid Current Implanter | 150mm |
| SS5319-112 | AMAT / Varian | EHPi-500 | Mid Current Implanter | 150mm |
| SS5319-113 | AMAT / Varian | VIISta Trident | High Current Implanter | 300mm |
| SS5319-114 | AMAT / Varian | VIISta Trident | High Current Implanter | 300mm |
| SS5319-115 | Amerivacs | XAVN-20 | Bag Sealer | |
| SS5319-116 | Applied Materials (AMAT) | Producer SE SACVD HARP | SACVD (Chemical Vapor Deposition) | 300mm |
| SS5319-117 | Applied Materials (AMAT) | Reflexion LK – Poly/STI | Poly/STI CMP | 300mm |
| SS5319-118 | Applied Materials (AMAT) | Vantage RadiancePlus | Platform RTP Equipment | 300mm |
| SS5319-119 | Applied Materials (AMAT) | Producer Etch eXT Poly | Polysilicon Etch | 300mm |
| SS5319-120 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300mm |
| SS5319-121 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm |
| SS5319-122 | Applied Materials (AMAT) | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm |
| SS5319-123 | Applied Materials (AMAT) | Centris AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300mm |
| SS5319-124 | Applied Materials (AMAT) | P-5000 Optima DxZ PECVD | PECVD (Chemical Vapor Deposition) | 200mm |
| SS5319-125 | Applied Materials (AMAT) | ComPLUS MP | Darkfield Inspection | 200mm |
| SS5319-126 | Applied Materials (AMAT) | ComPLUS MP | Darkfield Inspection | 200mm |
| SS5319-127 | Applied Materials (AMAT) | Centura 5200 Ti/TiN MCVD | Metal CVD (Chemical Vapor Deposition) | 200mm |
| SS5319-128 | Applied Materials (AMAT) | Centura 5200 Ti/TiN MCVD | Metal CVD (Chemical Vapor Deposition) | 200mm |
| SS5319-129 | Applied Materials (AMAT) | Centura AP AdvantEdge G5 Poly | Polysilicon Etch | 300mm |
| SS5319-130 | Applied Materials (AMAT) | Centura AP AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300mm |
| SS5319-131 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge Metal | Metal Etch | 300mm |
| SS5319-132 | Applied Materials (AMAT) | Endura II | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-133 | Applied Materials (AMAT) | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm |
| SS5319-134 | Applied Materials (AMAT) | Centura AP AdvantEdge G5 Poly | Polysilicon Etch | 300mm |
| SS5319-135 | Applied Materials (AMAT) | Centura SiNgen Chamber | LPCVD | 200mm |
| SS5319-136 | Applied Materials (AMAT) | Centura AP Enabler | Dielectric Etch | 300mm |
| SS5319-137 | Applied Materials (AMAT) | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-138 | Applied Materials (AMAT) | Centura AP eMax CT | Dielectric Etch | 300mm |
| SS5319-139 | Applied Materials (AMAT) | Centura AP eMax CT | Dielectric Etch | 300mm |
| SS5319-140 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm |
| SS5319-141 | Applied Materials (AMAT) | Centura AP eMax CT | Dielectric Etch | 300mm |
| SS5319-142 | Applied Materials (AMAT) | Producer Etch eXT Dielectric | Dielectric Etch | 300mm |
| SS5319-143 | Applied Materials (AMAT) | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm |
| SS5319-144 | Applied Materials (AMAT) | Centura 4.0 Radiance RTP | Platform RTP Equipment | 300mm |
| SS5319-145 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm |
| SS5319-146 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm |
| SS5319-147 | Applied Materials (AMAT) | Endura 5500 Aluminum Interconnect | PVD (Physical Vapor Deposition) | |
| SS5319-148 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm |
| SS5319-149 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm |
| SS5319-150 | Applied Materials (AMAT) | P-5000 Mark II MxP+ Dielectric | Dielectric Etch | 200mm |
| SS5319-151 | Applied Materials (AMAT) | Endura 5500 Aluminum Interconnect | PVD (Physical Vapor Deposition) | 200mm |
| SS5319-152 | Applied Materials (AMAT) | Endura 5500 Aluminum Interconnect | PVD (Physical Vapor Deposition) | 200mm |
| SS5319-153 | Applied Materials (AMAT) | Endura 5500 Front-End Metallization | PVD (Physical Vapor Deposition) | 200mm |
| SS5319-154 | Applied Materials (AMAT) | Centura 5200 WxZ / Sprint W | Metal CVD (Chemical Vapor Deposition) | 200mm |
| SS5319-155 | Applied Materials (AMAT) | Centura 5200 WxZ / Sprint W | Metal CVD (Chemical Vapor Deposition) | 200mm |
| SS5319-156 | Applied Materials (AMAT) | P-5000 Mark II WxZ | Metal CVD (Chemical Vapor Deposition) | 200mm |
| SS5319-157 | Applied Materials (AMAT) | P-5000 Mark II WxZ | Metal CVD (Chemical Vapor Deposition) | 200mm |
| SS5319-158 | Applied Materials (AMAT) | Endura 5500 Aluminum Interconnect | PVD (Physical Vapor Deposition) | 200mm |
| SS5319-159 | Applied Materials (AMAT) | Mirra – Tungsten | Tungsten CMP | 200mm |
| SS5319-160 | Applied Materials (AMAT) | Mirra – Tungsten | Tungsten CMP | 200mm |
| SS5319-161 | Applied Materials (AMAT) | Mirra Dielectric | Dielectric CMP | 200mm |
| SS5319-162 | Applied Materials (AMAT) | Centura 5200 MxP+ Dielectric | Dielectric Etch | 200mm |
| SS5319-163 | Applied Materials (AMAT) | Centura 5200 MxP+ Dielectric | Dielectric Etch | 200mm |
| SS5319-164 | Applied Materials (AMAT) | Centura 5200 MxP+ Poly | Polysilicon Etch | 200mm |
| SS5319-165 | Applied Materials (AMAT) | Centura 5200 MxP+ Dielectric | Dielectric Etch | 200mm |
| SS5319-166 | Applied Materials (AMAT) | P-5000 Mark II DLH Delta PECVD Silane | PECVD (Chemical Vapor Deposition) | 200mm |
| SS5319-167 | Applied Materials (AMAT) | P-5000 Mark II DLH PECVD Silane | PECVD (Chemical Vapor Deposition) | 200mm |
| SS5319-168 | Applied Materials (AMAT) | P-5000 Mark II DLH PECVD TEOS | PECVD (Chemical Vapor Deposition) | 200mm |
| SS5319-169 | Applied Materials (AMAT) | P-5000 Mark II DLH PECVD TEOS | PECVD (Chemical Vapor Deposition) | 200mm |
| SS5319-170 | Applied Materials (AMAT) | Centura AP AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300mm |
| SS5319-171 | Applied Materials (AMAT) | Reflexion LK Oxide | Dielectric CMP | 300mm |
| SS5319-172 | Applied Materials (AMAT) | Centura AP AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300mm |
| SS5319-173 | Applied Materials (AMAT) | Endura II Liner/Barrier | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-174 | Applied Materials (AMAT) | Mustang ECD | ECD (Electro Chemical Deposition) | 300mm |
| SS5319-175 | Applied Materials (AMAT) | Producer Etch eXT Dielectric | Dielectric Etch | 300mm |
| SS5319-176 | Applied Materials (AMAT) | Producer Etch eXT Poly | Polysilicon Etch | 300mm |
| SS5319-177 | Applied Materials (AMAT) | Centura ACP DPN Plus Gate Stack | Plasma Nitridation | 300mm |
| SS5319-178 | Applied Materials (AMAT) | Reflexion – Cobalt | Metal CMP | 300mm |
| SS5319-179 | Applied Materials (AMAT) | Endura 300 | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-180 | Applied Materials (AMAT) | Reflexion – Cobalt | Metal CMP | 300mm |
| SS5319-181 | Applied Materials (AMAT) | Uvision 5 | Brightfield Inspection | 300mm |
| SS5319-182 | Applied Materials (AMAT) | Uvision 5 | Brightfield Inspection | 300mm |
| SS5319-183 | Applied Materials (AMAT) | SEMVision GX | SEM – Defect Review (DR) | 300mm |
| SS5319-184 | Applied Materials (AMAT) | SEMVision GX | SEM – Defect Review (DR) | 300mm |
| SS5319-185 | Applied Materials (AMAT) | Uvision 5 | Brightfield Inspection | 300mm |
| SS5319-186 | Applied Materials (AMAT) | Uvision 5 | Brightfield Inspection | 300mm |
| SS5319-187 | Applied Materials (AMAT) | Producer GT TiN MCVD | Metal CVD (Chemical Vapor Deposition) | 300mm |
| SS5319-188 | Applied Materials (AMAT) | Producer GT3 Pyra Anneal | Platform RTP Equipment | 300mm |
| SS5319-189 | Applied Materials (AMAT) | Reflexion – Cobalt | Metal CMP | 300mm |
| SS5319-190 | Applied Materials (AMAT) | Reflexion – Cobalt | Metal CMP | 300mm |
| SS5319-191 | Applied Materials (AMAT) | Reflexion – Copper | Copper CMP | 300mm |
| SS5319-192 | Applied Materials (AMAT) | Uvision 7 | Brightfield Inspection | 300mm |
| SS5319-193 | Applied Materials (AMAT) | SEMVision GX | SEM – Defect Review (DR) | 300mm |
| SS5319-194 | Applied Materials (AMAT) | SEMVision GX | SEM – Defect Review (DR) | 300mm |
| SS5319-195 | Applied Materials (AMAT) | SEMVision GX | SEM – Defect Review (DR) | 300mm |
| SS5319-196 | Applied Materials (AMAT) | SEMVision GX | SEM – Defect Review (DR) | 300mm |
| SS5319-197 | Applied Materials (AMAT) | ALTA 4700i | Laser Beam Mask Writing System | 300mm |
| SS5319-198 | Applied Materials (AMAT) | Producer GT3 Pyra Anneal | Platform RTP Equipment | 300mm |
| SS5319-199 | Applied Materials (AMAT) | VeritySEM 6i | SEM – Critical Dimension (CD) Measurement | 300mm |
| SS5319-200 | Applied Materials (AMAT) | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-201 | Applied Materials (AMAT) | Centura 4.0 Radiance RTP | Platform RTP Equipment | 300mm |
| SS5319-202 | Applied Materials (AMAT) | Centura AP AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300mm |
| SS5319-203 | Applied Materials (AMAT) | Endura II Liner/Barrier | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-204 | Applied Materials (AMAT) | Producer XP APF KODIAK | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-205 | Applied Materials (AMAT) | Producer Etch eXT Poly | Polysilicon Etch | 300mm |
| SS5319-206 | Applied Materials (AMAT) | Vantage Radiance RTP | Platform RTP Equipment | 300mm |
| SS5319-207 | Applied Materials (AMAT) | Endura 300 | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-208 | Applied Materials (AMAT) | Endura 300 | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-209 | Applied Materials (AMAT) | SEMVision G4 Max | SEM – Defect Review (DR) | 300mm |
| SS5319-210 | Applied Materials (AMAT) | Vantage Radiance RTP | Platform RTP Equipment | 300mm |
| SS5319-211 | Applied Materials (AMAT) | ALTA 4700i | Laser Beam Mask Writing System | 300mm |
| SS5319-212 | Applied Materials (AMAT) | Producer GT3 Pyra Anneal | Platform RTP Equipment | 300mm |
| SS5319-213 | Applied Materials (AMAT) | Producer GT3 Pyra Anneal | Platform RTP Equipment | 300mm |
| SS5319-214 | Applied Materials (AMAT) | ALTA 4700i | Laser Beam Mask Writing System | 300mm |
| SS5319-215 | Applied Materials (AMAT) | Centura 4.0 DPN Gate Stack | Plasma Nitridation | 300mm |
| SS5319-216 | Applied Materials (AMAT) | Endura 300 Aluminum Interconnect | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-217 | Applied Materials (AMAT) | Endura II Aluminum Interconnect | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-218 | Applied Materials (AMAT) | Endura II Aluminum Interconnect | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-219 | Applied Materials (AMAT) | Endura II Aluminum Interconnect | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-220 | Applied Materials (AMAT) | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-221 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300mm |
| SS5319-222 | Applied Materials (AMAT) | Centura ACP DPN Plus Gate Stack | Plasma Nitridation | 300mm |
| SS5319-223 | Applied Materials (AMAT) | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm |
| SS5319-224 | Applied Materials (AMAT) | Centura AP DPS II Metal | Metal Etch | 300mm |
| SS5319-225 | Applied Materials (AMAT) | Centura AP AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300mm |
| SS5319-226 | Applied Materials (AMAT) | Producer SE SACVD | SACVD (Chemical Vapor Deposition) | 300mm |
| SS5319-227 | Applied Materials (AMAT) | Endura II Liner/Barrier | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-228 | Applied Materials (AMAT) | Endura II Liner/Barrier | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-229 | Applied Materials (AMAT) | Endura II Liner/Barrier | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-230 | Applied Materials (AMAT) | Endura II Liner/Barrier | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-231 | Applied Materials (AMAT) | Centura DPS Plus | Polysilicon Etch | 150mm |
| SS5319-232 | Applied Materials (AMAT) | Epi Centura 300 – RP | Epitaxial Silicon (EPI) | 300mm |
| SS5319-233 | Applied Materials (AMAT) | Epi Centura 300 – RP | Epitaxial Silicon (EPI) | 300mm |
| SS5319-234 | Applied Materials (AMAT) | Epi Centura 300 – RP | Epitaxial Silicon (EPI) | 300mm |
| SS5319-235 | Applied Materials (AMAT) | VeritySEM 2 | SEM – Critical Dimension (CD) Measurement | 300mm |
| SS5319-236 | Applied Materials (AMAT) | P-5000 Mark II DLH Delta PECVD TEOS | PECVD (Chemical Vapor Deposition) | 150mm |
| SS5319-237 | Applied Materials (AMAT) | Producer SE SACVD | SACVD (Chemical Vapor Deposition) | 300mm |
| SS5319-238 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm |
| SS5319-239 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm |
| SS5319-240 | Applied Materials (AMAT) | Reflexion LK Oxide | Dielectric CMP | 300mm |
| SS5319-241 | Applied Materials (AMAT) | Centura 4.0 Radiance RTP | Platform RTP Equipment | 300mm |
| SS5319-242 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm |
| SS5319-243 | Applied Materials (AMAT) | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm |
| SS5319-244 | Applied Materials (AMAT) | Producer Etch eXT Poly | Polysilicon Etch | 300mm |
| SS5319-245 | Applied Materials (AMAT) | Producer Etch eXT Poly | Polysilicon Etch | 300mm |
| SS5319-246 | Applied Materials (AMAT) | Reflexion LK Copper | Copper CMP | 300mm |
| SS5319-247 | Applied Materials (AMAT) | Reflexion LK Copper | Copper CMP | 300mm |
| SS5319-248 | ASM International | Eagle XP EmerALD | ALD (Atomic Layer Deposition) | 300mm |
| SS5319-249 | ASM International | A412 Doped Poly | Vertical Furnace | 300mm |
| SS5319-250 | ASM International | A412 Anneal | Vertical Furnace | 300mm |
| SS5319-251 | ASM International | A412 Anneal | Vertical Furnace | 300mm |
| SS5319-252 | ASM International | Sonora | Vertical Furnace | 300mm |
| SS5319-253 | ASM International | Sonora | Vertical Furnace | 300mm |
| SS5319-254 | ASM International | Sonora | Vertical Furnace | 300mm |
| SS5319-255 | ASM International | Sonora | Vertical Furnace | 300mm |
| SS5319-256 | ASM International | A412 Anneal | Vertical Furnace | 300mm |
| SS5319-257 | ASM International | A412 Anneal | Vertical Furnace | 300mm |
| SS5319-258 | ASM International | A412 Anneal | Vertical Furnace | 300mm |
| SS5319-259 | ASM International | Eagle XP8 | ALD (Atomic Layer Deposition) | 300mm |
| SS5319-260 | ASM International | Eagle XP8 | ALD (Atomic Layer Deposition) | 300mm |
| SS5319-261 | ASML | PAS 5500/100D | i-Line Stepper | 150mm |
| SS5319-262 | ASML | PAS 5500/100D | i-Line Stepper | 150mm |
| SS5319-263 | ASML | PAS 5500/100D | i-Line Stepper | 150mm |
| SS5319-264 | ASML | PAS 5500/100D | i-Line Stepper | 150mm |
| SS5319-265 | ASML | YieldStar S-100B | Overlay Measurement System | 300mm |
| SS5319-266 | ASML | TWINSCAN NXT:1980Di | Immersion Scanner | 300mm |
| SS5319-267 | ASML | TWINSCAN NXT:1980Di | Immersion Scanner | 300mm |
| SS5319-268 | ASML | TWINSCAN NXT:1980Di | Immersion Scanner | 300mm |
| SS5319-269 | ASML | TWINSCAN AT:750C | 248nm (KrF) Scanner | 300mm |
| SS5319-270 | ASML | TWINSCAN AT:400D | i-Line Scanner | 300mm |
| SS5319-271 | ASML | TWINSCAN XT:1400F | 193nm (ArF) Scanner | 300mm |
| SS5319-272 | ASML | TWINSCAN NXT:1980Di | Immersion Scanner | 300mm |
| SS5319-273 | ASML | TWINSCAN XT:1400F | 193nm (ArF) Scanner | 300mm |
| SS5319-274 | ASML | PAS 5500/100D | i-Line Stepper | 150mm |
| SS5319-275 | ATV Technologie GmbH | PEO-603 | Horizontal Furnace | 200mm |
| SS5319-276 | ATV Technologie GmbH | PEO-603 | Horizontal Furnace | 200mm |
| SS5319-277 | ATV Technologie GmbH (BEST EQ) | SRO-704 | IR reflow | N/A |
| SS5319-278 | Austin American Technology Inc. | X30F | Stencil Cleaner | 200mm |
| SS5319-279 | Aviza Technology, Inc. | RVP-300 | Vertical Furnace | 300mm |
| SS5319-280 | Aviza Technology, Inc. | Sigma FxP | PVD (Physical Vapor Deposition) | 150mm |
| SS5319-281 | Aviza Technology, Inc. | Sigma FxP | PVD (Physical Vapor Deposition) | 150mm |
| SS5319-282 | Aviza Technology, Inc. | Sigma FxP | PVD (Physical Vapor Deposition) | 150mm |
| SS5319-283 | Aviza Technology, Inc. | Sigma FxP | PVD (Physical Vapor Deposition) | 150mm |
| SS5319-284 | Axcelis Technologies Inc. | Fusion ES3 | Stripper/Asher | 300mm |
| SS5319-285 | Axcelis Technologies Inc. | RapidStrip 210ES | Stripper/Asher | 200mm |
| SS5319-286 | Axcelis Technologies Inc. | Fusion M200PC | UV Cure System | 200mm |
| SS5319-287 | Axcelis Technologies Inc. | Fusion M200PC | UV Cure System | 200mm |
| SS5319-288 | Axcelis Technologies Inc. | Fusion M200PC | UV Cure System | 200mm |
| SS5319-289 | Axcelis Technologies Inc. | Fusion M200PC | UV Cure System | 200mm |
| SS5319-290 | Axcelis Technologies Inc. | Fusion M200PC | UV Cure System | 200mm |
| SS5319-291 | Axcelis Technologies Inc. | Fusion M200PC | UV Cure System | 200mm |
| SS5319-292 | Axcelis Technologies Inc. | Fusion M200PC | UV Cure System | 200mm |
| SS5319-293 | Axcelis Technologies Inc. | Fusion ES3 | Stripper/Asher | 300mm |
| SS5319-294 | Axcelis Technologies Inc. / Eaton | Purion H200 | High Current Implanter | 300mm |
| SS5319-295 | Axcelis Technologies Inc. / Eaton | Purion H200 | High Current Implanter | 300mm |
| SS5319-296 | Axcelis Technologies Inc. / Eaton | Purion H200 | High Current Implanter | 150mm |
| SS5319-297 | Axcelis Technologies Inc. / Eaton | Purion H200 | High Current Implanter | 150mm |
| SS5319-298 | Axcelis Technologies Inc. / Eaton | GSD 100 | High Current Implanter | 150mm |
| SS5319-299 | Axcelis Technologies Inc. / Eaton | GSD/200E | High Current Implanter | 150mm |
| SS5319-300 | Axcelis Technologies Inc. / Eaton | GSD/200E2 | High Current Implanter | 150mm |
| SS5319-301 | Balzers | LLS 802 | PVD (Physical Vapor Deposition) | 150mm |
| SS5319-302 | Barnstead/Lab-Line | 3498M-3 | Cure Oven | N/A |
| SS5319-303 | BE Semiconductor Industries N.V. (BESI) | Fico sawing line (FSL) | Substrate Singulation | |
| SS5319-304 | BE Semiconductor Industries N.V. (BESI) | Fico sawing line (FSL) | Substrate Singulation | |
| SS5319-305 | BE Semiconductor Industries N.V. (BESI) | Fico sawing line (FSL) | Substrate Singulation | |
| SS5319-306 | BE Semiconductor Industries N.V. (BESI) | Fico sawing line (FSL) | Substrate Singulation | |
| SS5319-307 | Blue M Electric Company | STK-05I-E-UP55A | Cure Oven | N/A |
| SS5319-308 | Blue M Electric Company | IGF-6680F | Cure Oven | 300mm |
| SS5319-309 | Brooks Automation, Inc. | Dual Stocker 1500 | Reticle Stocker | 200mm |
| SS5319-310 | Brooks Automation, Inc. | Dual Stocker 1500 | Reticle Stocker | 200mm |
| SS5319-311 | Brooks Automation, Inc. | Dual Stocker 1500 | Reticle Stocker | 200mm |
| SS5319-312 | Brooks Automation, Inc. | Dual Stocker 1500 | Reticle Stocker | 200mm |
| SS5319-313 | Brooks Automation, Inc. | Dual Stocker 1500 | Reticle Stocker | 200mm |
| SS5319-314 | Brooks Automation, Inc. | Dual Stocker 1500 | Reticle Stocker | 200mm |
| SS5319-315 | Brooks Automation, Inc. | Dual Stocker 1500 | Reticle Stocker | 200mm |
| SS5319-316 | Brooks Automation, Inc. | Dual Stocker 1500 | Reticle Stocker | 200mm |
| SS5319-317 | Brooks Automation, Inc. | Dual Stocker 1500 | Reticle Stocker | 200mm |
| SS5319-318 | Bruker | InSight 3DAFM | Atomic Force Microscope (AFM) | N/A |
| SS5319-319 | Bruker / AXS / SVXR | High-Resolution Automatic X-Ray Inspection (HR-AXI) | X-Ray Inspection System | |
| SS5319-320 | Bruker / AXS / SVXR | High-Resolution Automatic X-Ray Inspection (HR-AXI) | X-Ray Inspection System | |
| SS5319-321 | C&D Semiconductor | P9000 | Coat and Develop Track | 200mm |
| SS5319-322 | C&D Semiconductor | P9000 | Coat and Develop Track | 150mm |
| SS5319-323 | CAMALOT | Speedline | In-Line Adhesive Dispenser | N/A |
| SS5319-324 | CAMALOT | Speedline | In-Line Adhesive Dispenser | N/A |
| SS5319-325 | CAMECA | EX-300 | Implant Dosing Measurement | 300mm |
| SS5319-326 | Canon | FPA-5550 iZ2 | i-Line Wide-Field Stepper | 300mm |
| SS5319-327 | Canon | FPA-5550 iZ2 | i-Line Wide-Field Stepper | 300mm |
| SS5319-328 | Canon | Surpass 300 | Stripper/Asher | 300mm |
| SS5319-329 | Canon | Surpass 300 | Stripper/Asher | 300mm |
| SS5319-330 | Canon | Surpass 300 | Stripper/Asher | 300mm |
| SS5319-331 | Canon | FPA-3000 EX5 | 248nm (KrF) Stepper | 200mm |
| SS5319-332 | Canon | FPA-3000 i5 | i-Line Stepper | 200mm |
| SS5319-333 | Canon | FPA-3000 i5 | i-Line Stepper | 200mm |
| SS5319-334 | Canon | FPA-3000 i5+ | i-Line Stepper | 200mm |
| SS5319-335 | Canon | FPA-3000 i4 | i-Line Stepper | 200mm |
| SS5319-336 | Canon | FPA-3000 i5 | i-Line Stepper | 200mm |
| SS5319-337 | Canon | FPA-3000 i4 | i-Line Stepper | 200mm |
| SS5319-338 | Canon | FPA-2500 i3 | i-Line Stepper | 200mm |
| SS5319-339 | Canon | FPA-3000 i4 | i-Line Stepper | 200mm |
| SS5319-340 | Canon | FPA-3000 i5++ | i-Line Stepper | 200mm |
| SS5319-341 | Canon | FPA-2500 i3 | i-Line Stepper | 200mm |
| SS5319-342 | Canon | FPA-2500 i3 | i-Line Stepper | 200mm |
| SS5319-343 | Canon | FPA-3000 i4 | i-Line Stepper | 200mm |
| SS5319-344 | Canon | FPA-3000 i4 | i-Line Stepper | 200mm |
| SS5319-345 | Canon | FPA-3000 EX5 | 248nm (KrF) Stepper | 200mm |
| SS5319-346 | Canon | FPA-3000 i5 | i-Line Stepper | 200mm |
| SS5319-347 | Canon | FPA-3030 i5+ | i-Line Stepper | 200mm |
| SS5319-348 | Canon | FPA-5510 iZ | i-Line Wide-Field Stepper | 300mm |
| SS5319-349 | Canon | FPA-5500 iZa | i-Line Wide-Field Stepper | 200mm |
| SS5319-350 | Canon | FPA-5500 iZa | i-Line Wide-Field Stepper | 200mm |
| SS5319-351 | Canon | FPA-5500 iZa | i-Line Wide-Field Stepper | 200mm |
| SS5319-352 | Canon | FPA-6000 ES6a | 248nm (KrF) Scanner | 300mm |
| SS5319-353 | Carl Zeiss | PROVE Compact | Reticle/Mask Defect Inspection System | 300mm |
| SS5319-354 | Carl Zeiss Group | Axiotron 300 | Microscope | 300mm |
| SS5319-355 | CFM Technologies | Full-Flow SV 8050 | Batch Wafer Processing | 200mm |
| SS5319-356 | CLASS ONE | SRD 8800 | Spin Rinse / Dryer (SRD) | 150mm |
| SS5319-357 | CLASS ONE | SRD 8800 | Spin Rinse / Dryer (SRD) | 150mm |
| SS5319-358 | CND Plus Co. Ltd. | DAVID D200 | Coat and Develop Track | 150mm |
| SS5319-359 | Coherent | Champaign IL GaAs R&D Lab | 150mm Compound Semi R&D Lab | 100mm |
| SS5319-360 | CUSTOM | CUSTOM HALOGEN LIGHT BOX | Test Chamber | N/A |
| SS5319-361 | Dainippon Screen Mfg. Co. (DNS) | SC-80BW-AV | Linear Wafer Tracks (Resist Coater) | 200mm |
| SS5319-362 | Dainippon Screen Mfg. Co. (DNS) | RF-310A | Single Block (Resist Coater/Developer) | 300mm |
| SS5319-363 | Dainippon Screen Mfg. Co. (DNS) | SC-80BW-AV | Linear Wafer Tracks (Resist Coater) | 200mm |
| SS5319-364 | Dainippon Screen Mfg. Co. (DNS) | RF-310A | Single Block (Resist Coater/Developer) | 300mm |
| SS5319-365 | Dainippon Screen Mfg. Co. (DNS) | RF-310A | Single Block (Resist Coater/Developer) | 300mm |
| SS5319-366 | Dainippon Screen Mfg. Co. (DNS) | SU-3000 | Single Wafer Processing | 300mm |
| SS5319-367 | Dainippon Screen Mfg. Co. (DNS) | SU-3000 | Single Wafer Processing | 300mm |
| SS5319-368 | Dainippon Screen Mfg. Co. (DNS) | SU-3000 | Single Wafer Processing | 300mm |
| SS5319-369 | Dainippon Screen Mfg. Co. (DNS) | SU-3000 | Single Wafer Processing | 300mm |
| SS5319-370 | Dainippon Screen Mfg. Co. (DNS) | SC-80BW-AV | Linear Wafer Tracks (Resist Coater) | 150mm |
| SS5319-371 | Dainippon Screen Mfg. Co. (DNS) | SC-60A-AV | Coat and Develop Track | 150mm |
| SS5319-372 | Dainippon Screen Mfg. Co. (DNS) | SS-80BW-AR | Wafer Scrubber | 200mm |
| SS5319-373 | Dainippon Screen Mfg. Co. (DNS) | SU-3000 | Single Wafer Processing | 300mm |
| SS5319-374 | Dainippon Screen Mfg. Co. (DNS) | SS-3000 | Wafer Scrubber | 300mm |
| SS5319-375 | Dainippon Screen Mfg. Co. (DNS) | SC-W80A-AVG | Spin On Glass (SOG) | 200mm |
| SS5319-376 | Dainippon Screen Mfg. Co. (DNS) | FC-3000 | Batch Wafer Processing | 300mm |
| SS5319-377 | Dainippon Screen Mfg. Co. (DNS) | 80B | Coat and Develop Track | 150mm |
| SS5319-378 | Dainippon Screen Mfg. Co. (DNS) | SS-3000 | Wafer Scrubber | 300mm |
| SS5319-379 | Dainippon Screen Mfg. Co. (DNS) | SS-3000-AR | Wafer Scrubber | 300mm |
| SS5319-380 | DEK USA Inc. | Galaxy | Solder Paste Inspection (SPI) | 200mm |
| SS5319-381 | Disco Hi-Tec | DFD6340 | Wafer Dicing Saw | 200mm |
| SS5319-382 | Disco Hi-Tec | DGP8761 | Wafer Backside Grinder | 300mm |
| SS5319-383 | Disco Hi-Tec | DTG8460 | Wafer Backside Grinder | 300mm |
| SS5319-384 | Disco Hi-Tec | DFD6362 | Wafer Dicing Saw | 300mm |
| SS5319-385 | Disco Hi-Tec | DAD3350 | Wafer Dicing Saw | |
| SS5319-386 | Disco Hi-Tec | DAD3350 | Wafer Dicing Saw | |
| SS5319-387 | Disco Hi-Tec | DAD3350 | Wafer Dicing Saw | |
| SS5319-388 | Disco Hi-Tec | DFD6750 | Wafer Dicing Saw | |
| SS5319-389 | Disco Hi-Tec | DAD3350 | Wafer Dicing Saw | |
| SS5319-390 | Dynatex International | DXB-120 | Wafer Bonder | 150mm |
| SS5319-391 | Ebara | FREX300S Tungsten | Tungsten CMP | 300mm |
| SS5319-392 | Ebara | FREX300S Tungsten | Tungsten CMP | 300mm |
| SS5319-393 | Ebara | FREX300 Tungsten | Tungsten CMP | 300mm |
| SS5319-394 | Ebara | EPO-222 Tungsten | Tungsten CMP | 200mm |
| SS5319-395 | Ebara | FREX300S2 | Multi-Process CMP | 300mm |
| SS5319-396 | Ebara | FREX300S2 | Multi-Process CMP | 300mm |
| SS5319-397 | Ebara | FREX300X Tungsten | Tungsten CMP | 300mm |
| SS5319-398 | Edwards | iL70 | Dry Pump | N/A |
| SS5319-399 | Edwards | IXH1820 | Dry Pump | N/A |
| SS5319-400 | Edwards | IXH3030T | Dry Pump | N/A |
| SS5319-401 | Electroglas Inc. (EMTS) | Horizon 4080X | Production Wafer Prober | 200mm |
| SS5319-402 | Electroglas Inc. (EMTS) | 2001X | Production Wafer Prober | 150mm |
| SS5319-403 | Electroglas Inc. (EMTS) | 2001CX | Production Wafer Prober | 150mm |
| SS5319-404 | Electroglas Inc. (EMTS) | 2001 | Production Wafer Prober | 150mm |
| SS5319-405 | EMU Technologies Ltd | SPPE150SORT | Wafer Sorter | 150mm |
| SS5319-406 | EMU Technologies Ltd | SPPE150SORT | Wafer Sorter | 150mm |
| SS5319-407 | EMU Technologies Ltd | SPPE150SORT | Wafer Sorter | 150mm |
| SS5319-408 | EMU Technologies Ltd | SPPE150SORT | Wafer Sorter | 150mm |
| SS5319-409 | ESCO Ltd. | EMD-WA1000S | Temperature Desorption Analyzer | 200mm |
| SS5319-410 | Evatec/Balzers | BAK | PVD (Physical Vapor Deposition) | 150mm |
| SS5319-411 | Evatec/Balzers | BAK | PVD (Physical Vapor Deposition) | 150mm |
| SS5319-412 | EV Group | EVG150 | Coat and Develop Track | 150mm |
| SS5319-413 | EV Group | Gemini | Fully-Automated Wafer Bonder | 200mm |
| SS5319-414 | Faith Enterprises | Rapitran 3 | Wafer Transfer | 200mm |
| SS5319-415 | FEI Company | Helios NanoLab 1200HP | Focused Ion Beam (FIB) | 300mm |
| SS5319-416 | Ferrotec / Temescal | Temescal FCE-2700 | Evaporator Deposition Equipment | 150mm |
| SS5319-417 | FormFactor / Cascade | S300 | Engineering Wafer Prober | 200mm |
| SS5319-418 | FormFactor / Cascade | SQ-S300M-163DHT-01 | Engineering Wafer Prober | 200mm |
| SS5319-419 | FormFactor / Cascade | Summit 12000 | Engineering Wafer Prober | 300mm |
| SS5319-420 | FormFactor / Cascade | Elite 300 | Engineering Wafer Prober | 300mm |
| SS5319-421 | FormFactor / Cascade | S300 | Engineering Wafer Prober | 300mm |
| SS5319-422 | Freiberg Instruments GmbH | Wafer XRD 200 | Metrology Equipment | 150mm |
| SS5319-423 | Freiberg Instruments GmbH | Wafer XRD 200 | Metrology Equipment | 150mm |
| SS5319-424 | Freiberg Instruments GmbH | Omega/Theta XRD | Metrology Equipment | 150mm |
| SS5319-425 | Fries Research & Technology (FRT) | MicroProf 300 | Profilometer | 200mm |
| SS5319-426 | FSI International | Zeta 300 G3 | Batch Wafer Processing | 300mm |
| SS5319-427 | GaSonics International | L3510 | Stripper/Asher | 200mm |
| SS5319-428 | GaSonics International | L3510 | Stripper/Asher | 200mm |
| SS5319-429 | GaSonics International | L3510 | Stripper/Asher | 200mm |
| SS5319-430 | GaSonics International | L3510 | Stripper/Asher | 200mm |
| SS5319-431 | GaSonics International | VHP | Horizontal Furnace | 200mm |
| SS5319-432 | GaSonics International | VHP | Horizontal Furnace | 200mm |
| SS5319-433 | GaSonics International | L3510 | Stripper/Asher | 200mm |
| SS5319-434 | GaSonics International | L3510 | Stripper/Asher | 200mm |
| SS5319-435 | GaSonics International | L3510 | Stripper/Asher | 200mm |
| SS5319-436 | GaSonics International | L3510 | Stripper/Asher | 200mm |
| SS5319-437 | GaSonics International | VHP | Horizontal Furnace | 200mm |
| SS5319-438 | GaSonics International | VHP | Horizontal Furnace | 200mm |
| SS5319-439 | GCA Tropel | Ultrasort II | Flatness Measurement | 150mm |
| SS5319-440 | GCA Tropel | Ultrasort II | Flatness Measurement | 150mm |
| SS5319-441 | GCA Tropel | Ultrasort II | Flatness Measurement | 150mm |
| SS5319-442 | GCA Tropel | Ultrasort II | Flatness Measurement | 150mm |
| SS5319-443 | GL Automation | SWL8 | Wafer Sorter | 200mm |
| SS5319-444 | GPD Global | PBFTVS USB700 | Tape Peel Force | N/A |
| SS5319-445 | HangZhou ZhongAn Electronic Co.,Ltd | BTR-T643 | Burn-in Oven | |
| SS5319-446 | Heidenhain Corp. | PGM 349797 | Manual Wafer Thickness Measurement Tool | 150mm |
| SS5319-447 | Heidenhain Corp. | PGM 246 18103 | Manual Wafer Thickness Measurement Tool | N/A |
| SS5319-448 | Heller Industries, Inc. | 1936 Mark5 | IR reflow | |
| SS5319-449 | Hitachi (Semiconductor) | LS9300 | Particle Measurement | 300mm |
| SS5319-450 | Hitachi (Semiconductor) | M-9010 XST | Polysilicon Etch | 300mm |
| SS5319-451 | Hitachi (Semiconductor) | S-5500 | FE SEM | N/A |
| SS5319-452 | Hitachi (Semiconductor) | CG4000 | SEM – Critical Dimension (CD) Measurement | 300mm |
| SS5319-453 | Hitachi Kokusai Electric Inc. (HiKE / KSEC) | Lambda 300 | Stripper/Asher | 300mm |
| SS5319-454 | Horiba | PD3000 | Reticle Inspection | 200mm |
| SS5319-455 | Horiba | PD3000 | Reticle Inspection | 200mm |
| SS5319-456 | Horiba | PR-PD2 | Reticle Inspection | 300mm |
| SS5319-457 | Horiba | PR-PD2 | Reticle Inspection | 300mm |
| SS5319-458 | Horiba | PR-PD35 | Reticle Inspection | 300mm |
| SS5319-459 | InnoLas Innovative Lasertechnology | IL 2600 | Wafer ID Sorter | 150mm |
| SS5319-460 | InnoLas Innovative Lasertechnology | IL 2600 | Wafer ID Sorter | 150mm |
| SS5319-461 | InnoLas Innovative Lasertechnology | IL 2600 | Wafer ID Sorter | 150mm |
| SS5319-462 | InnoLas Innovative Lasertechnology | IL 2600 | Wafer ID Sorter | 150mm |
| SS5319-463 | Innomax Co. Ltd. | Astro 1043 Backside Spin Etcher | Single Wafer Processing | 150mm |
| SS5319-464 | Innomax Co. Ltd. | Astro 1041 Metal Spin Etcher | Single Wafer Processing | 150mm |
| SS5319-465 | Innomax Co. Ltd. | Astro 1021 Wafer Scrubber | Wafer Scrubber | 150mm |
| SS5319-466 | IPEC | 372M | Multi-Process CMP | 200mm |
| SS5319-467 | Ismeca / Cohu | NX32LT | Turret Handler | N/A |
| SS5319-468 | Jabil Chad Automation | WaferMate200 | Wafer and Substrate Handling | 200mm |
| SS5319-469 | JEOL | JFS-9815 | Focused Ion Beam System | 200mm |
| SS5319-470 | JEOL | JEM-2010F | TEM | |
| SS5319-471 | JEOL | JSM-6400F | FE SEM | |
| SS5319-472 | JEOL | JFS-9855S | Focused Ion Beam System | 200mm |
| SS5319-473 | JEOL | JWS-7555 | SEM – Defect Review (DR) | 200mm |
| SS5319-474 | JEOL | JWS-7555S | SEM – Defect Review (DR) | 200mm |
| SS5319-475 | JEOL | JFS-9855S | Focused Ion Beam System | 200mm |
| SS5319-476 | Jordan Valley Semiconductors LTD | JVX 7200 | X-ray Fluorescence Spectrometer | 300mm |
| SS5319-477 | JTEKT ( formerly Koyo Lindberg Limited) | VF-5100 | Vertical Furnace | 200mm |
| SS5319-478 | JTEKT ( formerly Koyo Lindberg Limited) | VF-5100 | Vertical Furnace | 200mm |
| SS5319-479 | JTEKT ( formerly Koyo Lindberg Limited) | VF-5100 | Vertical Furnace | 200mm |
| SS5319-480 | Kaijo Corporation | 778T-A | Batch Wafer Processing | 200mm |
| SS5319-481 | Kaijo Corporation | SFT-300 | Batch Wafer Processing | 300mm |
| SS5319-482 | Kaijo Corporation | SFT-300 | Batch Wafer Processing | 300mm |
| SS5319-483 | Kashiyama Ind., Ltd. | SDE1203B | Dry Pump | N/A |
| SS5319-484 | Kashiyama Ind., Ltd. | SDE1203TZ | Dry Pump | N/A |
| SS5319-485 | Kashiyama Ind., Ltd. | SDE1203TX | Dry Pump | N/A |
| SS5319-486 | Keithley Instruments, Inc. | 4200-SCS | Source Measure Unit (SMU) | N/A |
| SS5319-487 | Kest Systems | FT7400 | Loader/unloader | |
| SS5319-488 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-489 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-490 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-491 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-492 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-493 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-494 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-495 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-496 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-497 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-498 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-499 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-500 | Keysight / Agilent / Hewlett-Packard (HP) | 4062 UX | Parametric Tester | 200mm |
| SS5319-501 | Keysight / Agilent / Hewlett-Packard (HP) | 4072B | Parametric Tester | 300mm |
| SS5319-502 | Keysight / Agilent / Hewlett-Packard (HP) | 4072B | Parametric Tester | 300mm |
| SS5319-503 | KLA / SPTS | CPX Pegasus | Polysilicon Etch | 200mm |
| SS5319-504 | KLA-Tencor / Filmetrics | F60-C-XT | Film Thickness Measurement System | 200mm |
| SS5319-505 | KLA-Tencor Corp. | AIT UV | Darkfield Inspection | 300mm |
| SS5319-506 | KLA-Tencor Corp. | ASET-F5x | Film Thickness Measurement System | 300mm |
| SS5319-507 | KLA-Tencor Corp. | eS805 | E-beam Inspection | 300mm |
| SS5319-508 | KLA-Tencor Corp. | eDR-5210 | SEM – Defect Review (DR) | 300mm |
| SS5319-509 | KLA-Tencor Corp. | eDR-5210 | SEM – Defect Review (DR) | 300mm |
| SS5319-510 | KLA-Tencor Corp. | Archer 500 AIM | Overlay Measurement System | 300mm |
| SS5319-511 | KLA-Tencor Corp. | KLA 5200XP | Overlay Measurement System | 200mm |
| SS5319-512 | KLA-Tencor Corp. | KLA 5200XP | Overlay Measurement System | 200mm |
| SS5319-513 | KLA-Tencor Corp. | Prometrix UV-1250SE | Film Thickness Measurement System | 200mm |
| SS5319-514 | KLA-Tencor Corp. | Prometrix UV-1050 | Film Thickness Measurement System | 200mm |
| SS5319-515 | KLA-Tencor Corp. | KLA 2138 | Brightfield Inspection | 200mm |
| SS5319-516 | KLA-Tencor Corp. | KLA 2138 | Brightfield Inspection | 200mm |
| SS5319-517 | KLA-Tencor Corp. | KLA 5200XP | Overlay Measurement System | 200mm |
| SS5319-518 | KLA-Tencor Corp. | KLA 2138 | Brightfield Inspection | 200mm |
| SS5319-519 | KLA-Tencor Corp. | KLA 5200XP | Overlay Measurement System | 200mm |
| SS5319-520 | KLA-Tencor Corp. | KLA 2138 | Brightfield Inspection | 200mm |
| SS5319-521 | KLA-Tencor Corp. | KLA 2133 | Brightfield Inspection | 200mm |
| SS5319-522 | KLA-Tencor Corp. | KLA 2133 | Brightfield Inspection | 200mm |
| SS5319-523 | KLA-Tencor Corp. | Viper 2401 | Macro-Defect | 200mm |
| SS5319-524 | KLA-Tencor Corp. | Viper 2401 | Macro-Defect | 200mm |
| SS5319-525 | KLA-Tencor Corp. | Prometrix UV-1050 | Film Thickness Measurement System | 200mm |
| SS5319-526 | KLA-Tencor Corp. | AIT II | Darkfield Inspection | 200mm |
| SS5319-527 | KLA-Tencor Corp. | Prometrix UV-1050 | Film Thickness Measurement System | 200mm |
| SS5319-528 | KLA-Tencor Corp. | Prometrix UV-1050 | Film Thickness Measurement System | 200mm |
| SS5319-529 | KLA-Tencor Corp. | KLA 5100XP | Overlay Measurement System | 200mm |
| SS5319-530 | KLA-Tencor Corp. | Viper 2401 | Macro-Defect | 200mm |
| SS5319-531 | KLA-Tencor Corp. | Viper 2401 | Macro-Defect | 200mm |
| SS5319-532 | KLA-Tencor Corp. | SpectraCD 100 | Critical Dimension (CD) Measurement (non SEM) | 300mm |
| SS5319-533 | KLA-Tencor Corp. | SpectraFX 200 | Film Thickness Measurement System | 300mm |
| SS5319-534 | KLA-Tencor Corp. | SpectraShape 11k | Critical Dimension (CD) Measurement (non SEM) | 300mm |
| SS5319-535 | KLA-Tencor Corp. | ASET-F5x | Film Thickness Measurement System | 300mm |
| SS5319-536 | KLA-Tencor Corp. | ATL150i | Overlay Measurement System | 300mm |
| SS5319-537 | KLA-Tencor Corp. | SpectraShape 11k | Critical Dimension (CD) Measurement (non SEM) | 300mm |
| SS5319-538 | KLA-Tencor Corp. | Archer 200 AIM | Overlay Measurement System | 300mm |
| SS5319-539 | KLA-Tencor Corp. | Archer 200 AIM | Overlay Measurement System | 300mm |
| SS5319-540 | KLA-Tencor Corp. | Archer 200 AIM | Overlay Measurement System | 300mm |
| SS5319-541 | KLA-Tencor Corp. | SpectraShape 9000 | Critical Dimension (CD) Measurement (non SEM) | 300mm |
| SS5319-542 | KLA-Tencor Corp. | SpectraShape 9000 | Critical Dimension (CD) Measurement (non SEM) | 300mm |
| SS5319-543 | KLA-Tencor Corp. | SpectraShape 9000 | Critical Dimension (CD) Measurement (non SEM) | 300mm |
| SS5319-544 | KLA-Tencor Corp. | Archer 200 AIM | Overlay Measurement System | 300mm |
| SS5319-545 | KLA-Tencor Corp. | Archer 200 AIM | Overlay Measurement System | 300mm |
| SS5319-546 | KLA-Tencor Corp. | Archer 500 AIM SCOL | Overlay Measurement System | 300mm |
| SS5319-547 | KLA-Tencor Corp. | Archer 500 AIM SCOL | Overlay Measurement System | 300mm |
| SS5319-548 | KLA-Tencor Corp. | Archer 500 AIM SCOL | Overlay Measurement System | 300mm |
| SS5319-549 | KLA-Tencor Corp. | SpectraShape 9000 | Critical Dimension (CD) Measurement (non SEM) | 300mm |
| SS5319-550 | KLA-Tencor Corp. | HRP-340 | Profilometer | 300mm |
| SS5319-551 | KLA-Tencor Corp. | eDR-7200i | SEM – Defect Review (DR) | 300mm |
| SS5319-552 | KLA-Tencor Corp. | eDR-7200i | SEM – Defect Review (DR) | 300mm |
| SS5319-553 | KLA-Tencor Corp. | Archer AIM+ | Overlay Measurement System | 300mm |
| SS5319-554 | KLA-Tencor Corp. | Surfscan SP2.5+ | Particle Measurement | 300mm |
| SS5319-555 | KLA-Tencor Corp. | Surfscan SP2.5+ | Particle Measurement | 300mm |
| SS5319-556 | KLA-Tencor Corp. | Surfscan SP2.5+ | Particle Measurement | 300mm |
| SS5319-557 | KLA-Tencor Corp. | Archer 500 AIM SCOL | Overlay Measurement System | 300mm |
| SS5319-558 | KLA-Tencor Corp. | Archer 500 AIM SCOL | Overlay Measurement System | 300mm |
| SS5319-559 | KLA-Tencor Corp. | Surfscan SP2.5+ | Particle Measurement | 300mm |
| SS5319-560 | KLA-Tencor Corp. | eDR-7000 | SEM – Defect Review (DR) | 300mm |
| SS5319-561 | KLA-Tencor Corp. | eDR-7100 | SEM – Defect Review (DR) | 300mm |
| SS5319-562 | KLA-Tencor Corp. | SpectraFX 200 | Film Thickness Measurement System | 300mm |
| SS5319-563 | KLA-Tencor Corp. | SpectraFX 200 | Film Thickness Measurement System | 300mm |
| SS5319-564 | KLA-Tencor Corp. | Aleris 8350 | Film Thickness Measurement System | 300mm |
| SS5319-565 | KLA-Tencor Corp. | Aleris 8350 | Film Thickness Measurement System | 300mm |
| SS5319-566 | KLA-Tencor Corp. | ATL150i | Overlay Measurement System | 300mm |
| SS5319-567 | KLA-Tencor Corp. | Puma 9650 | Darkfield Inspection | 300mm |
| SS5319-568 | KLA-Tencor Corp. | Surfscan SP3 | Particle Measurement | 300mm |
| SS5319-569 | Kokusai | Quixace Ultimate ALD SiO2 | Vertical Furnace | 300mm |
| SS5319-570 | Kokusai | Zestone-III(C) DJ-1223V | Vertical Furnace | 300mm |
| SS5319-571 | Kokusai | Zestone-III(C) DJ-1223V | Vertical Furnace | 300mm |
| SS5319-572 | Kokusai | Quixace Ultimate ALD SiN | Vertical Furnace | 300mm |
| SS5319-573 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm |
| SS5319-574 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm |
| SS5319-575 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm |
| SS5319-576 | Kokusai | Quixace II Poly | Vertical Furnace | 300mm |
| SS5319-577 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm |
| SS5319-578 | Kokusai | Quixace II Nitride | Vertical Furnace | 300mm |
| SS5319-579 | Kokusai | Quixace II Nitride | Vertical Furnace | 300mm |
| SS5319-580 | Kokusai | Vertron-V(S2) DD-835V | Vertical Furnace | 200mm |
| SS5319-581 | Kokusai | Quixace II Anneal | Vertical Furnace | 300mm |
| SS5319-582 | Kokusai | Quixace II Poly | Vertical Furnace | 300mm |
| SS5319-583 | Kokusai | Quixace II TEOS | Vertical Furnace | 300mm |
| SS5319-584 | Kokusai | Quixace II TEOS | Vertical Furnace | 300mm |
| SS5319-585 | Kokusai | Quixace II TEOS | Vertical Furnace | 300mm |
| SS5319-586 | Kokusai | Quixace Ultimate ALD SiO2 | Vertical Furnace | 300mm |
| SS5319-587 | Kokusai | ZESTONE NITR | Vertical Furnace | 300mm |
| SS5319-588 | Kokusai | Quixace Ultimate ALD SiO2 | Vertical Furnace | 300mm |
| SS5319-589 | Kokusai | ZESTONE NITR | Vertical Furnace | 300mm |
| SS5319-590 | Kokusai | Quixace II Alloy | Vertical Furnace | 300mm |
| SS5319-591 | Kokusai | Quixace Ultimate TEOS | Vertical Furnace | 300mm |
| SS5319-592 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm |
| SS5319-593 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm |
| SS5319-594 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm |
| SS5319-595 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm |
| SS5319-596 | Kokusai | Quixace II ALD Oxide | Vertical Furnace | 300mm |
| SS5319-597 | Kokusai | Quixace II ALD Oxide | Vertical Furnace | 300mm |
| SS5319-598 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm |
| SS5319-599 | Kokusai Electric Co., Ltd. | Lambda-300N | Stripper/Asher | 300mm |
| SS5319-600 | Kokusai Electric Co., Ltd. | Lambda-300N | Stripper/Asher | 300mm |
| SS5319-601 | Kokusai Electric Co., Ltd. | Lambda-300N | Stripper/Asher | 300mm |
| SS5319-602 | Kokusai Electric Co., Ltd. | Lambda | Stripper/Asher | 300mm |
| SS5319-603 | Kokusai Electric Co., Ltd. | Lambda-300LE | Stripper/Asher | 300mm |
| SS5319-604 | Kokusai Electric Co., Ltd. | Lambda | Stripper/Asher | 300mm |
| SS5319-605 | Kokusai Electric Co., Ltd. | Lambda | Stripper/Asher | 300mm |
| SS5319-606 | Kokusai Electric Co., Ltd. | Lambda | Stripper/Asher | 300mm |
| SS5319-607 | Kokusai Electric Co., Ltd. | Lambda-300N | Stripper/Asher | 300mm |
| SS5319-608 | Lam / Novellus Systems Inc. | VECTOR Express – PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-609 | Lam / Novellus Systems Inc. | VECTOR SOLA UV Cure | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-610 | Lam / Novellus Systems Inc. | Concept One-200 PECVD TEOS | PECVD (Chemical Vapor Deposition) | 200mm |
| SS5319-611 | Lam / Novellus Systems Inc. | Concept One-200 PECVD TEOS | PECVD (Chemical Vapor Deposition) | 200mm |
| SS5319-612 | Lam / Novellus Systems Inc. | Concept One-200 PECVD TEOS | PECVD (Chemical Vapor Deposition) | 200mm |
| SS5319-613 | Lam / Novellus Systems Inc. | VECTOR | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-614 | Lam / Novellus Systems Inc. | VECTOR | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-615 | Lam / Novellus Systems Inc. | VECTOR | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-616 | Lam / Novellus Systems Inc. | VECTOR | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-617 | Lam / Novellus Systems Inc. | VECTOR | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-618 | Lam / Novellus Systems Inc. | VECTOR | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-619 | Lam / Novellus Systems Inc. | VECTOR Express – AHM | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-620 | Lam / Novellus Systems Inc. | VECTOR SOLA UV Cure | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-621 | Lam / Novellus Systems Inc. | VECTOR SOLA UV Cure | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-622 | Lam / Novellus Systems Inc. | VECTOR SOLA UV Cure | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-623 | Lam / Novellus Systems Inc. | VECTOR SOLA UV Cure | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-624 | Lam / Novellus Systems Inc. | VECTOR SOLA UV Cure | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-625 | Lam / Novellus Systems Inc. | VECTOR SOLA UV Cure | PECVD (Chemical Vapor Deposition) | 300mm |
| SS5319-626 | Lam / Novellus Systems Inc. | Concept One-150 PECVD TEOS | PECVD (Chemical Vapor Deposition) | 150mm |
| SS5319-627 | Lam / Sosul | Extrima 3100 | Bevel Etch | 300mm |
| SS5319-628 | Lam / Sosul | Extrima 3100 | Bevel Etch | 300mm |
| SS5319-629 | Lam / Sosul | Extrima 3100 | Bevel Etch | 300mm |
| SS5319-630 | LAM Research | 2300 Exelan Flex FX – Chamber Only | Dielectric Etch | 300mm |
| SS5319-631 | LAM Research | Rainbow 4520 | Dielectric Etch | 150mm |
| SS5319-632 | LAM Research | Rainbow 4520 | Dielectric Etch | 100mm |
| SS5319-633 | LAM Research | Rainbow 4520 | Dielectric Etch | 150mm |
| SS5319-634 | LAM Research | Alliance (A6) 4520 | Dielectric Etch | 150mm |
| SS5319-635 | LAM Research | AutoEtch 490 | Polysilicon Etch | 100mm |
| SS5319-636 | Lam Research | EOS ES | Single Wafer Processing | 300mm |
| SS5319-637 | Lam Research | EOS – Parts | Single Wafer Processing | 300mm |
| SS5319-638 | LAM Research | 2300 Versys KIYO Poly | Polysilicon Etch | 300mm |
| SS5319-639 | LAM Research | 2300e4 Exelan Flex GX | Dielectric Etch | |
| SS5319-640 | LAM Research | Alliance (A6) Exelan HP – Spare Parts | Dielectric Etch | 200mm |
| SS5319-641 | LAM Research | 2300e5 Exelan Flex EX | Dielectric Etch | 300mm |
| SS5319-642 | LAM Research | 2300e5 KIYO EX Metal | Metal Etch | 300mm |
| SS5319-643 | LAM Research | 2300 Versys Metal H | Metal Etch | 300mm |
| SS5319-644 | LAM Research | 2300 Coronus | Wafer Edge Cleaning – Plasma | 300mm |
| SS5319-645 | Lam Research | EOS ES | Single Wafer Processing | 300mm |
| SS5319-646 | LAM Research | 2300v2 KIYO EX Poly | Polysilicon Etch | 300mm |
| SS5319-647 | Lam Research | EOS GS L | Single Wafer Processing | 300mm |
| SS5319-648 | Lam Research | EOS ES | Single Wafer Processing | 300mm |
| SS5319-649 | LAM Research | 2300e5 KIYO EXP Poly | Polysilicon Etch | 300mm |
| SS5319-650 | LAM Research | 2300e5 KIYO EXP Poly | Polysilicon Etch | |
| SS5319-651 | LAM Research | 2300e5 KIYO EXP Poly | Polysilicon Etch | |
| SS5319-652 | LAM Research | 2300e5 KIYO EXP Poly | Polysilicon Etch | |
| SS5319-653 | LAM Research | 2300e5 KIYO EX Poly | Polysilicon Etch | 300mm |
| SS5319-654 | LAM Research | 2300 Versys Metal H | Metal Etch | 300mm |
| SS5319-655 | LAM Research | 2300 Versys Metal H | Metal Etch | 300mm |
| SS5319-656 | LAM Research | 2300v2 KIYO EX Poly | Polysilicon Etch | 300mm |
| SS5319-657 | LAM Research | TCP 9600SE | Metal Etch | 200mm |
| SS5319-658 | LAM Research | Alliance (A6) TCP 9400DFM | Polysilicon Etch | 200mm |
| SS5319-659 | LAM Research | TCP 9600SE | Metal Etch | 200mm |
| SS5319-660 | LAM Research | Alliance (A6) TCP 9400DFM | Polysilicon Etch | 200mm |
| SS5319-661 | LAM Research | Alliance (A6) TCP 9400DSiE G | Polysilicon Etch | 200mm |
| SS5319-662 | LAM Research | TCP 9600SE | Metal Etch | 200mm |
| SS5319-663 | LAM Research | TCP 9600SE | Metal Etch | 200mm |
| SS5319-664 | LAM Research | TCP 9600SE | Metal Etch | 200mm |
| SS5319-665 | LAM Research | Rainbow 4520i | Dielectric Etch | 200mm |
| SS5319-666 | LAM Research | TCP 9600SE | Metal Etch | 200mm |
| SS5319-667 | LAM Research | TCP 9600SE | Metal Etch | 200mm |
| SS5319-668 | LAM Research | Rainbow 4520i | Dielectric Etch | 200mm |
| SS5319-669 | LAM Research | Rainbow 4520i | Dielectric Etch | 200mm |
| SS5319-670 | LAM Research | TCP 9600SE | Metal Etch | 200mm |
| SS5319-671 | LAM Research | TCP 9600SE | Metal Etch | 200mm |
| SS5319-672 | LAM Research | 2300 Versys Metal H | Metal Etch | 300mm |
| SS5319-673 | LAM Research | Rainbow 4520XL | Dielectric Etch | 150mm |
| SS5319-674 | LAM Research | 2300 Versys KIYO Poly | Polysilicon Etch | 300mm |
| SS5319-675 | LAM Research | 2300e6 KIYO EX Metal | Metal Etch | 300mm |
| SS5319-676 | LAM Research | 2300 Versys KIYO Poly | Polysilicon Etch | 300mm |
| SS5319-677 | LAM Research | 2300 Exelan Flex | Dielectric Etch | 300mm |
| SS5319-678 | LAM Research | 2300v2 KIYO EX Metal | Metal Etch | 300mm |
| SS5319-679 | LAM Research | 2300 | Multi-Process Etch | 300mm |
| SS5319-680 | LAM Research | 2300e5 KIYO EX Poly | Polysilicon Etch | 300mm |
| SS5319-681 | LAM Research | 2300v2 Versys KIYO 45 Poly | Polysilicon Etch | 300mm |
| SS5319-682 | LAM Research | 2300v2 Versys KIYO 45 Poly | Polysilicon Etch | 300mm |
| SS5319-683 | LAM Research | 2300 Exelan | Dielectric Etch | 300mm |
| SS5319-684 | LAM Research | 2300 Exelan Flex 45 | Dielectric Etch | 300mm |
| SS5319-685 | LAM Research | Alliance (A4) TCP 9400SE | Polysilicon Etch | 150mm |
| SS5319-686 | LAM Research | Rainbow 4520 | Dielectric Etch | 150mm |
| SS5319-687 | LAM Research | Rainbow 4520 | Dielectric Etch | 150mm |
| SS5319-688 | LAM Research | Rainbow 4520 | Dielectric Etch | 150mm |
| SS5319-689 | LAM Research | Rainbow 4520 | Dielectric Etch | 150mm |
| SS5319-690 | LAM Research | TCP 9600SE | Metal Etch | 150mm |
| SS5319-691 | LAM Research | Rainbow 4720 | Metal Etch | 150mm |
| SS5319-692 | LAM Research | Rainbow 4720 | Metal Etch | 150mm |
| SS5319-693 | LAM Research | Rainbow 4520i | Dielectric Etch | 150mm |
| SS5319-694 | LAM Research | 2300e5 KIYO EX Poly | Polysilicon Etch | 300mm |
| SS5319-695 | LAM Research | 2300v2 Versys KIYO Metal | Metal Etch | 300mm |
| SS5319-696 | LAM Research | TCP 9600SE | Metal Etch | 200mm |
| SS5319-697 | LAM Research | Rainbow 4520i | Dielectric Etch | 150mm |
| SS5319-698 | LAM Research | TCP 9600SE | Metal Etch | 150mm |
| SS5319-699 | Lam Research / Novellus Systems Inc. | SABRE 3D | ECD (Electro Chemical Deposition) | 300mm |
| SS5319-700 | Lam Research / Novellus Systems Inc. | SABRE Extreme | ECD (Electro Chemical Deposition) | 300mm |
| SS5319-701 | Lam Research / Novellus Systems Inc. | SABRE xT | ECD (Electro Chemical Deposition) | 300mm |
| SS5319-702 | Lam Research / Novellus Systems Inc. | SABRE xT | ECD (Electro Chemical Deposition) | 300mm |
| SS5319-703 | Lam Research / Novellus Systems Inc. | SABRE xT | ECD (Electro Chemical Deposition) | 300mm |
| SS5319-704 | Lam Research / Novellus Systems Inc. | SABRE xT | ECD (Electro Chemical Deposition) | 300mm |
| SS5319-705 | Lam Research / Novellus Systems Inc. | SABRE xT | ECD (Electro Chemical Deposition) | 300mm |
| SS5319-706 | Lam Research / Novellus Systems Inc. | SABRE Extreme | ECD (Electro Chemical Deposition) | 300mm |
| SS5319-707 | Lam Research / SEZ Group | SP304 | Single Wafer Processing | 300mm |
| SS5319-708 | Lam Research / SEZ Group | SP4300 | Single Wafer Processing | 300mm |
| SS5319-709 | Lam Research / SEZ Group | DV-Prime | Single Wafer Processing | 300mm |
| SS5319-710 | Lasertec Corporation | M6641 | Reticle Inspection | N/A |
| SS5319-711 | Lasertec Corporation | SICA88 | Wafer Inspection Equipment | 150mm |
| SS5319-712 | Lasertec Corporation | SICA88 | Wafer Inspection Equipment | 150mm |
| SS5319-713 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-714 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-715 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-716 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-717 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-718 | Lead Engineering | Vulcan-V61MD | Vertical Furnace | 150mm |
| SS5319-719 | Lead Engineering | Vulcan-V61MD | Vertical Furnace | 150mm |
| SS5319-720 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-721 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-722 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-723 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-724 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-725 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-726 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-727 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-728 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-729 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-730 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-731 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-732 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-733 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-734 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-735 | Lead Engineering | Vulcan-V61MD | Vertical Furnace | 150mm |
| SS5319-736 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-737 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-738 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-739 | Lead Engineering | VULCAN-V81M | Vertical Furnace | 150mm |
| SS5319-740 | Leica Inc. | INS2000 | Macro-Defect | 200mm |
| SS5319-741 | Leica Inc. | INS2000 | Macro-Defect | 200mm |
| SS5319-742 | Leica Inc. | INS10 | Microscope | 200mm |
| SS5319-743 | Leica Inc. | INM 20 | Microscope | 200mm |
| SS5319-744 | Leica Inc. | INS3000 | Macro-Defect | 200mm |
| SS5319-745 | Leica Inc. | INS3000 | Macro-Defect | 200mm |
| SS5319-746 | Leica Inc. | INS3000 | Macro-Defect | 200mm |
| SS5319-747 | Leica Inc. | INS3000 | Macro-Defect | 200mm |
| SS5319-748 | Leica Inc. | INS3000 | Macro-Defect | 200mm |
| SS5319-749 | Leica Inc. | INS3000 | Macro-Defect | 200mm |
| SS5319-750 | Leica Inc. | INS3000 DUV | Macro-Defect | 200mm |
| SS5319-751 | Leica Inc. | INS3000 | Macro-Defect | 200mm |
| SS5319-752 | Leica Inc. | INS2000 | Macro-Defect | 200mm |
| SS5319-753 | Leica Inc. | INS3000 | Macro-Defect | 200mm |
| SS5319-754 | Leica Inc. | LDS3300 | Macro-Defect | 300mm |
| SS5319-755 | Leica Inc. | LDS3300 | Macro-Defect | 300mm |
| SS5319-756 | Matrix Integrated Systems | Bobcat 208 | Stripper/Asher | 200mm |
| SS5319-757 | Matrix Integrated Systems | Matrix 105 | Stripper/Asher | 150mm |
| SS5319-758 | Matrix Integrated Systems | Matrix 105 | Stripper/Asher | 150mm |
| SS5319-759 | Matrix Integrated Systems | Matrix 105 | Stripper/Asher | 150mm |
| SS5319-760 | Matrix Integrated Systems | Matrix 105 | Stripper/Asher | 150mm |
| SS5319-761 | Mattson Technology, Inc. | Aspen III ICPHT | Stripper/Asher | 300mm |
| SS5319-762 | Mattson Technology, Inc. | Aspen III ICPHT | Stripper/Asher | 300mm |
| SS5319-763 | Mattson Technology, Inc. | Aspen III ICPHT | Stripper/Asher | 300mm |
| SS5319-764 | Mattson Technology, Inc. | Aspen III ICPHT | Stripper/Asher | 300mm |
| SS5319-765 | Mattson Technology, Inc. | Hydrilis XT – Dielectric Etch | Dielectric Etch | 300mm |
| SS5319-766 | Mattson Technology, Inc. | Hydrilis XT – Dielectric Etch | Dielectric Etch | 300mm |
| SS5319-767 | Mattson Technology, Inc. | Hydrilis XT – Dielectric Etch | Dielectric Etch | 300mm |
| SS5319-768 | Mattson Technology, Inc. | Aspen II – ICP | Stripper/Asher | 200mm |
| SS5319-769 | Mattson Technology, Inc. | 2800 | Standalone RTP Equipment | 150mm |
| SS5319-770 | Mattson Technology, Inc. | Hydrilis XT – Dielectric Etch | Dielectric Etch | 300mm |
| SS5319-771 | Micromanipulator (MM) | P300J | Engineering Wafer Prober | 200mm |
| SS5319-772 | Micromanipulator (MM) | 9020 | Engineering Wafer Prober | 300mm |
| SS5319-773 | Mitutoyo | FS110 | Reticle Inspection | 200mm |
| SS5319-774 | Modular Process Technology | RTP-600S | Standalone RTP Equipment | 150mm |
| SS5319-775 | MRL Industries | HT 1018 | Horizontal Furnace | 150mm |
| SS5319-776 | Muratec Murata Machinery, Ltd. | SRC330 | Wafer Transport / OHT (Overhead Hoist Transport) | 300mm |
| SS5319-777 | Naura-Akrion / Santa Clara Plastics | SCP 9400 – RCA Clean | Batch Wafer Processing | 200mm |
| SS5319-778 | Naura-Akrion / Santa Clara Plastics | SCP Custom – Parts Clean | Batch Wafer Processing | 200mm |
| SS5319-779 | Naura-Akrion / Santa Clara Plastics | SCP Custom – Parts Clean | Batch Wafer Processing | 200mm |
| SS5319-780 | Naura-Akrion / Santa Clara Plastics | V3 – Platinum Strip | Batch Wafer Processing | 200mm |
| SS5319-781 | Naura-Akrion / Santa Clara Plastics | SCP E200 – SACETCH | Batch Wafer Processing | 200mm |
| SS5319-782 | Naura-Akrion / Santa Clara Plastics | SCP E200 – Nitride Strip | Batch Wafer Processing | 200mm |
| SS5319-783 | Naura-Akrion / Santa Clara Plastics | SCP E200 – Nitride Strip | Batch Wafer Processing | 200mm |
| SS5319-784 | Naura-Akrion / Santa Clara Plastics | SCP 8500 – Piranha Clean | Batch Wafer Processing | 200mm |
| SS5319-785 | Newport Corporation. | LDC-3726 | Laser Diode Control system | N/A |
| SS5319-786 | Nikon | NSR-2205i12D | i-Line Stepper | 200mm |
| SS5319-787 | Nikon | OPTIPHOT 200C | Microscope | 200mm |
| SS5319-788 | Nikon | OPTISTATION 3A | Optical Review System | 200mm |
| SS5319-789 | Nikon | NSR-S308F | 193nm (ArF) Scanner | 300mm |
| SS5319-790 | Nikon | N-SISV R | Optical Review System | 300mm |
| SS5319-791 | Nikon | NSR-2205i12D | i-Line Stepper | 200mm |
| SS5319-792 | Nikon | V-12A | Optical comparator | N/A |
| SS5319-793 | Nikon | OPTISTATION V | Optical Review System | 200mm |
| SS5319-794 | Nikon | OPTISTATION V | Optical Review System | 200mm |
| SS5319-795 | Nikon | OPTISTATION V | Optical Review System | 200mm |
| SS5319-796 | Nikon | NWL860 | Microscope | 200mm |
| SS5319-797 | Nikon | OPTISTATION V | Optical Review System | 200mm |
| SS5319-798 | Nikon | OPTISTATION V | Optical Review System | 200mm |
| SS5319-799 | Nikon | OPTISTATION V | Optical Review System | 200mm |
| SS5319-800 | Nikon | OPTISTATION V | Optical Review System | 200mm |
| SS5319-801 | Nikon | OPTISTATION V | Optical Review System | 200mm |
| SS5319-802 | Nikon | OPTISTATION 7 | Optical Review System | 300mm |
| SS5319-803 | Nikon | NSR-S308F | 193nm (ArF) Scanner | 300mm |
| SS5319-804 | Nikon | NSR-SF140 | i-Line Wide-Field Stepper | 300mm |
| SS5319-805 | Nova Measuring Instruments, Inc. (Brook) | V2600 | Integrated CMP Endpoint / Film Measurement | 300mm |
| SS5319-806 | Novellus Systems Inc. | GAMMA 2130 | Stripper/Asher | 300mm |
| SS5319-807 | Novellus Systems Inc. | GAMMA 2130 | Stripper/Asher | 300mm |
| SS5319-808 | Novellus Systems Inc. | GAMMA 2130 | Stripper/Asher | 300mm |
| SS5319-809 | Novellus Systems Inc. | Concept Three Altus Max ICEFill | WCVD (Chemical Vapor Deposition) | 300mm |
| SS5319-810 | Novellus Systems Inc. | GAMMA 2130 | Stripper/Asher | 300mm |
| SS5319-811 | Novellus Systems Inc. | GAMMA 2130 | Stripper/Asher | 300mm |
| SS5319-812 | NuFlare Technology Inc. | Epirevo S6 SiC Epitaxial | MOCVD | 150mm |
| SS5319-813 | NuFlare Technology Inc. | Epirevo S6 SiC Epitaxial | MOCVD | 150mm |
| SS5319-814 | NuFlare Technology Inc. | Epirevo S6 SiC Epitaxial | MOCVD | 150mm |
| SS5319-815 | NuFlare Technology Inc. | Epirevo S6 SiC Epitaxial | MOCVD | 150mm |
| SS5319-816 | NuFlare Technology Inc. | Epirevo S6 SiC Epitaxial | MOCVD | 150mm |
| SS5319-817 | NuFlare Technology Inc. | Epirevo S6 SiC Epitaxial | MOCVD | 150mm |
| SS5319-818 | NuFlare Technology Inc. | EPIREVO S8 SiC Epitaxial | MOCVD | 150mm |
| SS5319-819 | Olympus | MX61 | Microscope | 150mm |
| SS5319-820 | Onto / Nanometrics Inc. | NanoSpec 8000XSE | Film Thickness Measurement System | 150mm |
| SS5319-821 | Onto / Nanometrics Inc. | Tevet Trajectory T3 | Film Thickness Measurement System | N/A |
| SS5319-822 | Onto / Nanometrics Inc. | NanoSpec 8000XSE | Film Thickness Measurement System | 150mm |
| SS5319-823 | Onto / Nanometrics Inc. | Atlas XP | Film Thickness Measurement System | 300mm |
| SS5319-824 | Onto / Nanometrics Inc. | Atlas XP | Film Thickness Measurement System | 300mm |
| SS5319-825 | Onto Innovation | NSX 330 | Macro-Defect | |
| SS5319-826 | Onto Innovation Inc./Nanmentrics/QUATEK GROUP | RPMBlue FS | Mass Spectrometer | 300mm |
| SS5319-827 | OnTrak Systems, Inc. | DSS-200 Series I | Wafer Scrubber – Post CMP | 200mm |
| SS5319-828 | OnTrak Systems, Inc. | DSS-200 Series I | Wafer Scrubber – Post CMP | 200mm |
| SS5319-829 | OnTrak Systems, Inc. | DSS-200 Series I | Wafer Scrubber – Post CMP | 200mm |
| SS5319-830 | P.S.K. Tech Inc. | SURPA N – Chamber Only | Stripper/Asher | 300mm |
| SS5319-831 | P.S.K. Tech Inc. | SURPA N – Chamber Only | Stripper/Asher | 300mm |
| SS5319-832 | PCEM Co, Ltd. | PCEM AS-R1 | Stripper/Asher | 150mm |
| SS5319-833 | PCEM Co, Ltd. | PCEM AS-R1 | Stripper/Asher | 150mm |
| SS5319-834 | PCEM Co, Ltd. | PCEM AS-R1 | Stripper/Asher | 150mm |
| SS5319-835 | PCEM Co, Ltd. | PCEM AS-R1 | Stripper/Asher | 150mm |
| SS5319-836 | PCEM Co, Ltd. | PCEM AS-R1 | Stripper/Asher | 150mm |
| SS5319-837 | Perkin Elmer | Micralign 661HT | Projection Scanner | 150mm |
| SS5319-838 | Perkin Elmer | Micralign 661HT | Projection Scanner | 150mm |
| SS5319-839 | Perkin Elmer | Micralign 661HT | Projection Scanner | 150mm |
| SS5319-840 | Perkin Elmer | Micralign 661HT | Projection Scanner | 150mm |
| SS5319-841 | Pfeiffer Vacuum GmbH | Various | Dry Pump | N/A |
| SS5319-842 | Philips / Technos | TREX 632 | X-ray Fluorescence Spectrometer | 300mm |
| SS5319-843 | Plasma-Therm I.P. Inc. | 7000 Series RIE Etch | Multi-Process Etch | 150mm |
| SS5319-844 | Plasma-Therm I.P. Inc. | 790 Etch | Multi-Process Etch | 200mm |
| SS5319-845 | Plasma-Therm I.P. Inc. | Versalock 700 | Multi-Process Etch | 150mm |
| SS5319-846 | Plasma-Therm I.P. Inc. | Versalock 700 | Multi-Process Etch | 150mm |
| SS5319-847 | Plasma-Therm I.P. Inc. | Versalock 700 | Multi-Process Etch | 150mm |
| SS5319-848 | Plasma-Therm I.P. Inc. | Versalock 700 | Multi-Process Etch | 150mm |
| SS5319-849 | Plasma-Therm I.P. Inc. | Versalock 700 | Multi-Process Etch | 150mm |
| SS5319-850 | Plasma-Therm I.P. Inc. | Versalock 700 | Multi-Process Etch | 150mm |
| SS5319-851 | Plasma-Therm I.P. Inc. | 7000 Series RIE Etch | Multi-Process Etch | 150mm |
| SS5319-852 | Plasma-Therm I.P. Inc. | 7000 Series RIE Etch | Multi-Process Etch | 150mm |
| SS5319-853 | QES | WIS1000 | Wafer Inspection Equipment | 150mm |
| SS5319-854 | Qualitau | INF EHCT 40V | HAST System | N/A |
| SS5319-855 | Qualitau | EM350C | HAST System | N/A |
| SS5319-856 | Qualitau | Infinity | HAST System | N/A |
| SS5319-857 | Qualitau | EM350C | HAST System | N/A |
| SS5319-858 | Qualitau | EM350C | HAST System | N/A |
| SS5319-859 | Qualitau | EM350C | HAST System | N/A |
| SS5319-860 | Qualitau | EM350C | HAST System | N/A |
| SS5319-861 | Qualitau | Infinity | HAST System | N/A |
| SS5319-862 | Qualitau | EM350C | HAST System | N/A |
| SS5319-863 | Qualitau | EM350C | HAST System | N/A |
| SS5319-864 | Qualitau | EM350C | HAST System | N/A |
| SS5319-865 | R2D Automation | COMET 3 station | Wafer Sorter | 150mm |
| SS5319-866 | R2D Automation | COMET 3 station | Wafer Sorter | 150mm |
| SS5319-867 | R2D Automation | R2D Gemini | Wafer Sorter | 200mm |
| SS5319-868 | R2D Automation | R2D Gemini | Wafer Sorter | 200mm |
| SS5319-869 | R2D Automation | R2D Gemini | Wafer Sorter | 200mm |
| SS5319-870 | R2D Automation | R2D Gemini | Wafer Sorter | 200mm |
| SS5319-871 | R2D Automation | R2D Gemini | Wafer Sorter | 200mm |
| SS5319-872 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-873 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-874 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-875 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-876 | R2D Automation | R2D Gemini | Wafer Sorter | 200mm |
| SS5319-877 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-878 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-879 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-880 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-881 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-882 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-883 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-884 | R2D Automation | R2D Gemini | Wafer Sorter | 200mm |
| SS5319-885 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-886 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-887 | R2D Automation | R2D Gemini | Wafer Sorter | 200mm |
| SS5319-888 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-889 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-890 | R2D Automation | R2D Gemini | Wafer Sorter | 200mm |
| SS5319-891 | R2D Automation | TTOP8I | Wafer Sorter | 200mm |
| SS5319-892 | Raytex Corporation | RXW-1227 EdgeScan | Edge Defect | 300mm |
| SS5319-893 | RENA Technologies GmbH | RENA BatchW | Batch Wafer Processing | 200mm |
| SS5319-894 | Revasum ( Strasbaugh ) | 6EG | Wafer Backside Grinder | 300mm |
| SS5319-895 | Revasum ( Strasbaugh ) | 6EZ | Wafer Backside Grinder | 150mm |
| SS5319-896 | Revasum ( Strasbaugh ) | 6EZ | Wafer Backside Grinder | 150mm |
| SS5319-897 | Revasum ( Strasbaugh ) | 7AF-HMG | Wafer Backside Grinder | 150mm |
| SS5319-898 | ReVera | RVX1000 | Film Thickness Measurement System | 300mm |
| SS5319-899 | ReVera | RVX1000 | Film Thickness Measurement System | 300mm |
| SS5319-900 | Rigaku | MFM65 | X-Ray Inspection System | 300mm |
| SS5319-901 | Rigaku | MFM65 | X-Ray Inspection System | 300mm |
| SS5319-902 | Rudolph Technologies, Inc. | Axi 935 | Macro-Defect | 300mm |
| SS5319-903 | Rudolph Technologies, Inc. | ultra-II | Ellipsometer | 300mm |
| SS5319-904 | Rudolph Technologies, Inc. | NSX 105 – Spare Parts | Macro-Defect | 200mm |
| SS5319-905 | Rudolph Technologies, Inc. | Vanguard SpectraLASER 200XL | Film Thickness Measurement System | 200mm |
| SS5319-906 | Rudolph Technologies, Inc. | NSX 105D | Macro-Defect | 200mm |
| SS5319-907 | Rudolph Technologies, Inc. | NSX 105 | Macro-Defect | 200mm |
| SS5319-908 | Rudolph Technologies, Inc. | Waferscan 8000 | Macro-Defect | 200mm |
| SS5319-909 | Scia Systems GmbH | Magna 200 | PVD (Physical Vapor Deposition) | 200mm |
| SS5319-910 | Semilab / Advanced Metrology Systems LLC (AMS) | EIR-2201 | FT-IR | 150mm |
| SS5319-911 | Semilab / Advanced Metrology Systems LLC (AMS) | EIR-2201 | FT-IR | 150mm |
| SS5319-912 | Semilab / Advanced Metrology Systems LLC (AMS) | EIR-2201 | FT-IR | 150mm |
| SS5319-913 | Semilab / Advanced Metrology Systems LLC (AMS) | EIR-2201 | FT-IR | 150mm |
| SS5319-914 | Semilab Co. Ltd. | MCV-2200 | Resistivity Measurement | 150mm |
| SS5319-915 | Semilab Co. Ltd. | MCV-2200 | Resistivity Measurement | 150mm |
| SS5319-916 | Semilab Co. Ltd. | MCV-2200 | Resistivity Measurement | 150mm |
| SS5319-917 | Semilab Co. Ltd. | MCV-2200 | Resistivity Measurement | 150mm |
| SS5319-918 | Semilab Co. Ltd. | MCV-2200 | Resistivity Measurement | 150mm |
| SS5319-919 | Semilab Co. Ltd. | MCV-2200 | Resistivity Measurement | 150mm |
| SS5319-920 | Semilab Co. Ltd. | MCV-2200 | Resistivity Measurement | 150mm |
| SS5319-921 | Semilab Co. Ltd. | MCV-2200 | Resistivity Measurement | 150mm |
| SS5319-922 | Semitool Inc. | SST-C-421-280 | Batch Wafer Processing | 200mm |
| SS5319-923 | Semitool Inc. | Scepter Acid | Batch Wafer Processing | 200mm |
| SS5319-924 | Semitool Inc. | SST-C-421-280 | Batch Wafer Processing | 150mm |
| SS5319-925 | SFA Corporation | OHT | Wafer Transport / OHT (Overhead Hoist Transport) | |
| SS5319-926 | Shengtek / Sentek | View 250 | Microscope | 200mm |
| SS5319-927 | Shibaura Engineering Works Ltd. | CDE-300 | Metal Etch | 300mm |
| SS5319-928 | SIGNATONE Corporation | Mercury-8XG | Temperature Control | 200mm |
| SS5319-929 | Sono-Tek | IG 200 | Ultrasonic Coater | 150mm |
| SS5319-930 | Speedfam / IPEC | IPEC 472 – Dielectric | Dielectric CMP | 200mm |
| SS5319-931 | Speedfam / IPEC | IPEC 472 – Dielectric | Dielectric CMP | 200mm |
| SS5319-932 | SpeedFam Corp. | IPEC 472 – Tungsten | Tungsten CMP | 200mm |
| SS5319-933 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-934 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-935 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-936 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-937 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-938 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-939 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-940 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-941 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-942 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-943 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-944 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-945 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-946 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-947 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-948 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-949 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-950 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-951 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-952 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-953 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-954 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-955 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-956 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-957 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-958 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-959 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-960 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-961 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-962 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-963 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-964 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-965 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-966 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-967 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-968 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-969 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 200mm |
| SS5319-970 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 150mm |
| SS5319-971 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 150mm |
| SS5319-972 | SPTM (formerly Aviza/SVG/Thermco) | VTR-7000 | Vertical Furnace | 150mm |
| SS5319-973 | SPTS / Applied Microstructures | MVD150 | MVD (Molecular Vapor Deposition) | 200mm |
| SS5319-974 | Standard Research Systems | SR560 — Low-noise voltage preamplifier | Parts/Peripherals | N/A |
| SS5319-975 | S-TEC / S.E.S. CO., LTD. | AE1085F | Batch Wafer Processing | 200mm |
| SS5319-976 | Strasbaugh | 6DS-SP | Multi-Process CMP | 200mm |
| SS5319-977 | STROZA s.r.o. | 366-2 | Stripper/Asher | 150mm |
| SS5319-978 | Struers | Hexamatic | Semi-Automatic Specimen Polisher | |
| SS5319-979 | SUHWOO PRECISION CO.,LTD | SG-2000X | Strip Grinder | |
| SS5319-980 | SUHWOO PRECISION CO.,LTD | SG-2000X | Strip Grinder | |
| SS5319-981 | SUHWOO PRECISION CO.,LTD | SG-2000X | Strip Grinder | |
| SS5319-982 | Sumitomo | SWA-93GDA | Laser Spike Annealer | 300mm |
| SS5319-983 | Suragus GmbH | EddyCus TF map 2530RM | Resistivity Measurement | 150mm |
| SS5319-984 | Suss Microtec | DSM200 | Wafer Inspection Equipment | 200mm |
| SS5319-985 | Suss Microtec AG | ACS200 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-986 | Suss Microtec AG | ACS200 | Linear Wafer Tracks (Resist Coater/Developer) | 150mm |
| SS5319-987 | Suss Microtec AG | ACS200 | Linear Wafer Tracks (Resist Coater/Developer) | 150mm |
| SS5319-988 | SVG Track Division | SVG 8600 | Linear Wafer Tracks (Resist Coater/Developer) | 150mm |
| SS5319-989 | SVG Track Division | SVG 8600 | Linear Wafer Tracks (Resist Coater/Developer) | 150mm |
| SS5319-990 | SVG Track Division | SVG 8600 | Linear Wafer Tracks (Resist Coater/Developer) | 150mm |
| SS5319-991 | SVG Track Division | SVG 8600 | Linear Wafer Tracks (Resist Coater/Developer) | 150mm |
| SS5319-992 | SVG Track Division | SVG 8600 | Linear Wafer Tracks (Resist Coater/Developer) | 150mm |
| SS5319-993 | SVG Track Division | SVG 90S | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-994 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-995 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-996 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-997 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-998 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-999 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-1000 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-1001 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-1002 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-1003 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-1004 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-1005 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-1006 | SVG Track Division | SVG 90 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm |
| SS5319-1007 | Tazmo Co., Ltd | TWS-M3000 | Wafer Bonder | 300mm |
| SS5319-1008 | Tazmo Co., Ltd | TWS-D3000 | Wafer Bonder | 300mm |
| SS5319-1009 | TBD | Furnace Tube Cart | Quartz Tube Cart | N/A |
| SS5319-1010 | Tegal Corporation | 901e | Polysilicon Etch | 150mm |
| SS5319-1011 | Tegal Corporation | 901e | Polysilicon Etch | 150mm |
| SS5319-1012 | Tegal Corporation | 903e | Dielectric Etch | 150mm |
| SS5319-1013 | Teikoku Taping System Co., Ltd. | DXL2-800CS-LSR-BMP-CE | Tape Laminator | 150mm |
| SS5319-1014 | Teikoku Taping System Co., Ltd. | EXM 800CS UVR CE | Taper/Detaper | 150mm |
| SS5319-1015 | Teradyne, Inc. | IP750EP | Image Sensor Tester | N/A |
| SS5319-1016 | Teradyne, Inc. | IP750EP | Image Sensor Tester | N/A |
| SS5319-1017 | Teradyne, Inc. | IP750EP | Image Sensor Tester | N/A |
| SS5319-1018 | Teradyne, Inc. | IP750EP | Image Sensor Tester | N/A |
| SS5319-1019 | Teradyne, Inc. | IP750EP | Image Sensor Tester | N/A |
| SS5319-1020 | Therma-Wave Inc. | Opti-Probe 1600 | Film Thickness Measurement System | 200mm |
| SS5319-1021 | Therma-Wave Inc. | Opti-Probe 1600 | Film Thickness Measurement System | 200mm |
| SS5319-1022 | Therma-Wave Inc. | Opti-Probe 1600 | Film Thickness Measurement System | 200mm |
| SS5319-1023 | Therma-Wave Inc. | Opti-Probe 1600 | Film Thickness Measurement System | 200mm |
| SS5319-1024 | Therma-Wave Inc. | Opti-Probe 7341XP | Film Thickness Measurement System | 300mm |
| SS5319-1025 | Therma-Wave Inc. | Opti-Probe 7341XP | Film Thickness Measurement System | 300mm |
| SS5319-1026 | Thermo (Fisher) Scientific | Orion2 | ESD Simulator / Gun | N/A |
| SS5319-1027 | Thermo Fisher Scientific | Verios G4 UC | SEM | N/A |
| SS5319-1028 | Thermo Fisher Scientific (Electron) | Theta 300 MKII | Wafer Characterization | 300mm |
| SS5319-1029 | Thermo Fisher Scientific (Electron) | Neslab System IV | Chiller/Heat Exchanger | N/A |
| SS5319-1030 | Thermo Scientific | iCAP Q | Spectrometry | |
| SS5319-1031 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro Z | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1032 | Tokyo Electron Ltd. (TEL) | TELINDY Plus IRAD Oxide | Vertical Furnace | 300mm |
| SS5319-1033 | Tokyo Electron Ltd. (TEL) | Telius SP 305 DRM | Dielectric Etch | 300mm |
| SS5319-1034 | Tokyo Electron Ltd. (TEL) | NS 300Z | Wafer Scrubber | 300mm |
| SS5319-1035 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro V-i | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1036 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS MULTI BLOCK | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1037 | Tokyo Electron Ltd. (TEL) | UW300Z | Batch Wafer Processing | 300mm |
| SS5319-1038 | Tokyo Electron Ltd. (TEL) | Tactras Vesta NV3 | Polysilicon Etch | 300mm |
| SS5319-1039 | Tokyo Electron Ltd. (TEL) | Tactras Vesta NV3 | Polysilicon Etch | 300mm |
| SS5319-1040 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 12 | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1041 | Tokyo Electron Ltd. (TEL) | ALPHA-303i process TBD | Vertical Furnace | 300mm |
| SS5319-1042 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Nitride | Vertical Furnace | 300mm |
| SS5319-1043 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Nitride | Vertical Furnace | 300mm |
| SS5319-1044 | Tokyo Electron Ltd. (TEL) | TELINDY Plus ALD | Vertical Furnace | 300mm |
| SS5319-1045 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1046 | Tokyo Electron Ltd. (TEL) | Telius 305 DRM | Dielectric Etch | 300mm |
| SS5319-1047 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Anneal | Vertical Furnace | 300mm |
| SS5319-1048 | Tokyo Electron Ltd. (TEL) | Triase+ Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300mm |
| SS5319-1049 | Tokyo Electron Ltd. (TEL) | TELINDY Plus ALD HighK | Vertical Furnace | 300mm |
| SS5319-1050 | Tokyo Electron Ltd. (TEL) | TELINDY Plus ALD HighK | Vertical Furnace | 300mm |
| SS5319-1051 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS MULTI BLOCK | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1052 | Tokyo Electron Ltd. (TEL) | Expedius+ | Batch Wafer Processing | 300mm |
| SS5319-1053 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS SINGLE BLOCK | Single Block (Resist Coater/Developer) | 300mm |
| SS5319-1054 | Tokyo Electron Ltd. (TEL) | Telius 305 DRM | Dielectric Etch | 300mm |
| SS5319-1055 | Tokyo Electron Ltd. (TEL) | Telius 305 DRM | Dielectric Etch | 300mm |
| SS5319-1056 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1057 | Tokyo Electron Ltd. (TEL) | Expedius+ | Batch Wafer Processing | 300mm |
| SS5319-1058 | Tokyo Electron Ltd. (TEL) | ALPHA-8S ATM OXIDE | Vertical Furnace | 200mm |
| SS5319-1059 | Tokyo Electron Ltd. (TEL) | ALPHA-8S ATM OXIDE | Vertical Furnace | 200mm |
| SS5319-1060 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro Z-i | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1061 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro Z-i | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1062 | Tokyo Electron Ltd. (TEL) | Expedius+ | Batch Wafer Processing | 300mm |
| SS5319-1063 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1064 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 12 | Single Block (Resist Developer) | 300mm |
| SS5319-1065 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1066 | Tokyo Electron Ltd. (TEL) | Certas WING | Chemical Dry Etch (CDE) | 300mm |
| SS5319-1067 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1068 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1069 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1070 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1071 | Tokyo Electron Ltd. (TEL) | Telius 305 DRM | Dielectric Etch | 300mm |
| SS5319-1072 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1073 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1074 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1075 | Tokyo Electron Ltd. (TEL) | TELINDY Plus ALD | Vertical Furnace | 300mm |
| SS5319-1076 | Tokyo Electron Ltd. (TEL) | Tactras Vigus RK3 | Dielectric Etch | 300mm |
| SS5319-1077 | Tokyo Electron Ltd. (TEL) | Tactras Vigus RK3 | Dielectric Etch | 300mm |
| SS5319-1078 | Tokyo Electron Ltd. (TEL) | Tactras Vigus RK3 | Dielectric Etch | 300mm |
| SS5319-1079 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK MARK 8 | Polyimide Curing | 200mm |
| SS5319-1080 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK MARK 8 | Polyimide Curing | 200mm |
| SS5319-1081 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK MARK 8 | Polyimide Curing | 200mm |
| SS5319-1082 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK MARK 8 | Polyimide Curing | 200mm |
| SS5319-1083 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 8 MULTI BLOCK | Multi Block (Resist Coater/Developer) | 200mm |
| SS5319-1084 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK MARK 8 MULTI BLOCK | Multi Block (Resist Coater/Developer) | 200mm |
| SS5319-1085 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK MARK 8 MULTI BLOCK | Multi Block (Resist Coater/Developer) | 200mm |
| SS5319-1086 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK MARK 8 MULTI BLOCK | Multi Block (Resist Coater/Developer) | 200mm |
| SS5319-1087 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK MARK 8 MULTI BLOCK | Multi Block (Resist Coater/Developer) | 200mm |
| SS5319-1088 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK MARK 8 MULTI BLOCK | Multi Block (Resist Coater/Developer) | 200mm |
| SS5319-1089 | Tokyo Electron Ltd. (TEL) | ALPHA-8 ATM Oxide | Vertical Furnace | 200mm |
| SS5319-1090 | Tokyo Electron Ltd. (TEL) | ALPHA-8 ATM Oxide | Vertical Furnace | 200mm |
| SS5319-1091 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 12 | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1092 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 12 | Single Block (Resist Developer) | 300mm |
| SS5319-1093 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 12 | Single Block (Resist Developer) | 300mm |
| SS5319-1094 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 12 | Single Block (Resist Developer) | 300mm |
| SS5319-1095 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Oxide | Vertical Furnace | 300mm |
| SS5319-1096 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Oxide | Vertical Furnace | 300mm |
| SS5319-1097 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Anneal | Vertical Furnace | 300mm |
| SS5319-1098 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Anneal | Vertical Furnace | 300mm |
| SS5319-1099 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Anneal | Vertical Furnace | 300mm |
| SS5319-1100 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 8 COAT ONLY | Coat only Track | 200mm |
| SS5319-1101 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 8 MULTI BLOCK | Multi Block (Resist Coater/Developer) | 200mm |
| SS5319-1102 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 8 SINGLE BLOCK | Single Block (Resist Coater/Developer) | 200mm |
| SS5319-1103 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 8 SINGLE BLOCK | Single Block (Resist Coater/Developer) | 200mm |
| SS5319-1104 | Tokyo Electron Ltd. (TEL) | Telius SP-305 SCCM | Dielectric Etch | 300mm |
| SS5319-1105 | Tokyo Electron Ltd. (TEL) | Telius SP 305 DRM | Dielectric Etch | 300mm |
| SS5319-1106 | Tokyo Electron Ltd. (TEL) | Telius 305 SCCM | Dielectric Etch | 300mm |
| SS5319-1107 | Tokyo Electron Ltd. (TEL) | TELINDY-B | Vertical Furnace | 300mm |
| SS5319-1108 | Tokyo Electron Ltd. (TEL) | Trias Ti/TiN Chamber | Parts/Peripherals | 300mm |
| SS5319-1109 | Tokyo Electron Ltd. (TEL) | Trias EX-II Plus HT Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300mm |
| SS5319-1110 | Tokyo Electron Ltd. (TEL) | Certas WING | Chemical Dry Etch (CDE) | 300mm |
| SS5319-1111 | Tokyo Electron Ltd. (TEL) | Tactras BX JIN | Dielectric Etch | 300mm |
| SS5319-1112 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS SINGLE BLOCK | Single Block (Resist Coater/Developer) | 300mm |
| SS5319-1113 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro V-i | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1114 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro V | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1115 | Tokyo Electron Ltd. (TEL) | Tactras RLSA Poly | Polysilicon Etch | 300mm |
| SS5319-1116 | Tokyo Electron Ltd. (TEL) | Tactras RLSA Poly | Polysilicon Etch | 300mm |
| SS5319-1117 | Tokyo Electron Ltd. (TEL) | Tactras RLSA Poly | Polysilicon Etch | 300mm |
| SS5319-1118 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 12 COAT ONLY | Coat only Track | 300mm |
| SS5319-1119 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS COAT ONLY | Coat only Track | 300mm |
| SS5319-1120 | Tokyo Electron Ltd. (TEL) | Tactras RLSA Poly | Polysilicon Etch | 300mm |
| SS5319-1121 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro V-i | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1122 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 12 COAT ONLY | Coat only Track | 300mm |
| SS5319-1123 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 12 COAT ONLY | Coat only Track | 300mm |
| SS5319-1124 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS MULTI BLOCK | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1125 | Tokyo Electron Ltd. (TEL) | Tactras RLSA Poly | Polysilicon Etch | 300mm |
| SS5319-1126 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS COAT ONLY | Coat only Track | 300mm |
| SS5319-1127 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS COAT ONLY | Coat only Track | 300mm |
| SS5319-1128 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS COAT ONLY | Coat only Track | 300mm |
| SS5319-1129 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro V | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1130 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS COAT ONLY | Coat only Track | 300mm |
| SS5319-1131 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro V-i | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1132 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro V | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1133 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro V | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1134 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro Z Inspection | Wafer Inspection Equipment | 300mm |
| SS5319-1135 | Tokyo Electron Ltd. (TEL) | NT333 | ALD (Atomic Layer Deposition) | 300mm |
| SS5319-1136 | Tokyo Electron Ltd. (TEL) | Telius 305 DRM | Dielectric Etch | 300mm |
| SS5319-1137 | Tokyo Electron Ltd. (TEL) | NT333 | ALD (Atomic Layer Deposition) | 300mm |
| SS5319-1138 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Anneal | Vertical Furnace | 300mm |
| SS5319-1139 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Anneal | Vertical Furnace | 300mm |
| SS5319-1140 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Anneal | Vertical Furnace | 300mm |
| SS5319-1141 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Anneal | Vertical Furnace | 300mm |
| SS5319-1142 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Anneal | Vertical Furnace | 300mm |
| SS5319-1143 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Anneal | Vertical Furnace | 300mm |
| SS5319-1144 | Tokyo Electron Ltd. (TEL) | ALPHA-303i Anneal | Vertical Furnace | 300mm |
| SS5319-1145 | Tokyo Electron Ltd. (TEL) | Tactras RLSA Poly | Polysilicon Etch | 300mm |
| SS5319-1146 | Tokyo Electron Ltd. (TEL) | Telius SP 308 | Trench Etch | 300mm |
| SS5319-1147 | Tokyo Electron Ltd. (TEL) | Telius 304 | Polysilicon Etch | 300mm |
| SS5319-1148 | Tokyo Electron Ltd. (TEL) | Probus-SiC | Epitaxial Silicon (EPI) | 150mm |
| SS5319-1149 | Tokyo Electron Ltd. (TEL) | Probus-SiC | Epitaxial Silicon (EPI) | 150mm |
| SS5319-1150 | Tokyo Electron Ltd. (TEL) | Telius 305 DRM | Dielectric Etch | 300mm |
| SS5319-1151 | Tokyo Electron Ltd. (TEL) | Telius 305 DRM | Dielectric Etch | 300mm |
| SS5319-1152 | Tokyo Electron Ltd. (TEL) | TELINDY Plus ALD | Vertical Furnace | 300mm |
| SS5319-1153 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS SINGLE BLOCK | Single Block (Resist Coater/Developer) | 300mm |
| SS5319-1154 | Tokyo Electron Ltd. (TEL) | Telius SP 305 DRM | Dielectric Etch | 300mm |
| SS5319-1155 | Tokyo Electron Ltd. (TEL) | Tactras Vigus RK3 | Dielectric Etch | 300mm |
| SS5319-1156 | Tokyo Electron Ltd. (TEL) | TELINDY ALDOX | Vertical Furnace | 300mm |
| SS5319-1157 | Tokyo Electron Ltd. (TEL) | TELINDY ALDOX | Vertical Furnace | 300mm |
| SS5319-1158 | Tokyo Electron Ltd. (TEL) | TELINDY ALDOX | Vertical Furnace | 300mm |
| SS5319-1159 | Tokyo Electron Ltd. (TEL) | Telius SP 305 DRM | Dielectric Etch | 300mm |
| SS5319-1160 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1161 | Tokyo Electron Ltd. (TEL) | Telius 304 | Polysilicon Etch | 300mm |
| SS5319-1162 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS SINGLE BLOCK | Single Block (Resist Coater/Developer) | 300mm |
| SS5319-1163 | Tokyo Electron Ltd. (TEL) | Tactras RLSA Poly | Polysilicon Etch | 300mm |
| SS5319-1164 | Tokyo Electron Ltd. (TEL) | Telius 304 | Polysilicon Etch | 300mm |
| SS5319-1165 | Tokyo Electron Ltd. (TEL) | Tactras BX JIN | Dielectric Etch | 300mm |
| SS5319-1166 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1167 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1168 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1169 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1170 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1171 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1172 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1173 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1174 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1175 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1176 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1177 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1178 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1179 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK ACT 12 | Single Block (Resist Developer) | 300mm |
| SS5319-1180 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1181 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1182 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1183 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1184 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1185 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1186 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1187 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1188 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1189 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1190 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1191 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1192 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1193 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1194 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1195 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1196 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1197 | Tokyo Electron Ltd. (TEL) | Precio XL | Production Wafer Prober | 300mm |
| SS5319-1198 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1199 | Tokyo Electron Ltd. (TEL) | Tactras Vigus RK5 | Dielectric Etch | 300mm |
| SS5319-1200 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1201 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1202 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1203 | Tokyo Electron Ltd. (TEL) | NS 300 | Wafer Scrubber | 300mm |
| SS5319-1204 | Tokyo Electron Ltd. (TEL) | TELINDY Plus ALD | Vertical Furnace | 300mm |
| SS5319-1205 | Tokyo Electron Ltd. (TEL) | TELINDY Plus ALD | Vertical Furnace | 300mm |
| SS5319-1206 | Tokyo Electron Ltd. (TEL) | TELINDY Plus ALD | Vertical Furnace | 300mm |
| SS5319-1207 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1208 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1209 | Tokyo Electron Ltd. (TEL) | Trias Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300mm |
| SS5319-1210 | Tokyo Electron Ltd. (TEL) | Telius 305 DRM | Dielectric Etch | 300mm |
| SS5319-1211 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1212 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1213 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1214 | Tokyo Electron Ltd. (TEL) | Precio | Production Wafer Prober | 300mm |
| SS5319-1215 | Tokyo Electron Ltd. (TEL) | ALPHA-8S ATM OXIDE | Vertical Furnace | 200mm |
| SS5319-1216 | Tokyo Electron Ltd. (TEL) | CLEAN TRACK LITHIUS Pro V-i | Multi Block (Resist Coater/Developer) | 300mm |
| SS5319-1217 | Towa Corporation | PMC1040-S | Molding Equipment | |
| SS5319-1218 | Towa Corporation | PMC1040-S | Molding Equipment | |
| SS5319-1219 | Towa Corporation | PMC1040-S | Molding Equipment | |
| SS5319-1220 | ULINE | LP7510A | Balance/Scales | N/A |
| SS5319-1221 | Ultratech | CGS-300 | Stress Measurement | 300mm |
| SS5319-1222 | Ultratech | XLS 7800 | XLS Stepper | 150mm |
| SS5319-1223 | Ultratech Stepper | Ultratech Saturn Spectrum 300 | Broadband | 300mm |
| SS5319-1224 | Ultra t Equipment (UTE) | SCSe124 | Wafer Cleaner | 150mm |
| SS5319-1225 | Ulvac Corporation | Enviro I | Stripper/Asher | 200mm |
| SS5319-1226 | Ulvac Corporation | Enviro I | Stripper/Asher | 200mm |
| SS5319-1227 | Ulvac Corporation | Entron-EX 300S | PVD (Physical Vapor Deposition) | 300mm |
| SS5319-1228 | Unaxis | BPS Clusterline 200 | PVD (Physical Vapor Deposition) | 200mm |
| SS5319-1229 | Unity SC / HSEB Dresden GMBH / Carl Zeiss | Axiospect 300 | Optical Review System | 300mm |
| SS5319-1230 | Unity SC / HSEB Dresden GMBH / Carl Zeiss | Axiospect 300 | Optical Review System | 300mm |
| SS5319-1231 | Unity SC / HSEB Dresden GMBH / Carl Zeiss | Axiospect 300 | Optical Review System | 300mm |
| SS5319-1232 | Unity SC / HSEB Dresden GMBH / Carl Zeiss | Axiospect 300 | Optical Review System | 300mm |
| SS5319-1233 | Varian | VIISta PLAD | High Dose Implant | 300mm |
| SS5319-1234 | Varian | M2000 | PVD (Physical Vapor Deposition) | 150mm |
| SS5319-1235 | Varian | 3290 STQ | PVD (Physical Vapor Deposition) | 150mm |
| SS5319-1236 | Varian | M2i | PVD (Physical Vapor Deposition) | 150mm |
| SS5319-1237 | Veeco / Solid State Equipment Corporation (SSEC) | Waferstorm 3300 / M3303 / M3304 (SSEC 3303/4) | Single Wafer Processing | 150mm |
| SS5319-1238 | Veeco Instruments Inc. | Dimension 7000 | Atomic Force Microscope (AFM) | 200mm |
| SS5319-1239 | Verigy (Agilent) | V6000 FT | Memory Tester | 300mm |
| SS5319-1240 | Verigy (Agilent) | V6000 FT | Memory Tester | 300mm |
| SS5319-1241 | Verigy (Agilent) | V6000 FT | Memory Tester | 300mm |
| SS5319-1242 | Verigy (Agilent) | V4400 | Memory Tester | 300mm |
| SS5319-1243 | Verigy (Agilent) | V4400 | Memory Tester | 300mm |
| SS5319-1244 | Verigy (Agilent) | V4400 | Memory Tester | 300mm |
| SS5319-1245 | Verigy (Agilent) | V4400 | Memory Tester | 300mm |
| SS5319-1246 | VERSA CONN CORP. | WB-302 Triple+ | Semi Automated High Force Wafer Bonder | 150mm |
| SS5319-1247 | Verteq, Inc. | ST600-42TL | Batch Wafer Processing | 150mm |
| SS5319-1248 | V-TEK Inc. | PC-250+ | SMD Parts Counter | N/A |
| SS5319-1249 | V-TEK Inc. | PC-250+ | SMD Parts Counter | N/A |
| SS5319-1250 | Yield Engineering Systems, Inc. (YES) | VertaCure XP | High Temp Vacuum Oven | 300mm |
| SS5319-1251 | Zygo | AMS 310RT | Reticle/Mask Defect Inspection System | 200mm |
| SS5319-1252 | Zygo Corp. | AutoKMS-100 | Reticle CD SEM | 200mm |
SS5319-S-3-1-1













