Description
Used Semiconductor Equipment Parts
Valid Term: These are subject to prior sale. These are only for end user. Appreciate your time.
Condition: Pls contact us to discuss.
The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.
| 1 | Accretech | MHF 300L | Manipulator for prober |
| 2 | ACCRETECH | UF 3000 | Fully automatic wafer prober |
| 3 | Accretech | UF200 | Prober |
| 4 | Accretech | UF200 | Prober |
| 5 | Accretech | UF200 | Prober |
| 6 | Accretech | UF200 | Prober |
| 7 | Accretech | UF200 | Prober |
| 8 | Accretech / TSK | UF200 AL | Prober, AMBIENT AND HOT |
| 9 | Accretech / TSK | UF3000 | Auto Probing Machine FOUP Type |
| 10 | Accretech TSK | MHF300L | Test head manipulators |
| 11 | Accretech TSK | MHF300L | Test head manipulators |
| 12 | Accretech TSK | MHF300L | Test head manipulators |
| 13 | Accretech TSK | MHF300L | Test head manipulators |
| 14 | Accretech TSK | MHF300L | Test head manipulators |
| 15 | Accretech TSK | MHF300L | Test head manipulators |
| 16 | Accretech TSK | MHF300L | Test head manipulators |
| 17 | Accretech TSK | UF3000 EX | Auto Probing Machine FOUP Type |
| 18 | ADE | Episcan 1000 | FT-IR Spectromter for measurement of Epitaxial films |
| 19 | ADE | FIT3120 | PARTICLE COUNTER |
| 20 | ADE | NANOMAPPER | SQM |
| 21 | ADVANTEST | HP83000 | TESTER |
| 22 | ADVANTEST | M6541AD | Test Handler |
| 23 | ADVANTEST | M6541AD | Test Handler |
| 24 | ADVANTEST | M6541AD | Test Handler |
| 25 | ADVANTEST | M6541AD | Test Handler |
| 26 | ADVANTEST | M6542AD | HANDLER |
| 27 | ADVANTEST | M6542AD | HANDLER |
| 28 | Advantest | M6542AD | TEST HANDLER |
| 29 | ADVANTEST | M6542AD | TEST HANDLER |
| 30 | ADVANTEST | M6751AD | Test Handler |
| 31 | ADVANTEST | T5371 | Memory Tester |
| 32 | ADVANTEST | T5371 | Memory Tester |
| 33 | ADVANTEST | T5371 | Test System |
| 34 | Advantest | T5371 | Test system (With a single test head ) |
| 35 | ADVANTEST | T5375-0040 | Tester |
| 36 | ADVANTEST | T5382A1 | Test System |
| 37 | ADVANTEST | T5585 | Memory Tester |
| 38 | ADVANTEST | T5585 | Memory Tester |
| 39 | ADVANTEST | T5585 | Test System |
| 40 | ADVANTEST | T5585 | Tester |
| 41 | Advantest | T5585 with M6300 | Automated Test Equipment |
| 42 | Advantest | T5585 with M6541AD. | Automated Test Equipment |
| 43 | ADVANTEST | T5592 | Tester |
| 44 | ADVANTEST | T5592 | Tester |
| 45 | ADVANTEST | T5592 | Tester |
| 46 | Advantest | T5592 with M6541AD. | Automated Test Equipment |
| 47 | ADVANTEST | T5593 | Tester |
| 48 | Advantest | T5593 | Automated Test Equipment |
| 49 | ADVANTEST | T5771ES | Automated Test Equipment |
| 50 | ADVANTEST | TAS7500TS | Automated Test Equipment |
| 51 | ADVANTEST | T5375 | Automated Test Equipment |
| 52 | Advantest / アドバンテスト | T5371 | Tester |
| 53 | Advantest Nextest Verigy | Various | Mini-Batch of Automated Test Equipment |
| 54 | AG ASSOCIATES | 610 | Benchtop RTP System, for up to 6″ Wafers |
| 55 | AG Associates | Heatpulse 210T | Rapid Thermal Process |
| 56 | Agilent / Verigy / Keysight | 41501B | SMU and PGU 2 units |
| 57 | Agilent / Verigy / Keysight | V6000e | Memory Test Engineering Workstation for Office or Laboratory Use |
| 58 | Aixtron | Crius II | MOCVD system for GaN |
| 59 | Aixtron | Crius II XL | GaN MOCVD Reactor with 7 x 6″ Close Coupled Showerhead |
| 60 | AKRION | V2-HL.2000 | Acid Wet Bench |
| 61 | AKRION | V2-SA.3200 | Wet Process Station Including Tanks |
| 62 | AKROMETRIX | PS400 | Package warpage Measurement |
| 63 | AKT | NAR 1800/8 G6 ITO | Vertical In-line Sputtering Machine for LCD / TFT panel G6 |
| 64 | AKT | New Aristo G4.5 NAR 1200L | Vertical In-line Sputtering Machine for LCD / TFT panel G4.5 for Mo-Al-Mo |
| 65 | Alcan Tech/Canon | MAS-8000 | Microwave Ashing System |
| 66 | Alcatel | 602E | Deep Trench Etcher |
| 67 | Alcatel | AMS 4200 | Advanced Multi chamber ICP Plasma Etching System / Bosch Process |
| 68 | Alessi | REL-4100A | Manual Prober in dark box |
| 69 | ALESSI | REL-4500 | Analytical Wafer Prober with 6″ (dia.) Gold Plated Chuck |
| 70 | Alphasem | DB 608-PRL | Bonder |
| 71 | Alphasem | E8001 | Die Sort System |
| 72 | ALPHASEM | Swissline 9006 (Spares) | Automatic Epoxy Die Bonder, Parts Tool Only |
| 73 | AMERIMADE | 6ft-fh-ss | RESIST STRIP MANUAL WET BENCH |
| 74 | AMI | 885 | Screen printer Squeegee box lot |
| 75 | AMI Presco | USP206-2E | Solar wafer conveyor, 156mm for use with screen printers, etc. |
| 76 | AP & S | TwinStep-B H3P04 | Semi-Automatic Wet Bench, used for H3PO4 with a 2 stage Megasonic and QDR |
| 77 | AP Systems | KORONA-1200P | METAL RTP |
| 78 | Applied Materials | 0040-35966-P1 | WLDMT,LAMP HOUSING,RTP CHMBR |
| 79 | Applied Materials | 0041-61870 | 300 mm producer ceramic heater assembly, used |
| 80 | Applied Materials | 9200 (Spares for) | IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT |
| 81 | Applied Materials | CENTRIS DPS MESA | Dry Etch, Twin 3chamber |
| 82 | Applied Materials | CENTURA 5200 | MxP Poly |
| 83 | Applied Materials | Centura 5200 | WxP Chamber (Mechanical Clamp Chuck) Tungsten etch Back |
| 84 | Applied Materials | CENTURA 5200 DPS | Poly Etcher |
| 85 | Applied Materials | Centura 5200 DPS II | Metal Etch with 2 x DPS2 Metal etch and 2 x Axiom CH |
| 86 | Applied Materials | Centura 5200 DPS2 Poly | Poly Etcher |
| 87 | Applied Materials | Centura AP AdvantEdge Minos Poly | Polysilicon Etch (3 CH ETCH AND 1 CH STRIP) |
| 88 | Applied Materials | Centura AP DPS 2 Advantedge Mesa | Polysilicon Etcher with 4 chambers |
| 89 | Applied Materials | Centura AP DPS 2 G5 | Polysilicon etcher, 3 chamber |
| 90 | Applied Materials | Centura AP DPS II Advantedge | Polysilicon Etcher with 4 chambers |
| 91 | Applied Materials | Centura AP DPS2 Advantedge Carina Mesa | Dry Etch Cluster Tool – 2 CHAMBER – METAL ETCH PROCESS |
| 92 | Applied Materials | Centura AP Ultima Chamber | HDPCVD Chamber only |
| 93 | Applied Materials | Centura DPS 2 Advantedge Mesa | Dry Etch |
| 94 | Applied Materials | CENTURA DPS G3 | Poly 1ch / Mesa 1ch |
| 95 | Applied Materials | CENTURA DPS G3 | Poly 2ch / Mesa 1ch |
| 96 | Applied Materials | CENTURA DPS G3 | Poly 3ch |
| 97 | Applied Materials | CENTURA DPS G3 | Poly 3ch |
| 98 | Applied Materials | CENTURA DPS G3 | Poly 3ch / Axiom 1ch |
| 99 | Applied Materials | CENTURA DPS G3 | Poly 3ch / Axiom 1ch |
| 100 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch |
| 101 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch |
| 102 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch |
| 103 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch |
| 104 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch |
| 105 | Applied Materials | CENTURA DPS G5 MESA | Poly 3ch / AXIOM 1ch / Server OS Type |
| 106 | Applied Materials | Centura DPS II Advantedge POLY | POLY ETCHER, 4 CHAMBER |
| 107 | Applied Materials | Centura DPS II CHAMBER | Chamber only |
| 108 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher |
| 109 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher |
| 110 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher |
| 111 | APPLIED MATERIALS | CENTURA E-MAX CT 3CH | DRY ETCH |
| 112 | Applied Materials | Centura Enabler | Dry etch, 3 chambers |
| 113 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC |
| 114 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC |
| 115 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC |
| 116 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC |
| 117 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC |
| 118 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC |
| 119 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC |
| 120 | Applied Materials | CENTURA ENABLER E2 | Oxide Etcher /server OS PC |
| 121 | Applied Materials | CENTURA ENABLER E5 | Oxide Etcher /server OS PC |
| 122 | Applied Materials | Centura ENABLER-E2 | Dry etch cluster tool |
| 123 | Applied Materials | Centura ENABLER-E2 | Dry etch cluster tool |
| 124 | Applied Materials | Centura ENABLER-E2 | Dry etch cluster tool |
| 125 | Applied Materials | Centura ENABLER-E2 | Dry etch cluster tool |
| 126 | Applied Materials | Centura ENABLER-E5 | Dry etch cluster tool |
| 127 | Applied Materials | CENTURA MCVD | WxZ Optima |
| 128 | Applied Materials | CENTURA MOCVD | NLighten NEON |
| 129 | Applied Materials | Centura PC-II CH. | Chamber |
| 130 | Applied Materials | Centura Ultima X | CVD |
| 131 | Applied Materials | Centura VANTAGE VULCAN | RTP Cluster tool |
| 132 | Applied Materials | Centura VANTAGE VULCAN | RTP Cluster tool |
| 133 | Applied Materials | Centura WCVD | WSIX(OPTIMA) |
| 134 | Applied Materials | Centura WCVD | WSIX(OPTIMA) |
| 135 | Applied Materials | Centura WCVD | WSIX(OPTIMA) |
| 136 | Applied Materials | Centura WCVD | WSIX(OPTIMA) |
| 137 | Applied Materials | Centura WCVD | WSIX(OPTIMA) 4 chamber WxZ |
| 138 | Applied Materials | Centura WCVD | WxZ Optima |
| 139 | Applied Materials | Centura WCVD | WxZ Optima |
| 140 | Applied Materials | Compass Pro 300 | Patterned Wafer Inspection System |
| 141 | Applied Materials | Dfinder | Darkfield Wafer Inspection (For spares use) |
| 142 | Applied Materials | DPS II | AE Poly G3 dry etcher |
| 143 | Applied Materials | DPS II | AE Poly G3 dry etcher |
| 144 | Applied Materials | DPS SILVIA | Silvia 2ch / Axiom 1ch |
| 145 | Applied Materials | DPS2 | Poly Etcher |
| 146 | Applied Materials | DPS2 | Poly Mesa 3ch / Axiom 1ch |
| 147 | Applied Materials | DPS2 AE | MESA (Dry Etch) |
| 148 | Applied Materials | DPS2 AE | MESA (Dry Etch) |
| 149 | Applied Materials | DPS2 AE | MESA (Dry Etch) |
| 150 | Applied Materials | DPS2 AE | MESA (Dry Etch) |
| 151 | Applied Materials | DPS2 AE | MINOS (Dry Etch) |
| 152 | Applied Materials | DPS2 AE | MINOS (Dry Etch) |
| 153 | Applied Materials | DPS2 AE | Poly Etcher |
| 154 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch |
| 155 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch |
| 156 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch |
| 157 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch |
| 158 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch |
| 159 | Applied Materials | DPS2_Poly | Dry Etch with 2CH DPS2 and 2 CH Axiom |
| 160 | Applied Materials | Enabler Chamber System | Dry Etch |
| 161 | Applied Materials | ENABLER_E2 | Etcher |
| 162 | Applied Materials | Endura | Endura 6″ process kit, Used, in AMAT box 84-9502-280 |
| 163 | Applied Materials | ENDURA II Chamber | PVD |
| 164 | Applied Materials | ENDURA II Chamber | PVD |
| 165 | Applied Materials | ENDURA II Chamber | PVD |
| 166 | Applied Materials | ENDURA 2 | CH-1_TTN/CH-2_AL/CH-3_TTN/ CH-C&D_PCXT/CH-E&F_Degas |
| 167 | Applied Materials | ENDURA 2 (Gray Rack) | 3CH (WxZ) |
| 168 | Applied Materials | ENDURA 2 CH | Preclean XT Chamber |
| 169 | Applied Materials | ENDURA 2 CH | Preclean XT Chamber |
| 170 | Applied Materials | ENDURA 2 CH | Preclean XT Chamber |
| 171 | Applied Materials | ENDURA 2 Chamber Only | ALPS |
| 172 | Applied Materials | ENDURA 2 Chamber Only | MOALD (IMP TiN) |
| 173 | Applied Materials | ENDURA 2 Chamber Only | PCXT |
| 174 | Applied Materials | ENDURA 2 Chamber Only | PCXT |
| 175 | Applied Materials | ENDURA 2 Chamber Only | PCXT |
| 176 | Applied Materials | ENDURA 2 Chamber Only | PCXT |
| 177 | Applied Materials | ENDURA 2 CHAMBER ONLY | PCXT |
| 178 | Applied Materials | ENDURA 2 CHAMBER ONLY | Remote Plasma Clean Chamber |
| 179 | Applied Materials | ENDURA 2 CHAMBER ONLY | Remote Plasma Clean Chamber |
| 180 | Applied Materials | ENDURA 2 CHAMBER ONLY | Remote Plasma Clean Chamber |
| 181 | Applied Materials | ENDURA 2 CHAMBER ONLY | RPC |
| 182 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti |
| 183 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti |
| 184 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti |
| 185 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti |
| 186 | Applied Materials | ENDURA 2 CHAMBER ONLY | SIP Ti |
| 187 | Applied Materials | ENDURA 2 CHAMBER ONLY | SIP Ti |
| 188 | Applied Materials | ENDURA 2 CHAMBER ONLY | TXZ ALD |
| 189 | Applied Materials | Endura 5500 | PVD system |
| 190 | Applied Materials | ENDURA CL | PVD |
| 191 | Applied Materials | ENDURA CL | PVD Cluster tool |
| 192 | Applied Materials | ENDURA CL | |
| 193 | Applied Materials | ENDURA CL Chamber Only | AL |
| 194 | Applied Materials | ENDURA CL Chamber Only | AL |
| 195 | Applied Materials | ENDURA CL Chamber only | AxZ |
| 196 | Applied Materials | ENDURA CL Chamber Only | AxZ |
| 197 | Applied Materials | ENDURA CL Chamber Only | AxZ |
| 198 | Applied Materials | ENDURA CL Chamber Only | AxZ |
| 199 | Applied Materials | ENDURA CL Chamber Only | AxZ |
| 200 | Applied Materials | ENDURA CL Chamber Only | AxZ |
| 201 | Applied Materials | ENDURA CL Chamber Only | AxZ |
| 202 | Applied Materials | ENDURA CL Chamber Only | AxZ |
| 203 | Applied Materials | ENDURA CL Chamber Only | CVD AL(AXZ) |
| 204 | Applied Materials | ENDURA CL Chamber Only | CVD AL(AXZ) |
| 205 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only |
| 206 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only |
| 207 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only |
| 208 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only |
| 209 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only |
| 210 | Applied Materials | ENDURA CL Chamber Only | PRECLEAN |
| 211 | Applied Materials | ENDURA CL Chamber Only | PRECLEAN |
| 212 | Applied Materials | ENDURA CL Chamber Only | PRECLEAN |
| 213 | Applied Materials | ENDURA CL Chamber Only | RPC |
| 214 | Applied Materials | ENDURA CL Chamber Only | RPC |
| 215 | Applied Materials | ENDURA CL Chamber Only | Chamber 1 (Ver. 001) CPI-VMO |
| 216 | Applied Materials | ENDURA CL Chamber Only | Chamber 1 (Ver. 001) CPI-VMO(eSIP TAN) |
| 217 | Applied Materials | ENDURA CL Chamber Only | Chamber D (Ver. 001) CPI-VMO(eSIP TAN) |
| 218 | Applied Materials | ENDURA CL Chamber Only | SOURCE D (Ver. 003) CPI-VMO |
| 219 | Applied Materials | ENDURA CL Chamber Only | SOURCE D (Ver.003) CPI-VMO |
| 220 | Applied Materials | ENDURA RE-FLOW CHAMBER | PVD chamber |
| 221 | Applied Materials | ENDURA2 Chamber only | Amber-(Ti) chamber |
| 222 | Applied Materials | ENDURA2 Chamber only | ESIP chamber |
| 223 | Applied Materials | ENDURA2 Chamber only | MOALD (IMP Ti) Chamber |
| 224 | Applied Materials | ENDURA2 CHAMBER ONLY | PVD CHAMBER |
| 225 | Applied Materials | ENDURA2 CHAMBER ONLY | PVD CHAMBER |
| 226 | Applied Materials | ENDURA2 Chamber only | RfxT_CU Chamber |
| 227 | Applied Materials | ENDURA2 Chamber Only | WSI chamber only |
| 228 | Applied Materials | ENDURA2 Chamber Only | WSI chamber only |
| 229 | Applied Materials | ENDURA2 VOLTA CO | CHAMBER QTY 2 SETS |
| 230 | Applied Materials | Etch dry chamber Only | AXIOM, DPS |
| 231 | Applied Materials | Etch dry chamber Only | AXIOM, DPS |
| 232 | Applied Materials | G5-MESA | DRY ETCH EFEM |
| 233 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER |
| 234 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER |
| 235 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER |
| 236 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER |
| 237 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER |
| 238 | Applied Materials | Mirra ® 3400 | Stand-Alone CMP system |
| 239 | Applied Materials | Mirra ® 3400 | Stand-Alone CMP System |
| 240 | Applied Materials | Mirra ® Mesa | CMP system with Cleaner |
| 241 | Applied Materials | Mirra 3400 Stand-Alone | Oxide/STI CMP |
| 242 | Applied Materials | Mirra Mesa | CMP System |
| 243 | Applied Materials | Mirra Mesa | CMP system |
| 244 | Applied Materials | Mirra Mesa Integrated | Oxide/STI CMP |
| 245 | Applied Materials | Mirra Ontrak | Poly/STI CMP |
| 246 | Applied Materials | Mirra Ontrak | Poly/STI CMP |
| 247 | Applied Materials | Opal 7830i Enhanced | CD-SEM |
| 248 | APPLIED MATERIALS | P-5000 DxL | DxL, TEOS |
| 249 | Applied Materials | P5000 | DELTA DLH |
| 250 | Applied Materials | P5000 | DELTA DLH |
| 251 | Applied Materials | P5000 | Delta Teos 3ch, Sputter 1ch |
| 252 | Applied Materials | P5000 | Delta Teos 3ch, Sputter 1ch |
| 253 | Applied Materials | P5000 | DxL 2ch |
| 254 | Applied Materials | P5000 | PECVD |
| 255 | Applied Materials | P5000 | PECVD TEOS with 3 x SACVD chambers |
| 256 | Applied Materials | P5000 | WXL |
| 257 | Applied Materials | P5000 | WXZ Mark II |
| 258 | Applied Materials | P5000 CVD | Delta Teos |
| 259 | Applied Materials | P5000 CVD | Delta TEOS 3ch + Sputter 1Ch |
| 260 | Applied Materials | P5000 CVD | DxL |
| 261 | Applied Materials | P5000 CVD | DxL |
| 262 | Applied Materials | P5000 CVD | DxL |
| 263 | Applied Materials | P5000 CVD | TEOS, DxL |
| 264 | Applied Materials | P5000 Etch | no chamber (PLIS type) |
| 265 | Applied Materials | P5000 Mark-II CVD | DxL |
| 266 | Applied Materials | P5000 Mark-II CVD | TEOS |
| 267 | Applied Materials | P5000 Mark-II CVD | TEOS |
| 268 | Applied Materials | P5000 Mark-II CVD | TEOS |
| 269 | Applied Materials | P5000 Mark-II CVD+Etch | Sputter |
| 270 | Applied Materials | P5000 Mark-II CVD+Etch | TEOS 2Ch, Etch 2Ch |
| 271 | Applied Materials | P5000 Mark-II CVD+Etch | TEOS 2Ch, Sputter 2Ch |
| 272 | Applied Materials | P5000 Mark-II CVD+Etch | TEOS 2Ch, Sputter 2Ch |
| 273 | Applied Materials | P5000 MKII | 2 Chamber Ar PVD |
| 274 | Applied Materials | P5000 MKII | CVD PE TEOS (USG/PSG) 3 CHAMBERS |
| 275 | Applied Materials | P5000 MKII | CVD PE TEOS (USG/PSG) 3 CHAMBERS |
| 276 | Applied Materials | P5000 MKII | CVD PE TEOS (USG/PSG) 3 CHAMBERS |
| 277 | Applied Materials | P5000 SACVD | CVD |
| 278 | Applied Materials | P5000 SiN | CVD cluster tool |
| 279 | Applied Materials | P5000 TEOS | CVD cluster tool |
| 280 | Applied Materials | P5000 WCVD | WxL |
| 281 | Applied Materials | P5000 WCVD | WxL |
| 282 | Applied Materials | P5000-Mark II Etch | Metal |
| 283 | Applied Materials | Producer | GT |
| 284 | Applied Materials | PRODUCER (2)DPN (1) RTP | CVD cluster tool |
| 285 | Applied Materials | Producer eXT | PECVD (Chemical Vapor Deposition) |
| 286 | Applied Materials | PRODUCER GT | ACL 1ch / Server X |
| 287 | Applied Materials | PRODUCER GT | BD2_CU |
| 288 | Applied Materials | PRODUCER GT | CH_A_FOX / CH_B_eHARP / Server OS Type |
| 289 | Applied Materials | PRODUCER GT | Ht ACL 3ch / Server OS Type |
| 290 | Applied Materials | PRODUCER GT | Ht_Acl 3ch / Server OS Type |
| 291 | Applied Materials | PRODUCER GT | LLTO |
| 292 | Applied Materials | PRODUCER GT | Siconi 3ch |
| 293 | Applied Materials | PRODUCER GT | UV Bake Photostabilizer |
| 294 | Applied Materials | PRODUCER GT | UV Bake Photostabilizer |
| 295 | Applied Materials | Producer GT Chamber | HARP |
| 296 | Applied Materials | Producer GT Chamber | HARP |
| 297 | Applied Materials | Producer GT Chamber | SICONI Chamber only |
| 298 | Applied Materials | Producer GT Chamber | SIH4 |
| 299 | Applied Materials | Producer GT Chamber (A) | SICONI Chamber only |
| 300 | Applied Materials | Producer GT Chamber (B) | SICONI Chamber only |
| 301 | Applied Materials | Producer GT Chamber only | SICONI Chamber only |
| 302 | Applied Materials | PRODUCER III | CVD cluster tool |
| 303 | Applied Materials | PRODUCER SE | CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type |
| 304 | Applied Materials | PRODUCER SE | CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type |
| 305 | Applied Materials | PRODUCER SE | Ht_SiN 2ch / Server OS Type |
| 306 | Applied Materials | PRODUCER SE | Ht_SiN 2ch / Server OS Type |
| 307 | Applied Materials | PRODUCER SE | SILANE 2ch / Server OS Type |
| 308 | Applied Materials | Producer SE | SILANE Server OS Type |
| 309 | Applied Materials | PRODUCER SE | Teos 2ch / Server OS Type |
| 310 | Applied Materials | PRODUCER SE ACL (2CH) | CVD cluster tool |
| 311 | Applied Materials | PRODUCER_SE_2CH | CVD |
| 312 | Applied Materials | PRODUCER-GT(EFEM) | CVD cluster tool |
| 313 | Applied Materials | PRODUCER-SE | CVD |
| 314 | Applied Materials | RAIDER | ECD Copper Electroplating System |
| 315 | Applied Materials | RAIDER | ECD Copper Electroplating System |
| 316 | Applied Materials | Reflexion GT | CMP system with integrated cleaner |
| 317 | Applied Materials | UV 5 | Wafer Inspection System |
| 318 | Applied Materials | Uvision 200 | Wafer Inspection |
| 319 | APPLIED MATERIALS | UVISION 5 | Bright Field Inspection |
| 320 | Applied Materials | VANTAGE (Rediance 3.x) | RTP |
| 321 | Applied Materials | VANTAGE HYBRID | RTP / Server OS Type |
| 322 | Applied Materials | VANTAGE RADIANCE | RTP |
| 323 | Applied Materials | Vantage RadOX | RTP |
| 324 | Applied Materials | ENDURA 2 Chamber Only | (IMP Ti) |
| 325 | Applied Materials | ENDURA 2 Chamber Only | EXTENSA Ti |
| 326 | Applied Materials ® | 0021-35163 Rev A | Gold-plated RTP Reflector Plate, 200 MM, chamber bottom |
| 327 | Applied Materials ® | Centura Silicon Advantedge G5 Minos (Chamber) | Silicon Etch AP System Chamber, position C |
| 328 | Applied Materials ® | Centura Silicon Advantedge G5 Minos (Chamber) | Silicon Etch AP System Chamber, position D |
| 329 | Applied Materials ® | Endura Al HP Position 2 Chamber | PVD Chamber, Al HP Deposition, Position 2 |
| 330 | Applied Materials ® | Endura Ti/TiN Position 1 Chamber | PVD Chamber, Ti/TiN Deposition, Position 1 |
| 331 | Applied Materials ® | Endura Ti/TiN Position 4 Chamber | PVD Chamber, Ti/TiN Deposition, Position 4 |
| 332 | Applied Materials ® | Mirra ® 3400 | Stand-Alone CMP system |
| 333 | Applied Materials AMAT | Producer GT | CVD system |
| 334 | ASM | A412 | Dual Tube CVD Furnace, Tube 1 Nitride , Tube 2 As + Ge doped polyslicon |
| 335 | ASM | AB599A | Wedge Wire Bonder |
| 336 | ASM | AeroLED | Ball Bonder for RGB LED Panels |
| 337 | ASM | DS 830 | Double Head Dispenser, left: Magazin, right: Leadframe |
| 338 | ASM | Eagle XP5 | PE ALD Oxide Process |
| 339 | ASM | Epsilon 2000 | Epitaxial deposition system |
| 340 | ASM | IBE 139H | Rotary Station then Buffered in Magazine and from Magazine to Wirebonder |
| 341 | ASM | Ideal Mold 120T | Mold Press |
| 342 | ASM | Idealmold | Mold Press |
| 343 | ASM | Idealmold | Mold Press |
| 344 | ASM | MC 609H | left:Leadframe in Magazine, transport in one of the ten Ovens, Cooling Station, right side: Leadframe out of Magazine |
| 345 | ASM | MC 609H | left:Leadframe in Magazine, transport in one of the ten Ovens, Cooling Station, right side: Leadframe out of Magazine |
| 346 | ASM | MS896 | LED sorter |
| 347 | ASM | Siplace CA4 | 4 Folder High Volume Chip Assembly System |
| 348 | ASM | SLS 230T | left: IC cup and testing good/bad and than sorting in small tube |
| 349 | ASM | SLT400 | left: IC cup, Taping on Reel |
| 350 | ASM | TLB 203EX | Testing |
| 351 | ASM | WS896 | LED sorter |
| 352 | ASM | Extraction and Inspection of the Leadframe | |
| 353 | ASM | Extraction and Inspection of the Leadframe | |
| 354 | ASML | AT1100B | 193 nm DUV Scanner |
| 355 | ASML | PAS5500-300C | DUV Stepper – Lens Unit only |
| 356 | ASML | TWINSCAN XT1250D | 193nm (ArF) Scanner – Cymer laser not included |
| 357 | ASML | XT1700 Fi | Immersion Lithography System |
| 358 | ASML | XT760F | 248 nm DUV Scanner (KrF) |
| 359 | ASYMTEK | Millenium 620 | Glue Dispense Tool |
| 360 | Asyst | 1150-V1315S | SMIF Load port 150mm |
| 361 | ASYST | LPT 2200 | SMIF Loader , OEM P/N 9700-5005-01 |
| 362 | ASYST | SPARTAN EFEM | Wafer Sorter |
| 363 | Asyst | e-charger | N2 charge |
| 364 | Asyst | Indexer 2200 | SMIF loader |
| 365 | Asyst | Isoport | Wafer load port |
| 366 | Asyst | LPT 2200 | SMIF loader |
| 367 | Asyst | Versaport 2200 with shuttle and back plate | SMIF loader |
| 368 | Asyst | Versaport pod opener 2200 VPO | SMIF loader |
| 369 | ATV | PEO-603 | Diffusion Furnace |
| 370 | August | 3DI-8000 | wafer bump inspection |
| 371 | AUGUST | CV-9812 | Wafer Carrier inspection tool |
| 372 | August | NSX SR8220-019 | THIN WAFER Loader |
| 373 | August | NSX-95 | 2D Auto inspection system / Macro defect inspection system |
| 374 | August | NSX105 | Wafer Bumping Inspection System / Macro defect inspection |
| 375 | August / Rudolph Technologies | CV9812 | Wafer Carrier inspection tool |
| 376 | Autec | ATC-70B-RS | Thermal Shock Tester |
| 377 | AXCELIS | 110000800 | Universal 300mm Disk Cart MAT # 4501422 |
| 378 | AXCELIS | ES3 | Plasma Asher |
| 379 | AXCELIS | FUSION 200 MCU | Asher |
| 380 | Axcelis | Fusion PCU 200 | Photoresist Stabilizer |
| 381 | AXCELIS | INTEGRA | ES plasma dry strip system |
| 382 | AXCELIS | INTEGRA | ES plasma dry strip system |
| 383 | AXCELIS | INTEGRA | ES plasma dry strip system |
| 384 | AXCELIS | INTEGRA-RS | DRY STRIP |
| 385 | Axcelis | Optima HD | High Current Implanter |
| 386 | Axcelis | Optima MD | Low Energy Implanter |
| 387 | Axcelis Fusion | 200PCU | UV Stabilizer/Bake |
| 388 | Axcelis Fusion | GPS | Dual Chamber UV Stabilizer/Bake |
| 389 | Baccini | Cell electrical tester | Electrical Cell tester |
| 390 | Baccini | CHIP AND CRACK CAMERA | Chip and Crack camera |
| 391 | Baccini | Dryer 1 | Dryer 1 |
| 392 | Baccini | Dryer 2 | Dryer 1 |
| 393 | Baccini | Furnace 1 | Drying Furnace |
| 394 | Baccini | Furnace 2 | Drying Furnace |
| 395 | Baccini | Furnace 3 | Drying Furnace |
| 396 | Baccini | Screen Printer 1 | screen printer |
| 397 | Baccini | Screen Printer 2 | screen printer |
| 398 | Baccini | Screen Printer 3 | screen printer |
| 399 | Baccini | Screen printers | Printers and Dryers |
| 400 | Baccini | Sorter – Furnace Output | Cell Sorter – Furnace output |
| 401 | Baccini | Sorter 1 | Cell Sorter 1 |
| 402 | Baccini | Sorter 2 | Cell Sorter 2 |
| 403 | Baccini | SUN SIMULATOR / CELL TESTER | Cell Tester |
| 404 | Baccini | SUN SIMULATOR / CELL TESTER | Cell Tester |
| 405 | Baccini | Test 1 | Solar Cell Inspection |
| 406 | Baccini | Test 2 | Icos Solar Cell Inspection |
| 407 | Baccini | Test 3 | Automatic Cell Sorter |
| 408 | Baccini | Wafer Boats | Spares for Baccini solar cell manufacturing line |
| 409 | Baccini | Oven 3 Unload Buffer FFF | Unload buffer unit from Oven 3 and FFF loader |
| 410 | Baccini | Printer 1 | Screen Printer |
| 411 | Baccini | Printer 2 | Screen Printer |
| 412 | Baccini | Printer 3 | Screen Printer |
| 413 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Boom Stand, 0.7-3X with 10X W.F. Stereo Eyepieces |
| 414 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Small Benchtop Stand, 0.7-3X with 15X W.F. Eyepieces |
| 415 | BAUSCH & LOMB | StereoZoom 6 Plus | Microscope, 0.67-4.0X with WF 10X/21 Eyepieces |
| 416 | BAUSCH & LOMB | StereoZoom 7 | Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens |
| 417 | Berger | PSS10 | Pulsed Solar Simulator |
| 418 | BESI | X-Eye SF160 SL | X-Ray Inspection Tool |
| 419 | Bio-Rad | Q5 (Upgrade to a Q6) | Overlay Registration Tool |
| 420 | Bio-Rad | Q7/Q8 | Overlay metrology Tool |
| 421 | Bio-Rad | Q8 | Overlay Metrology |
| 422 | Bio-Rad | QS-1200 | FT-IR Spectrometer |
| 423 | Bio-Rad | QS-300 | FT-IR Spectrometer |
| 424 | Bio-Rad | QS-408M | Manual FT-IR Spectrometer |
| 425 | BIORAD | Q5 | Overlay Metrology Tool |
| 426 | BMR | GAN (A49-3) | ETCH |
| 427 | BMR | HIDEP | PECVD |
| 428 | BPS | Cyberite | Ion Beam Deposition Tool |
| 429 | BRANSON IPC | Series 2000 | Plasma Barrel Photoresist |
| 430 | BRANSON/IPC | 4055/2 | Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available |
| 431 | BRANSON/IPC | S-3000 | Plasma Barrel Asher |
| 432 | Brewer Science | 200X | Manual Spin Station for Flux Process Upgrade |
| 433 | Brooks | 013056-191-20-002 | Ergospeed 2 Loadport |
| 434 | Brooks | ATR-8 robot alone | LAM tool |
| 435 | Brooks | Ergospeed 2 | Loadport |
| 436 | Brooks | JET | 2 port EFEM |
| 437 | BROOKS | METARA 7200 | Overlay |
| 438 | BROOKS | SORTER MTX 2000 | Wafer Handler |
| 439 | BROOKS | SORTER MTX 2000 | Wafer Handler |
| 440 | Brown & Sharpe | Reflex 454 | Coordinate measuring system |
| 441 | BRUKER | Quantax 200 | EDS |
| 442 | CAMECA | EX300 | Secondary Ion Mass Spectrometer |
| 443 | CAMECA | IMS WF | SECONDARY ION MASS SPECTROMETER |
| 444 | CANON | FPA 5000 ES3 | DUV lithography exposure system |
| 445 | CANON | FPA 5000 ES6 | 248 NM SCANNER |
| 446 | Canon | FPA-2500i2 | i Line Stepper |
| 447 | Canon | FPA-5000 ES2/ES2+ | Spare Parts |
| 448 | Canon | FPA-5000 ES3 | Spare Parts |
| 449 | CANON | FPA2500i3 | i-Line Stepper |
| 450 | Canon | FPA3000i4 | i line stepper |
| 451 | CANON | FPA3000IW | Wide-field i-line stepper |
| 452 | Canon | FPA5000 ES3 | DUV Stepper, Step and Scan System |
| 453 | Centrotherm | Centronic E2000 | Horizontal diffusion furnace for POCl3 doping |
| 454 | CentroTherm | DO 12.000-200-FF-HTO-CAN-NT4.0 | Fast Firing Funace with Dryer |
| 455 | Centrotherm | DO-FF-8600-300 | Fast Firing Furnace |
| 456 | Centrotherm | DO-FF-8600-300 | Fast Firing Furnace |
| 457 | Centrotherm | E 2000 HT 300-4 | Horizontal Diffusion Furnace for POCl3 doping |
| 458 | Centrotherm | E 2000 HT 320-4 | Nitride Diffusion Furnace for Anti-refective coating deposition |
| 459 | Centrotherm | Gas Box | Auto Refill System |
| 460 | Centrotherm | Loader | Furnace Loader |
| 461 | Centrotherm | Quartz Door | Centrotherm/semco(?) Quartz Door, new |
| 462 | CHA | Mark 50 RH PC/PLC | Metal Sputter |
| 463 | COLUSSI | UG 50 E | AUTOCLAVE FOR STERILIZATION |
| 464 | Complete | G5 | OLED Flat Panel Display line with TFT (LPTS) and Cell lines |
| 465 | Convac | CBA-M-2000-U | Photoresist coater |
| 466 | CR TECHNOLOGY | UF160-0 | Xray System |
| 467 | Credence | Personal Kalos I | Test system |
| 468 | CUSTOM | CUSTOM | Granite Table and Drop Gauge used for post-grinding wafer thickness measurement |
| 469 | CUSTOM | CUSTOM | Positive Resist Coater / Developer |
| 470 | Custom | Mi Equipment Mi30 | ON EEPROM MI PICK TOOL |
| 471 | CUSTOM | Mi Equipment Mi30 | ON EEPROM MI PICK TOOL |
| 472 | CUSTOM | N/A | OPS-EN – FREEZER 13-986-154 (Dorm size Freezer) |
| 473 | Custom | Polypropylene 3 ft Bench | 3ft Develop Hood Positive Resist Batch |
| 474 | CYBER OPTICS | CYBERSCAN C212/110 | Laser Measure |
| 475 | CYMER | XLA-360 | Excimer Laser |
| 476 | Dage | 2400PC | Wire Pull Tester with die shear load cell |
| 477 | Dage | 4000 | DAGE 4000 SHEAR TESTER |
| 478 | Dage | 4000 | OPS DAGE 4000 SHEAR TESTER w/computer |
| 479 | Dage | BT23 | Die Shear Tester |
| 480 | Dage | MCT 22 | Wire Bond Pull Tester |
| 481 | DAGE | 2400PC | Wire pull tester |
| 482 | DAGE | BT23-PC | Die Shear Tester with LC200 Die Shear Load Cell |
| 483 | DAGE | BT23PC | Die Shear Tester with LC200 Die Shear Load Cell, 2ea Available |
| 484 | DAGE | BT24 | Ball/Bump Shear Tester |
| 485 | Daitron | CVP-320 | Wafer Edge Grinder |
| 486 | Datacon | CS1250 | Die Pick and Sort to tape reel |
| 487 | DEK | Horizon 03iX – Model 710 | Solder / Paste Print Machine |
| 488 | Delphi Laser | FPS10 | Laser Dicing Saw |
| 489 | Delta | 4CJ | Photoresist Coater |
| 490 | Delta | 5AQ | Negative Photoresist Developer |
| 491 | Delta | 5AQ | Positive Photoresist Developer |
| 492 | Delta | 6CL | Positive Photoresist Developer |
| 493 | Delta | 6CV | Photoresist Developer |
| 494 | Delta | 7EK | Negative Photoresist Developer |
| 495 | Delta | Custom | DI Wafer Cleaner |
| 496 | Delta | CUSTOM | DI Wafer Cleaner |
| 497 | DELTA | CUSTOM | Homemade Hotplate with transfer arm |
| 498 | Delta | CUSTOM | Photoresist Developer |
| 499 | DELTRONIC | DH14-RR | Profile Projector with 20X Objective Lens |
| 500 | Diener | Tetra 30LF PC | Plasma Surface Treatment Machine |
| 501 | Disco | DAD 321 | wafer dicing saw |
| 502 | Disco | DAD 3240 | 8 inch Automatic Dicing Saw |
| 503 | Disco | DFD 6340 | Dicing saw |
| 504 | Disco | DFD6341 | DICING SAW |
| 505 | Disco | DFD6341 | DICING SAW |
| 506 | Disco | DFD6361 | DICING SAW |
| 507 | Disco | DFD6362 | DICING SAW |
| 508 | Disco | DFD651 | 8″ Dual Spindle Automated DICING Saw |
| 509 | Disco | DFD651 | DICING SAW |
| 510 | DISCO | DFL-7340 | SAW |
| 511 | DISCO | DFL7160 | WBL LASER SAW |
| 512 | DISCO | DFL7160 | WBL LASER SAW (DAF Type) |
| 513 | Disco | DFP8140 | WAFER POLISHER |
| 514 | DISCO | EAD6750+ SEMHAWK HANDLER | Package Saw |
| 515 | DISCO CORPORATION | DISCO DFD660 | DICING SAW |
| 516 | DISCO CORPORATION | DISCO DFD660 | DICING SAW |
| 517 | DISCO CORPORATION | DISCO DFD670 | DICING SAW |
| 518 | DNS | DNS SS-3000-A | Bevel Scrubber (4F) |
| 519 | DNS | DNS SS-3000-A | Bevel Scrubber (4F) |
| 520 | DNS | DNS SS-3000-A | Wafer Scrubber (4Front) |
| 521 | DNS | DNS SS-3000-AR | Bevel Scrubber (4B) |
| 522 | DNS | DNS SS-3000-AR | Wafer Scrubber (4Back) |
| 523 | DNS | DNS SS-3000-AR | Wafer Scrubber (4Back) |
| 524 | DNS | DNS SU-3000 | Cleaner (MP Type)(DHF) (2LoadPort) |
| 525 | DNS | DNS SU-3000 | Cleaner (SR Type)(SST) (3LoadPort) |
| 526 | DNS | DUOI | ARF-I TRACK |
| 527 | DNS | FC-3100 | Batch Process Cleaner |
| 528 | DNS | FC-3100 | Batch Process Cleaner |
| 529 | DNS | FC3000 | Pre Metal Cleaner |
| 530 | DNS | FC3000 | Pre Metal Cleaner |
| 531 | DNS | FC3100 | CLEAN |
| 532 | DNS | RF3 | I-LINE TRACK |
| 533 | DNS | RF3 | Photoresist coater and developer |
| 534 | DNS | RF3 | Photoresist coater and developer |
| 535 | DNS | RF3S | PHOTORESIST COATER DEVELOPER TRACK |
| 536 | DNS | SC-W80A-AVF | SOG COATER |
| 537 | DNS | SK 2000 BVPE | COATER AND DEVELOPER TRACK WITH 2 CT, 2 BCT, 4 DEVELOPERS |
| 538 | DNS | SK 2000 BVPE | Photoresist coater and developer – 2 ct – 2 bct – 4 dev |
| 539 | DNS | SK-200W-AVPF | Coater / Developer system (2C/2D) |
| 540 | DNS | SK-200W-BVPE | i-Line Photo Track Coater (3C3D) |
| 541 | DNS | SK-80BW AVPE | Photoresist Coater and Developer system (2C/2D) |
| 542 | DNS | SK-80BW-AVPE | Photo Resist Develop System |
| 543 | DNS | SK-W80B-AVPE | Photo Resist Coat and Develop System |
| 544 | DNS | SKW-80A-BVPE | Photo Resist Coat and Develop System |
| 545 | DNS | SKW-80A-BVPE | Photo Resist Coat and Develop System |
| 546 | DNS | SS-3000-A | Scrubber (4F) |
| 547 | DNS | SS-3000-A | Scrubber (4F) |
| 548 | DNS | SS-3000-A | Scrubber (4F) |
| 549 | DNS | SS-3000-AR | Scrubber (2F/2B) |
| 550 | DNS | SS-3000-AR | Scrubber (4B) |
| 551 | DNS | SS-3000-AR | Scrubber (4B) |
| 552 | DNS | SS-3000-AR | Wafer Scrubber |
| 553 | DNS | SS-3000-AR | Wafer Scrubber |
| 554 | DNS | SS-3000-AR | Wafer Scrubber (4Back) |
| 555 | DNS | SS-3000-AR | Wafer Scrubber (4Back) (Including HDD) |
| 556 | DNS | SS-3000-AR | Wafer Scrubber (4Back) (Including HDD) |
| 557 | DNS | SS-3000-AR | WET SCRUBBER – Including HDD |
| 558 | DNS | SS-3000-AR | WET SCRUBBER – No HDD |
| 559 | DNS | SS-3000-AR | WET SCRUBBER – No HDD |
| 560 | DNS | SS-3000-AR | WET SCRUBBER – No HDD |
| 561 | DNS | SS-3100 | WET Scrubber |
| 562 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning |
| 563 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning |
| 564 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning |
| 565 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning |
| 566 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning |
| 567 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning |
| 568 | DNS | SU-3000 | Cleaner (MP Type) (2_AM1/2_DHF) (2LoadPort) |
| 569 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) |
| 570 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) |
| 571 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) |
| 572 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) |
| 573 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) |
| 574 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) |
| 575 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) |
| 576 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) |
| 577 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) (1R) |
| 578 | DNS | SU-3000 | Cleaner (SR Type) (3L/P) |
| 579 | DNS | SU-3000 | Cleaner (SR Type)(SST) (3LoadPort) |
| 580 | DNS | SU-3000 | Single Wafer Cleaner |
| 581 | DNS | SU-3000 | Single Wafer Cleaner |
| 582 | DNS | SU3100 | WET ETCH |
| 583 | DNS | WS820L | Wet Bench (Porous Silicon Process) |
| 584 | DNS | RF3S | coater and developer( 5C5D) |
| 585 | Duocom | HS-3640 | 4 Chamber Clean Oven |
| 586 | DYNATECH | DT_ECS2030 | Automated Wafer Taper |
| 587 | Dynatex | DX III | Wafer Scriber/Breaker |
| 588 | Ebara | AAS70WN | Dry pump |
| 589 | Ebara | Frex 300 | STI CMP ( missing front end robot and load port) |
| 590 | Ebara | Frex 300 | W CMP |
| 591 | Ebara | Frex 300S | CMP |
| 592 | EDAX | Eagle 2 | Micro-probe EDX analyser |
| 593 | Edwards | iH-1000 | Vacuum Pump |
| 594 | EDWARDS | TCU 40/80 | Chiller |
| 595 | Edwards | TCU 40/80 | Chiller |
| 596 | EKRA | X5 | Solder Paste Printer |
| 597 | EKRA | E4 | Solder Paste Printer |
| 598 | Electroglas | EG 2001 | Wafer Prober |
| 599 | ELECTROGLAS | EG5/300 A | Prober |
| 600 | ELECTROGLAS | EG5300 | Prober |
| 601 | ELECTROGLAS | EG5300 | Prober |
| 602 | Electroglas | Horizon 4085X | Fully Automatic Prober with an inker |
| 603 | ELES | ART 200 | Debug Station for Reliability Test System |
| 604 | EO TECH | BSM2424 | LASER MARKING |
| 605 | EO Technic | CSM-2000 | CHIP SCALE LASER MARKER |
| 606 | EO TECHNICS | BSM222G BSM2264 | PACKAGING |
| 607 | EO TECHNICS | CSM 3000 | Chip Scale laser marker |
| 608 | EO Technics | CSM2000 | CHIP SCALE LASER MARKER |
| 609 | EO TECHNICS | CSM2000 | CHIP SCALE LASER MARKER |
| 610 | EO TECHNICS | CSM2000 | CHIP SCALE LASER MARKER |
| 611 | EO TECHNICS | PEM402 | Die Bonder |
| 612 | EO TECHNOLOGY | FOR GLASS PANEL | Laser Marker for Glass |
| 613 | ESEC | 3006 F/X | Fully Automatic Wire bonder |
| 614 | ESEC | 3018 | Gold Ball Bonder |
| 615 | ESEC | 3088 | Gold Ball Bonder |
| 616 | ESEC | 3088 | Wire Bonder |
| 617 | ESEC | BL-050-N | Parts Loader for Micron 2 Automatic Die Attacher |
| 618 | ESEC | BU-050-N | Parts Unloader for Micron 2 Automatic Die Attacher |
| 619 | ESEC | ESEC3088 | Wire Bonder |
| 620 | ESI | 9275 | Laser Repair System – Modules and Parts only |
| 621 | ESI | 9830 | Laser Fuser for spares use |
| 622 | ESI | 9830HDE | Laser Repair |
| 623 | ESI | 9830HDE | Laser Repair |
| 624 | ESI | 9835 | LASER FUSER |
| 625 | ESI | HD 9830 | Laser Repair |
| 626 | ESI | HD 9830 | Laser Repair |
| 627 | ESI | HD 9830 | Laser Repair |
| 628 | ESI | HDE 9830 | Laser Repair |
| 629 | ESI | HDE 9830 | Laser Repair |
| 630 | ESI | M4300 | Laser Trimmer |
| 631 | ESI | M9275 | LASER REPAIR SYSTEM |
| 632 | ESI | M9825 | LASER REPAIR |
| 633 | ESI | M9825 | LASER REPAIR |
| 634 | ESI | M9825 | LASER REPAIR |
| 635 | ESI | M9830 | Laser Repair |
| 636 | ESI | M9830 | Laser Repair |
| 637 | ESI | M9830 | Laser Repair |
| 638 | ESI | M9830 | Laser Repair |
| 639 | ESI | M9830 | Laser Repair |
| 640 | ESI | M9850 | Laser Repair |
| 641 | ESI | M9850 | Laser Repair |
| 642 | ESI | M9850 | Laser Repair |
| 643 | ESI | M9850 | Laser Repair |
| 644 | ESI | M9850 | Laser Repair |
| 645 | ESI | M9850 | Laser Repair |
| 646 | ESI | M9850 | Laser Repair |
| 647 | ESMO | Hermes | Testhead Manipulator |
| 648 | ETEC /Applied Materials | MEBES 4000 | electron beam lithography mask writer / direct write wafer lithography |
| 649 | Evatec | BAP801 | E beam evaporator |
| 650 | EVG | 101 | Photoresist coater and developer |
| 651 | EVG | 520 | Manual Wafer Load Substrate Bonder |
| 652 | EVG | 805EZD | Debonder |
| 653 | EVG | 820 | Dry wafer lamination system |
| 654 | EVG | 820 | Mold Carrier Lamination System |
| 655 | EVG | 850 TB | Temporary Bond Aligner |
| 656 | EVG | Gemini | Fully automated wafer bonding system |
| 657 | Expertech | CTR-200 | Wet/Dry Oxidation Annealing System |
| 658 | Fairchild Convac | Falcon | Polyimide developer track 2D |
| 659 | FAITH TECHNOLOGY | RapiTran 2 | Wafer Transfer Station |
| 660 | FEI | Tecnai G2 F30 | TEM electron microscope |
| 661 | FEI ® | Quanta 200 3D | FIB/SEM dual beam |
| 662 | FEI Company | 820 | Dual Column Focused Ion Beam |
| 663 | FEI Company | FIB 200 | Single Beam FIB |
| 664 | Feutron | KPK 200 Type 3423/16 | Climate Chamber |
| 665 | Feutron | KPK200 | Climate chamber |
| 666 | FSI | Zeta 300 G3 | Wafer Acid Spray Cleaner, Hot SPM Process |
| 667 | FSI * | Mercury MP * | Acid Spray Process |
| 668 | FSM | 500TC | THIN FILM STRESS MEASUREMENT |
| 669 | FSM | AQUAFLEX | 4-POINT BENDING |
| 670 | FSM | FSM 500TC | THIN FILM STRESS MEASUREMENT |
| 671 | FSM | SYMPHONYMC | Life Time |
| 672 | Furukawa | UVW-102M | FURUKAWA UV-102 SEMI AUTO UV CURE SYSTEM |
| 673 | Fusion | M150PC | UV Bake (For spares Use) |
| 674 | Gaertner | L115C-8 | Ellipsometer, cassette to cassette |
| 675 | Gasonics | IRIDIA 4800 DL | Stripper / Asher |
| 676 | Gasonics | IRIDIA 4800 DL | Stripper / Asher |
| 677 | Gasonics | IRIDIA 4800 DL | Stripper / Asher |
| 678 | Gasonics | L3510 | Plasma Asher |
| 679 | GCA/Tropel | 9000 | Wafer Flatness Analyzer |
| 680 | GEMINI | Gemini 3 | Dual Chamber Epitaxial Reactor |
| 681 | GEMINI | GEMINI III E | EPI Reactor Batch |
| 682 | Gigi Molina Brevetti Plastici SpA | Custom | Manual wet hood |
| 683 | Gigi Molina Brevetti Plastici SpA | Custom | Manual wet hood |
| 684 | GL Automation | IDSCOPE | Wafer bar code reader |
| 685 | GL Automation | IDSCOPE | Wafer bar code reader |
| 686 | GL Automation | IDSCOPE | Wafer bar code reader |
| 687 | GL Automation | IDSCOPE | Wafer bar code reader |
| 688 | GL Automation | IDSCOPE | Wafer bar code reader |
| 689 | GPD | PBFT856VS | Pull Force Tester |
| 690 | GPD (General Production Devices) | PBFT-856VS | Tape Peel Analyzer |
| 691 | GPD (General Production Devices) | PBFT-856VS | Tape Peel Analyzer |
| 692 | GSI LUMONICS | 435 | LASER REPAIR |
| 693 | GSI Lumonics | Wafermark II | Laser Marking System |
| 694 | GSI Lumonics | WaferMark II | Laser Marking System |
| 695 | Hamamatsu | C7103 | PC Controlled IC Back-side Lapping and Wafer Grinding System |
| 696 | Heller | 1700exl | REFLOW OVEN |
| 697 | Heller | 1700exl | REFLOW Oven – w/ loader (Mantis-04) |
| 698 | HERMES | NMI-100 | DEFECT INSPECTION |
| 699 | Hesse & Knipps | BJ 820 | Inidexersystem |
| 700 | Highmax | HIGHMAX��� UV-200 | Highmax UV-200 Curing System |
| 701 | HIMS | NMI-100 | DEFECT INSPECTION |
| 702 | Hitachi | 4700 | FE SEM |
| 703 | HITACHI | CM-700 | DIE BONDER |
| 704 | HITACHI | CM-700 | DIE BONDER |
| 705 | HITACHI | CM-700 | DIE BONDER |
| 706 | HITACHI | CM-700 | DIE BONDER |
| 707 | Hitachi | CM-700H | BONDER |
| 708 | HITACHI | CM-700H | BONDER |
| 709 | HITACHI | CM-700H | BONDER |
| 710 | HITACHI | CM-700H | BONDER |
| 711 | HITACHI | CM-700H | BONDER |
| 712 | HITACHI | CM-700H | BONDER |
| 713 | HITACHI | CM-700H | BONDER |
| 714 | HITACHI | CM-700H | BONDER |
| 715 | HITACHI | CM-700H | BONDER |
| 716 | Hitachi | CM-700H | DIE Bonder |
| 717 | HITACHI | CM-700H | DIE BONDER |
| 718 | Hitachi | CM700H | Die Bonder |
| 719 | HITACHI | DB700SM | Die Bonder |
| 720 | HITACHI | DB700SM | Die Bonder |
| 721 | HITACHI | FB-2000A | FIB Sem |
| 722 | HITACHI | FB2100 | FIB |
| 723 | Hitachi | HD-2700 | STEM |
| 724 | Hitachi | HD2300 | STEM (Scanning Transmission Electron Microscope) |
| 725 | HITACHI | I-6300 | CD SEM |
| 726 | HITACHI | I6300 | E-BEAM |
| 727 | HITACHI | IS-2700 | Wafer Inspection |
| 728 | HITACHI | IS-2700 | Wafer Inspection Station |
| 729 | HITACHI | IS2700SE | Dark Field inspection |
| 730 | HITACHI | IS3000 | DARK FIELD |
| 731 | Hitachi | IS3000 | DARK FIELD INSPECTION |
| 732 | HITACHI | IS3000SE | WAFER PARTICLE INSPECTION |
| 733 | HITACHI | IS3200SE | WAFER PARTICLE INSPECTION |
| 734 | HITACHI | LS9000 | Wafer Surface Inspection |
| 735 | HITACHI | LS9000 | Wafer Surface Inspection System |
| 736 | HITACHI | RS 4000 | Defect Review SEM |
| 737 | HITACHI | RS 4000 | Defect Review SEM |
| 738 | HITACHI | RS6000 (Enhanced) | Defect Review SEM |
| 739 | HITACHI | S-4160 | FE SEM |
| 740 | HITACHI | S-4160 | FE SEM |
| 741 | HITACHI | S-4700 (w/EDAX) | FE SEM with EDX |
| 742 | HITACHI | S-4800 | FE SEM |
| 743 | HITACHI | S-5000 | FE SEM |
| 744 | HITACHI | S-5000 | FE SEM |
| 745 | HITACHI | S-5000 | FE SEM |
| 746 | HITACHI | S-5000 | FE SEM |
| 747 | HITACHI | S-5000 | FE SEM |
| 748 | HITACHI | S-5000 | FE SEM |
| 749 | HITACHI | S-5000 | FE SEM |
| 750 | HITACHI | S-5000 | FE SEM |
| 751 | HITACHI | S-5000 | FE SEM |
| 752 | HITACHI | S-5000 | |
| 753 | HITACHI | S-5500 | FE SEM |
| 754 | HITACHI | S-5500 | FE SEM |
| 755 | HITACHI | S-5500 | FE SEM |
| 756 | HITACHI | S-9260A | Wafer Inspection |
| 757 | Hitachi | S3500N | SEM |
| 758 | HITACHI | S4160 | Scanning electron microscope |
| 759 | Hitachi | S4500 | |
| 760 | HITACHI | S4700-l | Scanning Electron Microscope |
| 761 | Hitachi | S5200 | FE SEM with EDX |
| 762 | HITACHI | S7000 | CD SEM |
| 763 | HITACHI | SPA300-SUPER | Die Bonder |
| 764 | Hitachi | SU 8010 | Ultra High Resolution Field Emission Scanning Electron Microscope |
| 765 | Hitachi | CM700 | Die Bonder |
| 766 | HITACHI | LS-6800 | Wafer Surface Inspection |
| 767 | HITACHI | LS-6800 | wafer surface inspection |
| 768 | HITACHI | S4700-ll | FE Sem with Horriba EMAX EDX |
| 769 | HITACHI | S4700-ll | FE Sem with Horriba EMAX EDX (Destocking Status) |
| 770 | HITACHI | UA-7200 | Stripper/Asher |
| 771 | HMI | E SCANLIGHT | E-Beam inspection system |
| 772 | HSEB | AXIOSPECT 300 | MICROSCOPE INSPECTION STATION |
| 773 | HSEB Zeiss | Axiotron 300 | AOI microscope with 2 units of Brooks load port |
| 774 | Hugle | UPC-12100 | CLEANER |
| 775 | Innolas | ILS 700P | Laser Edge Isolation |
| 776 | IPEC | 472 | CMP |
| 777 | IPEC | 472 | CMP Polishing system |
| 778 | Irvine Optical | Auto Wafer Loader Microscope Inspection | WAFER INSPECTION MICROSCOPE WITH AUTOLOADER |
| 779 | Irvine Optical | Auto Wafer Loader Microscope Inspection | WAFER INSPECTION MICROSCOPE WITH AUTOLOADER |
| 780 | Irvine Optical | Auto Wafer Loader Microscope Inspection | WAFER INSPECTION MICROSCOPE WITH AUTOLOADER |
| 781 | IWASHITA | Shotmatic 3 | Epoxy Dispenser, 2ea Available |
| 782 | J.A Woollam | VUV-VASE (Gen II) | Ellipsometer |
| 783 | J.A Woollam | VUV-VASE VU302 (Gen I) | Ellipsometer |
| 784 | Jeol | JEM2010F | Field emission gun scanning and transmission electron microscope |
| 785 | JEOL | JSM 6400 | Scanning Electron Microscope |
| 786 | JEOL | JSM-5600 | FE SEM |
| 787 | JEOL | JSM-6700F | FE SEM |
| 788 | JEOL | JSM-7500F | SEM |
| 789 | JEOL | JWS-7500E | SCANNING ELECTRON MICROSCOPE |
| 790 | Jonas and Redmann | Q2 WHD A | Loader for Centrotherm E2000 furnace |
| 791 | Jonas and Redmann | SDB | Automated Loader for Baccini Printing Line |
| 792 | Jonas and Redmann | SDB A | AUTOMATED Loader for baccini Print Line |
| 793 | Jonas and Redmann | WHD (Wafer Handling Diffusion) | Automated Loader for Centrotherm E2000 Furnace |
| 794 | Jonas and Redmann | WHP (Wafer Handling Plasm) | Automated Loader for Anti Reflection Coating System |
| 795 | Jordan Valley | JVX 6200 | X-ray metrology (X-Ray Reflectivity) |
| 796 | Jordan Valley | JVX6200 | X-Ray Inspection System |
| 797 | JORDAN VALLEY | JVX6200I | X-ray Metrology System |
| 798 | K AND S | Iconn Mem Plus ELA | Bonder |
| 799 | K Tech Engineering | BK04A | Blister tape applicator for microelectronic components |
| 800 | K&S | 1471 | Automatic wedge bonder |
| 801 | K&S | 1488 | wire bonder |
| 802 | K&S | 1488 Plus | Automatic Gold Ball Bonder |
| 803 | K&S | 6495 | Semiautomatic Die Bonder |
| 804 | K&S | 6495 | Semiautomatic Epoxy Die Bonder |
| 805 | K&S | 6496 | Semi-Automatic Die Attacher |
| 806 | K&S | 6497 | Semiautomatic Flip Chip Die Bonder |
| 807 | K&S | 9388 Laser Pro | Automatic Ball Attach System |
| 808 | K&S | 982-10 Plus | Dicing Saw |
| 809 | K&S | AT Premier | Wafer Level Bonder |
| 810 | K&S | ATPremier PLUS | Wafer Level Bonder |
| 811 | K&S | MAXUM PLUS | WIRE BONDER |
| 812 | K&S | MAXUM ULTRA | WIRE BONDER |
| 813 | K&S | MAXUM ULTRA | WIRE BONDER |
| 814 | KARL SUSS | MA | Mask Aligner |
| 815 | KARL SUSS | MA 150 | Mask Aligner (For spares use) |
| 816 | Karl Suss | MA 150 CC | Mask Aligner |
| 817 | Karl Suss | Ma 150CC | Top Side/Back Side Aligner |
| 818 | Karl Suss | MA 25 | Mask Holder |
| 819 | Karl Suss | MA 45 | Mask Aligner |
| 820 | Karl Suss | MA150 | Mask Aligner |
| 821 | Karl Suss | Ma150 CC | Mask Aligner |
| 822 | KARL SUSS | MA200 | Fully Automated mask Aigner with TSA |
| 823 | Karl Suss | MA25 | Mask Aligner |
| 824 | KARL SUSS | MA56 | Mask Aligner |
| 825 | KARL SUSS | MA6 | Mask Aligner |
| 826 | Karl Suss | MA8 BA8 | Mask Aligner with BSA |
| 827 | Karl Suss | MBJ3 | Mask Aligner |
| 828 | Karl Suss | MJB 3 | Mask Aligner |
| 829 | Karl Suss | MJB 3 | Mask Aligner |
| 830 | KARL SUSS | MJB-3 | Mask Aligner |
| 831 | KARL SUSS | PM 8 | Manual Prober |
| 832 | KLA | 2830 (PARTS) | EFEM ONLY with Yaskawa XURCM9206 robot |
| 833 | KLA | 740-064388-000 | RETICLE TRANSFER SYSTEM FOR 5 AND 6 INCH PHOTOMASKS |
| 834 | KLA | Candela CS20 | Wafer Surface Inspection System |
| 835 | KLA / TENCOR / PROMETRIX | SM 200 | Spectramap 200 wafer film thickness measurement system |
| 836 | KLA Tencor | AIT I | Patterned Surface Inspection System |
| 837 | KLA TENCOR | 2132 (mainbody only) | Wafer Inspection System |
| 838 | KLA TENCOR | CI T1X0 | package inspection system |
| 839 | KLA TENCOR | CI T1X0 | package inspection system |
| 840 | KLA TENCOR | P-12 | Profileometer |
| 841 | KLA TENCOR | P-2 | Profileometer |
| 842 | KLA TENCOR | SEM-3800C | Scanning Electron Microscope |
| 843 | KLA TENCOR | Viper 2430 | Macro Defect Inspection |
| 844 | KLA TENCOR | Viper 2435 | Macro Defect Inspection |
| 845 | KLA TENCOR | Viper 2435XP | Macro Defect Inspection |
| 846 | KLA- TENCOR | P-22H | Metrology Tool |
| 847 | KLA-TENCOR | 2122 | Brightfield Wafer Defect Inspection System |
| 848 | KLA-Tencor | 2132 | Brightfield Wafer Defect Inspection System |
| 849 | KLA-TENCOR | 259 (spare parts) | Reticle Inspection – SPARE PARTS |
| 850 | KLA-Tencor | 2835i EFEM module | Yaskawa 9206 robot , fitted with qty 2 Isoports |
| 851 | KLA-Tencor | 3Di EFEM module | Yaskawa 9206 robot , fitted with qty 2 Sinfonia loadport |
| 852 | KLA-Tencor | AIT | Particle Review |
| 853 | KLA-Tencor | AIT I | Patterned Surface Defect Inspection System |
| 854 | KLA-Tencor | AIT I Surfscan | Patterned Wafer Surface Inspection |
| 855 | KLA-Tencor | Candela 7100 | Wafer Inspection system for Hard Disk Substrates |
| 856 | KLA-Tencor | ES32 | E-Beam Inspection System |
| 857 | KLA-Tencor | ES35D | E-BEAM INSPECTION |
| 858 | KLA-Tencor | FIT3120 | PARTICLE COUNTER |
| 859 | KLA-Tencor | KLA2131 | Inspection System |
| 860 | KLA-TENCOR | P20H | PROFILOMETER |
| 861 | KLA-Tencor | PROMETRIX FT750 | Film Thickness Measurement |
| 862 | KLA-Tencor | PROMETRIX FT750 | Film Thickness Measurement |
| 863 | KLA-Tencor | SFS-7600 | Patterned Wafer Surface Inspection |
| 864 | KLA-Tencor | Spectra-Shape 8660 | Optical CD Measurement System |
| 865 | KLA-Tencor | Surfscan 4500 | Wafer Particle Inspection System |
| 866 | KLA-Tencor | Surfscan AIT | Patterned Wafer Inspection |
| 867 | KLA-Tencor | THERMA-WAVE OP 2600 | OPTI-PROBE |
| 868 | KLA-Tencor | UV-1050 | Thin Film Measurement System |
| 869 | KLA-TENCOR | VISEDGE CV300 | Wafer-Edge Inspection |
| 870 | KLA-Tencor | 2351 | Bright-Field Wafer Inspection System |
| 871 | Kokusai | Advanced Ace 300 | Vertical Furnace RTP Equipment |
| 872 | Kokusai | DD-1223 V-DF | Vertical Furnace, Wet Oxidation |
| 873 | Kokusai | DD1223VN | Pyro |
| 874 | Kokusai | DJ-1223 VN-DF | Vertical Furnace, ALD Nitride |
| 875 | Kokusai | DJ-833V | Vertical furnace for HTO process |
| 876 | KOKUSAI | DJ1206VN | CVD FURNACE SiN |
| 877 | KOKUSAI | DJ1206VN | CVD FURNACE SiN |
| 878 | Kokusai | DJ1223VN | ALD |
| 879 | KOKUSAI | DJ823 | RTP Vertical Furnace |
| 880 | Kokusai | Quixace | Vertical Furnace – Other |
| 881 | Kokusai | Quixace | Vertical Furnace – Other |
| 882 | Kokusai | Quixace (DJ-1206VN-DF) | Vertical Diffusion Furnace |
| 883 | Kokusai | Quixace 2 | Vertical Nitride Furnace |
| 884 | Kokusai | Quixace 2 | Vertical Nitride Furnace |
| 885 | Kokusai | Quixace Ultimate (DJ-1236V-DF) | Vertical Diffusion Furnace |
| 886 | KOKUSAI | QUIXACE2 | ALD TiN |
| 887 | KOKUSAI | QUIXACE2 | Vertical furnace, ALD TiN |
| 888 | KOKUSAI | QUIXACE2 | Vertical furnace, ALD TiN |
| 889 | KOKUSAI | QUIXACE2 | Vertical furnace, ALD TiN |
| 890 | KOKUSAI | QUIXACE2 | Vertical furnace, ALD TiN |
| 891 | KOKUSAI | QUIXACE2 | Vertical furnace, ALD TiN |
| 892 | KOKUSAI | QUIXACE2 | Vertical Furnace, Nitride deposition |
| 893 | KOKUSAI | ZESTON-lll DD-1223V | Dielectric Etch |
| 894 | KORNIC | KORONARTP1200+ | RTP |
| 895 | KORNIC | RTP-600M | RTP, Wafer Diffusion |
| 896 | LABCONCO | Protector | Laboratory Fume Hood and Cabinet with Sink |
| 897 | Lam | 2300 (CHAMBER) | Microwave Strip Chamber |
| 898 | LAM | 2300 CHAMBER ONLY | MWAVE STRIP (POLY) |
| 899 | LAM | 2300 CHAMBER ONLY | MWAVE STRIP (POLY) |
| 900 | LAM | 2300 CHAMBER ONLY | MWAVE STRIP (POLY) |
| 901 | LAM | 2300 CHAMBER ONLY | MWAVE STRIP (POLY) |
| 902 | LAM | 2300 CHAMBER ONLY | MWAVE STRIP (POLY) |
| 903 | Lam | 2300 Exelan Flex | Dry Etcher with 3 chambers |
| 904 | Lam | 2300 Exelan Flex 45 | Poly etcher, 4 chamber |
| 905 | LAM | 2300 FX EX+ CHAMBER ONLY | PLASMA OXIDE ETCH |
| 906 | LAM | 2300 MWAVE STRPR CHAMBER | MWAVE STRIP (POLY) |
| 907 | LAM | ALTUS | CVD |
| 908 | LAM | FLEX FX CHAMBER ONLY | PLASMA OXIDE ETCH |
| 909 | LAM | Novellus Altus Max Concept Three | Tungsten CVD |
| 910 | LAM | TORUS300K | DRY Bevel Etcher |
| 911 | LAM | Ontrak Synergy | Post CMP cleaner with HEPA mini-environment |
| 912 | Lam Research | 2300 EXELAN | Dry etcher |
| 913 | Lam Research | 2300 EXELAN | Dry etcher |
| 914 | Lam Research | 2300 Exelan Chamber | Dry etch cluster tool (Chamber) |
| 915 | Lam Research | 2300 Exelan Flex Chamber | Dry etch cluster tool (Chamber) |
| 916 | Lam Research | 2300 Exelan Flex Chamber | Dry etch cluster tool (Chamber) |
| 917 | LAM Research | 2300 MWAVE STRPR | Asher/Stripper |
| 918 | Lam Research | 2300 SELIS Chamber | Dry etch cluster tool (Chamber) |
| 919 | Lam Research | 2300 Strip Chamber | Dry etch cluster tool (Chamber) |
| 920 | Lam Research | 2300 Strip Chamber | Dry etch cluster tool (Chamber) |
| 921 | Lam Research | 2300 Strip Chamber | Dry etch cluster tool (Chamber) |
| 922 | LAM RESEARCH | ALTUS | CVD |
| 923 | LAM Research | ALTUS | CVD System |
| 924 | LAM Research | ALTUS | CVD System |
| 925 | LAM Research | ALTUS | CVD System |
| 926 | Lam Research | C3 SPEED(3CH) | CVD cluster tool |
| 927 | LAM Research | EXELAN 2300 | OXIDE ETCH |
| 928 | Lam Research | FLEX e4/EFEM | Dry etch cluster tool EFEM |
| 929 | Lam Research | FLEX e4/EFEM | Dry etch cluster tool EFEM |
| 930 | LAM Research | FLEX GX E6 | OXIDE ETCH |
| 931 | LAM Research | FLEX GX E6 | OXIDE ETCH |
| 932 | Lam Research | INOVA | METAL PVD |
| 933 | Lam Research | INOVA | METAL PVD |
| 934 | Lam Research | INOVA | METAL PVD |
| 935 | Lam Research | INOVA | METAL PVD |
| 936 | LAM RESEARCH | INOVA | PVD |
| 937 | LAM RESEARCH | INOVA | PVD |
| 938 | LAM Research | INOVA Concept 3 | NExT |
| 939 | Lam Research | LAM 2300 FLEX45(3CH) | Dry etch cluster tool |
| 940 | Lam Research | LAM 2300 FLEX45(3CH) | Dry etch cluster tool |
| 941 | Lam Research | LAM ALLIANCE6 9400DFM | Dry etch cluster tool |
| 942 | Lam Research | LAM ALLIANCE6 9600DFM | Dry etch cluster tool |
| 943 | LAM Research | Ontrak DSS-200 | Post CMP cleaner |
| 944 | Lam Research | RAINBOW 4420 | Dry Etcher |
| 945 | Lam Research | RAINBOW 4520 | Dry Etcher |
| 946 | Lam Research | RAINBOW 4520i | Dry Etcher |
| 947 | Lam Research | RAINBOW 4720 | Dry Etcher |
| 948 | LAM Research | RST304 | Wafer surface and back side acid cleaning system |
| 949 | LAM RESEARCH | STRATA-3 | CVD |
| 950 | LAM RESEARCH | STRIKER | CVD |
| 951 | LAM RESEARCH | STRIKER | CVD |
| 952 | Lam Research | Strip Chamber | Dry etch cluster tool (Chamber) |
| 953 | Lam Research | Strip Chamber | Dry etch cluster tool (Chamber) |
| 954 | Lam Research | TCP9400SE | Dry Etcher |
| 955 | Lam Research | TCP9600SE | Dry Etcher |
| 956 | LAM RESEARCH | Vector Express | CVD |
| 957 | Lam Research | Vector Express AHM | PECVD |
| 958 | LAM Research | VECTOR Express™ | PE CVD System |
| 959 | Lam Research | VECTOR STRATA-3 | CVD cluster tool |
| 960 | Lam Research | VECTOR STRATA-3 | CVD cluster tool |
| 961 | Lam Research | VECTOR STRATA-3 | CVD cluster tool |
| 962 | Lam Research | VECTOR STRATA-GX | CVD cluster tool |
| 963 | Lam Research | VECTOR STRATA-GX | CVD cluster tool |
| 964 | Lam Research | VECTOR STRATA-GX | CVD cluster tool |
| 965 | LAMBDA PHYSIK | COMPEX205 | KrF Laser |
| 966 | LASERTEC | BGM300 | Wafer Inspection System |
| 967 | LASERTEC | BGM300 | Wafer Surface Analyzing and VIsualization System |
| 968 | Laurier | DS-7000T/R | Die Pick and Sort |
| 969 | LEATHERWOOD | LPD333.FR4.FT | Semi-Auto Automated 6′ Acid Wet Bench, for up to 6″ Wafers, Excellent Condition |
| 970 | LEATHERWOOD | LPJ333.SS.ADFTX | Semi-Auto 6′ Solvent Wet Bench, for up to 6″ Wafers, Excellent Condition |
| 971 | Leica | LEICA INM20 | Microscope inspection station |
| 972 | Leica | MZ 12.5 | Microscope |
| 973 | Leica | MZ 12.5 | Stereomicroscope |
| 974 | Leica | MZ 6 | Microscope |
| 975 | Leica | MZ 8 | Microscope |
| 976 | Leica | S8APO | 3-D Microscope |
| 977 | Leica/Wild | M 8 | Stereomicroscope |
| 978 | LEITZ | MPV-SP | Wafer Inspection Microscope |
| 979 | Lintec | RAD-2000F / 8 | LINTEC UV CURE TOOL |
| 980 | Lintec | RAD-2500 | Lintec Wafter Mounter RAD2500 |
| 981 | Lintec | RAD-2500M/8 | Wafer mounter |
| 982 | Lintec | RAD2000/F8 | UV Irradiator for Detaping System |
| 983 | LUMONICS | SUPERCLEAN | Laser Marking System |
| 984 | MACTRONIX | UKA-650 | Wafer Transfer Tool – Eureka III Sr. for 150mm Wafers |
| 985 | MACTRONIX | UKA-825 | Wafer Transfer Tool for 200mm Wafers |
| 986 | March | Plasmod | Plasma asher |
| 987 | MARCH | PX-1000E8 | Plasma Cleaner |
| 988 | Matrix | 105 | Plasma Asher |
| 989 | Mattson | Aspen 3 ICP | Dual Chamber Asher |
| 990 | Mattson | AST2800 | RTP System |
| 991 | Mattson | AST3000 | RTP System |
| 992 | MATTSON | HELIOS | RTP |
| 993 | MATTSON | HELIOS | RTP |
| 994 | MATTSON | HELIOS | RTP |
| 995 | MATTSON | HELIOS | RTP |
| 996 | MATTSON | HELIOS | RTP |
| 997 | MATTSON | HELIOS | RTP |
| 998 | MATTSON | PARADIGM_SI | DRY ETCH |
| 999 | MATTSON | PARADIGM_SI | Poly-silicon etcher |
| 1000 | MATTSON | PARADIGME SI | Dry Strip |
| 1001 | MATTSON | PARADIGME SI | Polysilicon Etch |
| 1002 | Mazzali | Climatest C320G5 | Temperature and humidity testing chamber |
| 1003 | Mazzali | Climatest C320G5 | Temperature and humidity testing chamber |
| 1004 | MDC | CSM | Automatic CV Plotter with RM-1600 Computer |
| 1005 | MDC | CSM/16 | Automatic CV Plotter with RM-1600 Computer |
| 1006 | MDC (Materials Development Corp.) | DUO CHUCK CSM16 | CV Measurement system |
| 1007 | MECO | Innoline | Plating Line |
| 1008 | MECO | Plating Line | |
| 1009 | MECS(Asyst) | UTW-FS5500S | atmospheric wafer handling robot |
| 1010 | MEI | MEI Cassette Cleaner | |
| 1011 | Meyer Burger | PiXDRO Jetx | Inkjet printing cluster |
| 1012 | Micro Automation | 2066 | Mask and wafer cleaner |
| 1013 | Microcontrol | MWE Plus | UV Wafer Eraser with cassette loading |
| 1014 | MICROMANIPULATOR | MM6400 | TEST |
| 1015 | MICROMANIPULATOR | MM8065 | TEST |
| 1016 | MICROMANIPULATOR | MM8065 | TEST |
| 1017 | MICROMANIPULATOR | MM9920 | TEST |
| 1018 | MICROMANIPULATOR | MM9920 | TEST |
| 1019 | Midas | MDA-400M-06 | – |
| 1020 | Milara | Milara MTW-1 | Milara MiniTouch MTW-1 Wafer Screen Printer |
| 1021 | Milara | Milara MTW-1 | Milara MiniTouch MTW-1 Wafer Screen Printer |
| 1022 | Minato | MM-6600 | Wafer Mobility Tester |
| 1023 | MITUTOYO | MP2000 | METRO |
| 1024 | Mitutoyo | QVT1-X606P1L-D | quick vision apex cnc vision measuring machine |
| 1025 | Mosaid | 4205 | Memory Tester |
| 1026 | Mosaid | 4205 Memory Tester | Memory Tester |
| 1027 | Mosaid | 4205 Memory Tester | Memory Tester |
| 1028 | Mosaid | 4205 Memory Tester | Memory Tester |
| 1029 | MOSAID | MS4155 | Memory Test System |
| 1030 | MPM | SP200 | Screen Printer |
| 1031 | MRL | 1148 G2 | Horizontal furnace for POCl3 and BBr3 |
| 1032 | MRL | 650016506 R05 | 3 Zone Single Tube Semiconductor Furnace |
| 1033 | MRL INDUSTRIES | 1024 | 3-Tube Horizontal Diffusion Furnace |
| 1034 | Muhlbauer | DS 10000 | DSD HIGH SPEED TAPE AND REEL SYSTEM |
| 1035 | Muhlbauer | DS 10000 | Muhlbauer DS10000 |
| 1036 | Multitest | MT2168 | Pick and Place handler |
| 1037 | Multitest | MT2168 | Test Handler |
| 1038 | Multitest | MT 8501 Kit for DIL400 | |
| 1039 | Multitest | MT 8501 Kit for DIL600 | |
| 1040 | Multitest | MT8501 Kit for DIL400 | |
| 1041 | Muratec | SRC320 / LIM | Over Head Transport System (OHT) |
| 1042 | N&K | 1700RT | Wafer Analyzer |
| 1043 | N&K | ANALYZER 5700-CDRT | Wafer Inspection System |
| 1044 | n&k Technology | 6700-CDRT | Wafer Inspection System |
| 1045 | N&K TECHNOLOGY INC | GEMINI | SCATTEROMETER/REFLECTANCE-TRANSMISSION MEASUREMENT OF PHOTOMASK |
| 1046 | NANO OPTICS | HAZE 2 | Nano Optics Haze 2 |
| 1047 | Nanofab | Nano150 | Ion Beam Lithography / Implanter System |
| 1048 | Nanofab | Nano200 | Ion Beam Lithography / Implanter System |
| 1049 | Nanofocus | Micro-sprint | 3d inspection system with handler |
| 1050 | Nanometrics | 8000X | film thickness measurement |
| 1051 | Nanometrics | 8000Xse | film thickness measurement |
| 1052 | Nanometrics | 9000 | Nanospec 9000 Metrology thickness tool |
| 1053 | Nanometrics | 9010 Lithos | CD Measurement System |
| 1054 | NANOMETRICS | CALIPER ELAN | Overlay |
| 1055 | NANOMETRICS | Caliper Mosaic | Overlay |
| 1056 | NANOMETRICS | Caliper Mosaic | Overlay |
| 1057 | NANOMETRICS | Caliper Mosaic | Overlay |
| 1058 | Nanometrics | Caliper Mosaic (Parts) | EFEM Module, including a Brooks Razor robot |
| 1059 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection |
| 1060 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection |
| 1061 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection |
| 1062 | NANOMETRICS | CALIPER_ULTRA | Mask & Wafer Inspection |
| 1063 | NANOMETRICS | CALIPER-ULTRA | Overlay Measurement |
| 1064 | Nanometrics | Lynx EFEM | Kawasaki robot |
| 1065 | NANOMETRICS | NANOMETRICS 9-7200-0195E | Mask & Wafer Inspection |
| 1066 | Nanometrics | Nanospec 9100 | Ox film thickness measurement( PC missing) |
| 1067 | Nanometrics | SIPHER | PL Mapping |
| 1068 | NEC | NEC SL-473F | Si Wafer Marker |
| 1069 | NESLAB | HX-750 | Air Cooled Recirculating Water Chiller, Damaged Compressor |
| 1070 | NexGen Technologies | Nexgen Prober 2013 Model | semi-automatic prober with hot chuck |
| 1071 | Nextest | Maverick 2 PT | Bitmap tester |
| 1072 | NEXTEST | Maverick II PT | Memory Test System |
| 1073 | NEXTEST | Maverick II ST | Memory Test System |
| 1074 | NEXTEST | Maverick II ST | Memory Test System |
| 1075 | NEXTEST | Maverick II ST | Memory Test System |
| 1076 | NEXTEST | MAVERICK PT I | Automated Test Equipment |
| 1077 | NEXTEST | MAVERICK PT II | Automated Test Equipment |
| 1078 | NEXTEST | MAVERICK PT II | Automated Test Equipment |
| 1079 | NEXTEST | Maverick-II PT | Bitmap Tester |
| 1080 | NexTest / Teradyne | MAGNUM 1 EV | Automated Test Equipment |
| 1081 | NexTest / Teradyne | MAVERICK PT II | Automated Test Equipment |
| 1082 | NexTest / Teradyne | MAVERICK PT II | Automated Test Equipment |
| 1083 | NGR | NGR2150 | E-beam wafer inspection |
| 1084 | NICOLET | Magna 550 | FT-IR Spectrophotometer |
| 1085 | NIKON | AMI-3300 | Wafer Inspection System |
| 1086 | NIKON | AMI-3300 | Wafer Inspection System |
| 1087 | NIKON | AMI-3500 | Wafer Inspection System |
| 1088 | Nikon | Auto Wafer Loader for Microscope Inspection | Controller, Visual Inspect |
| 1089 | Nikon | Auto Wafer Loader for Microscope Inspection | WAFER INSPECTION MICROSCOPE |
| 1090 | Nikon | Auto Wafer Loader for Microscope Inspection | WAFER INSPECTION MICROSCOPE WITH AUTOLOADER |
| 1091 | Nikon | ECLIPSE L150 | Microscope |
| 1092 | Nikon | Eclipse LV 100 | Stereomicroscope |
| 1093 | Nikon | EpiPhot 200 | Inspection Microscope |
| 1094 | Nikon | Lasermark Overlap Inspection System | Inspection System |
| 1095 | Nikon | Microscope | Wafer Inspection Dual Microscope |
| 1096 | Nikon | NSR-1505G4C | 5x Reduction Stepper |
| 1097 | Nikon | NSR-1505G4C | 5x Reduction Stepper |
| 1098 | Nikon | NSR-1505G4C | 5x Reduction Stepper |
| 1099 | Nikon | NSR-1505G4C | 5x Reduction Stepper |
| 1100 | NIKON | NSR-204B | Scanner DUV (GIGAPHOTON G20K2 KRF laser) |
| 1101 | NIKON | NSR-204B | Scanner DUV (GIGAPHOTON G20K2 KRF laser) |
| 1102 | NIKON | NSR-S620D | 193 NM immersion scanner (EXCIMER LASER NOT INCLUDED) |
| 1103 | Nikon | NSR2205i12D | I-LINE STEPPER |
| 1104 | Nikon | NWL860-TBM | Wafer Auto Loader |
| 1105 | Nikon | Optiphot | Microscope |
| 1106 | Nikon | Optiphot 150 | Microscope Inspection Station |
| 1107 | Nikon | Optiphot 150 | Wafer Inspection Microscope |
| 1108 | Nikon | Optiphot 150 | Wafer Inspection Microscope |
| 1109 | Nikon | Optiphot 150 | Wafer Inspection Microscope |
| 1110 | NIKON | Optiphot 150 | Wafer Inspection Microscope, 10X, 20X, 50X & 150X Objs., 150mm XY Stage |
| 1111 | Nikon | Optiphot 200 | Wafer Inspection Microscope |
| 1112 | NIKON | Optiphot 200 (For spares use) | Wafer Inspection Microscope (Parts Tool Only) |
| 1113 | Nikon | OPTIPHOT 300 | WAFER INSPECTION MICROSCOPE WITH AUTOLOADER |
| 1114 | NIKON | Optiphot 88 | Wafer Inspection Microscope |
| 1115 | NIKON | Optiphot 88 | Wafer Inspection Microscope |
| 1116 | Nikon | Optistation 3 | Microscope Wafer Inspection Satation with cassette to cassette handling |
| 1117 | Nikon | Optistation 3 | Microscope Wafer Inspection Station with cassette to cassette handling |
| 1118 | Nikon | Optistation 3 | Wafer Inspection System |
| 1119 | Nikon | Optistation 3A | Automatic Wafer Inspection Station |
| 1120 | Nikon | Optiphot 200 | Inspection Microscope |
| 1121 | Nikon | Optiphot 300 | Inspection Microscope |
| 1122 | Nikon | Optiphot-88-AC IN | Inspection Microscope |
| 1123 | Nikon | Optistation 3A | Microscope Inspection station |
| 1124 | NISSIN | Exceed 2000A | Ion Implanter |
| 1125 | Nitto | DR8500II | Automatic Taper |
| 1126 | Nitto | HR8500II | Automatic Detaper, Tape Remover |
| 1127 | Nitto | MA 3000 II | Wafer tape mounter and demounter |
| 1128 | Nordson | Matrix X3 | High Speed XRay System f. Tray Handling |
| 1129 | Nordson | Matrix X3 | PCB X Ray inspection system |
| 1130 | Nordson Dage | 4000 Optima | Wire Pull Tester |
| 1131 | NOVELLUS | CONCEPT 3 GAMMA 2130 | |
| 1132 | NOVELLUS | CONCEPT 3 GAMMA 2130 | |
| 1133 | NOVELLUS | CONCEPT 3 INOVA | NExT |
| 1134 | NOVELLUS | CONCEPT 3 SPEED | NEXT |
| 1135 | NOVELLUS | CONCEPT 3 SPEED | NEXT |
| 1136 | NOVELLUS | CONCEPT 3 SPEED | NEXT |
| 1137 | NOVELLUS | CONCEPT 3 SPEED | NEXT |
| 1138 | NOVELLUS | GAMMA 2100 | asher / PR STRIP |
| 1139 | NOVELLUS | VECTOR | PECVD Nitride |
| 1140 | NOVELLUS | VECTOR | TEOS CVD SYSTEM |
| 1141 | NOVELLUS | VECTOR | TEOS CVD SYSTEM |
| 1142 | NOVELLUS | VECTOR | TEOS CVD SYSTEM |
| 1143 | NOVELLUS | VECTOR | TEOS CVD SYSTEM |
| 1144 | NOVELLUS | VECTOR | TEOS CVD SYSTEM |
| 1145 | Novellus Gasonics | A3010 | Dry/Plasma Asher |
| 1146 | OAI | CUSTOM | OI Analytical Model 1088 |
| 1147 | Oerlikon | Clusterline 200 | PVD cluster tool with 6 chambers (Used for Al, Ti, NiV and AG) |
| 1148 | Olympus | AL100N-LMB8 | wafer loader for Olympus microscope |
| 1149 | Olympus | AL110-LMB6 with MX51 | wafer loader with Microscope |
| 1150 | OLYMPUS | BH-BHM | Wafer Inspection Microscope |
| 1151 | Olympus | BHMJL | Wafer Inspection Microscope |
| 1152 | Olympus | BHMJL | Wafer Inspection Microscope |
| 1153 | OLYMPUS / ZEISS | AL100 / AXIOTRON | MICROSCOPE INSPECTION STATION |
| 1154 | OLYMPUS / ZEISS | AL100 / AXIOTRON | MICROSCOPE INSPECTION STATION |
| 1155 | OLYMPUS / ZEISS | AL100 / AXIOTRON | MICROSCOPE INSPECTION STATION |
| 1156 | OLYMPUS / ZEISS | AL100 / AXIOTRON | MICROSCOPE INSPECTION STATION |
| 1157 | ONTO | WV320 | MACRO INSPECTING |
| 1158 | Orbotech | Ultra Discovery VM | Automatic Optical Inspection |
| 1159 | ORIEL | Antivibration Table | Isolation Table |
| 1160 | Oxford | 100 Plus | PECVD Nitride System |
| 1161 | OXFORD | 800+ | RIE (Reactive Ion Etcher) |
| 1162 | Oxford | Micro-dep 300 | PE CVD system (For spares use) |
| 1163 | Oxford | Micro-etch 300 | Dry Etcher |
| 1164 | Oxford | PlasmaLab 100 | ICP Etcher |
| 1165 | Panalytical | X-PERT | X-RAY DIFFRACTOMETER |
| 1166 | PANASONIC | FCB3 | Bonder |
| 1167 | Panasonic | FCB3 | Flip-Chip Bonder |
| 1168 | Partial | G4.5 | OLED Flat Panel Display line with TFT (LPTS) and Cell lines |
| 1169 | Philips | PHI 680 | Auger Nanoprobe |
| 1170 | Phoenix | Micromex SE 160T | X Ray inspection system |
| 1171 | PHOENIX | NANOMEX 160 NF | X-RAY INSPECTION SYSTEM |
| 1172 | Phoenix | Nanomex 180 | X-Ray Inspection System |
| 1173 | Physical Electronics | PHI 680 | Auger Nanoprobe |
| 1174 | Plasma Therm | 790 | PE CVD System |
| 1175 | PLASMA THERM | GEN4 MASK IV | DRY ETCHER FOR PHOTOMASKS |
| 1176 | Plasma Therm | Wafer/ Batch 740 | DUAL PLASMA ETCH AND RIE |
| 1177 | Plasma Therm | Wafer/ Batch 740 | DUAL PLASMA ETCH AND RIE |
| 1178 | PLASMATHERM | 7300 | PECVD SYSTEM |
| 1179 | PlasmaTherm | SLR 740 | Dual Chamber RIE / Plasma etch |
| 1180 | Plasmatherm | SLR 770 | Dual Chamber R.I.E. |
| 1181 | Plasmatherm | SLR 790 | Dual Chamber RIE |
| 1182 | Plasmatherm | SLR-770 ICP | Silicon Deep Etching |
| 1183 | Plasmos | SD 2004 | Multi-Wavelength Ellipsometer |
| 1184 | PLASMOS | SD2000 | Automatic Ellipsometer |
| 1185 | Poly Design Inc. | Custom | Heated Quartz Boat storage / drying system |
| 1186 | PSC | DES-220-456AVL | ASHER |
| 1187 | PSK | DAS 2000 | Asher |
| 1188 | PSK | DAS2000 | ASHER |
| 1189 | PSK | EVOLITE2 | ETCH |
| 1190 | PSK | SUPRA3 | Asher Dry Strip |
| 1191 | PSK | SUPRA3 | Dry Strip |
| 1192 | PSK | SUPRA3 | ETCH |
| 1193 | PSK | SUPRA3 | ETCH |
| 1194 | PSK | TERA21 | Asher |
| 1195 | PSK | TERA21 | Asher |
| 1196 | PSK | TERA21 | Asher |
| 1197 | PSK | TERA21 | Asher/Stripper |
| 1198 | PSK | TERA21 | PR Ashing |
| 1199 | PSK | TERA21 | PR Ashing |
| 1200 | PSK | TERA21 | PR Ashing |
| 1201 | Quantronix | DRS 820 | Inspection System |
| 1202 | Raytex | RXW-0826SFIX-SMIF | edge scanner |
| 1203 | Raytex | RXW-1226SFI | Wafer Edge Inspection |
| 1204 | RAYTEX | RXW-800 | EDGE SCAN |
| 1205 | Recif | G3 Plus | Wafer Sorter |
| 1206 | Recif | G3 Plus | Wafer Sorter |
| 1207 | Recif | G3 Plus | Wafer Sorter |
| 1208 | Recif | G3 Plus | Wafer Sorter |
| 1209 | Recif | G3 Plus | Wafer Sorter |
| 1210 | RECIF | IDLW8R | Wafer ID Reader – Tabletop for 200mm Wafers |
| 1211 | RECIF | SPP8 | Wafer Transfer for 200mm Wafers |
| 1212 | Rena | Etcher | In-Line Etching System |
| 1213 | Revera | RVX5000 | X-Ray Photoelectron Spectroscopy (XPS) |
| 1214 | Riber | 6000 | MBE (Molecular Beam Epitaxy) |
| 1215 | Riber | 7000 | MBE (Molecular Beam Epitaxy) |
| 1216 | Riber | 7000 | MBE (Molecular Beam Epitaxy) |
| 1217 | Riber | 7000 | MBE (Molecular Beam Epitaxy) |
| 1218 | Riber | 7000 | MBE (Molecular Beam Epitaxy) |
| 1219 | Riber | 7000 | MBE (Molecular Beam Epitaxy) |
| 1220 | Riber ® | MBE 32 | Molecular Beam Epitaxy system for R and D |
| 1221 | RIGAKU | 3630 (For spares use) | Xray Fluorescence Wafer/Disk Analyzer, Missing Xray Tube |
| 1222 | Rigaku | MFM65 | In-Line Energy Dispersive X-Ray Fluoresence Wafer Inspection System |
| 1223 | RIGAKU | XRF3640 (Handle include) | Wafer/ Disk Analyzer |
| 1224 | Rofin | PowerLine D-100 (RSM, Sx) | Fiber Laser for solar cell edge isolation |
| 1225 | RORZE | RASS300F | Wafer Sorter / 4Foup type |
| 1226 | Rorze | RR701L1521-3A3-111-2 | Dual arm Atmospheric wafer handling robot |
| 1227 | Rorze | RR701L1521-3A3-111-3 | Dual arm Atmospheric wafer handling robot |
| 1228 | Rorze | RR701L90-Z20-616 | Dual arm Atmospheric wafer handling robot |
| 1229 | Rorze | RR713L1521-3A3-E13(E11)-1 | Dual arm Atmospheric wafer handling robot |
| 1230 | Rorze | RR717L1521 | Dual arm Atmospheric wafer handling robot |
| 1231 | RORZE | RSC242 | Wafer Sorter / 4Foup type |
| 1232 | RORZE | RSC242 | Wafer Sorter / 4Foup type |
| 1233 | Rorze | RV201 | Load Port |
| 1234 | Rorze | Wafer sorter with RR717L1521 robot | wafer sorter for 300mm wafer |
| 1235 | Roth & Rau | SiNA (Spare Parts) | Spare Parts from PECVD system for deposition of Silicon Nitride |
| 1236 | Royce | DE35-8 | Pick and Place System |
| 1237 | RUCKER & KOLLS | 683A | PROBER |
| 1238 | RUDOLPH | MP3_300A | METAL THICKNESS MEASUREMENT |
| 1239 | Rudolph | Auto EL | Elipsometer |
| 1240 | Rudolph | AXI 940B | Wafer Inspection Equipment |
| 1241 | Rudolph | AXI 940B | Wafer Inspection Equipment |
| 1242 | Rudolph | AXI-935D | Macro Inspection System |
| 1243 | Rudolph | AXI-935D | Macro Inspection System |
| 1244 | RUDOLPH | AXI-S | Macro Inspection |
| 1245 | RUDOLPH | AXI-S | Macro Inspection System |
| 1246 | Rudolph | AXI-S | Macro Inspection System |
| 1247 | RUDOLPH | AXI-S | Macro Wafer Inspection |
| 1248 | RUDOLPH | AXI935D | AVI |
| 1249 | Rudolph | FE IIID | Dual Wavelength Ellipsometer |
| 1250 | RUDOLPH | FE-3 | Focus Ellipsometer |
| 1251 | RUDOLPH | FE-4D | Focus Ellipsometer |
| 1252 | RUDOLPH | FE-7 | Ellipsometer |
| 1253 | RUDOLPH | Meta Pulse | Film Metrology |
| 1254 | RUDOLPH | Meta Pulse 300 | Film thickness measurement |
| 1255 | RUDOLPH | Meta Pulse 300 | Film thickness measurement |
| 1256 | RUDOLPH | MetaPulse 3 300A | Film Thickness Measurement |
| 1257 | RUDOLPH | MP-300 | Film thickness measurement |
| 1258 | RUDOLPH | MP1-300 | Film Thickness Measurement |
| 1259 | RUDOLPH | MP1-300 | Film Thickness Measurement |
| 1260 | RUDOLPH | MP1-300 | Film thickness measurement |
| 1261 | RUDOLPH | MP1-300XCU | Film thickness measurement system |
| 1262 | RUDOLPH | MP1-300XCU | Film Thickness Measurement System |
| 1263 | RUDOLPH | MP200 | METROLOGY |
| 1264 | RUDOLPH | MP200 XCu | Thin Film Measurement |
| 1265 | RUDOLPH | MP3 300XCU | FLIM THICKNESS MEASUREMENT SYSTEM |
| 1266 | RUDOLPH | MP300 | Film thickness measurement |
| 1267 | RUDOLPH | MP300 | Film thickness measurement |
| 1268 | RUDOLPH | NSX 105 | Automated Wafer, Die and Bump Inspection System |
| 1269 | RUDOLPH | NSX 105 | MACRO DEFECT INSPECTION SYSTEM |
| 1270 | Rudolph | NSX 115 | Automated Defect Inspection |
| 1271 | Rudolph | NSX 95 | Automated Macro Defect Inspection |
| 1272 | Rudolph | NSX-105 | Automated Defect Inspection |
| 1273 | Rudolph | NSX-105d1 | Automated Defect Inspection |
| 1274 | Rudolph | NSX-95 | Automated Macro Defect Inspection |
| 1275 | Rudolph | NSX-95 | Manual Macro Wafer Defect Inspection |
| 1276 | RUDOLPH | S3000A | FBE(focused beam laser ellipsometry) |
| 1277 | RUDOLPH | S3000A | FBE(focused beam laser ellipsometry) |
| 1278 | RUDOLPH | S3000A | FBE(focused beam laser ellipsometry) |
| 1279 | RUDOLPH | S3000A | FBE(focused beam laser ellipsometry) |
| 1280 | RUDOLPH | S3000A | Focused Beam Ellipsometer |
| 1281 | RUDOLPH | S3000S | FBE(focused beam laser ellipsometry) |
| 1282 | RUDOLPH | S3000S | FBE(focused beam laser ellipsometry) |
| 1283 | RUDOLPH | WS2500 | Wafer Inspection System |
| 1284 | RUDOLPH | WS2500 | Wafer Inspection System |
| 1285 | RUDOLPH | WS2500 | Wafer Inspection System |
| 1286 | RUDOLPH | WS2500 | Wafer Inspection System |
| 1287 | Rudolph | WS3840 | 3D Bump Metrology |
| 1288 | RUDOLPH | WV320 | Macro Inspection |
| 1289 | RUDOLPH | WV320 | Metrology |
| 1290 | RUDOLPH | AXI_S | Macro Inspection System |
| 1291 | RUDOLPH | AXI_S | Macro Inspection System |
| 1292 | RUDOLPH | AXI_S | Macro Inspection System |
| 1293 | RUDOLPH | META PULSE 200 | Surface Film Metrology |
| 1294 | RUDOLPH | MP200 | Film thickness measurement |
| 1295 | RUDOLPH | MP200 | Film thickness measurement |
| 1296 | Rudolph | MP200XCU | Cu Film thickness measurement |
| 1297 | Rudolph | MP300 | Metal Film thickness measurement |
| 1298 | Rudolph | MP300 XCu | Cu Film thickness measurement |
| 1299 | RUDOLPH | WV320 | Macro Defect Inspection System |
| 1300 | RUDOLPH | WV320 | Macro Defect Inspection System |
| 1301 | Rudolph | WV320 | MACRO INSPECTION |
| 1302 | Rudolph | WV320 | MACRO INSPECTION |
| 1303 | Rudolph | WV320 | MACRO INSPECTION |
| 1304 | S Cubed | N/A | S Cubed Spin Coat Bake System |
| 1305 | Salon Teknopaja OY | PWB | Printed Wire Board Level Drop Tester with Solder Joint Reliability tester |
| 1306 | SAMCO | PD3800 | LED – pecvd system |
| 1307 | SAMCO | RIE-10N | RIE |
| 1308 | SAMCO | RIE-10N | RIE |
| 1309 | SAMCO | RIE-10NR | ETCH |
| 1310 | SAMCO | RIE-10NR | RIE |
| 1311 | SAMCO | RIE-212 IPC | LED Reactive Ion Etcher |
| 1312 | SAMCO | RIE-300NR | Reactive Ion Etching System |
| 1313 | SAMCO | RIE-300NR | Reactive Ion Etching System |
| 1314 | Schroff | PSM 115 | Power Supply Unit |
| 1315 | SDI | FAAST 230-DP+SPV+SLIC | CARRIER LIFETIME MEASUREMENT |
| 1316 | SDI | SPV | Measurement Stage |
| 1317 | SDI | SPV-1050 | Contamination Monitoring System |
| 1318 | SDI | SPV-300 | Surface Photo Voltage Tester for up to 300mm Wafers |
| 1319 | SDI / Semilab | FAaST-330 | Dielectric Characterization Tool with COCOS & Epi-t for up to 300mm Wafers |
| 1320 | SDL | 800 | Laser Diode Driver |
| 1321 | SECASI | – | Goniometer |
| 1322 | SECRON | IP 300 | Prober |
| 1323 | SEIKO | CHIPS-200 | metrology system |
| 1324 | SEIKO SEIKI | SMI2200 | SEM |
| 1325 | SELA | EM3i | Saw for TEM sample preparation |
| 1326 | SELA | MC100 | metrology system |
| 1327 | SELA | MC500 | metrology system |
| 1328 | SEMICS | Opus 2 | Prober |
| 1329 | Semics | OPUS II | Wafer Prober |
| 1330 | Semics | OPUS II | Wafer Prober |
| 1331 | SEMICS | OPUS II | Wafer Prober |
| 1332 | SEMICS | OPUS II | Wafer Prober |
| 1333 | SEMICS | OPUS II | Wafer Prober |
| 1334 | SEMICS | OPUS II | Wafer Prober |
| 1335 | SEMICS | OPUS II | Wafer Prober |
| 1336 | SEMICS | OPUS II | Wafer Prober |
| 1337 | SEMICS | OPUS II | Wafer Prober |
| 1338 | SEMICS | OPUS II | Wafer Prober |
| 1339 | Semics | OPUS III | Wafer Prober |
| 1340 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER |
| 1341 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER |
| 1342 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER |
| 1343 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER |
| 1344 | SEMICS | OPUS3 | FULLY AUTOMATED PROBER |
| 1345 | SEMICS | OPUS3 | FULLY AUTOMATED PROBER |
| 1346 | Semitool | Raider | Electroplating System |
| 1347 | Semitool | Raider ECD 310 | Copper Film ECD |
| 1348 | Semitool | SAT2081D2PCCU | OEM SAT Spray Acid Etch Tool |
| 1349 | Semitool | Spectrum 300 | Solvent Wet |
| 1350 | Semitool | Spectrum 300 | Solvent Wet – Resist Stripping |
| 1351 | Semitool | SRD-2405-3-1-E-ML | Spin Rinse Dryer |
| 1352 | Semitool | SST-C-221-280 | Semitool Spray Solvent |
| 1353 | Semitool | SST-F221-280 | Semitool Spray Solvent (old Style Refurbished by MEI) |
| 1354 | SFI | Endeavor 8600 | PVD cluster tool |
| 1355 | SFI | Endeavor 8600 | PVD cluster tool |
| 1356 | SFI | Endeavor 8600 | PVD cluster tool |
| 1357 | SFI | Endeavor 8600 | PVD cluster tool |
| 1358 | Shibaura | CDE300 | Isotropic Dry Etcher |
| 1359 | SHINKAWA | ACB3000 (LED) | Wire Bonder |
| 1360 | Shinkawa | ACB35 | Wire Bonder |
| 1361 | Shinkawa | ACB35 | Wire Bonder |
| 1362 | Shinkawa | ACB35 | Wire Bonder |
| 1363 | Shinkawa | ACB400 | Wire Bonder |
| 1364 | Shinkawa | ACB400 | Wire Bonder |
| 1365 | SHINKAWA | COF 300 | Flip Chip Bonder |
| 1366 | SHINKAWA | COF 300 | Flip Chip Bonder |
| 1367 | SHINKAWA | COF 300 | Flip Chip Bonder |
| 1368 | SHINKAWA | COF 300 | Flip Chip Bonder |
| 1369 | SHINKAWA | COF 300 | Flip Chip Bonder |
| 1370 | Shinkawa | SFB-200 | Bonder |
| 1371 | Shinkawa | SPA-300 Super | Die Bonder |
| 1372 | Shinkawa | SPA310 | Die Bonder |
| 1373 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1374 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1375 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1376 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1377 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1378 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1379 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1380 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1381 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1382 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1383 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1384 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1385 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1386 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1387 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1388 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1389 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1390 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1391 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1392 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1393 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1394 | SHINKAWA | UTC-1000 SUPER | Wire Bonder |
| 1395 | Shinkawa | UTC-1000 Super | Wire Bonder |
| 1396 | SHINKAWA | UTC-2000 SUPER | Wire Bonder |
| 1397 | SHINKAWA | UTC-2000 SUPER | Wire Bonder |
| 1398 | SHINKAWA | UTC-2000 SUPER | Wire Bonder |
| 1399 | SHINKAWA | UTC-2000 SUPER | Wire Bonder |
| 1400 | SHINKAWA | UTC-2000 SUPER | Wire Bonder |
| 1401 | SHINKAWA | UTC-2000 SUPER | Wire Bonder |
| 1402 | SHINKAWA | UTC-3000WE | Wire Bonder |
| 1403 | Shinwa Controls Co., Ltd. | CH7-H2-D0 | Chiller |
| 1404 | SIGMAMELTEC | SFG3000 | Photomask |
| 1405 | SII NANO | XV 300DB | Wafer Inspection |
| 1406 | Sikama | Falcon 8500 | REFLOW OVEN |
| 1407 | Singulus | Singular XP | ICP PECVD system for solar cells production |
| 1408 | Solitec | 5110C | Manually loading Photoresist Spin Coater |
| 1409 | SONIX | QUANTUM-350 | Scanning Acoustic Microscope |
| 1410 | Spea | 4040 | Flying Probe |
| 1411 | SPTS | 320 PC | Reactive Ion Etcher -Manual loading for laboratory use |
| 1412 | SPTS | Multiplex | ICP Deep trench Etcher, Bosch process |
| 1413 | SPTS | Omega 201 | Plasma Dry etcher (For spares use) |
| 1414 | SPTS | Pegasus | Deep Reactive Ion Etch System on a CPX Platform |
| 1415 | SPTS | Sigma | Sputter System with a single chamber |
| 1416 | SSEC | 3302 | Wafer Cleaning Machine |
| 1417 | SSEC | 3308 | Cassette to Cassette Coat – Developer System |
| 1418 | SSM | 470i | CV Plotter |
| 1419 | SSM | 5200 | Resistivity Measurement |
| 1420 | ST Automation | EPR88 | Automated Test System |
| 1421 | ST Automation | MT 32 SX | Fully Automated Memory Test System for BIST and NAND Memories |
| 1422 | ST Automation | MT32SX | Automated Flash Memory Testing System |
| 1423 | ST Automation | MT32SX | Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY |
| 1424 | ST Automation | MT32SX | Flash Memory Test System for 256 MB memory testing |
| 1425 | ST Automation | PT-M1 | Automated Test System |
| 1426 | ST Automation | PTM1 | Flash Memory Tester |
| 1427 | ST Automation | QT 200 epr 88 | Flash Memory Testing System |
| 1428 | ST Automation | QT EPR16 DD | Automated Flash Memory Tester System with monitor |
| 1429 | ST Automation | QT200 | Automated Test System |
| 1430 | ST Automation | QT200 | Automated Tester System with monitor |
| 1431 | ST Automation | QT200 | Automated Tester System with monitor |
| 1432 | ST Automation | QT200 | Automated Tester System with monitor |
| 1433 | ST Automation | QT200 | Automated Tester System with monitor |
| 1434 | ST Automation | QT200 | Automated Tester System with monitor |
| 1435 | ST Automation | QT200 | Automated Tester System with monitor |
| 1436 | ST Automation | QT200 | Automated Tester System with monitor |
| 1437 | ST Automation | QT200 | Automated Tester System with monitor |
| 1438 | ST Automation | QT200 | Test System |
| 1439 | ST Automation | QT200 | Tester System with monitor |
| 1440 | ST Automation | R.S.V. | ST Memory Test System Electronic Automation |
| 1441 | Steag / Mattson | AST-10 | RTP |
| 1442 | STI | AT368 | Lead Inspection Equipment |
| 1443 | Strasbaugh | 6EC | Wafer Planarizer |
| 1444 | STS (SPTS) | MPX HR ICP | Dry Etcher |
| 1445 | SUSS | ACS200 | Photoresist coater and developer track, 1C, 1 D |
| 1446 | SUSS Microtec | ACS 300 | Photoresist 4 developer track |
| 1447 | SUSS Microtec | ACS200 | Automated Photoresist Coater |
| 1448 | SUSS Microtec | ACS200 | Automated Photoresist Coater |
| 1449 | SUSS Microtec | ACS200 Classic | Automated Photoresist Coater |
| 1450 | SUSS Microtec | ACS200 Classic | Automated Photoresist Coater |
| 1451 | SUSS Microtec | ACS200 Plus | Automated Photoresist Coater |
| 1452 | Suss MicroTec | Delta 20T | Spin Coater |
| 1453 | Suss MicroTec | Falcon | Polyimid Developer |
| 1454 | SUSS Microtec | Locally Manufactured – No Model Number | Homemade vacuum chuck |
| 1455 | SUSS Microtec | MA200 | MASK ALIGNER |
| 1456 | SUSS Microtec | MA200 | Mask Aligner with TSA |
| 1457 | SUSS MICROTECH | PM8 | Prober |
| 1458 | SUSS MICROTECH | RC 16 | Resist Spin Coater |
| 1459 | SUSS MICROTECH | RC 16(RC5) | Resist Spin Coater |
| 1460 | SUSS MICROTECH | RC 8-ACS 200 | Resist Spin Coater |
| 1461 | SVG | 8600 | Coater and Developer |
| 1462 | SVG | 8600 | Dual Track Coat/Develop 00mm |
| 1463 | SVG | 8800 Coaters and Developers | Coater and Developer |
| 1464 | SVS | MSX1000 | Auto Track |
| 1465 | SYNAX | SX3100 | Fully Automated test Handler, ambient and hot configured. |
| 1466 | SYNAX | SX3100 | Handler Ambient/Hot |
| 1467 | TAKATORI | ATM 1100E | TAPER |
| 1468 | TAKATORI | ATM-1100E | Automatic Wafer Taper |
| 1469 | Takatori | ATM-1100E | Film Laminator – Taping Machine |
| 1470 | Takatori | ATM-1100E | Film Laminator – Taping Machine |
| 1471 | TAKATORI | ATM-2100 | Automatic Wafer Detaper |
| 1472 | Takatori | ATM-8100 | Automatic Detaper, Tape Remover |
| 1473 | Takatori | ATRM-2100 | Automatic Detaper, Tape Remover |
| 1474 | TAKATORI | ATRM-2100D | Automatic Wafer Detaper |
| 1475 | TDK | load port | E3, E4, E4A, F1 |
| 1476 | Team Technik | 130MW | Complete Rimas / Team Technik 130MW Solar Module line |
| 1477 | Team Technik | TT2100 | Stringer x 2 with Layup Station |
| 1478 | Tegal | 903E | Dry Etcher |
| 1479 | TEGAL | 900 | Plasma dry etch |
| 1480 | TEGAL | 903E | Plasma dry etch |
| 1481 | TEL | ALPHA 805SD | Vertical furnance, RTP process |
| 1482 | TEL | P8 | Prober |
| 1483 | TEL TOKYO ELECTRON | A303I | LTO POLY |
| 1484 | TEL Tokyo Electron | ACT 8 | DUV Photo Resist Coat and Develop System, 2C,2D,ASML |
| 1485 | TEL Tokyo Electron | ACT 8 | DUV Photo Resist Coat and Develop System, dual block, 3C 4D |
| 1486 | TEL Tokyo Electron | ACT 8 | DUV Photo Resist Coat and Develop System, Dual Block, 4C 4D |
| 1487 | TEL Tokyo Electron | ACT 8 | Photo Resist Coat and Develop System, Dual Block, 4C 4D |
| 1488 | TEL Tokyo Electron | ACT 8 | Photo Resist Coat and Develop System, Dual Block, 4C 4D |
| 1489 | TEL Tokyo Electron | ACT 8 | Photoresist coater and developer track with 3C and 3D |
| 1490 | TEL Tokyo Electron | ALPHA 303I | K type / Nitride |
| 1491 | TEL TOKYO ELECTRON | ALPHA 303I | Nitride CVD Furnace |
| 1492 | TEL TOKYO ELECTRON | ALPHA 303I | Nitride CVD Furnace |
| 1493 | TEL Tokyo Electron | ALPHA 303I | Poly / K type |
| 1494 | TEL Tokyo Electron | ALPHA 303I | Poly / K type |
| 1495 | TEL Tokyo Electron | ALPHA 303I | Poly / K type |
| 1496 | TEL Tokyo Electron | ALPHA 303I-KVCN | Poly / K type |
| 1497 | TEL Tokyo Electron | ALPHA 303I-KVCN | Poly / K type |
| 1498 | TEL TOKYO ELECTRON | ALPHA-303i | H type / Poly |
| 1499 | TEL TOKYO ELECTRON | ALPHA-303i | H type / Poly |
| 1500 | TEL TOKYO ELECTRON | ALPHA-303i | K type |
| 1501 | TEL Tokyo Electron | ALPHA-303i | K type / Poly |
| 1502 | TEL Tokyo Electron | ALPHA-303i | K type / Poly |
| 1503 | TEL Tokyo Electron | ALPHA-303i | K type / Poly |
| 1504 | TEL TOKYO ELECTRON | ALPHA-303i | TEOS |
| 1505 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO |
| 1506 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO |
| 1507 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO |
| 1508 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO |
| 1509 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO |
| 1510 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO |
| 1511 | TEL Tokyo Electron | Alpha-8S-Z (808S) | Diffusion Furnace, Anneal Phosphor Doped Poly |
| 1512 | TEL Tokyo Electron | Clean Track ACT 12 | Photo Resist Coat and Develop System |
| 1513 | TEL Tokyo Electron | Clean Track ACT 12 | Photo Resist Coat and Develop System, SINGLE BLOCK |
| 1514 | TEL Tokyo Electron | Clean Track ACT 8 | Photo Resist Coat and Develop System DUV, Single Block, 2c, 2d, Canon I/F |
| 1515 | TEL Tokyo Electron | Clean Track ACT8 | DUV Photo Resist Coat and Develop System, dual block, 3C 4D |
| 1516 | TEL Tokyo Electron | Clean Track ACT8 | Photo Resist Coat and Develop System, DUV,Single Block, 2c, 2d, ASML |
| 1517 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat and Develop System |
| 1518 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat and Develop System |
| 1519 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat and Develop System |
| 1520 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat and Develop System |
| 1521 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat and Develop System |
| 1522 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat and Develop System |
| 1523 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat and Develop System |
| 1524 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat System |
| 1525 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat System |
| 1526 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat System |
| 1527 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat System |
| 1528 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat System |
| 1529 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat System |
| 1530 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat System |
| 1531 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Coat System |
| 1532 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1533 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1534 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1535 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1536 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1537 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1538 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1539 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1540 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1541 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1542 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1543 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1544 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1545 | TEL Tokyo Electron | Clean Track Mark7 | Photo Resist Develop System |
| 1546 | TEL Tokyo Electron | Clean Track Mark8 | Photo Resist Coat and Develop System |
| 1547 | TEL Tokyo Electron | Clean Track Mark8 | Photo Resist Coat and Develop System |
| 1548 | TEL Tokyo Electron | Clean Track Mark8 | Photo Resist Coat and Develop System |
| 1549 | TEL Tokyo Electron | EXPEDIUS | DUMMY CLN |
| 1550 | TEL Tokyo Electron | Expedius – i | Batch Process Cleaner |
| 1551 | TEL TOKYO ELECTRON | FORMULA | ALD High K FURNACE |
| 1552 | TEL TOKYO ELECTRON | FORMULA | ALD High K FURNACE |
| 1553 | TEL TOKYO ELECTRON | FORMULA | ALO |
| 1554 | TEL TOKYO ELECTRON | FORMULA | ALO |
| 1555 | TEL Tokyo Electron | FORMULA | DCS SiN |
| 1556 | TEL Tokyo Electron | FORMULA | DCS SiN |
| 1557 | TEL Tokyo Electron | FORMULA | DCS SiN |
| 1558 | TEL Tokyo Electron | FORMULA | DCS SiN |
| 1559 | TEL Tokyo Electron | FORMULA | DCS SiN |
| 1560 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1561 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1562 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1563 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1564 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1565 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1566 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1567 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1568 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1569 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1570 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1571 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1572 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1573 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1574 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1575 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1576 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1577 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1578 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1579 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace |
| 1580 | TEL Tokyo Electron | FORMULA | Vertical LPCVD Furnace |
| 1581 | TEL Tokyo Electron | HT 910 E4 | Plasma Etching System for Flat Panel Display Process |
| 1582 | TEL Tokyo Electron | INDY | ALD High-K |
| 1583 | TEL Tokyo Electron | INDY | ALD High-K |
| 1584 | TEL Tokyo Electron | INDY | Furnace |
| 1585 | TEL Tokyo Electron | INDY | Furnace |
| 1586 | TEL Tokyo Electron | INDY | Vertical Furnace |
| 1587 | TEL Tokyo Electron | INDY | Vertical Furnace |
| 1588 | TEL Tokyo Electron | INDY | Vertical Furnace |
| 1589 | TEL Tokyo Electron | INDY | Vertical Furnace |
| 1590 | TEL Tokyo Electron | INDY | Doped Poly |
| 1591 | TEL Tokyo Electron | Indy A | Vertical Diffusion Furnace |
| 1592 | TEL Tokyo Electron | Indy A | Vertical Diffusion Furnace |
| 1593 | TEL Tokyo Electron | Indy A | Vertical Diffusion Furnace |
| 1594 | TEL Tokyo Electron | Indy B | Vertical Diffusion Furnace |
| 1595 | TEL Tokyo Electron | Indy B | Vertical Diffusion Furnace |
| 1596 | TEL Tokyo Electron | Indy B | Vertical Diffusion Furnace |
| 1597 | TEL Tokyo Electron | Indy B | Vertical Diffusion Furnace |
| 1598 | TEL Tokyo Electron | INDY PLUS | ALD High-K |
| 1599 | TEL Tokyo Electron | INDY PLUS | ALD High-K |
| 1600 | TEL Tokyo Electron | INDY PLUS | ALD High-K |
| 1601 | TEL Tokyo Electron | INDY PLUS | ALD High-K |
| 1602 | TEL Tokyo Electron | INDY PLUS | ALD High-K |
| 1603 | TEL TOKYO ELECTRON | INDY-PLUS-B-M | VERTICAL CVD FURNACE |
| 1604 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer |
| 1605 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer |
| 1606 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer |
| 1607 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer |
| 1608 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer |
| 1609 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer |
| 1610 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer |
| 1611 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer |
| 1612 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer |
| 1613 | TEL TOKYO ELECTRON | LITHIUS | High Reliability and Productivity Coater Developer (5C/5D) |
| 1614 | TEL Tokyo Electron | Lithius | Lithography Coater Developer |
| 1615 | TEL TOKYO ELECTRON | LITHIUS | Photoresist Coater and Developer, 4C3D, 2 Block |
| 1616 | TEL Tokyo Electron | LITHIUS | SINGLE BLOCK (for NIKON S205) |
| 1617 | TEL Tokyo Electron | LITHIUS | SINGLE BLOCK 2C/3D system (for NIKON S308) |
| 1618 | TEL Tokyo Electron | LITHIUS | SINGLE BLOCK 2C/3D system (for NIKON S308) |
| 1619 | TEL Tokyo Electron | LITHIUS | Track Coater Developer |
| 1620 | TEL TOKYO ELECTRON | LITHIUS i+ | High Reliability and Productivity Coater Developer (5C/5D) |
| 1621 | TEL Tokyo Electron | MB2 | CVD System |
| 1622 | TEL TOKYO ELECTRON | MB2 730 HT HT | CVD SYSTEM, 2 CHAMBER WSi Process |
| 1623 | TEL TOKYO ELECTRON | MB2 730HT | CVD SYSTEM, 3 CHAMBER WSi Process |
| 1624 | TEL Tokyo Electron | NT333 | ALD (Atomic Layer Deposition) |
| 1625 | TEL Tokyo Electron | NT333 | ALD (Atomic Layer Deposition) |
| 1626 | TEL Tokyo Electron | NT333 | ALD (Atomic Layer Deposition) |
| 1627 | TEL TOKYO ELECTRON | P-12XLm | Prober |
| 1628 | TEL TOKYO ELECTRON | P-12XLm | Prober |
| 1629 | TEL TOKYO ELECTRON | P-8 | Prober |
| 1630 | TEL TOKYO ELECTRON | P-8XL | Prober |
| 1631 | TEL Tokyo Electron | P12XL | Automatic Wafer Prober/Probe Station |
| 1632 | TEL Tokyo Electron | P12XL | Automatic Wafer Prober/Probe Station |
| 1633 | TEL Tokyo Electron | P12XL ( WAT ) | Fully Automatic Prober with Gold Hot Chuck and WAT |
| 1634 | TEL Tokyo Electron | P12XL ( WAT ) | Fully Automatic Prober with Gold Hot Chuck and WAT |
| 1635 | TEL Tokyo Electron | P12XLM | Prober |
| 1636 | TEL TOKYO ELECTRON | P12XLM | Prober |
| 1637 | TEL Tokyo Electron | P8 | Prober |
| 1638 | TEL TOKYO ELECTRON | Precio Nano | FULLY AUTOMATED PROBER |
| 1639 | TEL TOKYO ELECTRON | TE 5480 | Nitride Plasma Reactive Ion Etch |
| 1640 | TEL TOKYO ELECTRON | TEL TRIAS | CVD Cluster tool |
| 1641 | TEL TOKYO ELECTRON | TEL TRIAS 200 SPA | CVD Cluster tool |
| 1642 | TEL Tokyo Electron | TELFORMULA Nitride | Vertical LPCVD Furnace |
| 1643 | TEL TOKYO ELECTRON | Telformula(ver.0) | optimal thermal processing |
| 1644 | TEL TOKYO ELECTRON | TELIUS SCCM T-3044SS | DRY ETCHER |
| 1645 | TEL Tokyo Electron | Trias | Metal CVD (Chemical Vapor Deposition) |
| 1646 | TEL TOKYO ELECTRON | TRIAS CHAMBER ONLY | LT TIN (N2/NH3/N2/CIF3) |
| 1647 | TEL Tokyo Electron | VIGUS Mask | Dielectric Etch |
| 1648 | TEL TOKYO ELECTRON | VIGUS MASK | ETCH |
| 1649 | TEL TOKYO ELECTRON | VIGUS MASK | ETCH |
| 1650 | TEL TOKYO ELECTRON | VIGUS MASK | ETCH |
| 1651 | TEL Tokyo Electron | VIGUS RK2 | Dielectric Etch |
| 1652 | TENCOR | AlphaStep 300 | Profilometer |
| 1653 | TENCOR | Surfscan 4000 | Unpatterned Wafer Surface Inspection Tool – Parts Tool Only |
| 1654 | TePla | 400 Plasma | PVA Microwave Plasma System |
| 1655 | TERADYNE | CATALYST | AUTOMATED TEST EQUIPMENT |
| 1656 | TERADYNE | CATALYST | AUTOMATED TEST EQUIPMENT |
| 1657 | TERADYNE | CATALYST | AUTOMATED TEST EQUIPMENT |
| 1658 | TERADYNE | IP750EX | AUTOMATED TEST EQUIPMENT For CMOS Image Sensor testing |
| 1659 | Teradyne | J971SP (Spares) | Boards from VLSI test system |
| 1660 | Teradyne | J994 | Memory Tester |
| 1661 | Teradyne | Nextest Magnum 2x PV-SCM | Automated Test Equipment |
| 1662 | TERRA UNIVERSAL | Dessicator Box | |
| 1663 | Terradyne | J971 | Test System |
| 1664 | TES | CHALLENGER_ST | Plasma-Enhanced CVD system |
| 1665 | Thermawave | OP 5340 | Inspection System |
| 1666 | Thermo Fisher | ECO 1000 | FTIR System |
| 1667 | Thermo Fisher | ECO1000-S | FTIR |
| 1668 | THERMO NICOLET | Continuum | Infra-Red Microscope / FTIR Analysis System |
| 1669 | TOKYO ELECTRON LTD / TEL | NGT | SINGLE BLOCK FOR NIKON S307E |
| 1670 | Tokyo Seimitsu | A-PM-90A | Prober |
| 1671 | Toray | SP-500w | Bump Height Measurement |
| 1672 | Towa | CC-S | Injection Molding Press |
| 1673 | TOWA | CC-S | Injection Molding Press, 2ea Available |
| 1674 | TOYO | SE-4000 | Roughness Measurement |
| 1675 | TT Vision | T-224X | TT Vision – Post Tape Inspection |
| 1676 | Ultra Fab | No Model Number | 4ft Poly Pro Wet Bench |
| 1677 | ULTRA-T | SWC111 | Sawed Wafer Cleaner for up to 200mm Wafers |
| 1678 | Ultratech | 1500 | Projection Stepper |
| 1679 | Ultratech | 1500 | Wafer Stepper (For spares use) |
| 1680 | Ultratech | 4700 | Stepper |
| 1681 | Ultratech | 6700 | Stepper |
| 1682 | Ultratech | LSA100A | N/A |
| 1683 | Ultratech | Saturn Spectrum 3 | Ultratech Stepper |
| 1684 | Ultratech | Saturn Spectrum 3e | GHI line stepper |
| 1685 | ULTRATECH | SSP 300E2 | GHI line stepper |
| 1686 | Ultratech | Titan II | Stepper |
| 1687 | Ultron | UH108 | Ultron Tabletop Laminator |
| 1688 | Ultron | UH108 | Ultron Tabletop Laminator |
| 1689 | Ultron | UHI08-12 | Ultron Tabletop Laminator |
| 1690 | Ultron Systems | UH 110 | Backgrinding Film Remover |
| 1691 | Ulvac | FRE200E | XeF2 Etching System |
| 1692 | ULVAC | NE-5700 | Metal Etcher |
| 1693 | UNAXIS | SLR-720 | RIE |
| 1694 | UNAXIS | SLR-720 | RIE |
| 1695 | Unaxis/Balzers | ZH620 Alumina Fill | Fill Sputter Deposition System |
| 1696 | UNITEK MIYACHI | 1-124-05 | Parallel Gap Welder with 2-152-02 Weld Head & 9-001-01 XFMR |
| 1697 | V-Tek | TM-330 | TM-330 |
| 1698 | V-Tek | TM-50 | DSD REWORK HEAT SEAL |
| 1699 | V-Tek | Versacount II | Versacount Tape & Reel Counter |
| 1700 | VARIAN | 936-70 SP | Helium Leak Detector |
| 1701 | Varian | E500 EHPI | Medium Current Ion implanter |
| 1702 | VARIAN | MBB | W CVD |
| 1703 | VARIAN | SD331 | Mechanical Vacuum Pump, 2ea Available |
| 1704 | VARIAN | VIISTA HE | HIGH ENERGY ION IMPLANTER |
| 1705 | Veeco | Dimension 3100 | Atomic Force Microscope |
| 1706 | VEECO | E475 Turbodisc | MOCVD System configured for AsP use |
| 1707 | Veeco | GenXplor | R and D Molecular Beam Epitaxy (MBE) System |
| 1708 | VEECO | MS-35T | Turbopumped Leak Detector |
| 1709 | VEECO | V220SI | METRO |
| 1710 | Veeco | VX-340 | Atomic Force Microscope |
| 1711 | Veeco Wyko | NT3300 | 3D Bump Height (Veeco) |
| 1712 | VERIGY | V4000 | Automated Test Equipment |
| 1713 | VERIGY | V4000 | Automated Test Equipment |
| 1714 | VERIGY | V4000 | Automated Test Equipment |
| 1715 | VERIGY | V6000 | Automated Test Equipment |
| 1716 | VERTEQ | FLUOROCARBON RD4500 CLASSIC | SRD |
| 1717 | Vision Engineering | Dynascope | Inspection Microscope |
| 1718 | VISION ENGINEERING | Dynascope | Projection Micrsoscope |
| 1719 | Vision Semicon | VSP-88A(H) | Oven |
| 1720 | Vision Semicon | VSP-88H | Oven |
| 1721 | Vision Semicon | VSP-88H | Oven |
| 1722 | VISTEC | LWM9000 | CD SEM for 65 nm mask measurement |
| 1723 | Voetch | VTS7027-20 | Thermal Shock Chamber |
| 1724 | Voetsch | 4033 | Climate Chamber |
| 1725 | Voetsch | VT 4002 | Temperature Test Chamber |
| 1726 | Voetsch | VT6060 | Vacuum Chamber |
| 1727 | WAFER MASTERS | SAO-302LP | TSV Cu Anneal |
| 1728 | Weiss | TS-130 | Temperature Shock Test Chamber |
| 1729 | Weiss | TS130 | Thermal shock testing chamber |
| 1730 | WENTWORTH LABS | HOP “Hands Off Probe” | Micropositioner, 2ea Available |
| 1731 | WEST-BOND | 7200A | Manual Epoxy Die Bonder |
| 1732 | Westbond | 47450E | Semiautomatic wedge / ball wire bonder |
| 1733 | Woollam | VUV-VASE (Gen II) | Ellipsometer |
| 1734 | WYKO | NT-3300 | Optical Profiler |
| 1735 | XYZTEC | Condor 250-3 | Material testing Machine for Example bending Test |
| 1736 | Yaskawa | XU RCM9206 | atmospheric wafer robot( KLA 2835i) |
| 1737 | Yaskawa | XU-F3000-CN04 | Wafer Sorter |
| 1738 | Yaskawa | XU RC350D-C31+ERCR-RS09-A003 | atmospheric wafer robot( DNS SU-3000) |
| 1739 | Yaskawa | XU RC350D-C61+ERCR-RS10-C003 | atmospheric wafer robot( DNS SU-3000) |
| 1740 | Yaskawa | XU RC350D-K01+ERCR-RS09-A003 | atmospheric wafer robot( DNS SU-3100) |
| 1741 | Yaskawa | XU RCM9205 with ERCR-NS01-A003 controller | atmospheric wafer robot for KLA |
| 1742 | Yaskawa | XU RCM9206 robot alone | atmospheric wafer robot for KLA |
| 1743 | Yaskawa | XU RSM53E0 with XU-CM6180 controller | atmospheric wafer robot( Ebara Frex 300 CMP) |
| 1744 | YOKOGAWA | TS6700 | LCD DRIVER TESTER |
| 1745 | Zeiss | Axiotech 100 HD | Inspection Microscope |
| 1746 | ZEISS | Axiotron-2 | Microscope |
| 1747 | ZEISS | Axiotron-2 | Microscope |
| 1748 | ZEISS | Stemi 2000-C | Microscope w/ Camera |
SS5303-0-6-1-1-1-2
















