Description
Used Semiconductor Equipment Parts
Valid Term: These are subject to prior sale. These are only for end user. Appreciate your time.
Condition: Pls contact us to discuss.
The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.
2 | ACOPIAN | B24G210 | POWER SUPPLY |
3 | AD-TEC | AX-2000III / Software ver 1.11A | Plasma Generator |
4 | ADE | 020986-10 | 259.82 uM thickness standard |
5 | ADE | 020986-14 | 360.01 uM thickness standard |
6 | Adixen | A101L | Mechanical Vacuum Pump |
7 | Adixen | ADP122LM | Mechanical Vacuum Pump |
8 | Adixen | ADS1202H | Mechanical Vacuum Pump |
9 | Adixen | ADS1202P | Mechanical Vacuum Pump |
10 | Adixen | ADS501 | Mechanical Vacuum Pump |
11 | Adtec | AMV Controller | Miscellaneous |
12 | Adtec | AMV Controller | Miscellaneous |
13 | Adtec | AX-100 MF | RF Generator |
14 | Adtec | AX-100 MF | RF Generator |
15 | Adtec | AX-100 MF | RF Generator |
16 | Adtec | AX-100 MF | RF Generator |
17 | Adtec | AX-3000 MF | RF Generator |
18 | Adtec | AX-3000 P | RF Generator |
19 | ADVANCED ENERGY | MDX | Magnetron Drive p/n 2011-000-D |
20 | Advantech | HK-6323 | data acquisition card ISA |
21 | Advantech | IPC5475 | data acquisition card |
22 | Advantech | PCL-730 | 32-ch Isolated Digital I/O Card w/32ch TTL DIO |
23 | Advantech | PCL-730 | data acquisition card 32ch TTL DIO |
24 | Advantech | PCL-745B | 2-port RS-422/485 ISA COMM Card w/ isolation |
25 | Advantech | PCL-745B | data acquisition card 2-port RS422/485 Rev B1 |
26 | Advantest | BGK-011702 | ADVANTEST T5335P PC Board |
27 | Advantest | BGK-017719 | ADVANTEST T5335P PC Board |
28 | Advantest | BGR-016793 | ADVANTEST T5335P PC Board |
29 | Advantest | BGR-016794 | ADVANTEST T5335P PC Board |
30 | Advantest | BGR-016796 | ADVANTEST T5335P PC Board |
31 | Advantest | BGR-016796 | ADVANTEST T5335P PC Board |
32 | Advantest | BGR-016797 | ADVANTEST T5335P PC Board (was 80207) |
33 | Advantest | BGR-017417 | ADVANTEST T5335P PC Board |
34 | Advantest | BGR-017418 | ADVANTEST T5335P PC Board |
35 | Advantest | BGR-017418 | ADVANTEST T5335P PC Board |
36 | Advantest | BGR-017577 | ADVANTEST T5335P PC Board BGR-017575 |
37 | Advantest | BGR-017578 | ADVANTEST T5335P PC Board |
38 | Advantest | BGR-017579 | ADVANTEST T5335P PC Board |
39 | Advantest | BGR-018125 | ADVANTEST T5335P PC Board |
40 | Advantest | BGR-018822 | ADVANTEST T5335P PC Board |
41 | Advantest | BGR-018822 | ADVANTEST T5335P PC Board |
42 | Advantest | BGR-018823 | ADVANTEST T5335P PC Board |
43 | Advantest | BGR-018824 | ADVANTEST T5335P PC Board |
44 | Advantest | BGR-018931 | ADVANTEST T5335P PC Board |
45 | Advantest | BGR-019266 | ADVANTEST T5335P PC Board |
46 | Advantest | BGR-019267 | ADVANTEST T5335P PC Board |
47 | Advantest | BGR-019486 | ADVANTEST T5335P PC Board |
48 | Advantest | BGR-020509 | ADVANTEST T5335P PC Board |
49 | Advantest | BGR-020765 | ADVANTEST T5335P PC Board |
50 | Advantest | BGR-020771 | ADVANTEST T5335P PC Board |
51 | Advantest | BGR-020772 | ADVANTEST T5335P PC Board |
52 | Advantest | BGR-020773 | ADVANTEST T5335P PC Board |
53 | Advantest | BGR-020774 | ADVANTEST T5335P PC Board |
54 | Advantest | BGR-020814 | ADVANTEST T5335P PC Board |
55 | Advantest | BGR-020815 | ADVANTEST T5335P PC Board |
56 | Advantest | BGR-020816X02 | ADVANTEST T5335P PC Board |
57 | Advantest | BGR-020851 | ADVANTEST T5335P PC Board |
58 | Advantest | BGR-020853 | ADVANTEST T5335P PC Board |
59 | Advantest | BGR-020900 | ADVANTEST T5335P PC Board |
60 | Advantest | BGR-021096 | ADVANTEST T5335P PC Board |
61 | Advantest | Bir-021807 | ADVANTEST T5335P PC Board |
62 | Advantest | Hifix for PQFP80 (14 x 20) | Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) |
63 | Advantest | T5335P | Boards from an Advantest T5335P Test system |
64 | ADVANTEST | TR6846 | Digital Multimeter |
65 | Advantest | WUN-H90554AIR | ADVANTEST air control unit |
66 | Advantest | WUN-MONITORBOX | ADVANTEST poiwer supply monitoring box, T5335P |
67 | AE | 3150011-000 N4 SE | RF GENERATOR |
68 | AE | AE OVATION 2760 0190-17779-001 | RF GENERATOR |
69 | AE | AE PARAMOUNT 1513 0190-33823W | RF GENERATOR |
70 | AE | AE PDX 5000(3156043-205) 27-262734-00 | RF GENERATOR |
71 | AE | AM-20 | RF Match |
72 | AE | AMNPS-2A Controller | Miscellaneous |
73 | AE | Analog Control Fixture | Miscellaneous |
74 | AE | Analog Control Fixture | Miscellaneous |
75 | AE | Analog Control Fixture | Miscellaneous |
76 | AE | Analog Control Fixture | Miscellaneous |
77 | AE | Apex 1513 | RF Generator |
78 | AE | Apex 1513 | RF Generator |
79 | AE | Apex 1513 | RF Generator |
80 | AE | Apex 1513 | RF Generator |
81 | AE | Apex 1513 | RF Generator |
82 | AE | Apex 1513 | RF Generator |
83 | AE | Apex 3013 | RF Generator |
84 | AE | Apex 3013 | RF Generator |
85 | AE | Apex 3013 0190-19021-001 | RF GENERATOR |
86 | AE | Aspect 2513 | RF Generator |
87 | AE | Aspect 2513 | RF Generator |
88 | AE | Aspect 5013 | RF Generator |
89 | AE | ATN RF Match | RF Match |
90 | AE | ATX 600 | RF Match |
91 | AE | ATX 600 | RF Match |
92 | AE | ATX 600 | RF Match |
93 | AE | ATX 600 | RF Match |
94 | AE | ATX 600 Controller | Miscellaneous |
95 | AE | ATX 600 Controller | Miscellaneous |
96 | AE | AZX 10 | RF Match |
97 | AE | AZX 10 Controller | Miscellaneous |
98 | AE | AZX 63 | RF Match |
99 | AE | AZX 63 | RF Match |
100 | AE | AZX 63 Bias | RF Match |
101 | AE | AZX 63 Bias | RF Match |
102 | AE | AZX 63 Bias | RF Match |
103 | AE | AZX 63 Bias | RF Match |
104 | AE | AZX 63 Bias | RF Match |
105 | AE | AZX 63 Controller HTCM | Miscellaneous |
106 | AE | AZX 63 Controller HTCM | Miscellaneous |
107 | AE | AZX Box Module | RF Match |
108 | AE | AZX Controller | Miscellaneous |
109 | AE | AZX Controller | Miscellaneous |
110 | AE | AZX Controller | Miscellaneous |
111 | AE | AZX Controller | Miscellaneous |
112 | AE | AZX Controller | Miscellaneous |
113 | AE | Cesar | RF Generator |
114 | AE | Cesar 1310 | RF Generator |
115 | AE | Cesar 1310 | RF Generator |
116 | AE | Cesar 1312 | RF Generator |
117 | AE | Cesar 1312 | RF Generator |
118 | AE | Cesar 136 | RF Generator |
119 | AE | Cesar 136 | RF Generator |
120 | AE | Cesar 2710 | RF Generator |
121 | AE | Cesar 2720 | RF Generator |
122 | AE | Cesar 2720 | RF Generator |
123 | AE | Cesar 2720 | RF Generator |
124 | AE | Controller | Miscellaneous |
125 | AE | Counter/Display | RF Match |
126 | AE | Dome RF Match | RF Match |
127 | AE | Dome RF Match | RF Match |
128 | AE | Dome RF Match | RF Match |
129 | AE | Dome RF Match | RF Match |
130 | AE | Dome RF Match | RF Match |
131 | AE | E’Wave | RF Generator |
132 | AE | E’Wave | RF Generator |
133 | AE | Fixed RF Match | RF Match |
134 | AE | Fixed RF Match | RF Match |
135 | AE | Gencal Power Meter | Miscellaneous |
136 | AE | Gencal Power Meter | Miscellaneous |
137 | AE | Gencal Power Meter | Miscellaneous |
138 | AE | Gencal Power Meter | Miscellaneous |
139 | AE | GenCal Power Sensor | Miscellaneous |
140 | AE | GenCal Power Sensor | Miscellaneous |
141 | AE | GenCal Power Sensor | Miscellaneous |
142 | AE | HFV 8000 | RF Generator |
143 | AE | Hilight 133 | RF Generator |
144 | AE | Hilight 133 | RF Generator |
145 | AE | ION Source Supply | RF Generator |
146 | AE | LF-10WC | RF Generator |
147 | AE | LF-5 | RF Generator |
148 | AE | LF-5 | RF Generator |
149 | AE | LF-5 | RF Generator |
150 | AE | LF-5 | RF Generator |
151 | AE | LF-5 | RF Generator |
152 | AE | LF-5 | RF Generator |
153 | AE | MDX 1.5 | RF Generator |
154 | AE | MDX 1.5K | RF Generator |
155 | AE | MDX 1.5K | RF Generator |
156 | AE | MDX 10K | RF Generator |
157 | AE | MDX DELTA SLAVE | RF Generator |
158 | AE | MDX II Calibration Box | Miscellaneous |
159 | AE | MDX Magnetron | RF Generator |
160 | AE | MDX Magnetron | RF Generator |
161 | AE | MDX Magnetron | RF Generator |
162 | AE | MDX Magnetron | RF Generator |
163 | AE | MDX Magnetron Drive | RF Generator |
164 | AE | MDX Master | RF Generator |
165 | AE | MDX Slave | RF Generator |
166 | AE | MDX-10K Master | RF Generator |
167 | AE | MDX-20K DELTA MASTER | RF Generator |
168 | AE | MDX-5K | RF Generator |
169 | AE | MDX-5K | RF Generator |
170 | AE | MDX-5K | RF Generator |
171 | AE | MDX-L Diagnostic Controller | Miscellaneous |
172 | AE | MDX-L Diagnostic Controller | Miscellaneous |
173 | AE | MDX-L Diagnostic Controller | Miscellaneous |
174 | AE | MDX-L12 | RF Generator |
175 | AE | MDX-L12M | RF Generator |
176 | AE | MDX-L12M | RF Generator |
177 | AE | Mercury 10013 | RF Match |
178 | AE | Mercury 10013 | RF Match |
179 | AE | Mercury 10013 | RF Match |
180 | AE | Mercury 10013 | RF Match |
181 | AE | Mercury 3013 | RF Match |
182 | AE | Mercury d2 | RF Match |
183 | AE | Mini-Panel | Miscellaneous |
184 | AE | Navigator | RF Match |
185 | AE | Navigator 10013 | RF Match |
186 | AE | Navigator 10013-L80 | RF Match |
187 | AE | Navigator RF Match | RF Match |
188 | AE | Navigator RF Match | RF Match |
189 | AE | Navigator RF Match | RF Match |
190 | AE | Ovation 2060 | RF Generator |
191 | AE | Ovation 2760 | RF Generator |
192 | AE | Ovation 35162 | RF Generator |
193 | AE | Ovation 35162 | RF Generator |
194 | AE | Ovation 35162 | RF Generator |
195 | AE | Paramount 3013 | RF Generator |
196 | AE | PDP 2500 | RF Generator |
197 | AE | PDW 2200 | RF Generator |
198 | AE | PDW 2200 | RF Generator |
199 | AE | PDW 2200 | RF Generator |
200 | AE | PDW 2200 | RF Generator |
201 | AE | PDW 2200 | RF Generator |
202 | AE | PDW 2200 | RF Generator |
203 | AE | PDW 600 | RF Generator |
204 | AE | PDW 600 | RF Generator |
205 | AE | PDW Controller | Miscellaneous |
206 | AE | PDW Controller | Miscellaneous |
207 | AE | PDW Controller | Miscellaneous |
208 | AE | PDX 1400 | RF Generator |
209 | AE | PDX 2500 | RF Generator |
210 | AE | PDX 2500 | RF Generator |
211 | AE | PDX 2500 | RF Generator |
212 | AE | PDX 2500 | RF Generator |
213 | AE | PDX 2500 | RF Generator |
214 | AE | PDX 2500 | RF Generator |
215 | AE | PDX 2500 | RF Generator |
216 | AE | PDX 2500 | RF Generator |
217 | AE | PDX 2500 | RF Generator |
218 | AE | PDX 500 | RF Generator |
219 | AE | PDX 500 | RF Generator |
220 | AE | PDX 500 | RF Generator |
221 | AE | PDX 500 | RF Generator |
222 | AE | PDX 8000 | RF Generator |
223 | AE | PDX 8000 | RF Generator |
224 | AE | PDX 900-2V (M/N 3156024-133) | RF GENERATOR |
225 | AE | PDX II PLASMA DRIVE 2000 | RF Generator |
226 | AE | PE-1000 | RF Generator |
227 | AE | PE-2500 | RF Generator |
228 | AE | PE-2500 | RF Generator |
229 | AE | PE-2500 | RF Generator |
230 | AE | PEII 5K | RF Generator |
231 | AE | Pinnacle 10k | RF Generator |
232 | AE | Pinnacle 10k | RF Generator |
233 | AE | Pinnacle 10K | RF Generator |
234 | AE | Pinnacle 10K | RF Generator |
235 | AE | Pinnacle 10K | RF Generator |
236 | AE | Pinnacle 10k | RF Generator |
237 | AE | Pinnacle 10k | RF Generator |
238 | AE | Pinnacle 10k | RF Generator |
239 | AE | Pinnacle 10k | RF Generator |
240 | AE | Pinnacle 15k | RF Generator |
241 | AE | Pinnacle 15k | RF Generator |
242 | AE | Pinnacle 20k | RF Generator |
243 | AE | Pinnacle 20k | RF Generator |
244 | AE | Pinnacle 20k | RF Generator |
245 | AE | Pinnacle 20k | RF Generator |
246 | AE | Pinnacle 20k | RF Generator |
247 | AE | Pinnacle 20k | RF Generator |
248 | AE | Pinnacle 20k | RF Generator |
249 | AE | Pinnacle 20k | RF Generator |
250 | AE | Pinnacle 20k | RF Generator |
251 | AE | Pinnacle 20k | RF Generator |
252 | AE | Pinnacle 20k | RF Generator |
253 | AE | Pinnacle 20k | RF Generator |
254 | AE | Pinnacle 20k | RF Generator |
255 | AE | Pinnacle 20k | RF Generator |
256 | AE | Pinnacle 20k | RF Generator |
257 | AE | Pinnacle 20k | RF Generator |
258 | AE | Pinnacle 20k | RF Generator |
259 | AE | Pinnacle 20k | RF Generator |
260 | AE | Pinnacle 20k | RF Generator |
261 | AE | Pinnacle 6k | RF Generator |
262 | AE | Pinnacle 6k | RF Generator |
263 | AE | Pinnacle 6k | RF Generator |
264 | AE | Pinnacle 6k | RF Generator |
265 | AE | Pinnacle Controller | Miscellaneous |
266 | AE | Pinnacle Dual 6k | RF Generator |
267 | AE | Pinnacle Plus 10k | RF Generator |
268 | AE | Pinnacle Plus 10k | RF Generator |
269 | AE | Pinnacle Plus 10k | RF Generator |
270 | AE | Pinnacle Plus 10k | RF Generator |
271 | AE | Pinnacle Plus 10k | RF Generator |
272 | AE | Pinnacle Plus 5k | RF Generator |
273 | AE | PMH 13/1250 | Miscellaneous |
274 | AE | PMH 400/2200 | Miscellaneous |
275 | AE | PMH 400/2200 | Miscellaneous |
276 | AE | PMH 400/2200 | Miscellaneous |
277 | AE | PMH 400/2200 | Miscellaneous |
278 | AE | PMH 400/2200 | Miscellaneous |
279 | AE | PMH13/3000 | Miscellaneous |
280 | AE | PMH13/3000 | Miscellaneous |
281 | AE | PMH13/3000 | Miscellaneous |
282 | AE | Power Sensor | Miscellaneous |
283 | AE | RF-10S | RF Generator |
284 | AE | RF-20 | RF Generator |
285 | AE | RF-30S | RF Generator |
286 | AE | RF-30S | RF Generator |
287 | AE | RF-5S | RF Generator |
288 | AE | RF-5S | RF Generator |
289 | AE | RF-5S | RF Generator |
290 | AE | RF-5S | RF Generator |
291 | AE | RF-5S | RF Generator |
292 | AE | RF-5S | RF Generator |
293 | AE | RFDS 1250 | RF Generator |
294 | AE | RFG 1251 | RF GENERATOR |
295 | AE | RFG 3001 | RF Generator |
296 | AE | RFG 3001 | RF Generator |
297 | AE | RFX 600 | RF Generator |
298 | AE | RFX 600 | RF Generator |
299 | AE | RFX 600 | RF Generator |
300 | AE | RFX 600 | RF Generator |
301 | AE | RFX 600 | RF Generator |
302 | AE | RFX 600 | RF Generator |
303 | AE | RFX 600 | RF Generator |
304 | AE | RFX 600 | RF Generator |
305 | AE | RFX 600 | RF Generator |
306 | AE | RFX 600 | RF Generator |
307 | AE | RFX 600 | RF Generator |
308 | AE | RFX 600 | RF Generator |
309 | AE | RFX 600 | RF Generator |
310 | AE | RFX 600A | RF Generator |
311 | AE | RFX 600A | RF Generator |
312 | AE | RFX 600A | RF Generator |
313 | AE | RFX 600A | RF Generator |
314 | AE | RFX 600A | RF Generator |
315 | AE | RFX 600A | RF Generator |
316 | AE | RFX 600A | RF Generator |
317 | AE | RFX 600A | RF Generator |
318 | AE | RFX 600A | RF Generator |
319 | AE | RFX II 3000 | RF Generator |
320 | AE | RFX II 3000 | RF Generator |
321 | AE | RFX II 3000 | RF Generator |
322 | AE | RFX II 3000 | RF Generator |
323 | AE | RFX II 3000 | RF Generator |
324 | AE | RFX II 3000 | RF Generator |
325 | AE | RFX II 3000 | RF Generator |
326 | AE | RFX II 3000 | RF Generator |
327 | AE | RFX II 3000 | RF Generator |
328 | AE | Sparc-le V | RF Generator |
329 | AE | Sparc-le V | RF Generator |
330 | AE | Sparc-le-V | RF Generator |
331 | AE | Verteq | RF Generator |
332 | AE | Verteq | RF Generator |
333 | AE | Verteq | RF Generator |
334 | AE | VHF2060 | RF Generator |
335 | AE | VHF2060 | RF Generator |
336 | AE | VHF2060 | RF Generator |
337 | AE | VM 1000 A | RF Match |
338 | AE | Z Scan | Miscellaneous |
339 | AEG | 2A 400-100 H | Power Driver Controller 2A |
340 | AGILENT | 03577-90212 | AGILENT 3571A network analyzer service manual |
341 | Agilent | Multimeter | Miscellaneous |
342 | Agilent | Multimeter | Miscellaneous |
343 | Agilent | Multimeter | Miscellaneous |
344 | Agilent | N8241A, ATO‐S4744, 008 062 | Arbitrary Waveform Generator |
345 | Agilent | N8241A, ATO‐S4744, 008 062 | Arbitrary Waveform Generator |
346 | Agilent / HP / Verigy | GPIB IEEE488 Cable | GPIB IEEE488 Cable |
347 | Agilent / Verigy / Keysight | 1671G | Logic Analyzer |
348 | Agilent / Verigy / Keysight | 1671G | Logic Analyzer |
349 | Agilent / Verigy / Keysight | 4261A | LCR METER |
350 | Agilent / Verigy / Keysight | 5335A | Universal Frequency Counter |
351 | Agilent / Verigy / Keysight | E4915A | Crystal impedance LCR meter |
352 | AGL Inc | Microwave RF Generator | RF Generator |
353 | AIR PRODUCTS | F-74-VCR | REGULATOR PRESSURE |
354 | Air Products | Precision Tool | Manometer 1.5 Bar |
355 | AIR PRODUCTS | R-704-VCR | PRESSURE REGULATORS TEST |
356 | AIR SYSTEM INTL | SVB-E8EXP | Electric Blower, Explosion Proof |
357 | ALCATEL | 5150CP | Turbo Molecular Vacuum Pump |
358 | Alcatel | ADS 602H | Dry Pump |
359 | Alcatel | flange | Alcatel pump flange 4″ ID 5 1/4″ OD, SST |
360 | Allen Bradley | 1762-IF4 | PLC 4-ch input module |
361 | Allen Bradley | 800F-PN3GX11 | Contact module 24V, AMI S3320-15-1, pk of 3 |
362 | Allen Bradley | Micro-Logix 1200 | PLC Module |
363 | Allen Bradley | PanelView Plus 400 | Operator Panel, Serial |
364 | ALTRONIC | Dummy Load | Miscellaneous |
365 | ALTRONIC | Dummy Load | Miscellaneous |
366 | ALTRONIC | Dummy Load | Miscellaneous |
367 | ALTRONIC | Dummy Load | Miscellaneous |
368 | ALTRONIC | Dummy Load | Miscellaneous |
369 | ALTRONIC | Dummy Load | Miscellaneous |
370 | ALTRONIC | Dummy Load | Miscellaneous |
371 | AMAT | 0010-20422 | Endura 5500 PVD 8″ Shield treatment and cover assembly |
372 | AMAT | 0040-77544 | Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 |
373 | AMAT | 0040-91179 | TxZ MCA E-chuck assy |
374 | AMAT | 0041-61611 | Retaining ring, Titan II, 8″ *NEW* |
375 | AMAT | 0190-24834-002 | MFC Celerity ufc-8165 1L H2 |
376 | AMAT | 2MHZ Ice RF Match | RF Match |
377 | AMAT | 8300 | RF Match |
378 | AMAT | 8300 | RF Match |
379 | AMAT | 8300 | RF Match |
380 | AMAT | 9500XR | Wheel 150mm |
381 | AMAT | DC Power Supply | Power Supply |
382 | AMAT | HV E Chuck | Power Supply |
383 | AMAT | HV E Chuck | Power Supply |
384 | AMAT | HV MODULE ESC | Miscellaneous |
385 | AMAT | Ice RF Match | RF Match |
386 | AMAT | Manual Controller | Miscellaneous |
387 | AMAT | Manual Controller | Miscellaneous |
388 | AMAT | Manual Controller | Miscellaneous |
389 | AMAT | Micro RF Match | RF Match |
390 | AMAT | P5000 | RF Match |
391 | AMAT | P5000 | RF Match |
392 | AMAT | P5000 | RF Match |
393 | AMAT | P5000 | RF Match |
394 | AMAT | P5000 | RF Match |
395 | AMAT | P5000 | RF Match |
396 | AMAT | P5000 | RF Match |
397 | AMAT | P5000 | RF Match |
398 | AMAT | P5000 | RF Match |
399 | AMAT | P5000 | RF Match |
400 | AMAT | P5000 | RF Match |
401 | AMAT | P5000 | RF Match |
402 | AMAT | P5000 | RF Match |
403 | AMAT | P5000 | RF Match |
404 | AMAT | P5000 | RF Match |
405 | AMAT | P5000 | RF Match |
406 | AMAT | P5000 | RF Match |
407 | AMAT | P5000 | RF Match |
408 | AMAT | P5000 | RF Match |
409 | AMAT | P5000 | RF Match |
410 | AMAT | P5000 | RF Match |
411 | AMAT | P5000 | RF Match |
412 | AMAT | P5000 | RF Match |
413 | AMAT | P5000 | RF Match |
414 | AMAT | P5000 | RF Match |
415 | AMAT | P5000 | RF Match |
416 | AMAT | P5000 | RF Match |
417 | AMAT | P5000 | RF Match |
418 | AMAT | P5000 | RF Match |
419 | AMAT | P5000 | RF Match |
420 | AMAT | P5000 | RF Match |
421 | AMAT | P5000 | RF Match |
422 | AMAT | P5000 | RF Match |
423 | AMAT | P5000 | RF Match |
424 | AMAT | P5000 | RF Match |
425 | AMAT | P5000 | RF Match |
426 | AMAT | P5000 | RF Match |
427 | AMAT | P5000 | RF Match |
428 | AMAT | P5000 | RF Match |
429 | AMAT | P5000 | RF Match |
430 | AMAT | P5000 | RF Match |
431 | AMAT | P5000 | RF Match |
432 | AMAT | P5000 | RF Match |
433 | AMAT | P5000 | RF Match |
434 | AMAT | P5000 | RF Match |
435 | AMAT | P5000 | RF Match |
436 | AMAT | P5000 | RF Match |
437 | AMAT | P5000 | RF Match |
438 | AMAT | P5000 | RF Match |
439 | AMAT | P5000 | RF Match |
440 | AMAT | P5000 | RF Match |
441 | AMAT | P5000 | RF Match |
442 | AMAT | P5000 | RF Match |
443 | AMAT | P5000 | RF Match |
444 | AMAT | P5000 | RF Match |
445 | AMAT | P5000 | RF Match |
446 | AMAT | P5000 | RF Match |
447 | AMAT | P5000 | RF Match |
448 | AMAT | P5000 | RF Match |
449 | AMAT | P5000 | RF Match |
450 | AMAT | P5000 | RF Match |
451 | AMAT | P5000 | RF Match |
452 | AMAT | P5000 | RF Match |
453 | AMAT | P5000 | RF Match |
454 | AMAT | P5000 | RF Match |
455 | AMAT | P5000 | RF Match |
456 | AMAT | P5000 | RF Match |
457 | AMAT | P5000 | RF Match |
458 | AMAT | P5000 | RF Match |
459 | AMAT | P5000 | RF Match |
460 | AMAT | P5000 | RF Match |
461 | AMAT | P5000 | RF Match |
462 | AMAT | P5000 | RF Match |
463 | AMAT | P5000 | RF Match |
464 | AMAT | P5000 | RF Match |
465 | AMAT | P5000 | RF Match |
466 | AMAT | P5000 | RF Match |
467 | AMAT | P5000 | RF Match |
468 | AMAT | P5000 | RF Match |
469 | AMAT | P5000 Controller | Miscellaneous |
470 | AMAT | P5000 Controller | Miscellaneous |
471 | AMAT | P5000 Controller | Miscellaneous |
472 | AMAT | Phase Mag Detector | Miscellaneous |
473 | AMAT | Phase Mag Detector | Miscellaneous |
474 | AMAT | Phase Mag Detector | Miscellaneous |
475 | AMAT | RF RF Match | RF Match |
476 | AMAT | RF RF Match Controller | Miscellaneous |
477 | AMAT | RF RF Match Controller | Miscellaneous |
478 | AMAT | RF RF Match Controller | Miscellaneous |
479 | AMAT | RF RF Match Controller | Miscellaneous |
480 | AMAT | RF RF Match Controller | Miscellaneous |
481 | AMAT | RF RF Match, VOR | RF Match |
482 | AMAT | Vectra RF Match | RF Match |
483 | AMI | B390.05 | belt |
484 | AMI Presco | ACL-TS | Solar wafer picker tool |
485 | Anaheim Automation | 23D306S | Stepper motor 3.4VDC 2.9A |
486 | Anaheim Automation | TM4500 AA4520 | Stepper controller/driver board |
487 | ANDO | AF9723 | Eprom Gang programmer w/ RAM expansion |
488 | ANELVA | 954-7700 | ANELVA 954-7700 SWITCH |
489 | Angelantoni | T600 TU5 | Large Clean-room Oven with internal blowers |
490 | Angelantoni | T600 TUS | Large Clean-room Oven with internal blowers |
491 | APEX | 5513 | RF Generator |
492 | Applied Materials | 0010-00557 REV A | Heat Exchanger |
493 | Applied Materials | 0010-03485 | AMAT Magnet Assy |
494 | Applied Materials | 0010-28715 | 300mm TxZ MCA E-Chuck Assy |
495 | Applied Materials | 0020-20483 REV E | HUB LAMP CORNER COVER |
496 | Applied Materials | 0020-20523 | COVER |
497 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE |
498 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE |
499 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE |
500 | Applied Materials | 0020-20919 REV C | COVER CVD POST |
501 | Applied Materials | 0020-21089 | SHIELD |
502 | Applied Materials | 0040-13659 REV P1 | BLOCK, MTG |
503 | Applied Materials | 0050-20072 REV B | GAS LINE CHAMBER 3 HEATER MFC 1 VCR |
504 | Applied Materials | 0050-76652 REV A | GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED |
505 | Applied Materials | 0050-76664 REV A | GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE |
506 | Applied Materials | 0090-20042 REV D | ASSY 3 WAY VALVE |
507 | Applied Materials | 0090-20042 REV D | ASSY 3 WAY VALVE |
508 | Applied Materials | 0090-90352 | 160KV post-acceleration converter. |
509 | Applied Materials | 0140-20502 REV D | HARN ASSY AC 2-PHASE DRIVER |
510 | Applied Materials | 0150-21344 | CHAMBER D INTERCONNECT EMC COMPLIANT |
511 | Applied Materials | 0190-19024 | RF MATCH |
512 | Applied Materials | 0190-20015 REV D | VALVE / MANIFOLD |
513 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR |
514 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR |
515 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR |
516 | Applied Materials | 0190-23623 | RF MATCH |
517 | Applied Materials | 0190-23905 ASE AMV-3000 | RF MATCH |
518 | APPLIED MATERIALS | 0230-00101 | Precision etch 8300 |
519 | Applied Materials | 0230-09130 | P5000 SPARE PARTS IDENTIFIER |
520 | APPLIED MATERIALS | 0230-09130 | Precision 5000 Mark II spare part identified |
521 | APPLIED MATERIALS | 0230-09259 | Precision 5000 Mark II Mainframe and support equipment manual |
522 | Applied Materials | 0240-20611 REV E | KIT SLIT VALVE PER CHAMBER REV E |
523 | Applied Materials | 0240-70416 REV C | KIT BLOW OUT VALVE PER CHAMBER |
524 | APPLIED MATERIALS | 026-110-0B1 | PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures |
525 | APPLIED MATERIALS | 079-1202-0D | PR 5000 Advanced prev. And corrective main |
526 | Applied Materials | 3830-01018 REV | LABEL LASERTAB MARKER WHT POLYEST LAM |
527 | Applied Materials | 3830-01034 REV | LABEL LASERTAB MARKER WHT POLYEST LAM |
528 | Applied Materials | 3870-01281 REV | VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11 |
529 | Applied Materials | 3870-01284 | VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023 |
530 | Applied Materials | 9010-02466W | Wafer Handler Assembly, QX |
531 | Applied Materials | 9090-01406 | IHC Chassis for a Quantum X Implanter |
532 | Applied Materials | Parts | Misc parts |
533 | Applied Materials | Quantum X | Spares |
534 | Applied Materials | Spare Parts | |
535 | Applied Materials ® | 0230-00103 | Precision Etch 8300 Corrective Maintenance Rev 3 |
536 | Applied Materials ® | 0230-09258 B | P5000 Mk II Mainfame support and equipment manual cleanroom July 1994 |
537 | Applied Materials ® | 0230-09258B | P5000 Mk II Mainframe and Support Equipment Manual |
538 | Applied Materials ® | 0230-20005 | Endura Operations and Programming Training Course Student Workbook |
539 | Applied Materials ® | 026-105-03 C | P5000 Mk II Functional description training manual |
540 | Applied Materials ® | 026-110-0B.1 | P5000 Mk II Functional description , practice exercises and basic maintenance proceedures |
541 | Applied Materials ® | 079-018-05 | P5000 Mk II Functional description Practice exercises |
542 | Applied Materials ® | 079-102-0D | P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 |
543 | Applied Materials ® | 079-109-0D | P5000 Mk II Advanced calibration proceedures manual Jan 1995 |
544 | ARO | ANAQK-ABXAB-160 | Plate Cylinder |
545 | ASAHI TOOLS | K-2 | PRECISION WRENCH |
546 | ASAHI TOOLS | K-2 | PRECISION WRENCH |
547 | Asco | SC8210G004 | Solenoid Valve, 1 In, Orifice 1 In, Brass |
548 | Asco | SC8210G93 | Solenoid Valve, |
549 | ASM | 2506556-21 | Processor DTC |
550 | ASM | 2890224-21 | Converter DTC |
551 | ASM | AS899 (Spare Parts) | Spare Parts for Pick and Place Die Bonder |
552 | ASML | 402.666.19811 | Nitrogen Purge Unit MK4.1 |
553 | Astec | VS1-D8-02 (-436-CE) | Power Supply 1500 watts |
554 | Astec | VS1-L3-02 (-335-CE) | Power Supply 1500 watts |
555 | Astec | VS1-L3-02 (-435-CE) | Power Supply 1500 watts |
556 | Astec | VS1-L5-02 (-452-ce) | Power Supply |
557 | Astec | VS3-C2-C2-02 | Power Supply 2000 watts (-450-CE) |
558 | Astec | VS3-C2-C2-C2 | Power Supply 2000 watts (-450-CE) |
559 | Astec | VS3-C8-A8-02 (-451-CE) | Power Supply 2000 watts |
560 | Astec | VS3-D4-B4-22 (-447-ce) | Power Supply 2000 watts |
561 | Astec | VS3-D8-D8-02 | Power Supply 2000 watts |
562 | Astec Powertec | 9K2-300-372 | Super Switcher Power Supply |
563 | Astech | ATL-100RA | RF Match |
564 | Astech | ATL-100RA | RF Match |
565 | Astech | ATL-100RA | RF Match |
566 | Astech | ATL-100RA | RF Match |
567 | Astech | ATL-100RA/DT2L | RF Match |
568 | Astex | AX2107 | RF Generator |
569 | Astex | Micro AVE RF Generator | RF Generator |
570 | Asyst | 1150-V1315S | SMIF Load port 150mm |
571 | Athena | 16C-B-S-0-23-00 | Temperature / Process controller |
572 | Axcelis | 1214110 | EMS Power Supply 10 – 60 LAMBDA |
573 | Axcelis | 17091650 | SUPP RACK |
574 | Axcelis | 17S2467 | DISK |
575 | Axcelis | B 07 554 | MOTOR GSD 180 TOP |
576 | Axcelis | GSD 200 | Implanter Wheel 125 mm 180 degree |
577 | Axcelis | M 860664-01 ED 111897 | High Voltage Glassmann Extraction Power Supply |
578 | Axcelis | P8 KAQ 15PN2 | Quadropol Power Supply High Voltage |
579 | Axcelis | PN 1027-0093-0035 | Beam Shutter DI 14 |
580 | Axcelis | PS WX 75 N12 0XX0 M 863742-02 | GLASSMAN High Voltage DC Power Supply |
581 | Axcelis | Rock | 4 x Kit Air Jet Replacement |
582 | Axcelis | Rock | 4 x Kit Air Jet Replacement |
583 | Axcelis Eaton | GSD100 | Gyro Disk for GSD100 |
584 | Baxter | Sealing Head | Sealing |
585 | Baxter | Sealing Head | Sealing |
586 | Baxter | Sealing Head | Sealing |
587 | Baxter | Sealing Head | Sealing |
588 | Beckhoff | BK9000 | Ethernet interface module |
589 | Beckhoff | KL9020 | Ethernet interface |
590 | Beckhoff | KL9050 | PLC Module |
591 | BELDEN 8219 | IC20 | BRAID R-58A/U |
592 | Bellows | 10.01.06.00878 | Bellows suction cup 9mm, pack of 12 |
593 | Bimba Ultran | US-1734.125-S | Rodless Pneumatic Actuator |
594 | Bimba Ultran | US-1737.125-S | Rodless Pneumatic Actuator |
595 | Bird | 1000 watt load | Miscellaneous |
596 | Bird | 5K Dummy Load | Miscellaneous |
597 | Bird | Power Meter | Miscellaneous |
598 | Bird | Power Meter | Miscellaneous |
599 | Bird | Power Meter | Miscellaneous |
600 | Bird | Power Meter | Miscellaneous |
601 | Bird | Power Meter | Miscellaneous |
602 | Bird | Power Sensor | Miscellaneous |
603 | Bird | Power Sensor | Miscellaneous |
604 | Bird | Power Sensor | Miscellaneous |
605 | Bird | Power Sensor | Miscellaneous |
606 | Bird | Power Sensor | Miscellaneous |
607 | Bird | Power Sensor | Miscellaneous |
608 | Bird | Power Sensor | Miscellaneous |
609 | Bird | Power Sensor | Miscellaneous |
610 | Bird | Power Sensor | Miscellaneous |
611 | Bird | Power Sensor | Miscellaneous |
612 | Blue M | DCC-1406-B-MP550 | Blue M Oven |
613 | BROOKS | 002-7391-08 | Brooks Aligner |
614 | BROOKS | 002-7391-08 | Brooks Aligner |
615 | BROOKS | 002-9400-04 | Brooks Robot Controller |
616 | BROOKS | 017-0266-01 | Brooks Robot (Config No. 017-0950-01) |
617 | BROOKS | 017-0950-01 | Brooks Robot |
618 | BROOKS | 105151 | Brooks Robot Controller |
619 | Brooks | 5850 | MFC Mass flow controller |
620 | Brooks | Mag 7 | Robot |
621 | Brooks | MAG 7 | Wafer Handling Robot |
622 | Brooks | Multitran 5 | 3 Axis Robot, Rebuilt |
623 | Brooks | TT1ENR2-1 | Brooks robot Teach Pendant TT1ENR2-1-TVS-ES-Brooks8 |
624 | Brooks | DBM 2407 V2 | Dual arm Atmospheric wafer handling robot |
625 | Brooks | load port | Fixload 6M, Vision, Fixload 25 |
626 | Brooks / PRI | ATM-207-2S-CE | Single arm atmospheric wafer handling robot |
627 | Brooks / PRI | DBM 2406 | Dual arm Atmospheric wafer handling robot |
628 | Brooks / PRI | Mag 8 | Vacuum Robot( From an AMAT Producer GT) AMAT p/n 0190-25011 REV 001 |
629 | BROOKS AUTOMATION | ATM-305 | 3 Axis Robot, Refurbished |
630 | Brooks Automation | DBM2407-V2 | Dual Arm Robot |
631 | Brooks Automation | DBM2407-V2 | Dual Arm Robot |
632 | Brooks PRI | ABM 205 | single arm atmospheric wafer handling robot |
633 | Brooks PRI | ABM 405 | single arm atmospheric wafer handling robot |
634 | Brooks PRI | ABM/ATM 405 | single arm atmospheric wafer handling robot |
635 | Brooks PRI | ABM/ATM 407B | single arm atmospheric wafer handling robot |
636 | Brooks PRI | ATM 204/207 | single arm atmospheric wafer handling robot |
637 | Brooks PRI | ATM 305/307 | single arm atmospheric wafer handling robot |
638 | Brooks PRI | ATM/ABM 105/107/107B | single arm atmospheric wafer handling robot |
639 | Brooks PRI | DBM 2706 | Dual arm Atmospheric wafer handling robot |
640 | Brooks PRI | EDC-2400/2800 | PRI robot controller(dual arm robot) |
641 | Brooks PRI | ESC-200/212/212B/222/218 | PRI robot controller( single arm robot) |
642 | Brooks PRI | PRE 200/200B | wafer pre-aligner |
643 | Brooks PRI | PRE 200/200B/201/201B | wafer pre-aligner |
644 | Brooks PRI | PRE 300/300B/301/301B | wafer pre-aligner |
645 | CAJON | SS-4-VC0 | FITTINGS |
646 | CAJON | SS-8-VC0-4 | FITTINGS |
647 | Cam York | ST-17-08-153-01-154-01-0-00-C010(1) | Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM |
648 | Camloc | RS-182 | GAS SPRING |
649 | Canon | 6736A | reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 |
650 | Canon | BG3-2428-000 | 8 inch and12 inch PIN wafer chuck ASS’Y ( FPA 5000 ES2, ES2+, ES3, ES4) |
651 | Canon | BG3-3822 | DMP-PREAMP PCB |
652 | Canon | BG3-3823 | DMP-DSP PCB |
653 | Canon | BG3-7842 | STG CD4 PCB, for Canon FPA5000 ES3 scanner |
654 | Canon | BG4-6365 | PPC Pellicle Particle Checker Unit for FPA3000 series stepper iw i4 i5 ex3 ex4 ex5 ex6 |
655 | Canon | BG4-6466-000 | ZMI 1000 A Board (Y) |
656 | CANON | BG4-6746 BH8-1822-01 BG8-2683 | WF/RC IF PCB FOR CANON I-LINE STEPPERS |
657 | CANON | BG4-7001 | BH8-1837-01 PCB |
658 | Canon | BG4-85?? | FPA 3000 series iA scope relay lens unit, Right |
659 | Canon | BG4-8594 | FPA 3000 SERIES iA scope objective lens unit, Left |
660 | Canon | BG4-8595 | FPA 3000 series, iA scope objective lens unit, RIGHT |
661 | Canon | BG4-8597 | Electro-optical assembly from iA scope for FPA 3000 Ex4,5,6 |
662 | Canon | BG4-8599 | FPA 3000 series iA scope shutter unit |
663 | Canon | BG4-8601 | Electro-Optical part for FPA 3000 EX series iA scope |
664 | Canon | BG5-4612 | Matrix-Dsp pcb |
665 | Canon | BG6-5894 | SLAVE PCB ICKM |
666 | Canon | BG6-5907 | DRV IF2 PCB |
667 | Canon | BG6-6613 | MI2 PU PCB |
668 | Canon | BG6-8510 | Ai CONT pcb |
669 | Canon | BG8-1813 | MVPCB 3 |
670 | Canon | BG9-4757, BH8–1069-01 | AF DRV board for Canon FPA 1550 series |
671 | Canon | BG9-4758, BG8-3109, BH8-1070-02 | SC/RC board for Canon FPA series steppers |
672 | Canon | BG9-4759, BG8-3110, BH8-1070-02 | SC/RC board for Canon fpa |
673 | Canon | BG9-4760, BG83111, BH8-1071-02 | SH/RH board for Canon FPA 1550 |
674 | Canon | BG9-4761, BG8-3112, BH8-1071-01 | SH/RH board FOR CANON FPA SERIES STEPPERS |
675 | Canon | BG9-4762, BG8-3113, BH8-1072-01 | PA STAGE board for Canon 1550MarkIV series |
676 | Canon | BG9-4764, BH8-1074-01 | PA CCD board for Canon FPA series steppers |
677 | Canon | BH8-0339-05 | VME BOARD |
678 | Canon | BH8-1073-01, BG9-4763, BG8-3114 | PA SENSER board |
679 | Canon | BH8-1768-02 | Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
680 | Canon | BH8-1818-01 | LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
681 | Canon | BH8-1938-01BG4-9386 BG8-2694 | CD90-CD PCB assembly |
682 | Canon | BH8-1979-01 | EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
683 | Canon | BH8-1980-01 | EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
684 | Canon | BH8-2017-01 | IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
685 | Canon | BH8-2022-01, BG8-3369, BG4-8680 | EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
686 | Canon | BH8-2065-02, BG4-8805, BG8-3375 | CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
687 | Canon | BH8-2085 | SHU CONTROL PCB |
688 | Canon | BH8-2123-01 | XMB2 PCB |
689 | Canon | BH8-2694 | XZ PCB |
690 | Canon | BH8-3081 | MISC IF PCB |
691 | Canon | BH8-3100-02 | SCH-DT PCB |
692 | Canon | BH9-0084 | AIN 2 PCB |
693 | Canon | BH9-0423-01 | matrix out pcb |
694 | Canon | Chino ES-600 | Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
695 | Canon | Chuck Tool | Chuck Tool for EX3, EX4, i4, i5 |
696 | Canon | Chuck, 8 inch | Wafer Chuck for EX3, EX4, i4, i5 |
697 | CANON | FPA 1550 Mark IV (Spares) | Wafer transfer arm for CANON FPA 1550 MARK 4 |
698 | Canon | FPA 3000 EX3/4/5/6 (Spares) | FPA 3000 EX series iA scope lens unit |
699 | Canon | FPA 3000 series | Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
700 | Canon | FPA 3000 series | HP-UX Workstation A2615A 9.05 10.20 11.00 11.00 11i |
701 | Canon | FPA 3000 series extended reticle library | extended reticle library |
702 | Canon | FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 | Various Spare Parts and lenses for Canon FPA-3000 Series |
703 | Canon | FPA1550 MK4 (Spares) | Ceramic Blade for 6 inch Wafer Handling Robot |
704 | Canon | FPA1550 MK4 (Spares) | Targetting lenses for Canon Stepper qty 2 |
705 | Canon | FPA3000 EX3 (Spares) | Complete Illuminator Assembly |
706 | Canon | Fuji Denso 4248-E921 | 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
707 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
708 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
709 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
710 | Canon | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
711 | CANON | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
712 | CANON | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
713 | CANON | FUJITSU DENSO 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
714 | CANON | FUJITSU DENSO 4248-E922 | 2 channels power supply module for canon fpa 3000 series |
715 | Canon | Fujitsu Denso 4249-E922 | 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
716 | CANON | Fujitsu Denso 4249-E923 | 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
717 | Canon | Fujitsu Denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
718 | Canon | Fujitsu Denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
719 | CANON | Fujitsu denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
720 | CANON | FUJITU DENSO 4247-E924 | 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 |
721 | Canon | GG3-7844 | PCB |
722 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Issoku FG199 lead screw |
723 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Texas Instruments MC780P CCD EX Camera (Left) |
724 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Texas Instruments MC780P CCD EX Camera (Right) |
725 | Canon | Omron APR-S | Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
726 | CANON | ROCKY KS-112 | SCSI EXT PCB FOR CANON FPA SERIES I-LINE STEPPERS |
727 | Canon | Shimaden SR25-2P-N-00699609 | PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
728 | Canon | Shimaden SR25-2P-N-00699609 | PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 |
729 | Canon | spares | Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6 |
730 | Canon | video impedance adapter | 5 channel video impedance adapter |
731 | Canon | Zenith ZPS-250 | Multiple voltage power supply 250Watts |
732 | CARL ZEISS | S-INDUSTRIAL | 193 NM LASER |
733 | Celtec | CD1-12 | Power Supply |
734 | Celtec | CD1-12 | Power Supply |
735 | Celtec | CK180-6 | RF Generator |
736 | Celtec | CK180-6 | RF Generator |
737 | Celtec | CM13 | RF Generator |
738 | CKD | M4SB080-M5 | AHM-850 SOLENOID VALVE |
739 | CKD CYCLINDER | CSD2-L-32-20 | CYLYNDER |
740 | CKD CYCLINDER | CSD2-L-32-20 | CYLYNDER |
741 | CKPlas | TS-MT510-J, TS-MT610-J | Transfer Solar Wafer Cassette |
742 | CLEAN ROOM TABLE | CLEANROOM TABLE | STEEL CLEANROOM TABLE |
743 | Coaxial Power Systems | RFG 500-380 | RF Generator |
744 | Coaxial Power Systems | RFG 500-380KR | RF Generator |
745 | Coaxial Power Systems | RFG 500-380KR | RF Generator |
746 | Coherent | Hyper-Rapid 50 | Solid State Laser, 1064 nm |
747 | Comdel | CB300 | RF Generator |
748 | Comdel | CB300 | RF Generator |
749 | Comdel | CB4000 | RF Generator |
750 | Comdel | CB4000 | RF Generator |
751 | Comdel | CB5000 | RF Generator |
752 | Comdel | CB5000 | RF Generator |
753 | Comdel | CB5000 | RF Generator |
754 | Comdel | CB600 | RF Generator |
755 | Comdel | CB600 | RF Generator |
756 | Comdel | CB600 | RF Generator |
757 | Comdel | CB600 | RF Generator |
758 | Comdel | CB600A | RF Generator |
759 | Comdel | CB600A | RF Generator |
760 | Comdel | CB600A | RF Generator |
761 | Comdel | CB600A | RF Generator |
762 | Comdel | CB600S | RF Generator |
763 | Comdel | CD-1500 | RF Generator |
764 | Comdel | CLF 5000 | RF Generator |
765 | Comdel | CLX 2500 | RF Generator |
766 | Comdel | CLX 600 | RF Generator |
767 | Comdel | Controller | Miscellaneous |
768 | Comdel | CPM 1000 | RF Match |
769 | Comdel | CPM-1000/REMOTE | Miscellaneous |
770 | Comdel | CPM-5000/REMOTE | Miscellaneous |
771 | Comdel | CPM-5000/REMOTE | Miscellaneous |
772 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous |
773 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous |
774 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous |
775 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous |
776 | Comdel | CPMX-1000 | RF Match |
777 | Comdel | CPMX-1000 | RF Match |
778 | Comdel | CPMX-1000 | RF Match |
779 | Comdel | CPMX-1000 | RF Match |
780 | Comdel | CPS 1001 | RF Generator |
781 | Comdel | CPS 3000 | RF Generator |
782 | Comdel | CV 1000 | RF Generator |
783 | Comdel | CV 1000 | RF Generator |
784 | Comdel | CV 500 | RF Generator |
785 | Comdel | CV 500 | RF Generator |
786 | Comdel | CX2500 | RF Generator |
787 | Comdel | CX2500 | RF Generator |
788 | Comdel | CX2500 | RF Generator |
789 | Comdel | CX2500S | RF Generator |
790 | Comdel | ESC-2 | Power Supply |
791 | Comdel | ESC-2 | Power Supply |
792 | COMDEL | FP2508R4 CPMX-2500 | RF MATCH |
793 | Comdel | LFMN8/5 | RF Match |
794 | COMPUMOTOR | M57-51 | Lead screw |
795 | Condor | B24-1.2 | dc power supply |
796 | CONDOR | HCC15 3A + | POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN |
797 | Contamination Control | Desiccator boxes, 10ea Available | |
798 | COSEL | MMC100-2 | POWER SUPPLY |
799 | Credence | 071-1022-01 | Duo & Logic 100 Test System Service Manual |
800 | Credence | 202-2000-00 | Credence Duo SX controller |
801 | Credence | 670-9426-05 | DUO SX Controller I/O |
802 | Credence | 671-0693-04 | Duo SX DMA2 Controller |
803 | Credence | 671-0951-04 | DUO SX Analog I/O Board |
804 | Credence | 671-1376-02 | Analog Power Monitor PCB 584-02 |
805 | Credence | 671-1461-04 | Intersegment communications board |
806 | Credence | 671-2119-00 | Analog Support Air flow Bus Grant |
807 | Credence | 671-4043-01 | DUO SX Test Controller |
808 | Credence | 671-4098-02 | DUO SX Master Clock Dist. |
809 | Credence | 671-4098-952707 | DUO SX Master Clock Dist. |
810 | Credence | 671-4127-00 | Scan Memory |
811 | Credence | 671-4155-05 | Analog Support Module VHF |
812 | Credence | 671-4177-04 | Support Module Interface |
813 | Credence | 671-4270-02 | Capture Processor board 1A |
814 | Credence | 671-4270-03 | Capture Processor |
815 | Credence | 671-4276-50 | PIN card |
816 | Credence | 671-4283-01 | Duo SX ROM Sequencer TPI/PD |
817 | Credence | 671-4331-01 | DUO SX ROM Sequencer |
818 | Credence | 671-4351-00 | Intersegment Communications Connector |
819 | Credence | 671-4359-00 | DPAC Parallel Pattern Memory |
820 | Credence | 671-4359-01 | DPAC Parallel Pattern Memory |
821 | Credence | 671-4394-01 | Test head connector board |
822 | Credence | 671-4394-04 | Test head connector board |
823 | Credence | 671-4635-01 | Testhead connector board |
824 | Credence | 672-4359-00 | DPAC Parallel Pattern Memory |
825 | Credence | 672-6051-01 | Support Module Interface |
826 | Credence | 672-6051-03 | Support Module Interface |
827 | Credence | Duo SX PC | Credence Duo SX Main PC |
828 | CTI Cryogenics | 80182 G 001 | Torr Pump |
829 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump |
830 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump |
831 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump |
832 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump |
833 | CTI Cryogenics | 8033168 | Torr High Vacuum Pump |
834 | CTI Cryogenics | 8033168 | Torr High Vacuum Pump |
835 | CTI Cryogenics | 8116036 G 002 | Pump On Board |
836 | CTI Cryogenics | Cryotorr 100 | Cryopump |
837 | CTI cryogenics | CRYOTORR-100 | Cryopump |
838 | CTI-CRYOGENIC | 8040406 REV C | ONBOARD FASTREGEN OPERATION MANUAL |
839 | CTI-CRYOGENIC | 8040406 REV C | ONBOARD FASTREGEN OPERATION MANUAL |
840 | CTI-CRYOGENIC | 8040491 REV B | ONBOARD CRYOPUMP INST. MAINT MANUAL |
841 | CTI-CRYOGENIC | 8040491 REV B | ONBOARD CRYOPUMP INST. MAINT MANUAL |
842 | Cubic Communications | SPA 400 | RF Generator |
843 | CUSTOM | ACCUSEAL 675G-25-3 | Plastic Bag Sealer |
844 | CVC | 31-313216-8171 | CONNEXION INSTRUCTION MANUAL |
845 | CVC | 31-313216-8171 | CONNEXION INSTRUCTION MANUAL |
846 | CVC | GTC-036 | TERMOCOUPLE GAUGE TUBE |
847 | Cymer | 01-13500-07 | Paddle for Cymer 4300 laser |
848 | Cymer | 4300 | Maintenance Tool kit and spare parts |
849 | Cymer | XLA 360 | Excimer laser, 193 nm |
850 | DAGE | PC2400 | Bond & Wire Tester Operators Guide |
851 | Daihen | Daihen Controller | Miscellaneous |
852 | Daihen | Daihen RF Match | RF Match |
853 | Daihen | Daihen RF Match | RF Match |
854 | Daihen | Daihen RF Match | RF Match |
855 | Daihen | Dome RF Match | RF Match |
856 | DAIHEN | HFA-50A | RF MATCH |
857 | Daihen | MFM 20SA | RF Match |
858 | Daihen | NGA-30C | RF Generator |
859 | DAIHEN | NMN-20A1 | RF Match |
860 | DAIHEN | NX-AMN-50E3 | RF AUTO MATCHER 60MHz |
861 | Daihen | WGA-20A | RF Generator |
862 | DAIHEN | WGA-50E | RF GENERATOR |
863 | DAIHEN | WMN-25 | Miscellaneous |
864 | DAIHEN | WMN-50H6A | RF AUTO MATCHER 2MHz |
865 | DELL | PWB 9578D | GX B-V0A |
866 | DENSAN | DSB-S17 | OUTPUT BOARD SDB-S17 |
867 | Digital Analysis | PH10 Adjustment system | PH Adjustment system |
868 | DNS | 006 9105 | D-SPIN 622 Service manual / part list |
869 | DNS | 2-39-06099 | NISSHIN VACUUM gauge 0-76 cmHg |
870 | DNS | 2-39-06106 | NISSHIN pressure gauge 0-0.5 kgf cm2 |
871 | DNS | 2229 | D-SPIN 80A Electrical circuit diagram |
872 | DNS | 603 9309 | D-SPIN 60A Part list |
873 | DNS | 603-9311 | D-SPIN 60A Part list |
874 | DNS | 6398 | D-SPIN 60 A Electrical circuit diagram |
875 | DNS | 6398 | D-SPIN 60 A Part list |
876 | DNS | 6398 | D-SPIN 60A Service manual |
877 | DNS | 803-9605 | D-SPIN 80A Parts list |
878 | DNS | 806 9406 | D-SPIN 60A Instruction manual |
879 | DNS | 806-9311 | D-SPIN 60A Instruction manual |
880 | DNS | 806-9371 | D-SPIN 60 Instruction manual |
881 | DNS | 8089702 | D-SPIN 80A Engineer’s manual |
882 | DOMNICK HUNTER | QR 010 REV.4.0 | FILTER |
883 | DRESSLER | CESAR 1310 | RF GENERATOR |
884 | Dressler | Cesar 1312 | RF Generator |
885 | Dressler | Cesar 1312 | RF Generator |
886 | Dressler | Cesar 1312 | RF Generator |
887 | Dressler | Cesar 133 | RF Generator |
888 | Dressler | Cesar 1330 | RF Generator |
889 | Dressler | Cesar 136 | RF Generator |
890 | Dressler | Cesar 136 | RF Generator |
891 | Dressler | Cesar 136 | RF Generator |
892 | Dressler | Cesar 136 | RF Generator |
893 | Dressler | Cesar 136 | RF Generator |
894 | Dressler | Cesar 136 | RF Generator |
895 | Dressler | Cesar 136 | RF Generator |
896 | Dressler | Cesar 2740 | RF Generator |
897 | Dressler | Hilight | RF Generator |
898 | Dressler | Hilight 133 | RF Generator |
899 | Dressler | Hilight 133 | RF Generator |
900 | Dressler | Hilight 133 | RF Generator |
901 | Dressler | VM 1000 A | RF Match |
902 | Dressler | VM 1000 A | RF Match |
903 | Dressler | VM 1000 A | RF Match |
904 | Dressler | VM 1000 A | RF Match |
905 | Dressler | VM 1000 A | RF Match |
906 | Dressler | VM 1000 A | RF Match |
907 | Dressler | VM 1000 A | RF Match |
908 | Dressler | VM 1000 A | RF Match |
909 | Dressler | VM 1000 A | RF Match |
910 | Dressler | VM 1000 A | RF Match |
911 | Dressler | VM 700 A | RF Match |
912 | Drytech | 13.56Mhz Power Source | RF Generator |
913 | DWYER | RMA-10-SSV | AIR FLOWMETER,1/8 NPT CONN.,UP TO 100PSI |
914 | Eartron | HFT 8000 Load RF Match Controller | Miscellaneous |
915 | EBARA | 30024-02 | RING, ONE PIECE EBARA FREX 200 |
916 | Ebara | 306W-TF | Turbo pump controller |
917 | EBARA | A10S | DRY PUMP |
918 | EBARA | A30W | DRY PUMP |
919 | Ebara | A30W | Mechanical Vacuum Pump |
920 | Ebara | A30W | Vacuum Pump |
921 | Ebara | A30W | Vacuum Pump |
922 | EBARA | A70W | DRY PUMP |
923 | EBARA | AA40WNV1-E | DRY PUMP |
924 | Ebara | AA70W | Mechanical Vacuum Pump |
925 | Ebara | AA70WN | Mechanical Vacuum Pump |
926 | EBARA | C-1120-008-0001 | BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200 |
927 | Ebara | Ebanet 1250 AD | Communications module for dry pumps |
928 | Ebara | FREX 200 (Spares) | PRESSURE SENSITIVE VALVE FOR EBARA FREX 200 |
929 | ECI | QL-10-EX | PLATING BATH ANALYSER |
930 | EDA Industries | PCBA 05431 | DRIVER module for BIB oven |
931 | EDA Industries | PCBA 05568 REV 1.2 | Pattern test Driver module for BIB oven with 3 x PSU |
932 | EDA Industries | PCBA 05568 REV 1.2 | Pattern test Driver module for BIB oven with 6 drivers |
933 | EDA Industries | PCBA 05568 REV 1.3 | Pattern test Driver module for BIB oven with 3 x PSU |
934 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver module for BIB oven |
935 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver module for BIB oven |
936 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver PCB for BIB oven |
937 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven |
938 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven |
939 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven with 6 drivers |
940 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver PCB for BIB oven with 6 drivers |
941 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver PCB for BIB oven with 6 drivers |
942 | EDA Industries | PCBA 05758 | UBTS Diagnostic Board for BIB oven |
943 | EDA Industries | PCBA 07009 | PTDM TO ART 200 EXTENDER CARD |
944 | EDA Industries ERNI | 914796 RC | BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). |
945 | EDWARDS | 03-A301-51-883 | Eh series mechanical booster pump and combination units |
946 | EDWARDS | 03-A344-02-881 | Rotary vacuum pump E1M40, E1M80,E2M40,E2M80 |
947 | EDWARDS | 03-A344-02-882 | Rotary vacuum pump E1M40,E2M40,E2M80 and E2M40S, E2M80S superpumps |
948 | Edwards | 040020030 | Cable, motor drive, 5M seiko |
949 | EDWARDS | A529-80-905 | QMKII dry pumping system |
950 | Edwards | D150 | Dual GRC scrubber unit |
951 | Edwards | EPX180L | Mechanical Vacuum Pump |
952 | Edwards | EPX180LE | Mechanical Vacuum Pump |
953 | Edwards | EPX180NE | Mechanical Vacuum Pump |
954 | Edwards | EPX500LE | Mechanical Vacuum Pump |
955 | Edwards | EPX500NE | Mechanical Vacuum Pump |
956 | Edwards | EPXTWIN180L | Mechanical Vacuum Pump |
957 | EDWARDS | ETM63X PLASMA | HEATING CONTROL UNIT |
958 | EDWARDS | GVI 100P | Gate valve |
959 | EDWARDS | IDT-001S4 | turbo |
960 | Edwards | IGX1000N | Mechanical Vacuum Pump |
961 | Edwards | IGX100L | Mechanical Vacuum Pump |
962 | Edwards | iGX100L | Vacuum Pump |
963 | Edwards | IH1000 | Mechanical Vacuum Pump |
964 | Edwards | IH1000HTX | Mechanical Vacuum Pump |
965 | Edwards | IH1800 | Mechanical Vacuum Pump |
966 | Edwards | iQDP 80 | Dry vacuum pump |
967 | Edwards | iQDP 80 | Dry vacuum pump |
968 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump combo |
969 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump combo |
970 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump COMBO |
971 | Edwards | iQDP 80 + QMB250F | Dry vacuum pump combo |
972 | Edwards | iQDP40 | Dry Mechanical Pump |
973 | Edwards | iQDP80/QMB500 | Vacuum Pump |
974 | EDWARDS | IX3006CV | turbo |
975 | Edwards | iXH 1820H | Vacuum Pump |
976 | EDWARDS | QDP40+QMB250 | DRY PUMP |
977 | EDWARDS | QDP80 | DRY PUMP |
978 | Edwards | QDP80 | Dry Vacuum Pump |
979 | Edwards | QDP80 | Vacuum Pump |
980 | Edwards | QDP80 + QMB 250F | Dry Vacuum Pump combo |
981 | Edwards | QDP80 + QMB 250F | Dry Vacuum Pump combo |
982 | Edwards | Speedivalve | SPEEDI VALVE |
983 | Edwards | STP-1003P | Turbo Pump |
984 | Edwards | STP-XH2603P | Turbo Pump |
985 | Edwards | STPiXA2205C PN: YT63‐1Z‐040 | Turbomolecular Vacuum Pump |
986 | Edwards | STPiXA2205C PN: YT63‐1Z‐040 | Turbomolecular Vacuum Pump |
987 | Edwards | STPiXA2205C PN:YT63‐1Z‐000 | Turbomolecular Vacuum Pump |
988 | Edwards | STPiXA2205C PN:YT63‐1Z‐000 | Turbomolecular Vacuum Pump |
989 | Edwards | STPiXA2205C PN:YT63‐1Z‐000 | Turbomolecular Vacuum Pump |
990 | Edwards | TCU 4080 | CHILLER |
991 | Edwards | Various | Batch of Dry Pumps and Roughing Pumps |
992 | Edwards / Seiko Seiki | STP 1000C | TURBO PUMP TMP 100C 250 ISO-K/KF40 |
993 | ELCO | MMC50-1 | POWER SUPPLY |
994 | ELCO CO. LTD | K SERIES | POWER SUPPLY |
995 | ELCO CO. LTD | K50A-15 | POWER SUPPLY |
996 | Elind | 3232 | power supply 0-3,2A current adj – 0 – 32 V voltage adj |
997 | elind | 328 | power supply 0-0,8A current adj – 0 – 32 V voltage adj |
998 | Elind | KL 1200W | Laboratory Power supply |
999 | ENI | ACG-10 | RF Generator |
1000 | ENI | ACG-10 | RF Generator |
1001 | ENI | ACG-10 | RF Generator |
1002 | ENI | ACG-10B | RF Generator |
1003 | ENI | ACG-10B | RF Generator |
1004 | ENI | ACG-10B | RF Generator |
1005 | ENI | ACG-10B | RF Generator |
1006 | ENI | ACG-10B | RF Generator |
1007 | ENI | ACG-10XL | RF Generator |
1008 | ENI | ACG-3 | RF Generator |
1009 | ENI | ACG-3 | RF Generator |
1010 | ENI | ACG-3 | RF Generator |
1011 | ENI | ACG-3 | RF Generator |
1012 | ENI | ACG-3 | RF Generator |
1013 | ENI | ACG-3 | RF Generator |
1014 | ENI | ACG-3 | RF Generator |
1015 | ENI | ACG-3 | RF Generator |
1016 | ENI | ACG-3B | RF Generator |
1017 | ENI | ACG-3B | RF Generator |
1018 | ENI | ACG-3B | RF Generator |
1019 | ENI | ACG-3B | RF Generator |
1020 | ENI | ACG-3B | RF Generator |
1021 | ENI | ACG-3B | RF Generator |
1022 | ENI | ACG-3B | RF Generator |
1023 | ENI | ACG-3DC | RF Generator |
1024 | ENI | ACG-3DC | RF Generator |
1025 | ENI | ACG-5 | RF Generator |
1026 | ENI | ACG-6 | RF Generator |
1027 | ENI | ACG-6 | RF Generator |
1028 | ENI | ACG-6 | RF Generator |
1029 | ENI | DC Power RF Generator | RF Generator |
1030 | ENI | DCG 200 | RF Generator |
1031 | ENI | DCG 200 | RF Generator |
1032 | ENI | DOFBC2-078 | RF Match |
1033 | ENI | Dual RF Match 2.27Mhz | RF Match |
1034 | ENI | GHW-12 | RF Generator |
1035 | ENI | GHW-12 | RF Generator |
1036 | ENI | Harmonic Filter | Miscellaneous |
1037 | ENI | HF-3000-50 | HARMONIC FILTER ASSY |
1038 | ENI | HPG-2 | RF Generator |
1039 | ENI | HPG-2 | RF Generator |
1040 | ENI | LPG-12A | RF Generator |
1041 | ENI | LPG-12A | RF Generator |
1042 | ENI | LPG-12A | RF Generator |
1043 | ENI | LPG-12A | RF Generator |
1044 | ENI | LPG-12A | RF Generator |
1045 | ENI | LPG-12A | RF Generator |
1046 | ENI | LPG-12A | RF Generator |
1047 | ENI | LPG-24 | RF Generator |
1048 | ENI | LPG-24 | RF Generator |
1049 | ENI | LPG-6 | RF Generator |
1050 | ENI | LPG-6A | RF Generator |
1051 | ENI | LPG-6A | RF Generator |
1052 | ENI | MW Controller | Miscellaneous |
1053 | ENI | MW Controller | Miscellaneous |
1054 | ENI | MW Controller | Miscellaneous |
1055 | ENI | MW Controller | Miscellaneous |
1056 | ENI | MW Controller | Miscellaneous |
1057 | ENI | MW Controller | Miscellaneous |
1058 | ENI | MW Controller | Miscellaneous |
1059 | ENI | MW Controller | Miscellaneous |
1060 | ENI | MW Controller | Miscellaneous |
1061 | ENI | MW Controller | Miscellaneous |
1062 | ENI | MW-10 | RF Match |
1063 | ENI | MW-10 | RF Match |
1064 | ENI | MW-10 Controller | Miscellaneous |
1065 | ENI | MW-10 Controller | Miscellaneous |
1066 | ENI | MW-10 Controller | Miscellaneous |
1067 | ENI | MW-10 Controller | Miscellaneous |
1068 | ENI | MW-10 Controller | Miscellaneous |
1069 | ENI | MW-10D | RF Match |
1070 | ENI | MW-10D | RF Match |
1071 | ENI | MW-10D Controller | Miscellaneous |
1072 | ENI | MW-10D Controller | Miscellaneous |
1073 | ENI | MW-10T | RF Match |
1074 | ENI | MW-5 | RF Match |
1075 | ENI | MW-5 | RF Match |
1076 | ENI | MW-5 Controller | Miscellaneous |
1077 | ENI | MW-5 Controller | Miscellaneous |
1078 | ENI | MW-5 Controller | Miscellaneous |
1079 | ENI | MW-5 Controller | Miscellaneous |
1080 | ENI | MW-5 Controller | Miscellaneous |
1081 | ENI | MW-50W | RF Match |
1082 | ENI | MW-5D | RF Match |
1083 | ENI | MW-5D | RF Match |
1084 | ENI | MW-5D | RF Match |
1085 | ENI | MW-5D | RF Match |
1086 | ENI | MW-5D | RF Match |
1087 | ENI | MW-5D | RF Match |
1088 | ENI | MW-5D | RF Match |
1089 | ENI | MW-5D | RF Match |
1090 | ENI | MW-5D | RF Match |
1091 | ENI | MW-5D | RF Match |
1092 | ENI | MW-5D | RF Match |
1093 | ENI | MW-5D Controller | Miscellaneous |
1094 | ENI | MW-5D Controller | Miscellaneous |
1095 | ENI | MW-5D Controller | Miscellaneous |
1096 | ENI | MW-5D Controller | Miscellaneous |
1097 | ENI | MW-5D Controller | Miscellaneous |
1098 | ENI | MW-5D Controller | Miscellaneous |
1099 | ENI | MW-5DM13 | RF MATCH |
1100 | ENI | MWD-55LD | RF Match |
1101 | ENI | MWH-100 | RF Match |
1102 | ENI | MWH-100 | RF Match |
1103 | ENI | MWH-100 | RF Match |
1104 | ENI | MWH-100 | RF Match |
1105 | ENI | MWH-100 | RF Match |
1106 | ENI | MWH-100 | RF Match |
1107 | ENI | MWH-5 | RF Match |
1108 | ENI | MWH-5 Controller | Miscellaneous |
1109 | ENI | MWH-5 Controller | Miscellaneous |
1110 | ENI | MWH-5 Controller | Miscellaneous |
1111 | ENI | OEM-12 | RF Generator |
1112 | ENI | OEM-12 | RF Generator |
1113 | ENI | OEM-12 | RF Generator |
1114 | ENI | OEM-1250 | RF GENERATOR |
1115 | ENI | OEM-1250 | RF Generator |
1116 | ENI | OEM-1250 | RF Generator |
1117 | ENI | OEM-1250 | RF Generator |
1118 | ENI | OEM-1250 | RF Generator |
1119 | ENI | OEM-12A | RF Generator |
1120 | ENI | OEM-12A | RF Generator |
1121 | ENI | OEM-12A | RF Generator |
1122 | ENI | OEM-12A | RF Generator |
1123 | ENI | OEM-12A | RF Generator |
1124 | ENI | OEM-12A | RF Generator |
1125 | ENI | OEM-12A | RF Generator |
1126 | ENI | OEM-12A | RF Generator |
1127 | ENI | OEM-12A | RF Generator |
1128 | ENI | OEM-12A | RF Generator |
1129 | ENI | OEM-12A XL | RF Generator |
1130 | ENI | OEM-12A XL | RF Generator |
1131 | ENI | OEM-12A XL | RF Generator |
1132 | ENI | OEM-12B | RF Generator |
1133 | ENI | OEM-12B | RF Generator |
1134 | ENI | OEM-12B | RF Generator |
1135 | ENI | OEM-12B | RF Generator |
1136 | ENI | OEM-12B | RF Generator |
1137 | ENI | OEM-12B | RF Generator |
1138 | ENI | OEM-12B | RF Generator |
1139 | ENI | OEM-12B | RF Generator |
1140 | ENI | OEM-12B | RF Generator |
1141 | ENI | OEM-12B | RF Generator |
1142 | ENI | OEM-12B | RF Generator |
1143 | ENI | OEM-2000 | RF Generator |
1144 | ENI | OEM-2000 | RF Generator |
1145 | ENI | OEM-2000 | RF Generator |
1146 | ENI | OEM-2000-01M1 | RF GENERATOR |
1147 | ENI | OEM-6 | RF Generator |
1148 | ENI | OEM-6 | RF Generator |
1149 | ENI | OEM-6 | RF Generator |
1150 | ENI | OEM-6 | RF Generator |
1151 | ENI | OEM-6 | RF Generator |
1152 | ENI | OEM-6 | RF Generator |
1153 | ENI | OEM-6 | RF Generator |
1154 | ENI | OEM-6 | RF Generator |
1155 | ENI | OEM-6 | RF Generator |
1156 | ENI | OEM-6 | RF Generator |
1157 | ENI | OEM-6 | RF Generator |
1158 | ENI | OEM-6 | RF Generator |
1159 | ENI | OEM-6 | RF Generator |
1160 | ENI | OEM-650A | RF Generator |
1161 | ENI | OEM-650A | RF Generator |
1162 | ENI | OEM-650A | RF Generator |
1163 | ENI | OEM-650A | RF Generator |
1164 | ENI | OEM-650A | RF Generator |
1165 | ENI | OEM-650A | RF Generator |
1166 | ENI | OEM-650A | RF Generator |
1167 | ENI | OEM-650A | RF Generator |
1168 | ENI | OEM-650A | RF Generator |
1169 | ENI | OEM-650A | RF Generator |
1170 | ENI | OEM-650A | RF Generator |
1171 | ENI | OEM-650A | RF Generator |
1172 | ENI | OEM-650A | RF Generator |
1173 | ENI | OEM-650A | RF Generator |
1174 | ENI | OEM-650A | RF Generator |
1175 | ENI | OEM-650A | RF Generator |
1176 | ENI | OEM-650A | RF Generator |
1177 | ENI | OEM-650A XL | RF Generator |
1178 | ENI | OEM-650A XL | RF Generator |
1179 | ENI | OEM-650A XL | RF Generator |
1180 | ENI | OEM-650A XL | RF Generator |
1181 | ENI | OEM-650A XL | RF Generator |
1182 | ENI | OEM-650A XL | RF Generator |
1183 | ENI | OEM-6B | RF Generator |
1184 | ENI | OEM-6B | RF Generator |
1185 | ENI | OEM-6J | RF GENERATOR |
1186 | ENI | OEM-6L | RF Generator |
1187 | ENI | OEM-6M | RF Generator |
1188 | ENI | PL2-HF | RF Generator |
1189 | ENI | PL2-HF | RF Generator |
1190 | ENI | POD Interface Box | Miscellaneous |
1191 | ENI | POD Interface Box | Miscellaneous |
1192 | ENI | POD Interface Box | Miscellaneous |
1193 | ENI | POD Interface Box | Miscellaneous |
1194 | ENI | POD Interface Box | Miscellaneous |
1195 | ENI | POD Interface Box | Miscellaneous |
1196 | ENI | POD Interface Box | Miscellaneous |
1197 | ENI | POD Interface Box | Miscellaneous |
1198 | ENI | POD Interface Box | Miscellaneous |
1199 | ENI | POD Interface Box | Miscellaneous |
1200 | ENI | POD Interface Box | Miscellaneous |
1201 | ENI | POD Interface Box | Miscellaneous |
1202 | ENI | POD Interface Box | Miscellaneous |
1203 | ENI | POD Interface Box | Miscellaneous |
1204 | ENI | POD Interface Box | Miscellaneous |
1205 | ENI | POD Interface Box | Miscellaneous |
1206 | ENI | POD Interface Box | Miscellaneous |
1207 | ENI | POD Interface Box | Miscellaneous |
1208 | ENI | RFC-5MW | Miscellaneous |
1209 | ENI | RFC-5MW | Miscellaneous |
1210 | ENI | RFC-5MW | Miscellaneous |
1211 | ENI | RFC-5MW | Miscellaneous |
1212 | ENI | RFC-5MW | Miscellaneous |
1213 | ENI | RFC-5MW | Miscellaneous |
1214 | ENI | RFC-6 Controller | Miscellaneous |
1215 | ENI | RFC-6 Controller | Miscellaneous |
1216 | ENI | RFC-6 Controller | Miscellaneous |
1217 | ENI | RFC-6 Controller | Miscellaneous |
1218 | ENI | RFC-6 Controller | Miscellaneous |
1219 | ENI | RFC-6 Controller | Miscellaneous |
1220 | ENI | RFC4T | RF Match |
1221 | ENI | Spectrum 3013 | RF Generator |
1222 | ENI | Spectrum 3013 | RF Generator |
1223 | ENI | Spectrum 3013 | RF Generator |
1224 | ENI | Spectrum 3013 | RF Generator |
1225 | ENI | Spectrum 3013 | RF Generator |
1226 | ENI | Spectrum 5K 2mhz | RF Generator |
1227 | ENI | UTF-10 | Miscellaneous |
1228 | ENI | VL-400 Controller | Miscellaneous |
1229 | ENI | VL-400 Controller | Miscellaneous |
1230 | ENI | VL-400 Controller | Miscellaneous |
1231 | ENI | VL-400 Controller | Miscellaneous |
1232 | ENI | VL-400 Controller | Miscellaneous |
1233 | ENI | VL-400 Controller | Miscellaneous |
1234 | ENI | VL-400 Controller | Miscellaneous |
1235 | ENI | VL-400 Controller | Miscellaneous |
1236 | ENI | VL-400 Controller | Miscellaneous |
1237 | ENI | Voltage Probe | Miscellaneous |
1238 | ENI | Voltage Probe | Miscellaneous |
1239 | ENI | Voltage Probe | Miscellaneous |
1240 | Entegris | RSPX-EUV-036 | EUV Reticle stocker |
1241 | ERACOND | X3 | MINIATURE AIR CYLINDER |
1242 | ERACOND Z3 | M20 PD 50 | MINIATUR AIR CYLINDER |
1243 | ESI | 24955 | Scanner Module PC Board |
1244 | ESI | 24961 | Extender Board PC Board w/ cables |
1245 | ESI | 24971 | Manual Functions PC Board |
1246 | ESI | 29278 | ESI pcb Power Amplifier driver |
1247 | ESI | 29282 | ESI pcb Transducer Preamp |
1248 | ESI | 29286 | ESI pcb Servo Preamp |
1249 | ESI | 29292 | ESI pcb Position Encoder Logic |
1250 | ESI | 29800 | Driver power supply |
1251 | ESI | 40898 | ESI pcb Interrupt control |
1252 | ESI | 41207 | IEEE 488 Interface |
1253 | ESI | 41506 | Bridge Caliobrator PCB for ESI 44 |
1254 | ESI | 41751 | Transducer Buffer PCB for ESI 44 |
1255 | ESI | 42119 | ESI pcb 4 Phase Control Module |
1256 | ESI | 42251 | Approach Control PCB for ESI 44 |
1257 | ESI | 42252 | ESI pcb Acceleration Control Assy |
1258 | ESI | 42253 | ESI pcb Power Amplifier driver |
1259 | ESI | 42328 | ESI pcb Precharged Servo Preamp |
1260 | ESI | 42356 | S&R Interface PC Board |
1261 | ESI | 43175 | 4 phase encoder logic assy |
1262 | ESI | 44 | COMPLETE SET OF LASER TRIMMER SPARE PCBS AND PARTS |
1263 | ESI | 48503 | Linear motor/Laser Control PC Board |
1264 | ESI | 929284 | MAX Velocity control board |
1265 | ESI | 9830 | Laser Repair |
1266 | ESI | Power Assy | Power supply assy, ESI 44/4400 |
1267 | EWS | ||
1268 | Extraction Systems | TMB 150 | Photoresist Contamination Monitor System / Total Amine Analyzer |
1269 | Fenwal | Sealer | Sealing |
1270 | Fenwal | Sealer | Sealing |
1271 | Fenwal | Sealer | Sealing |
1272 | Fenwal | Sealer | Sealing |
1273 | FSI | 500539-001 | Saturn MP comprehensive technical package |
1274 | FSI | 500539-004 | Parts & Structures Manual |
1275 | FSI | 501442-058 | Booster pump operations and maintenance |
1276 | FSI | 501666-000 | Operations and maintenance Excalibur ISR |
1277 | FSI | 504099-001 | Motorola mos 16 PLC expansion project |
1278 | FSI | 903893-200 | Helios 52 di water meter operation and maintenance manual |
1279 | FSI | 903943-200 | Heated recirculations operations and maintenance manual |
1280 | FSI | 904602-200 | Manual |
1281 | FSI | 905403-001 | Print and Product Structures (Poly) Mercury MP |
1282 | FSI | 905521 | Model 1000 CDM operations and maintenance manual |
1283 | FSI | 906487 | Chemblend 350 operation and maintenance manual |
1284 | FSI | 906488-100 | Model 1000 CDM operations and maintenance manual |
1285 | FSI | 906496-100 | Bit bus node installation system requirement and guidelines |
1286 | FSI | 906496-100 | Manual |
1287 | FSI | 906498-100 | FSI CHEM FILL Dec scotland system manual and print |
1288 | FSI | 907051-100 | Excalibur ISR Operation and Maintenance Manual |
1289 | FSI | 907575-100 | Mercury MP spray processing system operation and maintenance manual |
1290 | FSI | 912629 | Manual |
1291 | FSI | 912631 | Excalibur ISR and EOS systems operations and maintenance manual |
1292 | FSI | 916197-100 | Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual |
1293 | FSI | Booster Pump | Manual |
1294 | FSI | Booster Pump | Manual |
1295 | FSI | Chemfill systems | Manual |
1296 | FSI | Chemfill systems | Model 1000 CDM/ PPS Manual Rev D |
1297 | FSI | Chemfill systems – Manual | Model 1000-4 PLC CDM Supplementary Training Package |
1298 | FSI | Excalibur | Manual |
1299 | FSI | Excalibur | Manual 905364-200 |
1300 | FSI | Excalibur | Vapour Phase Processing system Supplementary training manual |
1301 | FSI | Excalibur 200mm | Supplier Manual |
1302 | FSI | Excalibur ISR | Manual |
1303 | FSI | Excalibur ISR | Manual Prints & Product Structures |
1304 | FSI | Excalibur ISR & EOS | Manual |
1305 | FSI | HELIOS 52 | Prints & Products structures Manual |
1306 | FSI | ISR Maual | In Situ Rinse Prints & Parts Structures Manual |
1307 | FSI | Mercury | Manual |
1308 | FSI | Mercury (Spare Parts) | 586 CPU Board for an FSi Mercury |
1309 | FSI | Mercury (Spare Parts) | Brand New Chemical Canister, HF Coded |
1310 | FSI | Mercury (Spare Parts) | Used Chemical Canister, HCl Coded |
1311 | FSI | Mercury MP | Spray Processing system Operation & Maintenance Manual |
1312 | FSI | Mercury MP | Manual |
1313 | FSI | PLC Expansion | PLC expansion Project Manual |
1314 | FSI | Polaris 3500 (Spares) | ATEN CS-122 CPU SWITCH FOR PS/2 PC/AT |
1315 | FSI | Polaris 3500 (Spares) | DIGI ACCELPORT PCB 55000534 REV F |
1316 | FSI | Polaris 3500 (Spares) | FSI P/N 8454-AWM-4097-MT BELDEN CABLE, LENGHT 34 CM |
1317 | FSI | Polaris 3500 (Spares) | FSI P/N POWER CABLE, LENGTH 135 CM |
1318 | FSI | Polaris 3500 (Spares) | FSI P/N 05D-0167-03A1 POWER SUPPLY, DC BATTERY BACKUP 05D016703A1 |
1319 | FSI | Polaris 3500 (Spares) | FSI P/N 917410-002C POWER BOX WITH BOARD CT 294159-200C |
1320 | FSI | Polaris 3500 (Spares) | FSI P/N 917803-001B DWR-DSP CABLE, 25 CM |
1321 | FSI | Polaris 3500 (Spares) | FSI P/N IB1-7000T , CABLE 2 FEET 0795 |
1322 | FSI | Polaris 3500 (Spares) | FSI P/N IB1-7000T 2 FEET CABLE 0795 |
1323 | FSI | Polaris 3500 (Spares) | GAS SENSOR, FSI P/N 841-004-i |
1324 | FSI | Polaris 3500 (Spares) | PCB, FSI 10444-01AA |
1325 | FSI | Polaris 3500 (Spares) | PCB, FSI 200-0097 REV B MVS 8100 FRAME GRABBER |
1326 | FSI | Polaris 3500 (Spares) | PCB, FSI 33000-472, VME MONOLITHIC 12 SLOT |
1327 | FSI | Polaris 3500 (Spares) | PCB, FSI 61-0061-10 EXP-BP5 |
1328 | FSI | Polaris 3500 (Spares) | PCB, FSI A/N 294084-200C |
1329 | FSI | Polaris 3500 (Spares) | PCB, FSI SP 586T (00-065-0015 REV D) SINGLE BOARD COMPUTER |
1330 | FSI | Polaris 3500 (Spares) | PLAST-O-MATIC PRD075B-PP VALVE |
1331 | FSI | Polaris 3500 (Spares) | PLASTIC COVER |
1332 | FSI | Polaris 3500 (Spares) | PLATE ASSEMBLY |
1333 | FSI | Polaris 3500 (Spares) | Power box for 200 mm note track |
1334 | FSI | Polaris 3500 (Spares) | POWER SUPPLY, CONVERSION EQUIPMENT CORP. M/N 05D-0189-01 |
1335 | FSI | Polaris 3500 (Spares) | Power Supply, Densei-Lambda Alpha 250S |
1336 | FSI | Polaris 3500 (Spares) | Spares for DUV photoresist coater / developer track |
1337 | FSI | Polaris 3500 (Spares) 294157-200 REV C | PCB, MODULE DRIP AND GUARD INPUTS |
1338 | FSI | Polaris 3500 (Spares) IBM 8228 P/N 6091014 | Multistation Access Unit |
1339 | FSI | Polaris 3500 (Spares) IKEGAMI PM-930A | PICURE MONITOR |
1340 | FSI | Polaris 3500 (Spares) Patlite SL-V | Signal Tower, 2 color, Amber, Red |
1341 | FSI | Polaris 3500 (Spares) Proteon P7228 rev h | PROTEON PRONET P7228 8 PORT |
1342 | FSI | Polaris 3500 (Spares) VT106 | 10 INCH VGA MONOCHROME MONITOR |
1343 | FSI | Proteus 1 | Manual |
1344 | FSI | Proteus 11 | Manual |
1345 | FSI | Saturn | Manual General |
1346 | FSI | SATURN & TITAN | Manuals |
1347 | FSI | Saturn MP | Manual 500539-001 |
1348 | FSI | Saturn MP | Manual 500539-004 |
1349 | FSI | Saturn OC | Manuals |
1350 | FSI INTERNATIONAL | 308998-001 RX 90 CONTR.1 | staubli rx 90 robot control unit |
1351 | FSP GROUP INC | 100-240 W | POWER SUPPLY |
1352 | FUJI | CP32 | CIRCUIT PROTECTOR |
1353 | FUJI SEIKI & SILENT | FA-1612HCB-C | FA.FWM. |
1354 | Fujikin | FBSDV-6.35-2B3-BGC | VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA |
1355 | GACHOT | DN 15-10 | STAINLSS STEEL BALL VALVE |
1356 | GASONICS | Gasonics IPC RF Match | RF Match |
1357 | GASONICS | Gasonics IPC RF Match | RF Match |
1358 | GASONICS | Gasonics RF Match | RF Match |
1359 | GAZEL | ECF 12 | SUPERCLEAN |
1360 | GAZEL | ECF 14 | SUPERCLEAN |
1361 | GAZEL | ECM 12 | GSI |
1362 | GAZEL | ECM 14 | SUPERCLEAN-GSI |
1363 | GAZEL | EMC 38 AB | GSI |
1364 | GAZEL | MG.FC 14 | GSI |
1365 | GAZEL | MG.FE 14 | SUPERCLEAN |
1366 | GAZEL | MG.FE 38 | SUPERCLEAN |
1367 | Gencal | GenCal Power Meter | Miscellaneous |
1368 | General Electric | Dummy Load | Miscellaneous |
1369 | Genesys | DC Power Supplies | |
1370 | Genesys | DC Power Supplies | |
1371 | Genmark | AVR series | Cleanroom Vacuum Robot |
1372 | Genmark | GB3 | Wafer handling Robot |
1373 | Genmark | GB4/3L | Atmospheric wafer handling robot with controller |
1374 | Genmark | GB4P | Robot |
1375 | Genmark | GB4P | Robot |
1376 | Genmark | GB8-MT-80050102 | Single arm Atmospheric wafer handling robot |
1377 | Genmark | Gencobot 9 GPR series | Cleanroom Vacuum Robot |
1378 | Genmark | GPR series | Cleanroom Vacuum Robot and Controller |
1379 | Gigaphoton | A700GK-1 | 248 nm (KrF) excimer laser |
1380 | Glassman High Voltage, Inc | PS/FL1.5F1.0 | RF Generator |
1381 | Gossen Konstanter | IEC625 | Power supply Gossen Konstanter UOP |
1382 | Group 3 | 1981001 | Digital Teslameter |
1383 | GSC | P140-74 | Gleichstrom Servo Motor |
1384 | HAMPTON | CYCLETROL 240 | CIRCUIT BREAKER |
1385 | Henry | Henry 2k Controller | Miscellaneous |
1386 | Henry | Henry 2K Controller | Miscellaneous |
1387 | Hitachi | 377-7592 | Power Supply Module for CD SEM |
1388 | Hitachi | 545-5515 | DC power supply module for CD SEM |
1389 | Hitachi | 545-5516 | 7 Channel Power Supply module |
1390 | Hitachi | 545-5521 | EVAC PCB FOR HITACHI CD-SEM |
1391 | Hitachi | 545-5522 | VG board for CD SEM |
1392 | Hitachi | 545-5537 | IP-PC2 for cd-sem |
1393 | Hitachi | 545-5540 | Power Supply unit for CD SEM |
1394 | Hitachi | 6280H | CD SEM Control Rack including qty 12 PC Boards in the rack |
1395 | Hitachi | 6280H | Power Supply Module 4channels |
1396 | HITACHI | 6280H (SPARES) | SORD Computer for cd sem system |
1397 | Hitachi | Spare Parts | |
1398 | Hitachi | 6280H CONTROL RACK | CD SEM (PARTS) |
1399 | HOLADAY | HI-1801 | MICROWAVE SURVEY METER |
1400 | HP | 16500B | Logic Analyzer |
1401 | HP / Agilent | 54601A | Oscilloscope, 4 Channel 100 MHz |
1402 | HP HEWLETT PACKARD | 9145A | PC HP HEWLETT PACHARD 9145 |
1403 | HUTTINGER | IS 30-3390 | RF GENERATOR |
1404 | HUTTINGER | IS 40-13560 | RF GENERATOR |
1405 | HUTTINGER | MOP 2 DF | RF GENERATOR |
1406 | HUTTINGER | PFC 300 | RF GENERATOR |
1407 | Huttinger | PFG 300 | RF Generator |
1408 | Huttinger | PFG 300 RF | RF Generator |
1409 | Huttinger | PFM 1500 A | RF Match |
1410 | ICP | R48870 | RF Match |
1411 | IKO | LWES 15 C1 R460 S2 | Linear way with single bearing block,set of 4 |
1412 | IKO NIPPON THOMPSON | BCS H S2 | LINEAR BEARING AND RAIL |
1413 | IKO NIPPON THOMPSON | LWHT 20 C1 R760 B T1 H S2 | LINEAR BEARING AND RAIL |
1414 | IKO NIPPON THOMPSON | LWL 12 C2 | LINEAR BEARING AND RAIL |
1415 | IKO NIPPON THOMPSON | PS1 | LINEAR BEARING |
1416 | IKO NIPPON THOMPSON | T1 H S2 | LINEAR BEARING AND RAIL |
1417 | INFICON | 850-200-G1 | LEYBOLD IG 3 VACUUM GAUGE CONTROLLER |
1418 | InTest | Test Head | CPIT TEP8 / STFLASH EPROM / 1792 Test Head |
1419 | Ion Tech | MPS-3000FC | RF Generator |
1420 | Jel | SCR32000CS‐ 450‐PM | Cleanroom Handling Robot |
1421 | K AND S | 98060-0000-001-01 | Manual for Model 8060 automatic wedge bonder |
1422 | KAWASAKI | 30C08P-C005 | ROBOT CONTROLLER |
1423 | Kawasaki | 3NS411B-F006 | Robot with cable and Controller |
1424 | Kawasaki | 3NX540B-A302 | atmospheric wafer robot( AMAT producer) |
1425 | Kawasaki | NS410B-A002 | atmospheric wafer robot( AMAT producer) |
1426 | Keller | VARIO-T 1.0-SC8-B30-HD | Scrubber / Compact Dust Separator for Baccini laser unit exhaust air |
1427 | Kensington | WFH4C | wafer robot with aligner |
1428 | Kensington | WFH4D | wafer robot with aligner |
1429 | KEYENCE | FU-12 | PHOTO SENSOR |
1430 | KEYENCE | PS SERIES | PHOTO SENSOR |
1431 | KEYENCE | PS SERIES | PHOTO SENSOR |
1432 | KEYENCE | PS SERIES | PHOTO SENSOR |
1433 | KLA | 210e and 259 (Spares) | Encoders, 2500 LPI for KLA 2xx reticle inspection system |
1434 | KLA | 259 (Spares) | Set of 2 Objectives and 2 illuminator lenses for KLA 259 reticle inspection system |
1435 | KLA | 259 (spares) | Trinocular Microscope Head for KLA 2xx reticle inspection system |
1436 | KLA | 710-022400-01 D5 | Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system |
1437 | KLA | 710-022410-01 Rev A5 | AR GRAPHICS DISPLAY CONTROLLER 2 PCB |
1438 | KLA | 710-023141-00 | Assy, Formatter p3 PCB for KLA 2xx reticle inspection system |
1439 | KLA | 710-023236-00 Rev B1 | P3 Scan delay FIR Filter PCB |
1440 | KLA | 710-023256-00 C2 | P3 Data Input PCB for KLA 2xx reticle inspection system |
1441 | KLA | 710-023273-00 C | 512 K ram, 210 series PCB for KLA 2xx reticle inspection system |
1442 | KLA | 710-023279-00 H2 | 04/16 level dump PCB for KLA 2xx reticle inspection system |
1443 | KLA | 710-023455-00 XC | e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system |
1444 | KLA | 710-023455-00 XC | e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system |
1445 | KLA | 710-023589-00 Rev B2 | SERVO DRIVE 1 III PCB |
1446 | KLA | 710-023596-00 C2 | Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system |
1447 | KLA | 710-023596-00 C2 | Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system |
1448 | KLA | 710-023596-00 C2 | Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system |
1449 | KLA | 710-023599-01 B1 | Computer IF (RF) PCB for KLA 2xx reticle inspection system |
1450 | KLA | 710-023602-00 B | Display System Trap, RF PCB for KLA 2xx reticle inspection system |
1451 | KLA | 710-028001-00 A1 | Image Memory PCB for KLA 2xx reticle inspection system |
1452 | KLA | 710-028001-00 A1 | Image Memory PCB for KLA 2xx reticle inspection system |
1453 | KLA | 710-028001-00 A1 | Image Memory PCB for KLA 2xx reticle inspection system |
1454 | KLA | 710-028001-00 A1 | Image Memory PCB for KLA 2xx reticle inspection system |
1455 | KLA | 710-028014-00 B3 | Image Memory Address PCB for KLA 2xx reticle inspection system |
1456 | KLA | 710-028014-01 E | ADBA PCB for KLA 2xx reticle inspection system |
1457 | KLA | 710-028287-01 G1 | servo 4 II PCB for KLA 2xx reticle inspection system |
1458 | KLA | 710-029421-00 Rev C2 | SERVO DRIVE 2 III PCB |
1459 | KLA | 710-029694-00 XF | e-series detector,data 259 ROQ PCB for KLA 2xx reticle inspection system |
1460 | KLA | 710-029767-00 REV D | UPLL RF PCB for KLA 2xx reticle inspection system |
1461 | KLA | 710-029924-00 REV F | Preprocessor 1 (RF) PCB for KLA 2xx reticle inspection system |
1462 | KLA | 710-029927-00 REV E | Preprocessor 2 (RF) PCB for KLA 2xx reticle inspection system |
1463 | KLA | 710-029946-00 Rev:XE | Corrector-Formatter PCB M2A only |
1464 | KLA | 710-036106-00 REV D | Defect Highlighting Control 3 PCB for KLA 2xx reticle inspection system |
1465 | KLA | 710-036380-00 C | IAS parameter 2 PCB for KLA 2xx reticle inspection system |
1466 | KLA | 710-036420-00 XB | Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system |
1467 | KLA | 710-037699-00 XA1 | gain/offset testpoint board PCB for KLA 2xx reticle inspection system |
1468 | KLA | 710-037717-00 A | FDD controller, 3.5 inch PCB for KLA 2xx reticle inspection system |
1469 | KLA | 710-037718-00 C | SBC 3.5 inch floppy PCB for KLA 2xx reticle inspection system |
1470 | KLA | 710-037887-01 B | BMMC M2A with RIA signal mod. PCB for KLA 2xx reticle inspection system |
1471 | KLA | 710-037889-00 Rev XA | Preprocessor I/O PCB for KLA 2xx reticle inspection system |
1472 | KLA | 710-039524-00 A | AP 1 210 series PCB for KLA 2xx reticle inspection system |
1473 | KLA | 710-039924-00 A1 | SERVO DRIVE 3 IV PCB for KLA 2xx reticle inspection system |
1474 | KLA | 710-040042-00 XB | e-series detector, threshold 2×2 259 M2A PCB for KLA 2xx reticle inspection system |
1475 | KLA | 710-101836-02 | AUTOFOCUS 2 HV PCB for KLA 2xx reticle inspection system |
1476 | KLA | 710-101836-02 REV D | AUTOFOCUS II POWER AMP |
1477 | KLA | 710-101836-02 Rev G3 | AUTOFOCUS 2 PCB |
1478 | KLA | 710-102570-02 | AUTOFOCUS PRE-AMPLIFIER |
1479 | KLA | 710-102570-02 Rev 1 | Autofocus Pre-Amplifier PCB PCB for KLA 2xx reticle inspection system |
1480 | KLA | 710-655651-20 | Cornerturn 3 PC board REV C0 |
1481 | KLA | 710-658036-20 | Alignment Processor board REV C3 |
1482 | KLA | 710-658041-20 | Alignment PRocessor Phase 3 Board REV E0 |
1483 | KLA | 710-658046-20 | PRocessor Board REV E0 |
1484 | KLA | 710-658086-20 | PC Board, REV E0 |
1485 | KLA | 710-658172-20 | Y Interpolator C,PH3 Board REV J1 |
1486 | KLA | 710-658177-20 | Interpolator phase 3 Board REV F1 |
1487 | KLA | 710-658232-20 | Memory Controller Phase 3 PC board REV H1 |
1488 | KLA | 710-659412-00 | Mass Memory PCB REV C0 |
1489 | KLA | 712-023914-00 rev B | CCD TV camera for KLA 2XX reticle inspection system |
1490 | KLA | 715-023506-00 | Complete lead screw and stepping motor for KLA 2xx reticle inspection system |
1491 | KLA | 720-05887-000 | MCP Detector Control Chassis |
1492 | KLA | 740-100059-00 GWH | Glass Wafer Holder, 250-150 mm P1, for KLA 2xx reticle inspection system |
1493 | KLA | 740-100360-00 ORH | Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system |
1494 | KLA | 740-210171-00 ORH | Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system |
1495 | KLA | 740-401-320 | AIRLOCK ASSEMBLY PCB |
1496 | KLA | 740-401-320 | P-N 073-401-320 AIRLOCK |
1497 | KLA | 750-653120-00C0 | Power Line Conditioner / Transformer for KLA 2122 |
1498 | KLA | 7700 | Misc Bracket |
1499 | KLA | 7700m | 174203 Rev D PCB Flat finder pwd Driver |
1500 | KLA | 7700m | 181137 Drive Assy PCB |
1501 | KLA | 7700m | 181830 Rev D, National Instruments AT-GPIB/TNT |
1502 | KLA | 7700m | 201989 Concave Mirror |
1503 | KLA | 7700m | 253537 Rev A PCB Microscope Dist |
1504 | KLA | 7700m | Convex Glass Plate |
1505 | KLA | 7700m | Detector Assy |
1506 | KLA | 7700m | EMO Switch |
1507 | KLA | 7700m | Front Panel PCB |
1508 | KLA | 7700m | Keyboard Assy |
1509 | KLA | 7700m | Leadscrew and Servo Motor CMC MT2115-014DF |
1510 | KLA | 7700m | Mirror Assy with Fiber Optic |
1511 | KLA | 7700m | Mouse & PCB 240C |
1512 | KLA | 7700m | Photomultiplier |
1513 | KLA | 7700m | Pittmann Motor 94337528 Microscope driver |
1514 | KLA | 7700m | robot Dist. PCB |
1515 | KLA | 7700m | two cables 7 brackets |
1516 | KLA | 7700m (spares) | p/n 252948 Rev B Motor Lamp Drive SFS 76 |
1517 | KLA | 8100 | Block, Pivot ,Keybd P/N 740-03389-000 |
1518 | KLA | 8100 | Bracket P/N 740-05415-000 Rev A |
1519 | KLA | 8100 | Bracket P/N 740-07893-000 |
1520 | KLA | 8100 | Bracket retainer keybd P/N 740-03390-000 |
1521 | KLA | 8100 | Festo PU-3 Duo air Pipe |
1522 | KLA | 8100 | Flex Pipe |
1523 | KLA | 8100 | Ground Strap P/N 810-04308-004 |
1524 | KLA | 8100 | Ground Strap P/N 810-04308-005 |
1525 | KLA | 8100 | Interface cable set P/N 810-09072-002 REV A |
1526 | KLA | 8100 | KLA 8100 SEM Part 740-03565-000 |
1527 | KLA | 8100 | MCA Module P/N 720-02847-000 |
1528 | KLA | 8100 | P/N 740-05635-000 REV A |
1529 | KLA | 8100 | P/N 740-05728-000 |
1530 | KLA | 8100 | P/N 740-07892-000 Rev A |
1531 | KLA | 8100 | PCB 830-10172-000 Rev 3 |
1532 | KLA | 8100 | PICOAMP 11 P/N 720-02964-000 |
1533 | KLA | 8100 | Plastic Disc |
1534 | KLA | 8100 | Plate Wafer P/N 731-08507-004 |
1535 | KLA | 8100 | T Piece P/N 471-07945-000 |
1536 | KLA | 8100 (Spares) | wafer tabel for CD SEM P/N 731-09404-047 Rev 2 |
1537 | KLA | 900-01003-120 | Assy. Software System 8xxx V3.1.2 |
1538 | KLA | Ceramic table | 8″ Ceramic Table & Misc Parts |
1539 | KLA | Defect Highlighting PC | Defect Highlighting PC for KLA 2xx reticle inspection system |
1540 | KLA | VLSI 845 | DUPONT VERIMASK for KLA 2xx reticle inspection system |
1541 | KLA | Misc Lens PCB | |
1542 | KLA Tencor | 6400 6220 | Set of New OEM Cables FOR SURFSCAN MODELS 6200 AND 6400 |
1543 | KLA Tencor | 710-661729-00 | PC Board, KLA 21XX |
1544 | KLA – Tencor | 259 | Image Digitizer Assembly |
1545 | KLA -TENCOR | 7700 | CASSETTE PLATE + PCB 8″ |
1546 | KLA -Tencor | 7700m | p/n 199958 Rev F PCB PSF Driver SFS75 |
1547 | KLA -TENCOR | 7700M (Spares) | CONCAVE MIRROR 201969 – Optical part from KLA 7700M Surfscan |
1548 | KLA -Tencor | 7700m (Spares) | Mirror Curved |
1549 | KLA -Tencor | 8100 (Spares) | load lock assembly for CD-SEM |
1550 | KLA Tencor | 213780 REV C | PCB PFE 4K MASK ASSY SFS 7500 |
1551 | KLA Tencor | 244143 REV B | PCB ADC PFE I/F 576 ASSY |
1552 | KLA TENCOR | 720-05721000 | CONTROL CHASSIS FOR KLA 8100 Column Control Chassis |
1553 | KLA TENCOR | 720-05887-000 | MCP DETECTOR CONTROL CHASSIS |
1554 | KLA TENCOR | 720-05887-000 | MCP DETECTOR CONTROL CHASSIS |
1555 | KLA TENCOR | 720-05888-000 | TFE GUN CONTROLLER CHASSIS |
1556 | KLA TENCOR | 720-07335-000 | ADVANTECH COMPUTER ICP-6751 |
1557 | KLA TENCOR | 7700 | Mechanical part |
1558 | KLA TENCOR | 7700 M | MODEL 2214-30SLOTT |
1559 | KLA TENCOR | 7700M | COMPUTER HARD DISK |
1560 | KLA TENCOR | 7700M (Spares) | Lens assembly |
1561 | KLA TENCOR | 7700M (Spares) | Optical sub-assembly |
1562 | KLA TENCOR | 7700M (Spares) | PIN DIODE PRE AMP PCB |
1563 | KLA TENCOR | 8100 | PART 740 05584 000 C CONNECTOR INTERFACE |
1564 | KLA TENCOR | HA-200 | RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems |
1565 | KLA TENCOR | SFS6400 MECHANICAL CALIBRATION Document Number 238 | WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE |
1566 | KLA TENCOR | SFS6x00 MECHANICAL CALIBRATION Document number 200 | WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE |
1567 | KLA TENCOR | Surfscan 64X0 Calibration Procedure | Surfscan 64X0 Calibration Procedure |
1568 | KLA TENCOR | surfscan 64XX optical alignments Document Number 236 | WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE |
1569 | KLA- TENCOR | 720-05721-000 | Column Control Chasis for KLA 8100 cd sem |
1570 | KLA-Tencor | AIT-1 SHIPPING KIT | AIT-1 SHIPPING KIT |
1571 | KLA-Tencor | 073-401-320 for a kla 5xxx | AIRLOK PCB for a KLA 5xxx system |
1572 | KLA-Tencor | 073-401-320 for a kla 5xxx | AIRLOK PCB for a KLA 5xxx system |
1573 | KLA-TENCOR | 113387 | 4-CHANNEL PWM MOTOR DRIVE ASSY PCB FOR KLA 7XXX SURFSCAN, P2 and P20 profilers |
1574 | KLA-TENCOR | 195430 rev B | Detector Assembly for a KLA 7700M Surfscan |
1575 | KLA-Tencor | 2132 (8 inch Wafer Chuck Assembly) | Ceramic Wafer chuck, 8″ |
1576 | KLA-Tencor | 251739 | CH3 PMT OPTICS ASSY AIT2 |
1577 | KLA-TENCOR | 253537 Rev A | Microscope Distribution PCB, for Surfscan 7600 and 7700 series |
1578 | KLA-Tencor | 259 (Spare parts) | PCBs for reticle inspection system |
1579 | KLA-Tencor | 2xx (SPARES) | Control Paddles for KLA 2xx reticle inspection system |
1580 | KLA-TENCOR | 3005503 | OPTICAL ASSY for kla 7700 and 7600 surfscans |
1581 | KLA-TENCOR | 546860-27 | Operation manual |
1582 | KLA-TENCOR | 546879-27 | Software version 4.1 manual |
1583 | KLA-TENCOR | 563226-27 | Software version 5.0 manual |
1584 | KLA-TENCOR | 563234-27 | Reference manual |
1585 | KLA-Tencor | 5xxx Spare Part | Lambda Electronics LFS-47-48 REGULATED POWER SUPPLY |
1586 | KLA-Tencor | 5xxx Spare Part | LH Research Mighty Mite 500w Power Supply for KLA 5xxx Overlay Measurement System |
1587 | KLA-TENCOR | 5xxx Spare Parts | Set of Spare Parts from a KLA 5015 |
1588 | KLA-Tencor | 655-03737-00 Rev XA | Mechanical part for a KLA 7700 M (Surfscan) |
1589 | KLA-TENCOR | 655-6500504-00 | CERAMIC CHUCK 200 MM(8*),2132 |
1590 | KLA-Tencor | 655-650504-00 | 8 INCH CHUCK ASSY FOR KLA 2132 |
1591 | KLA-TENCOR | 665-037138-00 | MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM |
1592 | KLA-Tencor | 710-013838-00 Rev L | PCB Universal Video Mux for KLA 2xx reticle inspection systems |
1593 | KLA-Tencor | 710-039524-01, rev C | Alignment Processor 2 , 210e series PCB |
1594 | KLA-Tencor | 710-400412-00 Rev K | PCB for a KLA 5xxx system |
1595 | KLA-Tencor | 710-401249-00 REV E for kla 5xxx | Driver Board for KLA 5xxx |
1596 | KLA-Tencor | 710-401249-01 Rev F | Driver Board for KLA 5xxx |
1597 | KLA-Tencor | 710-401249-01 Rev F | DRIVER BOARD for KLA 5xxx |
1598 | KLA-Tencor | 710-401536-00 FOR kla 5XXX | ASSY NO 401536 00 ENCODER INTERFACE |
1599 | KLA-Tencor | 710-404146-00 REV A for KLA 5XXX | ASSY BOARD FOR KLA 5XXX |
1600 | KLA-Tencor | 712-404056-00 Rev B for a KLA 5xxx | ASSY BOARD for a KLA 5xxx overlay system |
1601 | KLA-Tencor | 712-404056-00 Rev B for a KLA 5xxx | PCB for a KLA 5xxx system |
1602 | KLA-TENCOR | 720-02847-000 | MCA Module for KLA 81xx CD SEM |
1603 | KLA-TENCOR | 720-02964-000B | PICOAMP II for KLA 81xx CD SEM |
1604 | KLA-Tencor | 730-400083-00 REV G for a KLA 5xxx | PZT CONTROLLER for a KLA 5xxx system |
1605 | KLA-TENCOR | 740-210171-00 | Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass |
1606 | KLA-TENCOR | 740-210171-00 Rev C OHR | Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system |
1607 | KLA-Tencor | 750-400159-00 REV A for KLA 5xxx | MATROX VIP 1024 for a KLA 5XXX overlay system |
1608 | KLA-Tencor | 750-400339-00 Rev H from a KLA 5xxx | PCB for a KLA 5xxx system |
1609 | KLA-Tencor | 750-40426.. 5xxx Spare Part | BIT 3 COMPUTER COP for 5xxx Spare Part |
1610 | KLA-Tencor | 750-404260 for KLA 5XXX | BIT 3 COMPUTER COP for 5xxx Spare Part |
1611 | KLA-TENCOR | 7600M | ELECTRO-OPTICAL ACTUATOR ASSY |
1612 | KLA-Tencor | 7700m | 242163 Rev B PCB ADC-PFE Interface S76 |
1613 | KLA-TENCOR | 7700M | SENSOR OPTICAL |
1614 | KLA-Tencor | 7700m and FLX | 184527 Rev H Robot parts Assy |
1615 | KLA-tencor | 7700m (Spares) | 18458 Rev B $ CH Motor Control |
1616 | KLA-tencor | 7700m (Spares) | 210617 rev B PCB Filter Optical |
1617 | KLA-Tencor | 7700M (Spares) | 8 inch ceramic chuck for KLA 7700M |
1618 | KLA-TENCOR | 7700M (Spares) | Adjustable Opto Mechanical assembly for KLA 7700 Surfscan |
1619 | KLA-TENCOR | 7700M (Spares) | AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M |
1620 | KLA-TENCOR | 7700M (Spares) | EMO Button for KLA 7700M |
1621 | KLA-Tencor | 7700m (spares) | Fresnel Lens / Mirror |
1622 | KLA-Tencor | 7700M (Spares) | leadscrew and stepper motor for KLA Surscan 7700m, 7600 microscope |
1623 | KLA-TENCOR | 7700M (Spares) | Mirror assembly for KLA 7700 m surfscan |
1624 | KLA-Tencor | 7700m (spares) | Mirror Assy Flat |
1625 | KLA-TENCOR | 7700M (Spares) | Optical Lens assembly from a KLA 7700 M Surfscan |
1626 | KLA-Tencor | 7700m (Spares) | p/n 099660 Handler back plane PCB |
1627 | KLA-Tencor | 7700m (Spares) | p/n 186392A PCB Controller Handler |
1628 | KLA-Tencor | 7700m (Spares) | p/n 210595 Rev B Optical Filter PCB |
1629 | KLA-Tencor | 7700m (spares) | p/n 213780 Rev C PCB MASK SFS |
1630 | KLA-TENCOR | 7700M (Spares) | Robot Arm, for up to 8 inch wafers, for KLA 7700 M |
1631 | KLA-Tencor | 8100 (Spares) | Bracked Lift Keyboard KLA Part 740-03393-000 |
1632 | KLA-TENCOR | 8100 (Spares) | Load-lock assembly for CD SEM |
1633 | KLA-Tencor | 8100 (Spares) | Load-lock assembly for CD SEM |
1634 | KLA-Tencor | 8100 (Spares) | Load-lock assembly for CD SEM |
1635 | KLA-Tencor | 8100 (Spares) | Loadlock assembly for CD-SEM |
1636 | KLA-TENCOR | 8100 (Spares) | Motorized slit assembly for CD SEM |
1637 | KLA-Tencor | 851391-101 | LH RESEARCH |
1638 | KLA-TENCOR | 905-664046-000 | 25×2 analysis workstation |
1639 | KLA-TENCOR | 990-039410-00 | 210 e-series theory |
1640 | KLA-TENCOR | AIT 1 (SPARES) | Lens Assembly in transport box |
1641 | KLA-TENCOR | ASSY.CBL.GND | EATHING STRAP |
1642 | KLA-Tencor | Hamamatsu R1924A | Photomultiplier |
1643 | KLA-Tencor | JDS-Uniphase 2214-30 SLQ TT | LASER FOR KLA 7700 SURFSCAN |
1644 | KLA-TENCOR | Pittman 9433F528 | Servo Drive motor for KLA 7700 Surfscan |
1645 | KLA-Tencor | Roll-a-Lift | KIT 2135,2138,2230 MOVE |
1646 | KLA-Tencor | Surfscan 7700m | Keyboard Assy |
1647 | KLA-Tencor | TFE Gun Controller | Gun Controller Chassis Part No 720-05888-000 |
1648 | KLA-Tencor | POWER SUPPLY LAMBDA | |
1649 | KLA-Tencor | RIBBON CABLE | |
1650 | KLA-TENCOR | 242163 Rev B PCB ADC-PFE Interface S76 | PCB FOR KLA 7700M |
1651 | KLA-Tencor | Compumotor M575L11 | Stepping motor drive |
1652 | KLA-Tencor | 7700M (Spares) | Electro-optical assembly for KLA Surfscan 7600 and 7700 |
1653 | Kla-Tencor | AIT 1 | Network Card PCB |
1654 | KLA-Tencor Corp. | 720-05888-000 | Electron gun controller for KLA 8100 |
1655 | KNF Neuberger | 057359 | Diphagrahm service kit for N40.3FT pump |
1656 | KNF NEUBERGER | N 840.3 FT.18 | DIAPHRAGM VACUUM PUMP LABOPORT D-79112 |
1657 | KNIEL System | CPD 5.12/6.3 | Power Supply, 321-019-02.00 |
1658 | KOGANEI | A200-4E1 | AIR VALVE |
1659 | KOGANEI | AME07-E2-PSL | VACUUM EJECTOR |
1660 | KOGANEI | BDAS10X30 | |
1661 | KOGANEI | JDAS32X5-165W | AIR CYLINDER |
1662 | KOGANEI | KA CMA | AIR CYLINDER |
1663 | KOGANEI | ORCA 16X120 | ORIGA |
1664 | KOGANEI | ORCA 16X120 | |
1665 | KOGANEI | PDA S | AIR CYLINDER |
1666 | KOGANEI | SLIM | AIR CYLINDER |
1667 | KOGANEI | SLIM | AIR CYLINDER |
1668 | KOGANEI | TWDA | AIR CYLINDER |
1669 | KOGANEI LTD | KA.CMA | MINI CYLINDER |
1670 | KOGANEI LTD | KA.CMA | MINI-CYLINDER |
1671 | KOGANEI LTD | KA.CMA | MINI-CYLINDER |
1672 | KOKUSAI | M 152 WRL | THERMO COUPLE |
1673 | KOYO LINBERG | VF5100B | Set of Cleanroom Manuals |
1674 | Kurt J. Lesker | AT3 | RF Match |
1675 | Lam | 4520 (spares) | REMOTE CART |
1676 | Lam | 4520 (spares) | REMOTE CART |
1677 | Lam | 6 inch RF Coil | Miscellaneous |
1678 | Lam | 9500 | RF Match |
1679 | Lam | 9500 | RF Match |
1680 | Lam | Controller | Miscellaneous |
1681 | Lam | Controller | Miscellaneous |
1682 | Lam | Kiyo Poly RF Match | RF Match |
1683 | Lam | Mini RF Match | RF Match |
1684 | Lam | Mini RF Match | RF Match |
1685 | Lam | Mini RF Match | RF Match |
1686 | Lam | Mini RF Match | RF Match |
1687 | Lam | Mini RF Match | RF Match |
1688 | Lam | Mini RF Match | RF Match |
1689 | Lam | Research RF Match | RF Match |
1690 | Lam | TCP Upper RF Match | RF Match |
1691 | Lam | TCP Upper RF Match | RF Match |
1692 | Lam | Versys Poly RF Match | RF Match |
1693 | LAM RESEARCH | 406-240040-002 | TCP Rainbow 4500 system descriptions |
1694 | LAM RESEARCH | 406-240100-005 | TCP 9400 maintenance procedure |
1695 | LAM RESEARCH | 406-240101-001 | TCP 9400 SE installations and start up |
1696 | LAM RESEARCH | 406-240101-005 | Drawings and schematics Vol1 |
1697 | LAM RESEARCH | 406-240101-005 | TCP 9400 SE maintenance classic |
1698 | LAM RESEARCH | 406-240101-006 | Drawings and schematics Vol3 |
1699 | LAM RESEARCH | 406-240101-007 | Drawings and schematics Vol2 |
1700 | LAM RESEARCH | 406-240101-008 | Drawings and schematics Vol3 |
1701 | LAM RESEARCH | 406-240121-002 | TCP 9600 SE system description |
1702 | LAM RESEARCH | 406-240121-003 | TCP 9600 SE system operation |
1703 | LAM RESEARCH | 406-240121-005 | TCP 9600SE Maintenance procedure |
1704 | LAM RESEARCH | 406-240121-006 | TCP 9600SE Drawing and schematics Vol 1 |
1705 | LAM RESEARCH | 406-240121-007 | TCP 9600SE Drawing and schematics Vol 2 |
1706 | LAM RESEARCH | 406-240121-008 | TCP 9600SE Drawings and schematics Vol 3 |
1707 | LAM RESEARCH | 406-240121-008 | TCP 9600SE Manual set addendum |
1708 | LAM RESEARCH | 406-240122-006 | TCP 9600SE Drawing and schematics Vol 1 |
1709 | LAM RESEARCH | 406-240122-007 | TCP 9600SE Drawing and schematics Vol 2 |
1710 | LAM RESEARCH | 406-240122-008 | Drawing and schematics Vol3 |
1711 | LAM RESEARCH | 406-240122-009 | Drawings and schematics Vol4 |
1712 | LAM RESEARCH | 406-240122-010 | Drawing and schematics Vol5 |
1713 | LAM RESEARCH | 406-240203-074 | TCP 9400/9600 PTX alliance installation guide |
1714 | LAM RESEARCH | 406-240203-074 | TPC 9400/9600 PTX alliance installation guide |
1715 | LAM RESEARCH | 407-240100-001 | TCP 9400 illustrated part identifier |
1716 | LAM RESEARCH | 409-240163-001 | Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface |
1717 | LAM RESEARCH | 409-240164-001 | TCP rainbow e oxide 9500 |
1718 | Lam Research | 810-06526-000 | ASSY.CBL.EF CONTROL.SNIPER |
1719 | Lam Research | 853-011168-002 Rev C | Surge Protector, Gap Drive Motor Assy |
1720 | Lam Research | 853-017410-003 REV A | Assy. cable.LWR match,Pk det. |
1721 | Lam Research | 853-495477-001 Rev B | Cable |
1722 | Lam Research | Spare Parts | |
1723 | Lam Research | Spare Parts | |
1724 | Lam Research ® | Jetstream MZI | Gas Box, new and unused, p/n 571-065780-91534F |
1725 | Lambda | CA1000 | Alpha 1000W CA1000 Power Supply |
1726 | LAMBDA | CKS-36-21A | 36V DC Regulated power supply, Advantest T5335P |
1727 | Lambda | SPA 400 | RF Generator |
1728 | LAMBDA PHYSIK | Novaline K2005 | 248 nm excimer laser for ASML /300 |
1729 | LEE VAC | Gate valves | |
1730 | Leitz | 512815 / 2 | Microscope for KLA 51xx with olympus eyepieces |
1731 | LEYBOLD | 400003V0002 | TURBO PUMP |
1732 | LEYBOLD | ISO-K 100 | Large ISO-K Vacuum Bellows and 90 Degree Elbow |
1733 | LEYBOLD | MAG.DRIVE digital | turbo frequency convertor |
1734 | LEYBOLD | NT340M | TURBO PUMP |
1735 | LEYBOLD | NT341M | TURBO PUMP |
1736 | LEYBOLD | TURBO VAC 340ML | TURBO PUMP |
1737 | Liebherr | FKV 3610 | Fridge for the safe storage of photoresist |
1738 | LOTUS | Spray Cleaner | WET Clean for parts |
1739 | MagneTek | DS 316 | GPD 503 |
1740 | Manufacturer | Model | Type |
1741 | MATHESON | TRI.GAS | ROTAMETER |
1742 | MATRIX | 0995-99829 | Oem user’s manual |
1743 | MATRIX | 0995-99842 | system one etcher model 303 installations and operations manual |
1744 | MATRIX | 0995-99844 | Installation and operations manual |
1745 | MATRIX | 0995-99844 | System one etcher model 403 installations and operations manual |
1746 | MATSUSHITA | BBC 35 N | INTERRUPTOR CAP SYS |
1747 | MATSUSHITA | HP2-DC 24V | RELAY HP |
1748 | MATTSON | 17500675-00 | Aspen OEM manual volume I |
1749 | MATTSON | 17500675-00 | Aspen OEM manual volume II |
1750 | MATTSON | 17500675-00 | Aspen OEM manual volume III |
1751 | MATTSON | 299-31000-00 | Aspen strip system manual |
1752 | MDA Scientific | System 16 | Toxic Gas Monitor |
1753 | MECS | OF 250 | wafer pre-aligner(Hitachi CDSEM 8820/8840) |
1754 | MECS | UTC 800 | atmospheric wafer handling robot( WJ-999) |
1755 | MECS | UTC 801P | atmospheric wafer handling robot( WJ-1000 |
1756 | MECS | UTC 820Z | atmospheric wafer handling robot( Hitachi CD-SEM) |
1757 | MECS | UTX 1100 | atmospheric wafer handling robot(ASM eagle-10) |
1758 | MECS | UTX 1200 | atmospheric wafer handling robot(ASM eagle-10) |
1759 | MELLES GRIOT | 05-LHP-121 | HE NE Laser (Unused) |
1760 | Micro Automation | 16744 | Dicing Wheel |
1761 | MICRON | 256 MB | SYNCH |
1762 | MICROSPEED | PD-250C | PC-TRAC mouse |
1763 | MILLIPORE | FLUOROGARD-PLUS CWFA01PLV | filter CARTRIDGE |
1764 | MILLIPORE | WGGB06WR1 | WAFERGARD IN-LINE GAS FILTER |
1765 | MILLIPORE CORP | WGFG01HR1 | WAFERGARD F MINI IN-LINE GAS FILTER |
1766 | Minato Electronics | 1940 | EPROM Programmer |
1767 | Minato Electronics | 1940 | EPROM Programmer with additional memory |
1768 | MINERTIA MOTOR | RM SERIES | INDUCTION MOTOR |
1769 | MINERTIA MOTOR | RM SERIES | MOTOR ELECTRIC |
1770 | MISC | BPS RF Match | RF Match |
1771 | MISC | DC BIAS CONTROL | RF Match |
1772 | MISC | DC BIAS CONTROL | RF Match |
1773 | MISC | DC BIAS CONTROL | RF Match |
1774 | MITSUBISHI | FR-Z120-0.4K | INVERTER 200 V CLASS |
1775 | MITSUBISHI | Melservo MR-C10A1-UE | AC SERVO AMPLIFIER |
1776 | MITSUBISHI | MR-J10A1 | AC SERVO |
1777 | MKS | DCG 100E OPTIMA | RF Generator |
1778 | MKS | GHG 5098A-16006 | RF GENERATOR |
1779 | MKS | MW-5060 | RF Match |
1780 | MKS | MW-5060 | RF Match |
1781 | MKS | MW-5060 | RF Match |
1782 | MKS | MW-5060 | RF Match |
1783 | MKS | PAS-4U1HG | Residual Gas Analyzer |
1784 | MKS | Type 624 | Baratron pressure transducer with trip points, range 1000 Torr, CE |
1785 | MKS ASTeX | Astron AX7645 hf-s | Remote Plasma Source |
1786 | MKS ASTeX | Astron FI20620 | Remote Plasma Source |
1787 | MKS ASTeX | Astron FI80131-R | Remote Plasma Source |
1788 | MKS ASTeX | FI20162-1 Rev F | 3 KW Magnetron Head for RP clean system |
1789 | MKS Instruments | 162-0040K | Inline Pneumatic Valve ISO-KF NW 40 flanges |
1790 | MOSAID | 1000242-01 | MS3400 test system reference manual |
1791 | MRL | Black Max | Black max heater element, 850 celcius |
1792 | MS PRG WO | A9403789 | MOUSE MODEL No.240C |
1793 | Muegge | Controller | Controller, CAN controller, L/R sync |
1794 | Muegge | MW2009D-260ED | Magnetron Head 2.45GHZ |
1795 | Muegge | MX4000D-110LL | MICROWAVE POWER SUPPLY |
1796 | Muegge | MX4000D-110LL | Microwave Power Supply |
1797 | National Instruments | GPIB120A | GPIB BUS EXPANDER / ISOLATOR |
1798 | NC NOR-CAL PRODUCTS | 0995-16528 | CHEMRAZ O-RING INSIDE OF VALVE |
1799 | NEC | C1OT 6D TA 0100 | THERMOSTAT |
1800 | NEC | MF300-02 | MIST FILTER |
1801 | NEC | MU1238B-11B | AIRFLOW ROTATION |
1802 | Neslab | 1033-0017-001 | Chiller |
1803 | NESLAB | HX-75 | Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled |
1804 | NESLAB | HX-75 | Recirculating Water Chiller, Air Cooled |
1805 | Neslab | HX75 | Chiller |
1806 | Neslab | RTE-110 | chiller |
1807 | NFR Technologies | NM05S400KT-010 | RF MATCH 500W |
1808 | NGK | Megcon PRC II-2000ACD | Antistatic System For DI water |
1809 | NIKON | 204402 | Operations manual NSR-1755I7A |
1810 | NIKON | RETICLE BOX 5 INCH | 5 INCH RETICLE BOX |
1811 | Nisshin | 0-1 kgf/cm2 | pressure gauge |
1812 | Nisshin | 0-76 cmHg | vacuum gauge |
1813 | Nisshin | 4 kgf/cm2 | pressure gauge |
1814 | Nisshin | 7 kgf/cm2 | pressure gauge |
1815 | NITROGEN | PRESSURE VALVE | |
1816 | NITSUKO | BCR 2600/BCV 5050 | POWER SUPPLY |
1817 | Nitto | 1603502 | TAPE SPOOL |
1818 | Nitto | 44941001 | TAPE SPOOL |
1819 | Nitto | DR8500-2 | INSTRUCTION MANUAL |
1820 | Nitto | HR8500-2 | INSTRUCTION MANUAL |
1821 | Nitto | TT1R2-1 | teach pendant for robot TT1R2-1 |
1822 | NK | RM 120 | REAL TIME MONITOR |
1823 | Nordson | RF Matchbox | RF Match |
1824 | Nordson | RF Matchbox | RF Match |
1825 | Nordson | RF Matchbox | RF Match |
1826 | Nordson | RF Matchbox | RF Match |
1827 | Nordson | RF Matchbox | RF Match |
1828 | Nordson | RF Matchbox | RF Match |
1829 | Nordson | RF Matchbox | RF Match |
1830 | Nordson | RF Matchbox | RF Match |
1831 | Nordson | RF Matchbox | RF Match |
1832 | Nordson | RF Matchbox | RF Match |
1833 | Nordson | RF Matchbox | RF Match |
1834 | Novascan | 945-00666-00 | HALOGEN LAMP |
1835 | NSK GLOBAL LTD | MAEBASHI PLANT | BALL SCREW |
1836 | Nuclear Elettronica | 312E.44L REV A | Dual Power Supply, +/- 15V / 1A |
1837 | Nuclear Elettronica | 314E 5/12 – 6/2 rev A | Power Supply, 5V/12A – 6V/2A |
1838 | Nuclear Elettronica | 314E.100 REV A | Power Supply, 5V/20A |
1839 | NUPRO | 107 | |
1840 | NUPRO | 7 MICRON | |
1841 | NUPRO | SS-4BK-V51 | REGULATOR PRESSURE |
1842 | NUPRO | SS-4R3A1- | TUBE FITTINGS & VALVE |
1843 | Olympus | BA124L001 | DC MOTOR W/ D500 GEAR HEAD |
1844 | Olympus | BA124L001 | DC MOTOR W/ D500 GEAR HEAD |
1845 | Olympus | BH2-UMA | BRIGHTFIELD / DARKFIELD REFLECTED LIGHT ILLUMINATOR FOR THE BH SERIES MICROSCOPES |
1846 | Olympus | BH3 (Parts) | Camera adapter and illuminator for Microscope |
1847 | OLYMPUS | DBAP-FA-Z | SERVO DRIVER |
1848 | Olympus | LH50A | Microscope illuminator, 50W 12 V |
1849 | Olympus | WHK 10X/20L-H | EYEPIECES FOR TRINOCULAR MICROSCOPE |
1850 | Olympus Optical | DBAP-FA-Z GA | Servo Driver |
1851 | Omniguard | 860UV-IR | UV Fire detector, w/ mount |
1852 | OMRON | E2E-C1C1 | PROXIMITY SWITCH |
1853 | OMRON | E32-TC200A | PHOTO ELECTRIC SWITCH |
1854 | OMRON | E3C–JC4 | AMPLIFIER UNIT |
1855 | OMRON | E3C-C | PHOTOELECTRIC SWITCH |
1856 | OMRON | E3C-DM2R 2 M | PHOTOELECTRIC SWITCH |
1857 | OMRON | E3C-JC4P | PHOTO ELECTRIC SWITCH |
1858 | OMRON | E3HT–DS3E2 | PHOTO ELECTRIC SENSOR |
1859 | OMRON | E3S-X3CE4 | TEMPERATURE CONTROLLER |
1860 | OMRON | E3S-XE1 | PHOTO ELECTRIC SWITCH |
1861 | OMRON | E3X-A11 | PHOTO ELECTRIC SWITCH |
1862 | OMRON | E3XR-CE4 | TEMPERATURE CONTROLLER |
1863 | OMRON | E5CJ | TEMPERATURE CONTROLLER |
1864 | OMRON | EE-SPW321 | PHOTO MICROSENSOR |
1865 | OMRON | r88d-ua02ha | servo driver |
1866 | OMRON | TL-W5WC2 | PROXIMITY SWITCH |
1867 | ONE AC CORP | FMV 321S | TRANSFORMER FOR KLA 7700 SERIES PSU |
1868 | ORIEL | 68805 | POWER SUPPLY 40-200 WATTS FOR MERCURY ARC LAMP |
1869 | ORIEL | 68805 | ULTRAVIOLET LIGHT – LAMP HOUSING |
1870 | ORIENTAL MOTOR | 21K6GK-A2 | INDUCTION MOTOR |
1871 | ORIENTAL MOTOR | 21K6GN-A | |
1872 | ORIENTAL MOTOR | 2IK6GK-A | MOTOR ELECTRIC |
1873 | ORIENTAL MOTOR | 4GK15K | MOTOR ELECTRIC |
1874 | ORIENTAL MOTOR | 4GN30K | GEAR HEAD |
1875 | ORIENTAL MOTOR | 4LF45N-2 | LINEAR HEAD |
1876 | ORIENTAL MOTOR | 4RK25RGK-AM | REVERSIBLE MOTOR |
1877 | ORIENTAL MOTOR | 51K40GN-AT | |
1878 | ORIENTAL MOTOR | 5rk40gk-a2 | MOTOR ELECTRIC |
1879 | Oriental Motor | 5RK40RGK-AM | REVERSIBLE MOTOR, 40W 100V 50/60 HZ |
1880 | ORIENTAL MOTOR | MBM425-411 | SPEED CONTROL MOTOR |
1881 | ORIENTAL MOTOR | PB204-101 | |
1882 | ORIENTAL MOTOR | PH265-02 | 2-PHASE STEPPING MOTOR |
1883 | ORIENTAL MOTOR | PH596-A | STEPPING MOTOR |
1884 | ORIENTAL MOTOR | SEE COMMENTS | GEAR HEADS |
1885 | ORIENTAL MOTOR | UPH564-A | 5 PHASE STEPPING MOTOR |
1886 | ORIENTAL MOTOR | XU9 | MOTOR ELECTRIC FO FR CARRIER MOTOR |
1887 | OSAKA | TC010MT | TURBO CONTROLLER |
1888 | PALL | GLF6101VF4 | GAS FILTER |
1889 | PALL | GLF6101VF4 | GASKLEEN GAS FILTER |
1890 | PANASONIC | MF A 020LATNP | AC SERVO MOTOR |
1891 | PARKER | 60 SERIES | QUICK COUPLING FEMALE COUPLER |
1892 | PATLITE | SEFW-A | SIGNAL TOWER |
1893 | PM500 09 P | MASK | RETICLE |
1894 | PMS | AIRSENTRY-IMS | GAS ANALYZER |
1895 | PMS | Liquitrack 776200 | Non volatile residual Monitor for water-quality checking |
1896 | PMS | MICRO LPC-210 | MICROLASER PARTICLE COUNTER |
1897 | Power One | HPM5A2A2KS234 | 5V Switching Power Supply |
1898 | Power One | HPM5C1C1E1E1H1S240 | Switching Power Supply |
1899 | Power One | HPM5E2E2KS228 | 28 V Switching Power Supply |
1900 | Power One | HPM5F2F2KS233 | 2V Switching Power Supply |
1901 | Power One | SPM2E1E1S304 | 28 V Switching Power Supply |
1902 | POWERTEC | 9J5-360-371 | SUPER SWITCHER TM SERIES POWER SUPPLY |
1903 | POWERTEC ASTEC | 6C32-EE-371 | SUPER SWITCHER TM SERIES POWER SUPPLY |
1904 | POWERTEC ASTEC | 9J12-130-371 | SUPER SWITCHER TM SERIES POWER SUPPLY |
1905 | POWERTEC ASTEC | 9J8-200-371 | SUPER SWITCHER TM SERIES POWER SUPPLY |
1906 | POWERTEC ASTEC | 9K2-300-372 | SUPER SWITCHER TM SERIES POWER SUPPLY |
1907 | PULNIX | TM-7EX | VIDEO CAMERA WITH FUJI NF35A-2 LENS |
1908 | RF Global Solutions | RF Services RF Match controller | Miscellaneous |
1909 | RF Services | ICPSM | RF Match |
1910 | RF Services | ICPSM | RF Match |
1911 | RF Services | ICPSM | RF Match |
1912 | RF Services | ICPSM | RF Match |
1913 | RF Services | LF Splitter | Miscellaneous |
1914 | RF Services | LF Splitter | Miscellaneous |
1915 | RF Services | LF Splitter | Miscellaneous |
1916 | RF Services | LF Splitter | Miscellaneous |
1917 | RF Services | LF Splitter | Miscellaneous |
1918 | RF Services | LF Splitter | Miscellaneous |
1919 | RF Services | RFS 1000 | RF Match |
1920 | RF Services | RFS 1000 | RF Match |
1921 | RF Services | RFS 1000 | RF Match |
1922 | RF Services | RFS 1000 | RF Match |
1923 | RF Services | RFS 1000 | RF Match |
1924 | RF Services | RFS 1000M | RF Match |
1925 | RF Services | RFS 2502 | RF Match |
1926 | RF Services | RFS 3002 ICP | RF Match |
1927 | RF Services | RFS 3002A | RF Match |
1928 | RF Services | RFS 3002A ICP | RF Match |
1929 | RF Services | RFS 3006 | RF Match |
1930 | RF Services | RFS 3009 ICPSM | RF Match |
1931 | RF Services | RFS 3018 | RF Match |
1932 | RF Services | RFS 500/700 | RF Match |
1933 | RF Services | RFS 500/700 | RF Match |
1934 | RF Services | RFS 500/700 | RF Match |
1935 | RF Services | RFS 500/700 | RF Match |
1936 | RF Services | RFS 500/700 | RF Match |
1937 | RF Services | RFS 500/700 | RF Match |
1938 | RF Services | RFS 500/700 | RF Match |
1939 | RF Services | RFS 700 | RF Match |
1940 | RF Services | RFS 712 | RF Match |
1941 | RF Services | Splitter 1k | Miscellaneous |
1942 | RF Services | Splitter 1k | Miscellaneous |
1943 | RF Services | Splitter 1k | Miscellaneous |
1944 | RFPP | AM-10 | RF Match |
1945 | RFPP | AM-10 | RF Match |
1946 | RFPP | AM-10 | RF Match |
1947 | RFPP | AM-10 | RF Match |
1948 | RFPP | AM-10 | RF Match |
1949 | RFPP | AM-10 | RF Match |
1950 | RFPP | AM-10 | RF Match |
1951 | RFPP | AM-10 | RF Match |
1952 | RFPP | AM-10 | RF Match |
1953 | RFPP | AM-10 | RF Match |
1954 | RFPP | AM-10 | RF Match |
1955 | RFPP | AM-20 | RF Match |
1956 | RFPP | AM-20 | RF Match |
1957 | RFPP | AM-30 Controller | Miscellaneous |
1958 | RFPP | AM-5 | RF Match |
1959 | RFPP | AM-5 Controller | Miscellaneous |
1960 | RFPP | AMN | RF Match |
1961 | RFPP | AMNPS-2A Controller | Miscellaneous |
1962 | RFPP | AMNPS-2A Controller | Miscellaneous |
1963 | RFPP | AMNPS-2A Controller | Miscellaneous |
1964 | RFPP | AMNPS-2A Controller | Miscellaneous |
1965 | RFPP | AMNPS-2A Controller | Miscellaneous |
1966 | RFPP | AMNPS-2A Controller | Miscellaneous |
1967 | RFPP | AMNPS-2A Controller | Miscellaneous |
1968 | RFPP | ICP20-P | RF Generator |
1969 | RFPP | LF-5 | RF Generator |
1970 | RFPP | LF-5 | RF Generator |
1971 | RFPP | LF-5S | RF Generator |
1972 | RFPP | RF 25M | RF Generator |
1973 | RFPP | RF 30H | RF Generator |
1974 | RFPP | RF Match | RF Match |
1975 | RFPP | RF-10 | RF Generator |
1976 | RFPP | RF-10S | RF Generator |
1977 | RFPP | RF-20H Controller | Miscellaneous |
1978 | RFPP | RF-20M | RF Generator |
1979 | RFPP | RF-20S | RF Generator |
1980 | RFPP | RF-30S | RF Generator |
1981 | RFPP | RF-30S | RF Generator |
1982 | RFPP | RF-30S | RF Generator |
1983 | RFPP | RF-5S | RF Generator |
1984 | RFPP | RF-5S | RF Generator |
1985 | RFPP | RF-5S | RF Generator |
1986 | RFPP | RF-5S | RF Generator |
1987 | RFPP | RF-5S | RF Generator |
1988 | RFPP | RF-5S | RF Generator |
1989 | RFPP | RF-5S | RF Generator |
1990 | RFPP | RF-5S | RF Generator |
1991 | RFPP | RF-5S | RF Generator |
1992 | RFPP | RF20S | RF Generator |
1993 | RFVII | ATN-10 | RF Match |
1994 | RFVII | ATN-50 | RF Match |
1995 | RFVII | PT-II-CE Controller | Miscellaneous |
1996 | RFVII | RF-20 | RF Generator |
1997 | Rorze | BERC-RD023MS | 2P MICRO STEP DRIVER |
1998 | Rorze | RR304L90 | Wafer handling robot, with 5 ceramic robot blades |
1999 | Rorze | RR713L1521-3A3-E11-0 | Dual arm Atmospheric wafer handling robot with controller |
2000 | Sairem | MU Controller | Miscellaneous |
2001 | SAMSUNG | MR16R0828AN1-CKB | 128 MB/8 RAM |
2002 | Sanitas EG | Multilevel | EPROM Programmer |
2003 | Sankei Giken | TCW-12000 CV | Process Module Chiller |
2004 | SANYO DENKI | RBA2C-202 | SERVO DRIVER MODULE |
2005 | SCANLAB | RTC 2 Type XY-01 | PC INTERFACE BOARD |
2006 | SCFH AIR | 100 PSIG | |
2007 | SCIENTECH | Stacis 2100 | Active Piezoelectric Vibration Cancellation System |
2008 | Sebra | Sealer | Sealing |
2009 | Sebra | Sealer | Sealing |
2010 | Sebra | Sealer | Sealing |
2011 | Sebra | Sealer | Sealing |
2012 | Sebra | Sealer | Sealing |
2013 | Sebra | Sealer | Sealing |
2014 | Sebra | Sealer | Sealing |
2015 | Sebra | Sealer | Sealing |
2016 | Sebra | Sealer | Sealing |
2017 | Sebra | Sealer | Sealing |
2018 | Sebra | Sealer | Sealing |
2019 | Sebra | Sealer | Sealing |
2020 | Sebra | Sealer | Sealing |
2021 | Sebra | Sealer | Sealing |
2022 | Sebra | Sealer | Sealing |
2023 | Sebra | Sealer | Sealing |
2024 | Sebra | Sealer | Sealing |
2025 | Sebra | Sealer | Sealing |
2026 | Sebra | Sealer | Sealing |
2027 | Sebra | Sealer | Sealing |
2028 | Sebra | Sealer | Sealing |
2029 | Sebra | Sealer | Sealing |
2030 | Sebra | Sealer | Sealing |
2031 | Sebra | Sealer | Sealing |
2032 | Sebra | Sealer | Sealing |
2033 | Sebra | Sealer | Sealing |
2034 | Sebra | Sealer | Sealing |
2035 | Sebra | Sealer | Sealing |
2036 | Sebra | Sealer | Sealing |
2037 | Sebra | Sealer | Sealing |
2038 | Sebra | Sealer | Sealing |
2039 | Sebra | Sealer | Sealing |
2040 | Sebra | Sealer | Sealing |
2041 | Sebra | Sealer | Sealing |
2042 | Sebra | Sealer | Sealing |
2043 | Sebra | Sealer | Sealing |
2044 | Sebra | Sealing Gun | Sealing |
2045 | Sebra | Sealing Gun | Sealing |
2046 | Sebra | Sealing Gun | Sealing |
2047 | Sebra | Sealing Gun | Sealing |
2048 | Sebra | Sealing Gun | Sealing |
2049 | Sebra | Sealing Gun | Sealing |
2050 | Sebra | Sealing Gun | Sealing |
2051 | Sebra | Sealing Gun | Sealing |
2052 | Sebra | Sealing Gun | Sealing |
2053 | Sebra | Sealing Gun | Sealing |
2054 | Sebra | Sealing Gun | Sealing |
2055 | Sebra | Sealing Gun | Sealing |
2056 | Sebra | Sealing Gun | Sealing |
2057 | Sebra | Sealing Gun | Sealing |
2058 | Sebra | Sealing Gun | Sealing |
2059 | Sebra | Sealing Gun | Sealing |
2060 | Sebra | Sealing Gun | Sealing |
2061 | Sebra | Sealing Gun | Sealing |
2062 | Sebra | Sealing Gun | Sealing |
2063 | Sebra | Sealing Gun | Sealing |
2064 | Sebra | Sealing Gun | Sealing |
2065 | Sebra | Sealing Gun | Sealing |
2066 | SEEKA | UM-T50DT | PHOTO SENSOR |
2067 | Seiko | SDI 4000 | operation manual for SDI 4000 Semiconductor process evaluation SEM |
2068 | Seiko Seiki | SCU 301H | Turbo Pump Controller Unit |
2069 | Seiko Seiki | SCU-1000C | Controller for Seiko Seiki STP 1000C Turbo pump |
2070 | SEIKO SEIKI | STP-301C | TURBO PUMP + Cable + Controller |
2071 | SEIKO SEIKI | STP-H301C | TURBO PUMP + Cable + Controller |
2072 | SEKISUI | VANTEC SIGMA 200 K1 | Antistatic 200 MM Wafer shipping box |
2073 | Semco | HVS 1500 Controller | Miscellaneous |
2074 | Semco | HVS 2K Controller | Miscellaneous |
2075 | Seminet | Infinity SACS 251216-120-CE | Semi-Automatic Carousel Boxed Reticle Stocker |
2076 | Semitec | S1425 | Dicing Wheel |
2077 | SEMITOOL | 2080S(OAZP)CBE | Equipment manual |
2078 | Semitool | A72-20M | SRD Rotor |
2079 | Semitool | PA7230M | SRD Rotor |
2080 | Semitool | ST-240 | Spin Rinse Dryer |
2081 | Semitool | ST-921R-AA | Spin Rinse Dryer |
2082 | Sensarray | 1530D-8-0023 | Process Probe Instrumented Wafer |
2083 | Sensarray | 1530D-8-0023 | Process Prober Instrumented Wafer |
2084 | Seren | AT20 | RF Match |
2085 | Seren | AT30 | RF Match |
2086 | Seren | AT35 | RF Match |
2087 | Seren | AT35DSE2 | RF Match |
2088 | Seren | AT35VFC | RF Match |
2089 | Seren | AT6 | RF Match |
2090 | Seren | AT6 | RF Match |
2091 | Seren | AT6M | RF Match |
2092 | Seren | Controller | Miscellaneous |
2093 | Seren | HR5001 | RF Generator |
2094 | Seren | I2000 | RF Generator |
2095 | Seren | L301 | RF Generator |
2096 | Seren | L301 | RF Generator |
2097 | Seren | L301 | RF Generator |
2098 | Seren | L301 | RF Generator |
2099 | Seren | L301 | RF Generator |
2100 | Seren | L601 | RF Generator |
2101 | Seren | L601 | RF Generator |
2102 | Seren | MC2 Controller | Miscellaneous |
2103 | Seren | MC2 Controller | Miscellaneous |
2104 | Seren | MC2 Controller | Miscellaneous |
2105 | Seren | MC2 Controller | Miscellaneous |
2106 | Seren | MC2 Controller | Miscellaneous |
2107 | Seren | MC2 Controller | Miscellaneous |
2108 | Seren | R2001 | RF Generator |
2109 | Seren | R3001 | RF Generator |
2110 | Seren | Seren RF Match | RF Match |
2111 | SET | SET 1500 | RF Match |
2112 | SET | SET 1500 | RF Match |
2113 | SET | SET 1500 | RF Match |
2114 | SGL Carbon / Ringsdorff | 3PC1396/2 | Heater 18 inch Graphite 3PC1396 2 |
2115 | SHIMADZU | EI-D1303M | TURBO CONTROLLER |
2116 | SHIMADZU | EI-D203M | TURBO CONTROLLER |
2117 | SHIMADZU | EI-R04MT | TURBO CONTROLLER |
2118 | SHIMADZU | TMP-1303LMC-G1 | TURBO PUMP |
2119 | SHIMADZU | TMP-203M-G1 | TURBO PUMP |
2120 | Shinmei Keiki | 0-1 kgf/cm2 | pressure gauge |
2121 | Shinwa Controls Co., Ltd. | CH1-CP-D1 | CH1-CP-D1 |
2122 | SHOWA | 341 | Laboratory Power Supply – 4 channel |
2123 | SHOWA ELECTRONICS | 511-16 | REGULATED DC POWER SUPPLY |
2124 | SMC | CDG1FA20-222 | AIR CYLINDER |
2125 | SMC | CDGBN20-204 | AIR CYLINDER |
2126 | SMC | CDJ2F16 | AIR CYLINDER |
2127 | SMC | CDM2BZ20-125 | AIR CYLINDER |
2128 | SMC | CDM2RA20-190 | AIR CYLINDER |
2129 | SMC | CDQSWB20-35DC | COMPACT CYLINDER |
2130 | SMC | CDY1S15H | TESTED |
2131 | SMC | CMFN20-50 | AIR CYLINDER |
2132 | SMC | CMFN20-50 | AIR CYLINDER |
2133 | SMC | CQ2B25-20DC | ACTUATOR |
2134 | SMC | CQ2B25-25D | ACTUATOR |
2135 | SMC | CY 4R08 | CY3B15-300 CYLINDER |
2136 | SMC | DF9N | VALVES |
2137 | SMC | ECDQ2B | COMPACT CYLINDER |
2138 | SMC | ECDQ2B32-50D | COMPACT CYLINDER |
2139 | SMC | ECQ2B32-10DC | ACTUATOR |
2140 | SMC | INR 341-54E | CHILLER |
2141 | SMC | INR-341-59A | DUAL CHILLER |
2142 | SMC | INR-341-59A | INR-341-59A |
2143 | SMC | INR-341-59B | CHILLER |
2144 | SMC | INR-341-59B1 | chiller |
2145 | SMC | INR-341-61A | Triple Loop Chiller |
2146 | SMC | INR-341-61A | Triple lOOP CHILLER |
2147 | SMC | INR-499-201 | Chiller |
2148 | SMC | INR-499-201 | Dual Loop Chiller |
2149 | SMC | INR-499-203 | INR-499-203 |
2150 | SMC | INR-499-207 | chiller |
2151 | SMC | MXS16-30 AS | ZY |
2152 | SMC | WO 36517 | RODLESS CYLINDER |
2153 | SMC CYLINDER | CDQ1B40 | CYLINDER D80 |
2154 | SMC CYLINDER | CDQ1BB2 | CYLINDER D80 |
2155 | SORENSEN | 220 VOLTS | POWER SUPPLY |
2156 | Sorensen | SS200-S0120 | Power Supply Megatest Part number 113849 |
2157 | Sosul | Etch Kit | 6″ Etch kit for Sosul 2300 |
2158 | Special Optics | Beam Enlarger for Argon Ion Laser | Beam Enlarger for Argon Ion laser |
2159 | Special Optics | Custom | Motorized Iris 6″ |
2160 | Special Optics | Fourier Transform Lens | Fourier transform Lens for Argon Ion laser |
2161 | Special Optics | APOD #113 | |
2162 | Special Optics | Beam expander | |
2163 | Special Optics | Half silvered mirror 10″ X 14″ | |
2164 | Special Optics | Mirror, 9″ X 7″ | |
2165 | SPELLMAN | X3717 | HIGH VOLTAGE |
2166 | SPTS | A45494 | RF Match |
2167 | SPTS | A48870 | RF Match |
2168 | SPTS | A48870R | RF Match |
2169 | SPTS | AC1948 | RF Match |
2170 | SPTS | AS307416.07 | RF Match |
2171 | SPTS | AS310777.04 | RF Match |
2172 | SPTS | AS314055-03 | RF Match |
2173 | SPTS | AS317624.A | RF Match |
2174 | SPTS | AS317624.H | RF Match |
2175 | SPTS | AS318775.07 | RF Match |
2176 | SPTS | AS318775.07 | RF Match |
2177 | SPTS | AS325489.01 | RF Match |
2178 | SPTS | AS325489.02 | RF Match |
2179 | SPTS | AS325490.01 | RF Match |
2180 | SPTS | AS325490.01 | RF Match |
2181 | SQUARED | SBO-2 | SWITCH |
2182 | ST Automation | MT32SX | Flash Memory testing System |
2183 | ST Automation | QT200 (spares) | boards from qt 200 test system – see attached list |
2184 | ST Automation | test head | test head for Eprom U 1835 |
2185 | STARTECH | GC9SF | GENDER CHANGER |
2186 | Staubli | 308998-001 | RX90 robot controller |
2187 | STEAG AST | 98050479 | AST machine documentation |
2188 | STS | ICP BALUN RF Match | RF Match |
2189 | STS | ICP BALUN RF Match | RF Match |
2190 | Sun | Ultrasparc 60 | Unix computer from Teradyne J994 |
2191 | Sun | Ultrasparc 60 (Hard Disk Drive) | Hard Disk from Unix computer from Teradyne J994 |
2192 | SUNX | CX-21/FX/SU | SENSOR SYSTEM |
2193 | SUNX | GSA-5S | QUALITY PROXIMITY SENSOR |
2194 | SUNX | SH-21E | SENSOR SYSTEM |
2195 | SUNX | SS-A5 | SENSOR CONNECTIONS |
2196 | SUNX | SS-AT1 / SS2-300E | SENSOR SYSTEM |
2197 | SUNX | SU-7 LO | SENSOR & SYSTEM |
2198 | Super vexta | udk5114n | 5-phase driver |
2199 | SURPASS | PTC 3/8 NU | PRESSURE SENSOR FOR EBARA FREX 200 |
2200 | SVG | 99-46450-01 | 9200SE SVG ASML 90 track Z-robot |
2201 | SVG /ASM | 128197-001 | HEATER ELEMENT, HCGI |
2202 | SWAGELOK | 12M06 | TUBE FITTINGS & VALVE |
2203 | SWAGELOK | 207/235/332 | TUBE FITTINGS |
2204 | SWAGELOK | 55-8-VCO-4 | TUBE FITTINGS |
2205 | SWAGELOK | GLV-4MW-3 | WELD FITTINGS |
2206 | SWAGELOK | SS-4-VCO-3 | TUBE FITTINGS |
2207 | SWAGELOK | SS-4-VCO-4 | TUBE FITTINGS |
2208 | SWAGELOK | SS-605-4 | TUBE FITTINGS |
2209 | Swagelok / CAJON | SS-6-VC0 | FITTINGS |
2210 | System General | T9600 | Universal Device Programmer |
2211 | Systron Donner | DL 40 – 2A | Powe Supply – single and dual voltage |
2212 | Sytrama | MTM 32 V01 | ST Test Head Manipulator QT 124 |
2213 | Sytrama | MTM 32 V01 | ST Test Head Manipulator QT 124 |
2214 | T&C POWER | Power Supply | Power Supply |
2215 | T&C POWER | Power Supply | Power Supply |
2216 | T&C POWER | Power Supply | Power Supply |
2217 | T&C POWER | Power Supply | Power Supply |
2218 | T&C POWER | Power Supply | Power Supply |
2219 | Taitec | TEX 25C | HEAT EXCHANGER |
2220 | TAYLOR-WARTON | LD 25 | DEWAR FOR CRYOGENIC FLUIDS |
2221 | TDK | E S R 05-12R-3 | SWITCHING REGULATOR |
2222 | TDK | RKW24 | Power Supply |
2223 | TDK | RKW24 | Power Supply |
2224 | TDK | RKW24 | Power Supply |
2225 | TDK | RKW24 | Power Supply |
2226 | TDK | RKW24 | Power Supply |
2227 | TED PELLA INC | CAT 622 M | TIN SPHERES ON CARBON |
2228 | Tegal | Tegal RF Match | RF Match |
2229 | Tegal | Tegal RF Match | RF Match |
2230 | Tegal | Tegal RF Match | RF Match |
2231 | Tegal | Tegal RF Match | RF Match |
2232 | Tegal | Tegal RF Match | RF Match |
2233 | Tegal | Tegal RF Match | RF Match |
2234 | Tegal | Tegal RF Match | RF Match |
2235 | Tegal | Tegal RF Match | RF Match |
2236 | Tegal | Tegal RF Match | RF Match |
2237 | Tegal | Tegal RF Match | RF Match |
2238 | Tegal | Tegal RF Match | RF Match |
2239 | Tegal | Tegal RF Match | RF Match |
2240 | Tegal | Tegal RF Match | RF Match |
2241 | Tegal | Tegal RF Match | RF Match |
2242 | Tegal | Tegal RF Match | RF Match |
2243 | Tektronix | 11801C | Digital Sampling Oscilloscope |
2244 | Tektronix | 2432A | Digital Oscilloscope, 2 channel, with GPIB |
2245 | TEKTRONIX | 7704 | Oscilloscope |
2246 | Tektronix | PS 280 | DC Power supply |
2247 | Tektronix | TDS 544A | Color 4 channel digitizing oscilloscope |
2248 | Tektronix | TDS694C | Digital 3 GHz real-time oscilloscope |
2249 | TEL Tokyo Electron | 028-016314-1 | FITTING TUBE…1016-0 8 |
2250 | TEL TOKYO ELECTRON | 201335 | Operations manual |
2251 | TEL TOKYO ELECTRON | 201336 | Operations manual |
2252 | TEL TOKYO ELECTRON | 201339 | Operations manual |
2253 | TEL TOKYO ELECTRON | 201341 | Operations manual |
2254 | TEL TOKYO ELECTRON | 201342 | Operations manual |
2255 | TEL TOKYO ELECTRON | 201342 | Operations manual |
2256 | TEL TOKYO ELECTRON | 201345 | Operations manual |
2257 | TEL TOKYO ELECTRON | 201346 | Operations manual |
2258 | TEL TOKYO ELECTRON | 2985-429208-W4 | ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE |
2259 | TEL TOKYO ELECTRON | UPGRADE FOR SCCM OXIDE TOOL | KIT FOR UPGRADE FOR SCCM OXIDE TOOL |
2260 | Tempress | 12467 | Series 401 Dicing Wheel |
2261 | TEMPTRONIC | THERMO SPOT | THERMO SPOT HEATING HEAD |
2262 | TEMPTRONIC | TP22-2 | TEMPERATURE TEMP SET |
2263 | TENCOR INSTRUMENTS | AC 100-120 V | POWER SW |
2264 | TENCOR INSTRUMENTS | AC 100V | POWER SW |
2265 | Teradyne | 405-096-00 | Power Supply 150 Amp, 230 VAC (S233) |
2266 | Teradyne | 405-097-00 | Power Supply 150 Amp, 230 VAC |
2267 | Teradyne | 405-142-00 | Power Supply 150 Amp, 230 VAC |
2268 | Teradyne | 405-155-00 | Power Supply 150 Amp, 230 VAC |
2269 | Teradyne | 405-167-00 | Power Supply 8 Amp, 28V |
2270 | Teradyne | 880-751-10 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521 |
2271 | Teradyne | 880-751-10 /E | Precision Measurement unit PCB, REV E |
2272 | Teradyne | 950-212-03/B | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 55119 9328 |
2273 | Teradyne | 950-217-04 | Teradyne J971 PCB, |
2274 | Teradyne | 950-220-02 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251 |
2275 | Teradyne | 950-421-01 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 72019 9517 |
2276 | Teradyne | 950-421-01/A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61819 9517 |
2277 | Teradyne | 950-541-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 53420 9208 |
2278 | Teradyne | 950-542-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30420 9208 |
2279 | Teradyne | 950-556-01 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222 |
2280 | Teradyne | 950-558-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 64620 9509 |
2281 | Teradyne | 950-560-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918 |
2282 | Teradyne | 950-561-04/A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60620 9547 |
2283 | Teradyne | 950-562-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226 |
2284 | Teradyne | 950-566-01 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448 |
2285 | Teradyne | 950-568-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A |
2286 | Teradyne | 950-569-03 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 |
2287 | Teradyne | 950-569-03/A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 |
2288 | Teradyne | 950-572-04/A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345 |
2289 | Teradyne | 950-574-01 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx |
2290 | Teradyne | 950-656-00 rev B | PCB from test system |
2291 | Teradyne | 950-662-02/A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341 |
2292 | Teradyne | 950-681-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319 |
2293 | Teradyne | 950-687-01/D | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200 |
2294 | Teradyne | 950-713-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX |
2295 | Teradyne | 950-777-01 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513 |
2296 | Teradyne | 953-003-01 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 7221 9720 |
2297 | Teradyne | 961-061-00 | Teradyne J971 Power Supply |
2298 | Teradyne | 961-128-00 | Teradyne J971 Power Supply |
2299 | Teradyne | 961-129-01 | Teradyne J971 test system power control panel |
2300 | TERRA UNIVERSAL | 8 Tank | Stainless Steel Sink with 8ea 14″ X 14″ X 12″ (d) Tanks |
2301 | TESCOM | 150 | REGULATORS PRESSURE |
2302 | Texwipe | 810-39234 | BRUSH, ELONGATED CORE EBARA (TEXWIPE) |
2303 | Thamway | A161 | RF Generator |
2304 | Thamway | A161 | RF Generator |
2305 | THK | 280L | Linear Bearing and guide |
2306 | THK | 689 | LINEAR WAY WITHOUT BEARING |
2307 | THK | A6 C II | LINEAR WAY WITH SINGLE BEARING |
2308 | THK | A6F 598 | LINEAR WAY WITH SINGLE BEARING |
2309 | THK | ATHI240 | LINEAR WAY WITH SINGLE BEARING |
2310 | THK | HSRIZRI/UUM+490LM | LINEAR WAY WITH SINGLE BEARING |
2311 | THK | KS 3J22 | RELIANCE BEARING |
2312 | THK | LMT40UUM+489LFM | LEADSCREW FOR EBARA FREX 200 |
2313 | THK | LWHS15 | LINEAR WAY WITH SINGLE BEARING |
2314 | THK | RSR 15 | LINEAR WAY WITH 7 BEARING |
2315 | THK | RSR12VM | LINEAR WAY WITH 2 BEARING |
2316 | THK | Y8A31 | LINEAR WAY WITH SINGLE BEARING |
2317 | THK CO.,LCD | FBA 5 | FLAT BALL |
2318 | THK CO.,LCD | OR17 | BLOCK SR-2V |
2319 | THK CO.,LCD | SC35uu | LM CASE UNIT |
2320 | TOKIMEC | 012-7 | DIRECTIONAL CONTROL VALVE |
2321 | TOKIMEC | VA12134A | DIRECTIONAL CONTROL VALVE |
2322 | TOKYO ELECRON | 011 | SUPPORT.PCB..SQ-80 |
2323 | TOKYO ELECRON | 015 | RELAY |
2324 | TOKYO ELECTRON | 1D10-317R09-12 | PLATE,GALDEN FLOW CHECKER |
2325 | TOKYO ELECTRON / CONTEC | FC-SD70 | flow meter |
2326 | Trazar | AMU10A-1 | RF Match |
2327 | Trazar | AMU10B-1 | RF Match |
2328 | Trazar | AMU10B-1 | RF Match |
2329 | Trazar | AMU10G-1 | RF Match |
2330 | Trazar | AMU2B-1 | RF Match |
2331 | Trazar | AMU2B-1 | RF Match |
2332 | Trazar | AMU2B-1 | RF Match |
2333 | Trazar | AMU2B-1 | RF Match |
2334 | Trazar | AMU2B-1 | RF Match |
2335 | Trazar | AMU2D-1 | RF Match |
2336 | Trazar | AMU3-20 | RF Match |
2337 | Trazar | AMU5-1 | RF Match |
2338 | Trazar | AMU5D-1 | RF Match |
2339 | Trazar | ESC Control | RF Match |
2340 | Trazar | RFS1-3 Switch Box | Miscellaneous |
2341 | Trazar | RFS1-4 Switch Box | Miscellaneous |
2342 | Trazar | RFS1-4 Switch Box | Miscellaneous |
2343 | Trazar | RFS1-4 Switch Box | Miscellaneous |
2344 | Trazar | RFS1-4 Switch Box | Miscellaneous |
2345 | Trazar | RFS1-4 Switch Box | Miscellaneous |
2346 | Trazar | RFS1-4 Switch Box | Miscellaneous |
2347 | Trazar (Phoenix) | AMU10A-1S | RF Match |
2348 | Trazar (Phoenix) | AMU10B-1 | RF Match |
2349 | Trazar (Phoenix) | AMU10B-1 | RF Match |
2350 | Trikon | Trikon M6 | RF Match |
2351 | Trikon | Trikon M6 | RF Match |
2352 | Trikon | Trikon M6 | RF Match |
2353 | Trumpf | TruPlasma RF1003 | RF Generator |
2354 | UNIPHASE | 1103P-0187 | HE NE Laser |
2355 | United Detector Technology, Inc. | 40X | Laser Power Meter |
2356 | Vante | Sealer | Sealing |
2357 | Varian | 350D (Spares) | Implanter (Spare Parts) |
2358 | VARIAN | 9290023 | pump controller |
2359 | VARIAN | 969-9507 | TURBO CONTROLLER |
2360 | Varian | E11001320 REV B | TARGET, FOCUS, FARADAY |
2361 | Varian | E11002183 | PEDESTAL ASSY,MULTI 150/200MM |
2362 | Varian | E11002430 | WAFER COOLING CONTROLLER |
2363 | Varian | E11030450 REV 3 | VERT SCAN ACTUATOR |
2364 | Varian | E11037750 REV 5 | X’,SOURCE HOUSNG,EXTRACTION MANIPULATOR |
2365 | Varian | E11040440 Rev 7 | Secondary workstation for implanter |
2366 | Varian | E17015570 | SCANACT,COUNTER WEIGHT |
2367 | Varian | E17026680 | PLATE,GROUND,GRAPHSCAN |
2368 | Varian | E17026720 | PLATE,GROUND,GRAPHSCAN-SCAN |
2369 | Varian | E17032320 | CHASIS GUIDE M FRME ESSERV |
2370 | Varian | E17064301 | BEAM SHIELD |
2371 | Varian | E17101600 | COVER,DUAL VAPORIZER |
2372 | Varian | Turbo-V 250 MacroTorr | Turbo Pump DN ISO 100 Type |
2373 | Varian | Turbo-V 250 MacroTorr | Turbo Pump DN ISO 100 Type |
2374 | VARIAN | TV551NAV | TURBO PUMP |
2375 | VARIAN | TV81M | TURBO PUMP |
2376 | VARIAN | V250 | TURBO PUMP |
2377 | VARIAN | V550 | TURBO PUMP |
2378 | VARIAN | V551 | TURBO PUMP |
2379 | Varian | Varian RF Match | RF Match |
2380 | Varian | various | VARIAN SOURCE PARTS |
2381 | Varian | BEAM SHIELD | |
2382 | Varian | ISOLATION VALVE PARTS | |
2383 | Varian | MKS HPS VALVE 62161 | |
2384 | Varian | MKS HPS VALVE 69542 | |
2385 | Varian | SOURCE COVER | |
2386 | Varian | VARIAN GRAPHITES | |
2387 | Various | Vacuum Valves | Various Vacuum valves (MKS, Varian, Fuji Seiki) and fittings |
2388 | VAT | 14040-je24-0004 | HV Gate Valve |
2389 | VAT | 14040-je24-0004 | HV Gate Valve |
2390 | VAT | 14040-je24-0004 | HV Gate Valve |
2391 | VAT | PM-5 | Adaptive Pressure controller |
2392 | VERIFLO CORP. | 42800147 | PRESSURE VALVE |
2393 | Vero Electronics | 116-010069H | Monovolt PK120 Power Supply, +5V 20A |
2394 | Verteq | Verteq | RF Generator |
2395 | Vexta | BLD1024H | Brushless DC Motor Driver, DC24V |
2396 | VEXTA | UDK5114NA | 5-PHASE DRIVER |
2397 | VEXTA | UPH569H-B | 5 PHASE STEPPING MOTOR |
2398 | Weir | HSS 100/5 | Power Supply Unit |
2399 | Weir | HSS 100/5 | Switching Power Supply Unit AC to DC |
2400 | WIKA | 233.50.100 | -1 BAR PRESSURE GAUGE G1/2A D1001/2″ |
2401 | Xantrex | XFR 40-70 NV8200P | DC Power Supply Magnet |
2402 | Yamatake honeywell | WLS302 | switch |
SS5303-0-6-1-1-1