Used Semiconductor Equipment Parts

Category:

Description

Used Semiconductor Equipment Parts

Valid Term: These are  subject to prior sale. These are only for end user. Appreciate your time.

Condition: Pls contact us to discuss.

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.

35 MWp Baccini Solar Cell Print line for Mono or Poly Crystalline Solar Cells
6 inch Complete MEMS Production Facility for Sale
60 MWp Baccini Solar Cell Print Line for Mono or Poly Crystalline Solar Cells
8 inch Complete Wafer fab Line for sale
8 inch Complete Wafer fab Line
8 inch / 6 inch Partial Bumping Wafer Fab Line
Accretech AD3000T Dispensers for dicing saws
Accretech UF3000 Prober
Accretech / TSK UF3000 Fully Automated Prober
Accretech TSK MHF300L Test head manipulators
Accretech TSK MHF300L Test head manipulators
Accretech TSK MHF300L Test head manipulators
Accretech TSK MHF300L Test head manipulators
Accretech TSK MHF300L Test head manipulators
Accretech TSK MHF300L Test head manipulators
Accretech TSK MHF300L Test head manipulators
Accretech/TSK UF3000 Production Wafer Prober
Accretech/TSK UF3000 Automatic Prober
Accretech/TSK UF3000 Automatic Prober
Accretech/TSK UF3000 Automatic Prober
Accretech/TSK UF3000 Automatic Prober
Accretech/TSK UF3000 Automatic Prober
Accretech/TSK UF3000 Automatic Prober
Accretech/TSK UF3000 Automatic Prober
ACOPIAN B24G210 POWER SUPPLY
AD-TEC AMV-1000-AA RF GENERATOR
AD-TEC AMV-2000-AMT RF GENERATOR
AD-TEC AX-1000Ⅲ-27M-LC-GT RF GENERATOR
AD-TEC TR-3000-EI1-ET RF GENERATOR
ADE NanoMapper FA Nano-Defects Inspection System
ADE 020986-10 259.82 uM thickness standard
ADE 020986-14 360.01 uM thickness standard
ADE NANOMAPPER Phase Shift nano defect inspection system
ADE 5810 Non-Contact Capacitance Gauging Module with 2ea ADE 2248 Probes
ADE 6033 Wafer Thickness Tester
ADE 6033T Wafer Thickness Tester
ADIXEN ADS501 Vacuum Pump
ADIXEN ADS1202P Vacuum Pump
ADIXEN ADS1202H Vacuum Pump
ADIXEN ADP122LM Vacuum Pump
ADIXEN A101L Vacuum Pump
Adixen Alcatel ADS 602H Dry Vacuum pump combo
Adtec AMV Controller Miscellaneous
Adtec AMV Controller Miscellaneous
Adtec AX-100 MF Generator
Adtec AX-100 MF Generator
Adtec AX-100 MF Generator
Adtec AX-100 MF Generator
Adtec AX-3000 MF Generator
Adtec AX-3000 P Generator
Advanced Energy NAVIGATOR-1013 RF GENERATOR
Advanced Energy NAVIGATOR-1013 RF GENERATOR
Advanced Energy NAVIGATOR-1013 RF GENERATOR
Advanced Energy NAVIGATOR-1013 RF GENERATOR
Advanced Energy NAVIGATOR-2013 RF GENERATOR
Advanced Energy NAVIGATOR-2013 RF GENERATOR
Advanced Energy NAVIGATOR-2013 RF GENERATOR
Advanced Energy NAVIGATOR-3013 RF GENERATOR
Advanced Energy NAVIGATOR-3013 RF GENERATOR
Advanced Energy NAVIGATOR-3013 RF GENERATOR
Advanced Energy NAVIGATOR-3013 RF GENERATOR
Advanced Energy NAVIGATOR-3013 RF GENERATOR
Advanced Energy NAVIGATOR-3013 RF GENERATOR
Advanced Energy OVATION-35162 RF GENERATOR
Advanced Energy OVATION2560SF RF GENERATOR
Advanced Energy PARAMOUNT MF 3KW RF GENERATOR
Advanced Energy PARAMOUNT-6013 RF GENERATOR
Advanced Energy PARAMOUNT-6013 RF GENERATOR
Advanced Energy PARAMOUNT-6013 RF GENERATOR
Advanced Energy PDX-1250 RF GENERATOR
Advanced Energy PDX-900-2V RF GENERATOR
Advanced Energy PDX-900-2V RF GENERATOR
Advanced Energy PDX-900-2V RF GENERATOR
Advanced Energy PDX-900-2V RF GENERATOR
Advanced Energy PDX-900-2V RF GENERATOR
Advanced Energy PDX-900-2V RF GENERATOR
Advanced Energy PE-1000 RF GENERATOR
Advanced Energy PINACLE-20K RF GENERATOR
Advanced Energy PINACLE-20K RF GENERATOR
Advanced Energy PINACLE-20K RF GENERATOR
Advanced Energy RAPID-F RF GENERATOR
Advanced Energy RAPID-F RF GENERATOR
Advanced Energy RAPID-F RF GENERATOR
Advanced Energy RAPID-F RF GENERATOR
Advanced Energy RFG-3001 RF GENERATOR
Advanced Energy VM-5000 RF GENERATOR
Advanced Energy VM-5000 RF GENERATOR
Advanced Energy XSTREAM-8K RF GENERATOR
Advanced Energy AZX 63 Controller HTCM Miscellaneous
Advanced Energy Cesar 1312 RF Generator
Advanced Energy Hilight 133 RF Generator
Advanced Energy Integro 136 RF Generator
Advanced Energy LF-5 RF Generator
Advanced Energy PDX 2500 RF Generator
Advanced Energy Pinnacle 10k RF Generator
ADVANCED ENERGY MDX Magnetron Drive p/n 2011-000-D
Advanced Energy Pinnacle Plus 5k RF Generator
Advanced Energy RFX 600 RF Generator
Advanced Energy APEX-1513 RF GENERATOR
Advanced Energy RFX 600A RF Generator
Advanced Energy APEX-1513 RF GENERATOR
Advanced Energy APEX-1513 RF GENERATOR
Advanced Energy APEX-3013 RF GENERATOR
Advanced Energy APEX-3013 RF GENERATOR
Advanced Energy APEX-3013 RF GENERATOR
Advanced Energy APEX-3013 RF GENERATOR
Advanced Energy ATX-600 RF GENERATOR
Advanced Energy ATX-600 RF GENERATOR
Advanced Energy HFV-8000 RF GENERATOR
Advanced Energy ION SOURCE SUPPLY RF GENERATOR
Advanced Energy MDX-10K RF GENERATOR
Advanced Energy MDX-10K RF GENERATOR
Advanced Energy MDX-10K RF GENERATOR
Advanced Energy MDX-20K RF GENERATOR
Advanced Energy MDX-L12 RF GENERATOR
Advanced Energy MDX-L12 RF GENERATOR
Advanced Energy MDX-L6 RF GENERATOR
Advanced Energy NAVIGATOR-10013 RF GENERATOR
Advantech IPC-5475 data acquisition card
Advantech HK-6323 data acquisition card ISA
Advantech PCL-745B data acquisition card 2-port  RS422/485 Rev B1
Advantech PCL-730 data acquisition card 32ch TTL DIO
Advantech PCL-730 32-ch Isolated Digital I/O Card w/32ch TTL DIO
Advantech PCL-745B 2-port  RS-422/485 ISA COMM Card w/ isolation
ADVANTEST M6751AD Test Handler
ADVANTEST T5382A1 Test System
ADVANTEST T5585 Test System
ADVANTEST M6541AD Test Handler
ADVANTEST T5377 Automated test Equipment
ADVANTEST M6541AD Test Handler
ADVANTEST T5593 Automated test Equipment
ADVANTEST M6541AD Test Handler
ADVANTEST T5585 Automated test Equipment
ADVANTEST M6541AD Test Handler
Advantest M6541AD Test handler with Cold Option
Advantest M6771AD TEST HANDLER
Advantest T5371 Automated test equipment
Advantest T5585 Automated test equipment
Advantest T5381ES ATE Tester
Advantest T5375 Automated Test Equipment
Advantest T5585 ATE Tester
Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207)
Advantest BGR-016796 ADVANTEST T5335P PC Board
Advantest BGR-021096 ADVANTEST T5335P PC Board
Advantest BGR-020765 ADVANTEST T5335P PC Board
Advantest BGR-017418 ADVANTEST T5335P PC Board HV PPS
Advantest T5335P (Spares) Spare Boards from test system (See attached list for details)
Advantest BGK-017719 ADVANTEST T5335P PC Board
Advantest BGK-011702 ADVANTEST T5335P PC Board
ADVANTEST T5375 Automated Test Equipment
ADVANTEST T5771ES Automated Test Equipment for laboratory or office use
Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20)
Advantest TR6846 Digital Multimeter
Advantest Versatest V4000 Automated Test Equipment for laboratory or office use
Advantest V3300 Memory Tester
Advantest V4000 Automated Test Equipment
Advantest V4000 Automated Test Equipment
Advantest V5000 Automated Test equipment
Advantest BGR-020773 ADVANTEST T5335P PC Board
Advantest BGR-020853 ADVANTEST T5335P PC Board
Advantest BGR-020816X02 ADVANTEST T5335P PC Board
Advantest BGR-020774 rev x2 ADVANTEST T5335P PC Board
Advantest BGR-020814 ADVANTEST T5335P PC Board
Advantest BGR-020771 ADVANTEST T5335P PC Board
Advantest BGR-020509 ADVANTEST T5335P PC Board
Advantest BGR-020772 ADVANTEST T5335P PC Board
Advantest BGR-017577 ADVANTEST T5335P PC Board BGR-017575
ADVANTEST T5371 Memory Tester
Advantest BGR-019486 ADVANTEST T5335P PC Board
ADVANTEST T5371 Memory Tester
Advantest T5585 Memory Tester
Advantest BGR-020851 ADVANTEST T5335P PC Board
ADVANTEST T5585 Memory Tester
Advantest T5585 Memory Tester
Advantest BGR-017578 ADVANTEST T5335P PC Board
ADVANTEST T5585 Memory Tester
Advantest BGR-017579 ADVANTEST T5335P PC Board
Advantest BGR-016796 ADVANTEST T5335P PC Board PGR-816796CC3
Advantest BGR-018931 ADVANTEST T5335P PC Board
Advantest BGR-016794 PGR-816794CC3 PC Board
Advantest BGR-016793 ADVANTEST T5335P PC Board
Advantest WUN-MONITORBOX ADVANTEST power supply monitoring box, T5335P
Advantest T5371 Test system (With a single test head  )
Advantest BGR-016796 ADVANTEST T5335P PC Board
Advantest BGR-018822 ADVANTEST T5335P PC Board
Advantest BGR-017418 ADVANTEST T5335P PC Board
Advantest T5335P Boards from an Advantest T5335P Test system
Advantest T5335P Automated Test System
Advantest BGR-019267 ADVANTEST T5335P PC Board
Advantest BGR-020900 ADVANTEST T5335P PC Board
Advantest BGR-019266 ADVANTEST T5335P MRA I/F  PC Board
Advantest BGR-017417 ADVANTEST T5335P PC Board
Advantest BGR-018824 Rev X03 ADVANTEST T5335P PC Board
Advantest BGR-018823 ADVANTEST T5335P PC Board
Advantest BGR-018822 ADVANTEST T5335P PC Board  PGR-818822BB2
Advantest BGR-018125 ADVANTEST T5335P PC Board
Advantest BGR-020815 ADVANTEST T5335P PC Board
Advantest BIR-021807 ADVANTEST T5335P PC Board
Advantest M6451AD TEST HANDLER
Advantest M6451AD TEST HANDLER
Advantest M6451AD TEST HANDLER
Advantest M6451AD TEST HANDLER
Advantest M6451AD TEST HANDLER
Advantest M6451AD TEST HANDLER
Advantest M6451AD TEST HANDLER
Advantest M6451AD TEST HANDLER
Advantest M6751AD TEST HANDLER
Advantest T5371 AUTOMATED TEST EQUIPMENT
Advantest T5376 AUTOMATED TEST EQUIPMENT
Advantest T5376 AUTOMATED TEST EQUIPMENT
Advantest T5376 AUTOMATED TEST EQUIPMENT
Advantest T5376 AUTOMATED TEST EQUIPMENT
Advantest T5376 AUTOMATED TEST EQUIPMENT
Advantest T5376 AUTOMATED TEST EQUIPMENT
Advantest T5376 AUTOMATED TEST EQUIPMENT
Advantest T5376 AUTOMATED TEST EQUIPMENT
Advantest V6000e Memory Test Engineering Workstation for Office or Laboratory Use
ADVANTEST 93000 PS1600 Automated Test Equipment for SOC testing
Advantest WUN-H90554AIR ADVANTEST air control unit
Advantest / アドバンテスト BGR-018823 REV X03 PC BOARD FOR ADVANTEST T5335P
AE Hilight 136 RF Generator
AE Hilight 136 RF Generator
AE RFX II 3000 RF Generator
AE (Advanced Energy) PMH 400/2200 Miscellaneous
AE (Advanced Energy) PMH 400/2200 Miscellaneous
AE (Advanced Energy) PMH 400/2200 Miscellaneous
AE (Advanced Energy) PMH 400/2200 Miscellaneous
AE (Advanced Energy) PMH 400/2200 Miscellaneous
AE (Advanced Energy) PMH 400/2200 Miscellaneous
AE (Advanced Energy) PMH 400/2200 Miscellaneous
AE (Advanced Energy) PMH 400/2200 Miscellaneous
AE (Advanced Energy) PMH 400/2200 Miscellaneous
AE (Advanced Energy) PMH13/3000 Miscellaneous
AE (Advanced Energy) PMH13/3000 Miscellaneous
AE (Advanced Energy) PMH13/3000 Miscellaneous
AE (Advanced Energy) Power Sensor Miscellaneous
AE (Advanced Energy) RF-20 Generator
AE (Advanced Energy) RF-30P Generator
AE (Advanced Energy) RF-30S Generator
AE (Advanced Energy) RF-30S Generator
AE (Advanced Energy) RF-5S Generator
AE (Advanced Energy) RF-5S Generator
AE (Advanced Energy) RF-5S Generator
AE (Advanced Energy) RF-5S Generator
AE (Advanced Energy) RFG 3000 Generator
AE (Advanced Energy) RFG 3000 Generator
AE (Advanced Energy) RFG 3002 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600 Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX 600A Generator
AE (Advanced Energy) RFX II 1250 Generator
AE (Advanced Energy) RFX II 3000 Generator
AE (Advanced Energy) RFX II 3000 Generator
AE (Advanced Energy) RFX II 3000 Generator
AE (Advanced Energy) RFX II 3000 Generator
AE (Advanced Energy) RFX II 3000 Generator
AE (Advanced Energy) RFX II Controller Miscellaneous
AE (Advanced Energy) Sparc-le 20 Power Supply
AE (Advanced Energy) Sparc-le V Generator
AE (Advanced Energy) Sparc-le V Generator
AE (Advanced Energy) Sparc-le-V Generator
AE (Advanced Energy) TCM Controller Miscellaneous
AE (Advanced Energy) AM-20 Match
AE (Advanced Energy) Verteq Generator
AE (Advanced Energy) AMNPS-2A Controller Miscellaneous
AE (Advanced Energy) Verteq Generator
AE (Advanced Energy) AMNPS-2A Controller Miscellaneous
AE (Advanced Energy) Verteq Generator
AE (Advanced Energy) Analog Control Fixture Miscellaneous
AE (Advanced Energy) VHF2060 Generator
AE (Advanced Energy) Analog Control Fixture Miscellaneous
AE (Advanced Energy) VHF2060 Generator
AE (Advanced Energy) Analog Control Fixture Miscellaneous
AE (Advanced Energy) VHF2060 Generator
AE (Advanced Energy) Analog Control Fixture Miscellaneous
AE (Advanced Energy) VM 1000 A Match
AE (Advanced Energy) Apex 1513 Generator
AE (Advanced Energy) Z Scan Miscellaneous
AE (Advanced Energy) Apex 1513 Generator
AE (Advanced Energy) Apex 1513 Generator
AE (Advanced Energy) Apex 1513 Generator
AE (Advanced Energy) Apex 3013 Generator
AE (Advanced Energy) Apex 3013 Generator
AE (Advanced Energy) Apex 5513 Generator
AE (Advanced Energy) Aspect 2513 Generator
AE (Advanced Energy) Aspect 2513 Generator
AE (Advanced Energy) Aspect 5013 Generator
AE (Advanced Energy) ATN Match Match
AE (Advanced Energy) ATX 600 Match
AE (Advanced Energy) ATX 600 Match
AE (Advanced Energy) ATX 600 Match
AE (Advanced Energy) ATX 600 Match
AE (Advanced Energy) ATX 600 Controller Miscellaneous
AE (Advanced Energy) AZX 10 Match
AE (Advanced Energy) AZX 10 Match
AE (Advanced Energy) AZX 10 Match
AE (Advanced Energy) AZX 10 Controller Miscellaneous
AE (Advanced Energy) AZX 63 Bias Match
AE (Advanced Energy) AZX 63 Bias Match
AE (Advanced Energy) AZX 63 Controller HTCM Miscellaneous
AE (Advanced Energy) AZX 63 Controller HTCM Miscellaneous
AE (Advanced Energy) AZX Box Module Match
AE (Advanced Energy) AZX Controller Miscellaneous
AE (Advanced Energy) AZX Controller Miscellaneous
AE (Advanced Energy) AZX Controller Miscellaneous
AE (Advanced Energy) AZX Controller Miscellaneous
AE (Advanced Energy) Cesar Generator
AE (Advanced Energy) Cesar 0230 Generator
AE (Advanced Energy) Cesar 1310 Generator
AE (Advanced Energy) Cesar 1312 Generator
AE (Advanced Energy) Cesar 1312 Generator
AE (Advanced Energy) Cesar 136 Generator
AE (Advanced Energy) Cesar 136 Generator
AE (Advanced Energy) Cesar 2710 Generator
AE (Advanced Energy) Cesar 2720 Generator
AE (Advanced Energy) Cesar 2720 Generator
AE (Advanced Energy) Cesar 2720 Generator
AE (Advanced Energy) Controller Miscellaneous
AE (Advanced Energy) Counter/Display Match
AE (Advanced Energy) Dome Match Match
AE (Advanced Energy) Dome Match Match
AE (Advanced Energy) Dome Match Match
AE (Advanced Energy) Dome Match Match
AE (Advanced Energy) Fixed Match Match
AE (Advanced Energy) Fixed Match Match
AE (Advanced Energy) Gencal Power Meter Miscellaneous
AE (Advanced Energy) Gencal Power Meter Miscellaneous
AE (Advanced Energy) Gencal Power Meter Miscellaneous
AE (Advanced Energy) Gencal Power Meter Miscellaneous
AE (Advanced Energy) Gencal Power Meter Miscellaneous
AE (Advanced Energy) Gencal Power Meter Miscellaneous
AE (Advanced Energy) GenCal Power Sensor Miscellaneous
AE (Advanced Energy) GenCal Power Sensor Miscellaneous
AE (Advanced Energy) GenCal Power Sensor Miscellaneous
AE (Advanced Energy) HFG 5000 Generator
AE (Advanced Energy) HFV 8000 Generator
AE (Advanced Energy) HFV 8000 Generator
AE (Advanced Energy) HFV 8000 Generator
AE (Advanced Energy) HFV 8000 Generator
AE (Advanced Energy) Hilight 133 Generator
AE (Advanced Energy) Hilight 133 Generator
AE (Advanced Energy) Hilight 133 Generator
AE (Advanced Energy) Hilight 133 Generator
AE (Advanced Energy) Hilight 136 Generator
AE (Advanced Energy) Hilight 136 Generator
AE (Advanced Energy) ION Source Supply Generator
AE (Advanced Energy) LF-5 Generator
AE (Advanced Energy) LF-5 Generator
AE (Advanced Energy) LF-5 Generator
AE (Advanced Energy) LF-5 Generator
AE (Advanced Energy) LF-5 Generator
AE (Advanced Energy) MDX 1.5 Generator
AE (Advanced Energy) MDX 1.5K Generator
AE (Advanced Energy) MDX 1.5K Generator
AE (Advanced Energy) MDX 10K Generator
AE (Advanced Energy) MDX 20K Master Generator
AE (Advanced Energy) MDX 20K Master Generator
AE (Advanced Energy) MDX 20K Master Generator
AE (Advanced Energy) MDX 20K Master Generator
AE (Advanced Energy) MDX Controller Miscellaneous
AE (Advanced Energy) MDX DELTA SLAVE Generator
AE (Advanced Energy) MDX II Calibration Box Miscellaneous
AE (Advanced Energy) MDX Magnetron Generator
AE (Advanced Energy) MDX Magnetron Drive Generator
AE (Advanced Energy) MDX Master Generator
AE (Advanced Energy) MDX Slave Generator
AE (Advanced Energy) MDX-10K Master Generator
AE (Advanced Energy) MDX-10K Master Generator
AE (Advanced Energy) MDX-10K Slave Generator
AE (Advanced Energy) MDX-20K Slave Generator
AE (Advanced Energy) MDX-20K Slave Generator
AE (Advanced Energy) MDX-20K Slave Generator
AE (Advanced Energy) MDX-20K Slave Generator
AE (Advanced Energy) MDX-5K Generator
AE (Advanced Energy) MDX-5K Generator
AE (Advanced Energy) MDX-5K Generator
AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous
AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous
AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous
AE (Advanced Energy) Mercury 10013 Match
AE (Advanced Energy) Mercury 10013 Match
AE (Advanced Energy) Mercury 10013 Match
AE (Advanced Energy) Mercury 10013 Match
AE (Advanced Energy) Mercury d2 Match
AE (Advanced Energy) Mini-Panel Miscellaneous
AE (Advanced Energy) Mini-Panel Miscellaneous
AE (Advanced Energy) Navigator Match
AE (Advanced Energy) Navigator 10013-L80 Match
AE (Advanced Energy) Navigator Match Match
AE (Advanced Energy) Navigator Match Match
AE (Advanced Energy) Ovation 2060 Generator
AE (Advanced Energy) Ovation 2760 Generator
AE (Advanced Energy) Ovation 35162 Generator
AE (Advanced Energy) Ovation 35162 Generator
AE (Advanced Energy) Ovation 35162 Generator
AE (Advanced Energy) Paramount 3013 Generator
AE (Advanced Energy) Paramount 3013 Generator
AE (Advanced Energy) PDW 2200 Generator
AE (Advanced Energy) PDW 600 Generator
AE (Advanced Energy) PDW 600 Generator
AE (Advanced Energy) PDW Controller Miscellaneous
AE (Advanced Energy) PDW Controller Miscellaneous
AE (Advanced Energy) PDW Controller Miscellaneous
AE (Advanced Energy) PDX 2500 Generator
AE (Advanced Energy) PDX 2500 Generator
AE (Advanced Energy) PDX 2500 Generator
AE (Advanced Energy) PDX 2500 Generator
AE (Advanced Energy) PDX 2500 Generator
AE (Advanced Energy) PDX 2500 Generator
AE (Advanced Energy) PDX 2500 Generator
AE (Advanced Energy) PDX 2500 Generator
AE (Advanced Energy) PDX 2500 Generator
AE (Advanced Energy) PDX II PLASMA DRIVE 2000 Generator
AE (Advanced Energy) PE-1000 Generator
AE (Advanced Energy) PE-2500 Generator
AE (Advanced Energy) PE-2500 Generator
AE (Advanced Energy) PE-2500 Generator
AE (Advanced Energy) PE-2500 Generator
AE (Advanced Energy) PEII 5K Generator
AE (Advanced Energy) Pinnacle 10k Generator
AE (Advanced Energy) Pinnacle 10k Generator
AE (Advanced Energy) Pinnacle 10k Generator
AE (Advanced Energy) Pinnacle 10k Generator
AE (Advanced Energy) Pinnacle 10k Generator
AE (Advanced Energy) Pinnacle 10K Generator
AE (Advanced Energy) Pinnacle 10K Generator
AE (Advanced Energy) Pinnacle 10K Generator
AE (Advanced Energy) Pinnacle 10K Generator
AE (Advanced Energy) Pinnacle 10K Generator
AE (Advanced Energy) Pinnacle 10K Generator
AE (Advanced Energy) Pinnacle 10K Generator
AE (Advanced Energy) Pinnacle 12k Generator
AE (Advanced Energy) Pinnacle 12k Generator
AE (Advanced Energy) Pinnacle 12k Generator
AE (Advanced Energy) Pinnacle 15k Generator
AE (Advanced Energy) Pinnacle 15k Generator
AE (Advanced Energy) Pinnacle 20k Generator
AE (Advanced Energy) Pinnacle 20k Generator
AE (Advanced Energy) Pinnacle 20k Generator
AE (Advanced Energy) Pinnacle 20k Generator
AE (Advanced Energy) Pinnacle 20k Generator
AE (Advanced Energy) Pinnacle 20k Generator
AE (Advanced Energy) Pinnacle 6k Generator
AE (Advanced Energy) Pinnacle 6k Generator
AE (Advanced Energy) Pinnacle 6k Generator
AE (Advanced Energy) Pinnacle 6k Generator
AE (Advanced Energy) Pinnacle 6k Generator
AE (Advanced Energy) Pinnacle 6k Generator
AE (Advanced Energy) Pinnacle 6k Generator
AE (Advanced Energy) Pinnacle 6k Generator
AE (Advanced Energy) Pinnacle Controller Miscellaneous
AE (Advanced Energy) Pinnacle Controller Miscellaneous
AE (Advanced Energy) Pinnacle Controller Miscellaneous
AE (Advanced Energy) Pinnacle Controller Miscellaneous
AE (Advanced Energy) Pinnacle Controller Miscellaneous
AE (Advanced Energy) Pinnacle Controller Miscellaneous
AE (Advanced Energy) Pinnacle Controller Miscellaneous
AE (Advanced Energy) Pinnacle Dual 6k Generator
AE (Advanced Energy) Pinnacle Plus 10k Generator
AE (Advanced Energy) Pinnacle Plus 10k Generator
AE (Advanced Energy) Pinnacle Plus 10k Generator
AE (Advanced Energy) Pinnacle Plus 10k Generator
AE (Advanced Energy) Pinnacle Plus 5k Generator
AE (Advanced Energy) PMH 13/3000 Miscellaneous
AEG 2A 400-100 H Power Driver Controller 2A
AET TECHNOLOGIES Four de Recuit Rapide RTP Tool
AG Associates Heatpulse 410 Rapid Thermal Processor
AG ASSOCIATES Heatpulse 410 Benchtop RTP Tool
Agilent 16702A LOGIC ANALISYS SYSYEM
Agilent Multimeter Miscellaneous
Agilent Multimeter Miscellaneous
Agilent Multimeter Miscellaneous
Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator
Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator
AGILENT 03577-90212 AGILENT 3571A network analyzer service manual
Agilent / HP / Verigy GPIB IEEE488 Cable GPIB IEEE488 Cable
Agilent / Verigy 4073B PARAMETRIC TEST SYSTEM
Agilent / Verigy 4073A PARAMETRIC TEST SYSTEM
Agilent / Verigy / Keysight 4261A LCR METER
Agilent / Verigy / Keysight 41501B SMU and Pulse Generator Expander 2 units
Agilent / Verigy / Keysight 5335A Universal Frequency Counter
Agilent / Verigy / Keysight E4915A Crystal impedance LCR meter
Agilent / Verigy / Keysight 1671G Logic Analyzer
Agilent / Verigy / Keysight 1671G Logic Analyzer
AGL Inc Microwave Generator Generator
Air Products Precision Tool Manometer 1.5 Bar
AIR PRODUCTS R-704-VCR PRESSURE REGULATORS TEST
AIR PRODUCTS F-074-VCR PRESSURE REGULATOR 10-50L MIN HCL
AIR SYSTEM INTL SVB-E8EXP Electric Blower, Explosion Proof
AIRCO TEMESCAL CV-8-210 E Beam Power Supply Controller, 3ea Available – Parts Only
AIXCON Y2000 RF GENERATOR
AIXCON Y2000 RF GENERATOR
Akrion Goldfinger Velocity 4 Single wafer cleaning system
AKRION V2-SA.3200 Wet Process Station Including Tanks
AKRION V2-HL.2000 Acid Wet Bench
AKT NAR 1800/8 G6 ITO Vertical In-line Sputtering Machine for LCD / TFT panel G6
AKT 1600 PECVD Gen 2 PECVD deposition system
Alcan Tech/Canon MAS-8000 Microwave Ashing System
Alcatel flange Alcatel pump flange 4″ ID 5 1/4″ OD, SST
ALCATEL 5150CP Turbo Molecular Vacuum Pump
Alcatel Adixen ADS1202H Dry vacuum Pump
ALESSI REL-4500 Analytical Wafer Prober with 6″ (dia.) Gold Plated Chuck
ALESSI REL- Series 5000 Analytical Prober with Mitutoyo FS-70 Zoom Microscope with LWD Objective Lenses, New Wave QuikLaze 532/355 Laser with 50X Lens, Missing Computer
ALESSI REL-4100A Analytical Prober
Allen Bradley 800F-PN3GX11 Contact module 24V, AMI S3320-15-1, pk of 3
Allen Bradley PanelView Plus 400 Operator Panel, Serial
Allen Bradley Micro-Logix 1200 PLC Module
Allen Bradley 1762-IF4 PLC 4-ch input module
Alphasem DB 608-PRL Die Sort System
ALPHASEM Swissline 9006 (Spares) Automatic Epoxy Die Bonder, Parts Tool Only
ALTRONIC Dummy Load Miscellaneous
ALTRONIC Dummy Load Miscellaneous
ALTRONIC Dummy Load Miscellaneous
ALTRONIC Dummy Load Miscellaneous
ALTRONIC Dummy Load Miscellaneous
ALTRONIC Dummy Load Miscellaneous
ALTRONIC Dummy Load Miscellaneous
ALTRONIC Dummy Load Miscellaneous
ALTRONIC Dummy Load Miscellaneous
ALTRONIC Dummy Load Miscellaneous
AMAT 0010-20422 Endura 5500 PVD 8″ Shield treatment and cover assembly
AMAT Vectra Match RF Match
AMAT 0041-61611 Retaining ring, Titan II, 8″ *NEW*
AMAT 2MHZ Ice Match Match
AMAT 8300 Match
AMAT 8300 Match
AMAT 8300 Match
AMAT DC Power Supply Power Supply
AMAT Manual Controller Miscellaneous
AMAT Manual Controller Miscellaneous
AMAT Manual Controller Miscellaneous
AMAT Micro Match Match
AMAT P5000 Match
AMAT P5000 Match
AMAT P5000 Match
AMAT P5000 Match
AMAT P5000 Match
AMAT P5000 Match
AMAT P5000 Match
AMAT P5000 Match
AMAT P5000 Match
AMAT P5000 Match
AMAT P5000 Match
AMAT P5000 Controller Miscellaneous
AMAT P5000 Controller Miscellaneous
AMAT P5000 Controller Miscellaneous
AMAT Phase Mag Detector Miscellaneous
AMAT Phase Mag Detector Miscellaneous
AMAT Phase Mag Detector Miscellaneous
AMAT RF Match Match
AMAT RF Match Controller Miscellaneous
AMAT RF Match Controller Miscellaneous
AMAT RF Match Controller Miscellaneous
AMAT RF Match Controller Miscellaneous
AMAT RF Match Controller Miscellaneous
AMAT RF Match, VOR Match
AMAT Vectra IMP Match
AMAT 0040-77544 Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544
AMAT 0010-36736 Heater
AMAT 0190-24834-002 MFC Celerity ufc-8165 1L H2
AMEC PRIMO 3CH Dry etcher
AMETEK SGA200X25E-1DAA RF GENERATOR
AMI B390.05 belt
AMI 885 Screen printer Squeegee box lot
AMI Presco ACL-TS Solar wafer picker tool
AMI Presco USP206-2E Solar wafer conveyor, 156mm for use with screen printers, etc.
Anaheim Automation TM4500 AA4520 Stepper controller/driver board
Anaheim Automation 23D306S Stepper motor 3.4VDC 2.9A
ANDO AF9723 Eprom Gang programmer w/ RAM expansion
ANELVA 954-7700 Vacuum Feedthrough
Angelantoni T600 TU5 Large Clean-room Oven with internal blowers
Angelantoni T600 TUS Large Clean-room Oven with internal blowers
AP & S TwinStep-B H3P04 Semi-Automatic Wet Bench, used for H3PO4 with a 2 stage Megasonic and QDR
AP Systems KORONA-1200P METAL RTP
APEX 5513 RF Generator
APPLIED BIOSYSTEM ABI-3700 ANALYZER Automatic Sequencer
Applied Materials Mirra Mesa CMP System
Applied Materials Various Spare Parts Spare Parts for sale
Applied Materials Mirra Mesa Oxide CMP system, with SMIF
Applied Materials Mirra Mesa Integrated Oxide/STI CMP
Applied Materials Uvision 600SP Brightfield Inspection System
Applied Materials Centura EPI Epitaxial Deposition, reduced pressure, 2 chamber
Applied Materials ENDURA CL PVD Cluster tool
Applied Materials P5000 CVD SiN Process with 2 x CVD chambers
Applied Materials ENDURA  II  Chamber PVD
Applied Materials P5000 CVD TEOS Process with 2 x CVD chambers
Applied Materials ENDURA  II  Chamber PVD
Applied Materials ENDURA  II  Chamber PVD
Applied Materials Centura WCVD WSIX(OPTIMA)
Applied Materials Mirra Mesa CMP system
Applied Materials Centura WCVD WSIX(OPTIMA)
Applied Materials Centura WCVD WxZ Optima
Applied Materials Mirra Ontrak Poly/STI CMP
Applied Materials Centura WCVD WSIX(OPTIMA)
Applied Materials Mirra Ontrak Poly/STI CMP
Applied Materials CENTURA 2  DPS Deep Trench Etcher, 2 chambers
Applied Materials Centura 5300 HDP Omega Dry etch cluster tool with 2 Chambers SIO2 etch
Applied Materials Centura Enabler Dry etcher
Applied Materials ENDURA2 Chamber only Amber-(Ti) chamber
Applied Materials ENDURA2 Chamber only ESIP chamber
Applied Materials Centura WCVD WSIX(OPTIMA)
Applied Materials SEMVISION G3 Lite Defect Review SEM
Applied Materials ENDURA2 Chamber only MOALD (IMP Ti) Chamber
Applied Materials ENDURA2 Chamber only RfxT_CU Chamber
Applied Materials ENDURA2 Chamber Only WSI chamber only
Applied Materials ENDURA2 Chamber Only WSI chamber only
Applied Materials 0010-10527 Polyimide ESC PEDESTAL, MxP-5200 Poly 8″ Flat
Applied Materials 0090-05530 RF GENERATOR
Applied Materials 0090-05530 RF GENERATOR
Applied Materials P-5000 RF GENERATOR
Applied Materials P-5000 RF GENERATOR
Applied Materials PVD RF MATCH RF GENERATOR
Applied Materials CENTURA DPS ll MESA T2 Poly Etcher
Applied Materials P5000 CVD Delta Teos
Applied Materials CENTURA DPS ll MESA T2 Poly Etcher
Applied Materials e-MAX RF MATCH RF GENERATOR
Applied Materials P5000 CVD TEOS, DxL
Applied Materials CENTURA DPS ll MESA T2 Poly Etcher
Applied Materials HDP-TOP RF GENERATOR
Applied Materials MARKⅡDPS RF GENERATOR
Applied Materials P5000 CVD DxL
Applied Materials DPS II AE Poly G3 dry etcher
Applied Materials CENTURA DPS G3 Poly 1ch / Mesa 1ch
Applied Materials Centris AdvantEdge G5 Mesa T2 Poly Polysilicon Etch with qty 6 MESA 2 chambers
Applied Materials P-5000 POLY RF GENERATOR
Applied Materials DPS II AE Poly G3 dry etcher
Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch
Applied Materials RF MATCH3 RF GENERATOR
Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch
Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch
Applied Materials P5000 CVD DxL
Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch
Applied Materials P5000 CVD DxL
Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch
Applied Materials P5000 Mark-II CVD TEOS
Applied Materials Verity 2 CD MEASUREMENT SEM
Applied Materials P5000 Mark-II CVD TEOS
Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch
Applied Materials Opal 7830i Enhanced CD-SEM
Applied Materials P5000 Mark-II CVD DxL
Applied Materials DPS SILVIA Silvia 2ch / Axiom 1ch
Applied Materials 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT
Applied Materials P5000 Mark-II CVD TEOS
Applied Materials DPS2 Poly Etcher
Applied Materials Centura AP AdvantEdge G5 Poly Polysilicon Etch
Applied Materials P5000 Mark-II CVD+Etch Sputter
Applied Materials DPS2 Poly Mesa 3ch / Axiom 1ch
Applied Materials Centura AP ASP II – Chamber Only Metal Etch
Applied Materials DPS2 AE Poly Etcher
Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch
Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch
Applied Materials P5000 Mark-II CVD+PVD TEOS 2Ch, SPUTTER 2Ch
Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch
Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch
Applied Materials P5000 Mark-II CVD+Etch TEOS 2Ch, Sputter 2Ch
Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch
Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch
Applied Materials DPS2 AE MESA (Dry Etch)
Applied Materials P5000 WCVD WxL
Applied Materials DPS2 AE MINOS (Dry Etch)
Applied Materials Endura II Chamber: CIP W PVD PVD (Physical Vapor Deposition)
Applied Materials P5000 WCVD WxL
Applied Materials DPS2 AE MINOS (Dry Etch)
Applied Materials Endura II Front-End Metallization PVD (Physical Vapor Deposition)
APPLIED MATERIALS UVISION 5 Bright Field Inspection
Applied Materials DPS2 AE MESA (Dry Etch)
Applied Materials DPS2 AE MESA (Dry Etch)
Applied Materials Oasis Clean Batch Wafer Processing
Applied Materials Producer GT Chamber (A) SICONI Chamber only
Applied Materials DPS2 AE MESA (Dry Etch)
Applied Materials Producer Etch eXT Dielectric Dielectric Etch
Applied Materials Producer GT Chamber (B) SICONI Chamber only
Applied Materials ENDURA 2 CH-1_TTN/CH-2_AL/CH-3_TTN/ CH-C&D_PCXT/CH-E&F_Degas
Applied Materials ENDURA 2 CH Preclean XT Chamber
Applied Materials ENDURA 2 CH Preclean XT Chamber
Applied Materials AERA 4 Reticle Inspection
Applied Materials ENDURA 2 CH Preclean XT Chamber
Applied Materials Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition)
Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber
Applied Materials Centura AP Ultima Chamber HDPCVD Chamber only
Applied Materials Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition)
Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber
Applied Materials CENTURA DPS G3 Poly 2ch / Mesa 1ch
Applied Materials Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch
Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber
Applied Materials Mirra ® 3400 Stand-Alone CMP System
Applied Materials CENTURA DPS G3 Poly 3ch
Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch
Applied Materials ENDURA CL PVD
Applied Materials CENTURA DPS G3 Poly 3ch
Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch
Applied Materials CENTURA DPS G3 Poly 3ch / Axiom 1ch
Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch
Applied Materials PRODUCER GT Ht ACL 3ch / Server OS Type
Applied Materials CENTURA DPS G3 Poly 3ch / Axiom 1ch
Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch
Applied Materials PRODUCER GT BD2_CU
Applied Materials CENTURA DPS G5 MESA Poly 3ch / AXIOM 1ch / Server OS Type
Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch
Applied Materials PRODUCER SE CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type
Applied Materials CENTURA MCVD WxZ Optima
Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch
Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type
Applied Materials CENTURA 5200 DPS Poly Etcher
Applied Materials Centura AP AdvantEdge G5 Poly Polysilicon Etch
Applied Materials RAIDER ECD Copper Electroplating System
Applied Materials ENDURA 2 (Gray Rack) 3CH (WxZ)
Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch
Applied Materials RAIDER ECD Copper Electroplating System
Applied Materials ENDURA 2 Chamber Only ALPS
Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch
Applied Materials ENDURA 2 Chamber Only MOALD (IMP TiN)
Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
Applied Materials VANTAGE HYBRID RTP / Server OS Type
Applied Materials ENDURA 2 CHAMBER ONLY PCXT
Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
Applied Materials VANTAGE RADIANCE RTP
Applied Materials ENDURA 2 Chamber Only PCXT
Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch
Applied Materials ENDURA 2 Chamber Only PCXT
Applied Materials ComPLUS MP Darkfield Inspection
Applied Materials ENDURA 2 Chamber Only PCXT
Applied Materials ComPLUS MP Darkfield Inspection
Applied Materials ENDURA 2 Chamber Only PCXT
Applied Materials Endura II Chambers: Multiple PVD (Physical Vapor Deposition)
Applied Materials ENDURA 2 CHAMBER ONLY RPC
Applied Materials Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)
Applied Materials ENDURA 2 CHAMBER ONLY SIP Ti
Applied Materials Reflexion – Dielectric Dielectric CMP
Applied Materials ENDURA 2 CHAMBER ONLY SIP Ti
Applied Materials Reflexion LK Oxide Dielectric CMP
Applied Materials ENDURA 2 Chamber Only SIP Ti
Applied Materials ENDURA 2 Chamber Only SIP Ti
Applied Materials ENDURA 2 Chamber Only SIP Ti
Applied Materials CENTURA MCVD WxZ Optima
Applied Materials ENDURA 2 Chamber Only SIP Ti
Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Cu) Chamber only
Applied Materials ENDURA 2 CHAMBER ONLY TXZ ALD chamber
Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Cu) Chamber only
Applied Materials CENTRIS DPS MESA Dry Etch, Twin 3chamber
Applied Materials ENDURA CL Chamber Only CVD AL(AXZ)
Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Ta) Chamber only
Applied Materials ENDURA CL Chamber Only CVD AL(AXZ)
Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Ta) Chamber only
Applied Materials CENTURA ENABLER E2 Oxide Etcher /server OS PC
Applied Materials ENDURA CL Chamber Only Degas Chamber only
Applied Materials ENDURA 2 CHAMBER Only PCXT Chamber only
Applied Materials CENTURA ENABLER E5 Oxide Etcher /server OS PC
Applied Materials ENDURA CL Chamber Only Degas Chamber only
Applied Materials ENDURA CL Not include XP Robot
Applied Materials P5000 Etch no chamber (PLIS type)
Applied Materials ENDURA CL Chamber Only Degas Chamber only
Applied Materials ENDURA CL
Applied Materials ENDURA CL Chamber Only Degas Chamber only
Applied Materials ENDURA CL Chamber Only ESIP TAN (No Target) Chamber only
Applied Materials ENDURA CL Chamber Only Degas Chamber only
Applied Materials Olympia ALD
Applied Materials ENDURA CL Chamber Only PRECLEAN
Applied Materials P5000 Delta Dlh 3chCVD
Applied Materials ENDURA CL Chamber Only PRECLEAN
Applied Materials P5000 Mark II Metal ETCH
Applied Materials ENDURA CL Chamber Only PRECLEAN
Applied Materials P5000 Teos dlh 3ch CVD
Applied Materials ENDURA CL Chamber Only RPC
Applied Materials Producer GT Chamber SICONI Chamber only
Applied Materials ENDURA CL Chamber Only RPC
Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type
Applied Materials Baccini Misc parts For Baccini Solar Line – see attached list
Applied Materials Producer SE Chamber LLTO Chamber only
Applied Materials ENDURA2 CHAMBER ONLY PVD CHAMBER
Applied Materials PRODUCER SE CHAMBER PECVD Silane Chamber Only
Applied Materials ENDURA2 VOLTA CO CHAMBER QTY 2 SETS
Applied Materials PRODUCER SE CHAMBER PECVD TEOS Chamber Only
Applied Materials G5-MESA DRY ETCH EFEM
Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER
Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER
Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER
Applied Materials P5000 WXL
Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER
Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER
Applied Materials PRODUCER GT LLTO
Applied Materials P5000 Delta Teos 3ch, Sputter 1ch
Applied Materials P5000 Delta Teos 3ch, Sputter 1ch
Applied Materials 0040-35966-P1 WLDMT,LAMP HOUSING,RTP CHMBR
Applied Materials P5000 DxL 2ch
Applied Materials PRODUCER GT ACL 1ch /  Server X
Applied Materials PRODUCER GT CH_A_FOX / CH_B_eHARP / Server OS Type
Applied Materials PRODUCER GT Ht_Acl 3ch / Server OS Type
Applied Materials PRODUCER GT Siconi 3ch
Applied Materials SEMVISION G5 MAX Defect Review SEM
Applied Materials Producer GT Chamber HARP
Applied Materials CENTURA 5200 MxP Poly
Applied Materials Producer GT Chamber HARP
Applied Materials Producer GT Chamber SICONI Chamber only
APPLIED MATERIALS P-5000 DxL DxL, TEOS
Applied Materials Producer GT Chamber SIH4
Applied Materials 0010-00557 REV A Heat Exchanger
Applied Materials Producer GT Chamber only SICONI Chamber only
Applied Materials PRODUCER SE CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type
Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type
Applied Materials PRODUCER SE SILANE 2ch / Server OS Type
Applied Materials PRODUCER SE Teos 2ch / Server OS Type
Applied Materials Centura DPS II CHAMBER Chamber only
Applied Materials ENDURA 2 Chamber Only (IMP Ti)
Applied Materials ENDURA 2 Chamber Only EXTENSA Ti
Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC
Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC
Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC
Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC
Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC
Applied Materials Etch dry chamber Only AXIOM, DPS
Applied Materials Etch dry chamber Only AXIOM, DPS
Applied Materials P5000 DELTA DLH
Applied Materials P5000 DELTA DLH
APPLIED MATERIALS 0230-00101 Precision etch 8300
APPLIED MATERIALS 0230-09130 Precision 5000 Mark II spare part identified
Applied Materials Reflexion GT CMP system with integrated cleaner
APPLIED MATERIALS 0230-09259 Precision 5000 Mark II Mainframe and support equipment manual
APPLIED MATERIALS 079-1202-0D PR 5000 Advanced prev. And corrective main
Applied Materials Oasis HF Wafer cleaning system
Applied Materials 0230-09130 P5000 SPARE PARTS IDENTIFIER
APPLIED MATERIALS 026-110-0B1 PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures
Applied Materials Centura 5200 High K CVD Process Chamber CVD Process Chamber
Applied Materials Centura 5200 MxP Chamber MxP Etching Chamber
Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC
Applied Materials PRODUCER GT UV Bake Photostabilizer
Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC
Applied Materials PRODUCER GT UV Bake Photostabilizer
Applied Materials Endura 5500 (spare parts) Endura 6″ process kit for Degas Chamber, NEW, in AMAT box , including 0020-28140 and other parts
Applied Materials ENDURA CL Chamber Only AxZ
Applied Materials ENDURA CL Chamber Only AxZ
Applied Materials ENDURA CL Chamber Only AxZ
Applied Materials ENDURA CL Chamber Only AxZ
Applied Materials ENDURA CL Chamber Only AxZ
Applied Materials ENDURA CL Chamber Only AxZ
Applied Materials ENDURA CL Chamber Only AxZ
Applied Materials VANTAGE (Rediance 3.x) RTP
Applied Materials Mirra 3400 Stand-Alone Oxide/STI CMP
Applied Materials P5000 CVD system with 4 x DXZ chambers, Silane process
Applied Materials 990-004763-015 Pad Con Analog Pneumatic Unit
Applied Materials 0240-20611 REV E KIT SLIT VALVE PER CHAMBER REV E
Applied Materials 990-004763-015 (Upgrade) Upgrade for Pad Con Analog Pneumatic Assembly
Applied Materials ENDURA CL Chamber Only AL
Applied Materials 0040-13659 REV P1 BLOCK, MTG
Applied Materials ENDURA CL Chamber Only AL
Applied Materials 0020-21089 SHIELD
Applied Materials ENDURA CL Chamber Only Chamber 1 (Ver. 001) CPI-VMO
Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR
Applied Materials 0050-20072 REV B GAS LINE CHAMBER 3 HEATER MFC 1 VCR
Applied Materials ENDURA CL Chamber Only SOURCE D (Ver. 003) CPI-VMO
Applied Materials CENTURA MOCVD NLighten NEON
Applied Materials 0050-76652 REV A GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED
Applied Materials Reflexion CMP system
Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE
Applied Materials Reflexion LK CMP system
Applied Materials Centura AP DPS 2 G5 Polysilicon etcher, 3 chamber
Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE
Applied Materials Mesa CMP cleaning system
Applied Materials Centura DPS II Advantedge POLY POLY ETCHER, 4 CHAMBER
Applied Materials ENDURA CL Chamber Only Chamber D (Ver. 001) CPI-VMO(eSIP TAN)
Applied Materials Centura WCVD WxZ Optima
Applied Materials 0020-20483 REV E HUB LAMP CORNER COVER
Applied Materials Desica CMP Cleaning system
Applied Materials Centura AP DPS 2 Advantedge Mesa Polysilicon Etcher with 4 chambers
Applied Materials 0140-20502 REV D HARN ASSY AC 2-PHASE DRIVER
Applied Materials Kawasaki 4.0 Fab Interface Module
Applied Materials Centura 5200 AP DPS2 Advantedge Carina Mesa Dry Etch Cluster Tool – 2 CHAMBER – METAL ETCH PROCESS
Applied Materials 0020-20523 COVER
Applied Materials Centura AP DPS II Advantedge Polysilicon Etcher with 4 chambers
Applied Materials 0240-70416 REV C KIT BLOW OUT VALVE PER CHAMBER
Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR
Applied Materials ENDURA CL Chamber only AxZ
Applied Materials 0190-20015 REV D VALVE / MANIFOLD
Applied Materials 3830-01018 REV LABEL LASERTAB MARKER WHT POLYEST LAM
Applied Materials Centura DPS2 AE Minos Poly Dry Etch with 2CH DPS2 and 2 CH Axiom
Applied Materials 3830-01034 REV LABEL LASERTAB MARKER WHT POLYEST LAM
Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE
Applied Materials 3870-01281 REV VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11
Applied Materials 3870-01284 VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023
Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE
Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR
Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE
Applied Materials P5000 CVD
Applied Materials 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE
Applied Materials 0020-20919 REV C COVER CVD POST
Applied Materials 0150-21344 CHAMBER D INTERCONNECT EMC COMPLIANT
Applied Materials ® 0190-33289 RTP CHAMBER  LAMP
Applied Materials ® 079-018-05 P5000 Mk II Functional description Practice exercises
Applied Materials ® 0230-09258B P5000 Mk II Mainframe and Support Equipment Manual
Applied Materials ® 0230-00103 Precision Etch 8300 Corrective Maintenance Rev 3
Applied Materials ® 0230-20005 Endura Operations and Programming Training Course Student Workbook
Applied Materials ® 0021-35163 Rev A Gold-plated RTP Reflector Plate, 200 MM, chamber bottom
Applied Materials ® 0230-09258 B P5000 Mk II Mainfame support and equipment manual cleanroom July 1994
Applied Materials ® 026-105-03 C P5000 Mk II Functional description training manual
Applied Materials ® 079-109-0D P5000 Mk II Advanced calibration proceedures manual Jan 1995
Applied Materials ® 079-102-0D P5000 Mk II Advanced preventive and corrective maintenance Apr 1996
Applied Materials ® 026-110-0B.1 P5000 Mk II Functional description , practice exercises and basic maintenance proceedures
ASAHI TOOLS K-2 PRECISION WRENCH
ASAHI TOOLS K-2 PRECISION WRENCH
Asco SC8210G004 Solenoid Valve, 1 In, Orifice 1 In, Brass
Asco SC8210G93 Solenoid Valve,
ASE AMV-1000-27M-ASMGK-L RF GENERATOR
ASE AMV-1000-27M-ASMGK-R RF GENERATOR
ASE AMV-1000-SMT RF GENERATOR
ASM HUMMING BIRD STUD BUMP
ASM AS899 (Spare Parts) Stock of Spare Parts for ASM Die Sorters / Pick and place Die Bonders
ASM XP8 Dual Chamber PECVD system for Oxide and Nitride
ASM A600 LPCVD-OXIDE Vertical Furnace
ASM Eagle XP EmerALD ALD (Atomic Layer Deposition)
ASM Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
ASM A412 Dual Tube CVD Furnace, Tube 1 Nitride , Tube 2 As + Ge doped polyslicon
ASM AS899 (Spare Parts) Spare Parts for Pick and Place Die Bonder
ASM MS896 LED sorter
ASM WS896 LED sorter
ASM 2890224-21 Converter DTC
ASM 2506556-21 Processor DTC PCB
ASM A400 HT Vertical Furnace Oxide/Poly
ASM A400 Vertical furnace, wet oxide process
ASM A400 Vertical furnace, wet oxide process
ASM EAGLE 60 Gold Ball Bonder
ASM EAGLE 60 Gold Ball Bonder
ASM EAGLE 60 Gold Ball Bonder
ASM EAGLE 60 Gold Ball Bonder
ASM EAGLE 60 Gold Ball Bonder
ASM EAGLE 60 Gold Ball Bonder
ASM AD810 Automatic Die Attach Tool
ASM EAGLE 60 Gold Ball Bonder
ASM Siplace CA4 High volume automatic flip-chip bonder
ASML PAS 5500/55A I-line Stepper
ASML TWINSCAN AT:850D 248nm (KrF) Scanner
ASML YieldStar S-100B Overlay Measurement System
ASML PAS 5500/100D i-Line Stepper
ASML TWINSCAN AT:400 i-Line Scanner
ASML TWINSCAN AT:850C 248nm (KrF) Scanner
ASML YieldStar S-200B Overlay Measurement System
ASML YieldStar S-250 Overlay Measurement System
ASML XT1250D ArF Lithography Scanner
ASML XT1400E 193 nm DUV SCANNER(ARF)
ASML Twinscan XT400F i LINE SCANNER
ASML XT 1950Hi DUV Wafer scanner
ASML Twinscan AT850B 193nm  DUV Scanner
ASML XT1460K 193 nm  (ArF) excimer exposure system
ASML TWINSCAN XT1250D 193nm (ArF) Scanner – Cymer laser not included
ASML NXT 2000i DUV Immersion scanner
ASML Yieldstar S200B Wafer metrology system
Astec VS3-C2-C2-C2 Power Supply 2000 watts (-450-CE)
Astec VS3-C2-C2-02 Power Supply 2000 watts (-450-CE) 5.2V FOR CREDENCE DUO TESTER
Astec VS3-C8-A8-02 (-451-CE) Power Supply 2000 watts FOR CREDENCE DUO TEST SYSTEM
Astec VS1-L3-02 (-435-CE) Power Supply 1500 watts
Astec VS1-D8-02 (-436-CE) Power Supply 1500 watts
Astec 9N24-32-372-FQ-3 Power Supply
Astec VS3-D8-D8-02 Power Supply 2000 watts
Astec VS1-L3-02 (-335-CE) Power Supply 1500 watts
Astec VS3-D4-B4-22 (-447-ce) Power Supply 2000 watts
Astec VS1-L5-02 (-452-ce) Power Supply
Astec Powertec 9K2-300-372 Super Switcher Power Supply
ASTECH ATL-100RA RF GENERATOR
ASTECH ATL-100RA RF GENERATOR
ASTECH ATL-100RA-03 RF GENERATOR
Astech ATL-100RA Match
Astech ATL-100RA Match
Astech ATL-100RA Match
Astech ATL-100RA Match
Astech ATL-100RA/DT2L Match
ASTEX ARX-X491 RF GENERATOR
Astex AX2107 Generator
ASYMTEK Millenium 620 Glue Dispense Tool
Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620
Asyst Versaport 2200 with shuttle and back plate SMIF loader
Asyst IsoPort Wafer handler
Asyst e-charger N2 charge
Asyst Indexer 2200 SMIF loader
Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620
ASYST SPARTAN EFEM Wafer Sorter
Asyst Isoport Wafer load port
Asyst Versaport pod opener 2200 VPO SMIF loader
Athena 16C-B-S-0-23-00 Temperature / Process controller
ATI OAK-1 Auto Scope Inspection
August 3DI-8000 wafer bump  inspection
August NSX-95 2D Auto inspection system / Macro defect inspection system
August NSX105 Wafer Bumping Inspection System / Macro defect inspection
Autec ATC-70B-RS Thermal Shock Tester
Axcelis NV8250P Medium Current Implanter
Axcelis NV-GSD-200E2 High Current Ion Implanter
AXCELIS ES3 Plasma Asher
Axcelis Rapid Cure320FC Radiator UV anneal System
Axcelis RapidCure 320FC RPC
Axcelis B 07 554 MOTOR GSD 180 TOP
Axcelis PN 1027-0093-0035 Beam Shutter DI 14
Axcelis PS WX 75 N12 0XX0 M 863742-02 GLASSMAN High Voltage DC Power Supply
Axcelis M 860664-01 ED 111897 High Voltage Glassmann Extraction Power Supply
Axcelis 1214110 EMS Power Supply 10 – 60  LAMBDA
Axcelis Rock 4 x Kit Air Jet Replacement
Axcelis Rock 4 x Kit Air Jet Replacement
AXCELIS INTEGRA-RS DRY STRIP
Axcelis Fusion ES3 Asher
Axcelis 17091650 SUPP RACK
Axcelis 17S2467 DISK
AXCELIS 110000800 Universal 300mm Disk Cart MAT # 4501422
AXCELIS INTEGRA ES plasma dry strip system
Axcelis Optima MD Medium Current Ion Implanter
AXICON Y2000 RF GENERATOR
AXICON Y2000 RF GENERATOR
Baccini Furnace 3 Drying Furnace
Baccini Screen printers Printers and Dryers
Baccini SUN SIMULATOR / CELL TESTER Cell Tester
Baccini Sorter 1 Cell Sorter 1
Baccini Furnace 1 Drying Furnace
Baccini Furnace 2 Drying Furnace
Baccini Test 1 Solar Cell Inspection
Baccini Test 2 Icos Solar Cell Inspection
Baccini Test 3 Automatic Cell Sorter
Baccini Wafer Boats Spares for Baccini solar cell manufacturing line
Baccini Printer 1 Screen Printer
Baccini Printer 2 Screen Printer
Baccini Printer 3 Screen Printer
Baccini Softline Screen printer
Baccini Screen Printer 2 screen printer
Baccini Screen Printer 3 screen printer
Baccini Screen Printer 1 screen printer
Baccini CHIP AND CRACK CAMERA Chip and Crack camera
Baccini Cell electrical tester Electrical Cell tester
Baccini Sorter 2 Cell Sorter 2
Baccini Sorter – Furnace Output Cell Sorter – Furnace output
Baccini SUN SIMULATOR / CELL TESTER Cell Tester
Baccini Oven 3 Unload Buffer FFF Unload buffer unit from Oven 3 and FFF loader
Baccini Dryer 1 Dryer 1
Baccini Dryer 2 Dryer 1
BAUSCH & LOMB StereoZoom 4 Microscope on Boom Stand, 0.7-3X with 10X W.F. Stereo Eyepieces
BAUSCH & LOMB StereoZoom 4 Microscope on Small Benchtop Stand, 0.7-3X with 15X W.F. Eyepieces
BAUSCH & LOMB StereoZoom 6 Plus Microscope, 0.67-4.0X with WF 10X/21 Eyepieces
BAUSCH & LOMB StereoZoom 7 Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens
Baxter Sealing Head Sealing
Baxter Sealing Head Sealing
Baxter Sealing Head Sealing
Baxter Sealing Head Sealing
Beckhoff BK9000 Ethernet interface module
Beckhoff KL9050 PLC Module
Beckhoff KL9020 Ethernet interface
BELDEN 8219 IC20 BRAID R-58A/U
Bellows 10.01.06.00878 Bellows suction cup 9mm, pack of 12
Berger PSS10 Pulsed Solar Simulator
BESI X-Eye SF160 SL X-RAY INSPECTION FOR PCBS
Bimba Ultran US-1737.125-S Rodless Pneumatic Actuator
Bimba Ultran US-1734.125-S Rodless Pneumatic Actuator
Binder FDL 115 Safety Drying Chamber for solvent, RT up to +300°C, Volume inside 115 Liter
BIO-RAD ECN4900PC Profilers, 2ea Available – Parts Only
BIO-RAD Q7 Overlay Metrology Tool
BIO-RAD Q8 Overlay Metrology / CD Measurement Tool for up to 200mm Wafers
Bio-Rad Q8 Overlay Metrology
Bio-Rad QS-1200 FT-IR Spectrometer
Bio-Rad QS-300 FT-IR Spectrometer
BIORAD Q5 Overlay Metrology Tool
Bird Bird Meter Miscellaneous
Bird Dummy Load Miscellaneous
Bird Power Meter Miscellaneous
Bird Power Meter Miscellaneous
Bird Power Meter Miscellaneous
Bird Power Sensor Miscellaneous
Bird Power Sensor Miscellaneous
Bird Power Sensor Miscellaneous
Bird Power Sensor Miscellaneous
Bird Power Sensor Miscellaneous
Bird Power Sensor Miscellaneous
Bird Power Sensor Miscellaneous
Bird Power Sensor Miscellaneous
Bird Power Sensor Miscellaneous
Blue M CC-13-C-P-B Blue M CC-13-C-P-B
Blue M DCC 1406 E MP550 Blue M Oven
BMR HIDEP PECVD
BOONTON 72B Capacitance Meter
BPS Cyberite Ion Beam Deposition Tool
BRANSON/IPC Series 4000 Plasma Barrel Stripper, 10″ X 20″ Quartz Chamber, S4075-11020 Reactor Center
BRANSON/IPC 4055/2 Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available
Brooks ABM 205 Robot
Brooks Gemini 2 Vacuum back end (VBE) with equipment front end module (EFEM)
Brooks 139750-77 Vacuum back end (VBE) ASSY,VBE,G2-6,L2.5B,M7B,BTH LL,3TP/T,200-300MM
Brooks 241251-0007 Equipment front end module (EFEM) ASSY,JCP,2SR,S2,WE30,VGVG,XXLO,VIVIXXXX,30B,#3065
Brooks ATR-8 robot alone LAM tool
Brooks load port Fixload 6M, Vision, Fixload 25
Brooks MAG 7 Wafer Handling Robot
Brooks MTX2000 Wafer Sorter
Brooks MTX 4000 Wafer Sorter
Brooks MTX2000 Wafer Sorter
Brooks MTX2000 Wafer Sorter
Brooks MAG 7 Wafer Handling Robot qty 2
BROOKS METARA 7200 Overlay
BROOKS SORTER MTX 2000 Wafer Handler
BROOKS SORTER MTX 2000 Wafer Handler
Brooks TT1ENR2-1 Brooks robot Teach Pendant TT1ENR2-1-TVS-ES-Brooks8
Brooks DBM 2407 V2 Dual arm Atmospheric wafer handling robot
Brooks Multitran 5 3 Axis Robot, Rebuilt
Brooks Fixload 6M SMIF Load Port
Brooks 5850 MFC Mass flow controller
Brooks MTX2000/2 WAFER SORTER
BROOKS MTX2000 WAFER SORTER
Brooks / PRI ABM/ATM 405 single arm atmospheric wafer handling robot
Brooks / PRI ABM/ATM 407B single arm atmospheric wafer handling robot
Brooks / PRI DBM 2706 Dual arm Atmospheric wafer handling robot
Brooks / PRI PRE 200/200B/201/201B wafer pre-aligner
Brooks / PRI ATM-207-2S-CE Single arm atmospheric wafer handling robot
Brooks / PRI ABM 405 single arm atmospheric wafer handling robot
Brooks / PRI DBM 2406 Dual arm Atmospheric wafer handling robot
Brooks / PRI Mag 8 Vacuum Robot( From an AMAT Producer GT) AMAT p/n 0190-25011 REV 001
Brooks Automation DBM2407-V2 Dual Arm Robot
Brooks Automation DBM2407-V2 Dual Arm Robot
BROOKS AUTOMATION ATM-305 3 Axis Robot, Refurbished
Brooks etc Spare Parts Various Robots, RF generators and Rf Matches for sale
Brooks PRI ABM 205 single arm atmospheric wafer handling robot
Brooks PRI ATM 204/207 single arm atmospheric wafer handling robot
Brooks PRI ATM 305/307 single arm atmospheric wafer handling robot
Brooks PRI EDC-2400/2800 PRI robot controller(dual arm robot)
Brooks PRI PRE 300/300B/301/301B wafer pre-aligner
Brooks PRI PRE 200/200B wafer pre-aligner
Brooks PRI ESC-200/212/212B/222/218 PRI robot controller( single arm robot)
Bruce BDF-41 Horizontal diffusion furnace, 4 tube, ATM Ox
BRUKER Quantax 200 Energy Dispersive X- Ray Spectrometer
BRUKER VERTEX 80V FT-IR Spectrometer
BTU PV614 Fast Firing Furnace
Buehler Ecomet 6 Variable speed benchtop grinder
CABLE
CAJON SS-4-VC0 FITTINGS
CAJON SS-8-VC0-4 FITTINGS
Cam York ST-17-08-153-01-154-01-0-00-C010(1) Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM
Cambridge EBMF 10.5 E-Beam Lithography
CAMECA EX-300 Implant Dosing Measurement
CAMECA EX300 Secondary Ion Mass Spectrometer
Camloc RS-182 GAS SPRING
Camtek EM3 TEM and SEM sample preparation system
CAMTEK XACT TEM/STEM sample preparation system
CANON Fujitsu denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon FPA-5510iX I line stepper
Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon BG3-3822 DMP Pre-amp PCB for Canon FPA 5000 ES2, 3 etc.
Canon BG3-1045 REF-MEM (RT) PCB for Canon FPA 5000 ES2, 3 etc.
Canon BG3-3868-000 MBD Unit (Masking Blade Driver) for Canon FPA5000ES2/ES2+
Canon BG5-1262 MLE-IF2 PCB for Canon FPA 5000 ES2, 3 etc.
Canon Chuck, 8 inch Wafer Chuck for EX3, EX4, i4, i5
CANON BG3-3831 RT-DSP PCB for Canon FPA 5000 ES2, 3 etc.
Canon 6736A reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6
Canon Omron APR-S Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon video impedance adapter 5 channel video impedance adapter
Canon BY8-6168-4E0 Canon FPA3000 EX3 Command Guide – operational manual
Canon BY8-4615-0E0 Canon FPA3000 EX3 Maintenance Guide
Canon FPA-5000 ES3 Spare Parts
Canon BY8-6168-1E0 Canon FPA3000 EX3 Operation Guide – operational manual
Canon BY8-6168-2E0 Canon FPA3000 EX3 Parameter Guide 1 – operational manual
Canon BY8-6168-3E0 Canon FPA3000 EX3 Parameter Guide 2 – operational manual
Canon BY8-6168-5E0 Canon FPA3000 EX3 Reticle Guide2 – operational manual
Canon FPA 5500 iZ i-line stepper
Canon spares Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6
Canon FPA 3000 series Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon BH8-1768-02 Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon BH8-1818-01 LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
CANON BG4-6746 BH8-1822-01 BG8-2683 WF/RC IF PCB FOR CANON I-LINE STEPPERS
CANON ROCKY KS-112 SCSI EXT PCB FOR CANON FPA  SERIES I-LINE STEPPERS
Canon BG9-4757, BH8–1069-01 AF DRV board for Canon FPA 1550 series
Canon BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550
Canon BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS
Canon BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board
Canon BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers
Canon BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series
Canon BG9-4758, BG8-3109, BH8-1070-02 SC/RC board for Canon FPA series steppers
Canon BG9-4759, BG8-3110, BH8-1070-02 SC/RC board for Canon fpa
Canon BG4-6365 PPC Pellicle Particle Checker Unit for FPA3000 series stepper  iw i4 i5 ex3 ex4 ex5 ex6
Canon FPA 3000 series extended reticle library extended reticle library
Canon FPA-5500 iZa i-Line Wide-Field Stepper
Canon BH8-2017-01 IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon BH8-1980-01 EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon BH8-1979-01 EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon FPA-6000 ES5 248 nm  (KrF) excimer exposure system
Canon BG3-7842 STG CD4 PCB, for Canon FPA5000 ES3 scanner
CANON BG4-7001 BH8-1837-01 PCB
Canon BH8-2022-01, BG8-3369, BG4-8680 EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon BH8-1938-01BG4-9386 BG8-2694 CD90-CD PCB assembly
Canon BG4-6466-000 ZMI 1000 A Board (Y)
Canon BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon FPA1550 MK4 (Spares) Targetting lenses for Canon Stepper qty 2
Canon Zenith ZPS-250 Multiple voltage power supply 250Watts
Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon Chino ES-600 Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon BG3-2428-000 8 inch and12 inch PIN wafer chuck ASS’Y ( FPA 5000 ES2, ES2+, ES3, ES4)
CANON FPA-5000 ES3 (Spare Parts) Spare Parts for Canon ES series DUV scanners
CANON FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
CANON FUJITU DENSO 4247-E924 1ch power supply module for  i4, iW, i5, i5+, EX3, EX4, EX5, EX6
Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon FPA3000 EX3/ i5 (Spares) Masking Blade Assembly
Canon FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 Various Spare Parts and lenses  for Canon FPA-3000 Series
Canon BG3-3822 DMP-PREAMP PCB
Canon BG3-3823 DMP-DSP PCB
Canon Chuck Tool Chuck Tool for EX3, EX4, i4, i5
CANON FPA 1550 Mark IV (Spares) Wafer transfer arm INL-WS1 for CANON FPA 1550 MARK 4
CANON FPA2500i3 i-Line Stepper
Canon FPA-2500i2 i Line Stepper
Canon FPA 5000 ES3 KrF Scanner (Scanner)
Canon FPA3000 EX3 (Spares) Complete Illuminator Assembly
Canon Fujitsu Denso 4249-E922 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
CANON Fujitsu Denso 4249-E923 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
CANON FUJITSU DENSO 4248-E922 2 channels power supply module for canon fpa 3000 series
Canon Fuji Denso 4248-E921 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6
Canon BG4-8595 FPA 3000 series, iA scope objective lens unit, RIGHT
Canon BG4-8594 FPA 3000 SERIES iA scope objective lens unit, Left
Canon BG4-85?? FPA 3000 series iA scope relay lens unit, Right
Canon BG4-8599 FPA 3000 series iA scope shutter unit
Canon FPA 3000 EX3/4/5/6 (Spares) FPA 3000 EX series iA scope lens unit
Canon BG4-8601 Electro-Optical part for FPA 3000 EX series iA scope
Canon BG4-6736 Reticle handling robot for ES2 ES3 ES4 i5 etc
Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Left)
Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Right)
Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Issoku FG199 lead screw
Canon BG4-8597 Electro-optical assembly from iA scope for FPA 3000 Ex4,5,6
CARL ZEISS S-INDUSTRIAL 193 NM LASER
Cascade Summit 12000 Semi-automatic probe station with Shield Box, Temptronic thermal chuck -65C to 200 C
Cascade Microtech Summit 11000M Prober
Celerity UFC-1660 MFC C2F6 5SLPM
Celtec CD1-12 Power Supply
Celtec CD1-12 Power Supply
Celtec CK180-6 Generator
Celtec CK180-6 Generator
Celtec CM13 Generator
CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer
Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping
Centrotherm DO-FF-8600-300 Belt Reflow Furnace for solar cell production (Fast Firing Furnace)
Centrotherm Quartz Door Centrotherm/semco(?) Quartz Door, new
Centrotherm E 2000 HT 300-4 Horizontal Diffusion Furnace for POCl3 doping
Centrotherm E 2000 HT 320-4 Nitride Diffusion Furnace for Anti-refective coating PECVD
Centrotherm Loader Furnace Loader
CHA SEC-1000 E-Beam Evaporator with CV-8 Power Supply
CKD M4SB080-M5 AHM-850 SOLENOID VALVE
CKD CYCLINDER CSD2-L-32-20 CYLYNDER
CKD CYCLINDER CSD2-L-32-20 CYLYNDER
CKPlas TS-MT510-J, TS-MT610-J Transfer Solar Wafer Cassette
CLEAN ROOM TABLE CLEANROOM TABLE STEEL CLEANROOM TABLE
COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION
COMDEL CB-5000 RF GENERATOR
COMDEL CLX-1000 RF GENERATOR
COMDEL CLX-10K RF GENERATOR
COMDEL CLX-10K RF GENERATOR
COMDEL CLX-1250 RF GENERATOR
COMDEL CLX-2500 RF GENERATOR
COMDEL CLX-2500 RF GENERATOR
COMDEL CLX-2500 RF GENERATOR
COMDEL CLX-2500 RF GENERATOR
COMDEL CLX-2500 RF GENERATOR
COMDEL CLX-2500 RF GENERATOR
COMDEL CLX-2500 RF GENERATOR
COMDEL CX-10K RF GENERATOR
COMDEL LFMN MATCH RF GENERATOR
Comdel CLX 600 RF Generator
Comdel CB300 Generator
Comdel CB300 Generator
Comdel CB300 Generator
Comdel CB300 Generator
Comdel CB4000 Generator
Comdel CB4000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB5000 Generator
Comdel CB600 Generator
Comdel CB600 Generator
Comdel CB600 Generator
Comdel CB600 Generator
Comdel CB600A Generator
Comdel CB600A Generator
Comdel CB600A Generator
Comdel CB600A Generator
Comdel CB600A Generator
Comdel CB600S Generator
Comdel CD-1500 Generator
Comdel CDX-1000 Generator
Comdel CLF 5000 Generator
Comdel CLX 600 Generator
Comdel CLX 600H Generator
Comdel CLX 600H Generator
Comdel Controller Miscellaneous
Comdel CPM 1000 Match
Comdel CPM-1000/REMOTE Miscellaneous
Comdel CPM-5000/REMOTE Miscellaneous
Comdel CPM-5000/REMOTE Miscellaneous
Comdel CPMX REMOTE CONTROLLER Miscellaneous
Comdel CPMX REMOTE CONTROLLER Miscellaneous
Comdel CPMX REMOTE CONTROLLER Miscellaneous
Comdel CPMX REMOTE CONTROLLER Miscellaneous
Comdel CPMX-1000 Match
Comdel CPMX-1000 Match
Comdel CPMX-1000 Match
Comdel CPMX-1000 Match
Comdel CPS 1000 Generator
Comdel CPS 1000 Generator
Comdel CPS 3000 Generator
Comdel CV 1000 Generator
Comdel CV 1000 Generator
Comdel CV 1000 Generator
Comdel CV 500 Generator
Comdel CV 500 Generator
Comdel CX 2500S Generator
Comdel CX 600H Generator
Comdel CX2500 Generator
Comdel CX2500 Generator
Comdel CX2500 Generator
Comdel CX2500S Generator
Comdel ESC-2 Power Supply
Comdel ESC-2 Power Supply
Comdel LFMN8/5 Match
COMET 101187 RF GENERATOR
COMET 101187 RF GENERATOR
COMET 101187 RF GENERATOR
COMET 300159 RF GENERATOR
COMPUMOTOR M57-51 Lead screw
Concoa 806 6530 Singe stage Oxygen regulator valve w/ guage
Condor B24-1.2 dc power supply
CONDOR HCC15 3A + POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN
Contamination Control Desiccator boxes, 10ea Available
Convac CBA-M-2000-U Photoresist coater
COSEL MMC100-2 POWER SUPPLY
CR TECHNOLOGY UF160-0 Xray System
Creative Design Engineering (CDE) ResMap 178 Resistivity Mapping System
Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM
Credence Personal Kalos I Test system
Credence 671-4394-01 Test head connector board
Credence 671-4270-02 Capture Processor board 1A
Credence 671-4394-04 Test head connector board
Credence 672-6051-01 Support Module Interface
Credence Duo SX PC Credence Duo SX Main PC
Credence 671-4276-50 PIN card FOR CREDENCE DUO SX TESTER
Credence 671-4359-01 DPAC Parallel Pattern Memory PCB
Credence 671-1376-02 Analog Power Monitor PCB 584-02
Credence 671-4283-01 Duo SX ROM Sequencer TPI/PD
Credence 671-4331-01 DUO SX ROM Sequencer PCB
Credence 670-9426-05 DUO SX Controller I/O PCB
Credence 671-0693-04 Duo SX DMA2 Controller PCB
Credence 671-4043-01 DUO SX FUNCTIONAL TEST CONTROLLER PCB
Credence 671-4098-952707 DUO SX Master Clock Dist.
Credence 202-2000-00 Credence Duo SX controller
Credence 671-4359-00 REV 3 DPAC Parallel Pattern Memory
Credence 671-4177-04 Support Module Interface PCB
Credence 671-4351-00 rev A Intersegment Communications Connector
Credence 071-1022-01 Duo & Logic 100 Test System Service Manual
Credence 671-2119-00 Analog Support Air flow / Bus Grant
Credence 671-4270-02 REV A Capture Processor PCB 1A
Credence 671-4270-03 rev A Capture Processor 1a pcb
Credence 671-4155-05 Analog Support Module VHF PCB
Credence 671-4098-952707 DUO SX Master Clock Dist. PCB
Credence 671-4283-01 REV 4 Duo SX ROM Sequencer TPI/PD PCB
Credence 671-4331-01 DUO SX ROM Sequencer  PCB
Credence 670-9426-05 DUO SX Controller I/O PCB
Credence 671-4127-00 Scan Memory
Credence 671-0693-04 Duo SX DMA2 Controller PCB
Credence 671-4359-00 DPAC Parallel Pattern Memory
Credence 671-4043-01 REV A DUO SX FUNCTIONAL TEST CONTROLLER PCB
Credence 672-4359-00 DPAC Parallel Pattern Memory
Credence 671-0951-04 DUO SX Analog I/O Board
Credence 672-6051-03 Support Module Interface PCB for a Credence Duo tester
Credence 671-4127-00 Scan Memory PCB FOR A CREDENCE DUO SX TESTER
Credence 671-4394-05 REV A Test head connector PCB
Credence 671-4270-03 Capture Processor
Credence 672-6051-01 Support Module Interface tpi / td pcb
Credence 671-2119-00 Analog Support Air flow Bus Grant PCB , for Credence DUO tester
Credence 671-4155-05 Analog Support Module VHF PCB for Credence Duo Tester
Credence 671-4351-00 Intersegment Communications Connector
Credence 671-4098-02 DUO SX Master Clock Dist. PCB
Credence 671-4635-01 Testhead connector PCB  board, from a Credence Duo SX test system
Credence 671-1461-04 Intersegment communications board
CTI Cryogenics 9600 CRYOGENIC COMPRESSOR
CTI cryogenics CRYOTORR-100 Cryopump
CTI Cryogenics 8033168 Torr High Vacuum Pump
CTI Cryogenics 8033167 Torr High Vacuum Pump
CTI Cryogenics 8033167 Torr High Vacuum Pump
CTI Cryogenics 8033167 Torr High Vacuum Pump
CTI Cryogenics 8033167 Torr High Vacuum Pump
CTI Cryogenics 8033168 Torr High Vacuum Pump
CTI Cryogenics 8116036 G 002 Pump On Board
CTI Cryogenics 80182 G 001 Torr Pump
CTI Cryogenics Cryotorr 100 Cryopump
CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL
CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL
CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL
CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL
Custom Polypropylene 3 ft Bench 3ft Develop Hood Positive Resist Batch
Custom S-Cubed Spin Coat Bake System
CVC 31-313216-8171 CONNEXION INSTRUCTION MANUAL
CVC 31-313216-8171 CONNEXION INSTRUCTION MANUAL
CVC GTC-036 TERMOCOUPLE GAUGE TUBE
CVD Equipment Corporation Easy Tube 3000 Growth Equipment
CYBER OPTICS CYBERSCAN C212/110 Laser Measure
Cymer 01-13500-07 Paddle for Cymer 4300 laser
Cymer XLA 160+/165 193 nm excimer laser
Cymer 4300 Maintenance Tool kit and spare parts
DAGE Series 5000 Assembly Test System, Incomplete – Call for Details
DAGE XD6500 X-Ray Inspection Tool
DAGE 2400-WP-100 100g Wire Pull Load Cell
DAGE 2400PC-BS500G 500g Ball Shear Load Cell for Dage 2400
DAGE 2400PC-WP10KG 10 Kg Wire Pull Load Cell for Dage 2400
Dage 4000 Bond Pull tester
DAGE PC2400 Bond & Wire Tester Operators Guide
Dage 4000-HK-5-2 160-OT12-PTP-02 PULL TEST hook
DAGE BT23PC Die Shear Tester with LC200 Die Shear Load Cell, 2ea Available
DAGE BT24 Ball/Bump Shear Tester
DAGE BT23-PC Die Shear Tester with LC200 Die Shear Load Cell
DAGE 2400PC Wire pull tester
Dage 4000 DAGE 4000 SHEAR TESTER
Dage 4000 OPS DAGE 4000 SHEAR TESTER w/computer
DAIHEN AGA-50B2 RF GENERATOR
DAIHEN AMN-30F-V RF GENERATOR
DAIHEN AMN-50B2A RF GENERATOR
DAIHEN AMN-50F RF GENERATOR
DAIHEN ATM-30A RF GENERATOR
DAIHEN ATP-15B RF GENERATOR
DAIHEN DGP-120A2 RF GENERATOR
DAIHEN FGA-30A2 RF GENERATOR
DAIHEN FGA-30C RF GENERATOR
DAIHEN FGA-30C RF GENERATOR
DAIHEN FRM-30A RF GENERATOR
DAIHEN FRM-30A RF GENERATOR
DAIHEN FTM-80A1 RF GENERATOR
DAIHEN MFG-20SA3 RF GENERATOR
DAIHEN MFT-20SB RF GENERATOR
DAIHEN NX-HGA-30B RF GENERATOR
DAIHEN NX-HRM-30B RF GENERATOR
DAIHEN NX-HRM-30B4 RF GENERATOR
DAIHEN NX-PLB-01 RF GENERATOR
DAIHEN NX-RGA-10G RF GENERATOR
DAIHEN NX-WMN-50G RF GENERATOR
DAIHEN RMN-50M RF GENERATOR
DAIHEN RTGA-30A1 RF GENERATOR
DAIHEN WGA-50E RF GENERATOR
DAIHEN WGA-50E RF GENERATOR
DAIHEN WGA-50E1 RF GENERATOR
DAIHEN WMN-50C6A RF GENERATOR
Daihen Daihen Match Match
Daihen Daihen Match Match
Daihen Dome Match Match
Daihen MFM 20SA Match
Daihen NGA-30C Generator
DAIHEN NMN-20A1 Match
Daihen WGA-20A Generator
DAIHEN WMN-25 Miscellaneous
Daitron CVP-320 Wafer Edge Grinder
Datacon CS1250 Die Pick and Sort to tape reel
Dayton 4C446 Blower motor 115v
DDM Novastar 1800HT Reflow Oven
DEK Horizon 03iX Screen Printer
DELL PWB 9578D GX B-V0A
Delta ?? Impulse Driver Board, 5000V, 3A, for PECVD
Delta 4CJ Photoresist Coater
Delta 5AQ Positive Photoresist Developer
Delta Custom DI Wafer Cleaner
Delta CUSTOM DI Wafer Cleaner
DELTRONIC DH14-RR Profile Projector with 20X Objective Lens
Delvotec 4500/Siplace A2 Die Bonder
Delvotec 6200 Gold Ball Wire Bonder
DENSAN DSB-S17 OUTPUT BOARD SDB-S17
DENTON DV-502A Electron-Beam Evaporator with Telemark TT3 P/S, 4 Pocket E-Gun
Despatch KK33UH-009-00-4,MC Thermocouple sensor probe
Despatch 164700 Thermocouple
Despatch CDF 7210 (SPARES) 55 cm length of furnace belt
Deublin 55-000-003 Deublin,  Rotary Union 5/8″ NEW
Diener Tetra 30LF PC Plasma Surface Treatment Machine
Diener Plasma Asher
Dimetric JGT-10F Relay 380V 80A
Disco DAD 3350 Automatic Dicing Saw
Disco DFD 6240 Fully automatic dicing saw
Disco DAD 321 Automatic wafer dicing saw
Disco DFL7160 Laser Saw
Disco DFL7340 Laser Saw
Disco DFS8910 Surface Planarization
DISCO DFL7160 Laser Saw
DISCO DFL7160 Laser Saw
DISCO DGP8760/DFM2700 Wafer Backside Grinder
DISCO DFG-82IF/8 Rotary Surface Grinder
Disco DSC 141 After Sawing Cleaner
Disco DFD6361 DICING SAW
Disco DFD6362 DICING SAW
Disco DFD651 8″ Dual Spindle Automated DICING Saw
Disco DFD651 8 inch Dual Spindle Dicing Saw
DISCO DFL7160 WBL LASER SAW (DAF Type)
DNS SS-3000-A Scrubber (4F)
DNS SS-3000-A Scrubber (4F)
DNS SS-3000-A Scrubber (4F)
DNS SS-3000-AR Scrubber (2F/2B)
DNS SK-2000 C&D Track
DNS SS-3000-AR Scrubber (4B)
DNS SS-3000-AR Scrubber (4B)
DNS SU-3000 Cleaner (MP Type) (2L/P)
DNS SU-3000 Cleaner (MP Type) (3L/P) (1R)
DNS SU-3000 Cleaner (MP Type) (2L/P)
DNS SU-3000 Cleaner (MP Type) (2L/P)
DNS SU-3000 Cleaner (MP Type) (2L/P)
DNS SU-3000 Cleaner (SR Type) (3L/P)
DNS FC-821L Wet
DNS SU-3000 Cleaner (MP Type) (3L/P)
DNS FC-821L Wet
DNS SPW-813A Wet
DNS SU-3000 Cleaner (MP Type) (3L/P)
DNS SU-3000 Cleaner (MP Type) (3L/P)
DNS SCW-80A Coater Lithography Coater and Developer
DNS SDW-80A Developer Lithography Coater and Developer
DNS SK 2000 BVPE COATER AND DEVELOPER TRACK WITH 2 CT, 2 BCT, 4 DEVELOPERS
DNS SK-3000-BVPEU Lithography Coater and Developer
DNS SK 2000 BVPE Photoresist coater and developer – 2 ct – 2 bct – 4 dev
DNS FC3000 Wet
DNS RF3S coater and developer( 5C5D)
DNS FC3000 Pre Metal Cleaner
DNS SS-3000-AR Wafer Scrubber
DNS SS-3000-AR Wafer Scrubber
DNS SS-3000-AR Wafer Scrubber (4Back)
DNS AS2000 Oxide Wafer scrubbing system
DNS SU-3000 Cleaner (SR Type)(SST)      (3LoadPort)
DNS SU-3000 Cleaner (MP Type) (2_AM1/2_DHF) (2LoadPort)
DNS SS-3000 Wafer Scrubber
DNS SS-3000-AR Wafer Scrubber
DNS SS-3000-AR Wafer Scrubber
DNS SS-3000-AR Wafer Scrubber
DNS SS-3000-AR Wafer Scrubber
DNS MP-3000 Single Wafer Processing
DNS SS-W80A-AR Wafer & Mask Scrubber
DNS SU-3000 Cleaner (SR Type)(SST)      (3LoadPort)
DNS 2-39-06099 NISSHIN VACUUM  gauge 0-76 cmHg
DNS 2-39-06106 NISSHIN pressure gauge 0-0.5 kgf cm2
DNS SK-200W-AVPF Coater / Developer system (2C/2D)
DNS SK-200W-BVPE i-Line Photo Track Coater (3C3D)
DNS SS-3000-AR WET SCRUBBER – Including HDD
DNS SS-3000-AR WET SCRUBBER – No HDD
DNS SU3000 Acquaspin Wet wafer acid processing
DNS SS-3000-AR WET SCRUBBER – No HDD
DNS SS-3000-AR WET SCRUBBER – No HDD
DNS SS-3000-AR Wafer Scrubber (4Back) (Including HDD)
DNS SS-3000-AR Wafer Scrubber (4Back) (Including HDD)
DNS SU3100 WET ETCH
DNS INSPECTION SCOPE Inspection Scope
DNS SK-80BW AVPE Photoresist Coater and Developer system (2C/2D)
DNS 806 9406 D-SPIN 60A Instruction manual
DNS 803-9605 D-SPIN 80A Parts list
DNS 8089702 D-SPIN 80A Engineer’s manual
DNS 2229 D-SPIN 80A Electrical circuit diagram
DNS 603 9309 D-SPIN 60A Part list
DNS WS820L Wet Bench (Porous Silicon Process)
DNS 006 9105 D-SPIN 622 Service manual / part list
DNS 6398 D-SPIN 60 A Electrical circuit diagram
DNS 6398 D-SPIN 60 A Part list
DNS 6398 D-SPIN 60A Service manual
DNS 806-9311 D-SPIN 60A Instruction manual
DNS 603-9311 D-SPIN 60A Part list
DNS 806-9371 D-SPIN 60 Instruction manual
DNS SS-3100 WET Scrubber
DNS DNS SS-3000-A Bevel Scrubber (4F)
DNS DNS SS-3000-A Bevel Scrubber (4F)
DNS DNS SS-3000-A Wafer Scrubber (4Front)
DNS DNS SS-3000-AR Bevel Scrubber (4B)
DNS DUOI ARF-I TRACK
DNS DNS SS-3000-AR Wafer Scrubber (4Back)
DNS DNS SS-3000-AR Wafer Scrubber (4Back)
DNS DNS SU-3000 Cleaner (MP Type)(DHF)    (2LoadPort)
DNS DNS SU-3000 Cleaner (SR Type)(SST)                (3LoadPort)
DNS SS-3000-AR Batch Wafer Cleaner
DNS / Sokudo RF3 Lithography Coater and Developer
DNS / SOKUDO RF3 Photoresist Coater and Developer Track
DOMNICK HUNTER QR 010 REV.4.0 FILTER
Dressler VM 1000 A RF Match
Dressler VM 700 A RF Match
Dressler Cesar 1312 Generator
Dressler Cesar 1312 Generator
Dressler Cesar 1312 Generator
Dressler Cesar 133 Generator
Dressler Cesar 1330 Generator
Dressler Cesar 136 Generator
Dressler Cesar 136 Generator
Dressler Cesar 136 Generator
Dressler Cesar 136 Generator
Dressler Cesar 136 Generator
Dressler Cesar 136 Generator
Dressler Cesar 2740 Generator
Dressler Hilight Generator
Dressler Hilight 133 Generator
Dressler Hilight 133 Generator
Dressler VM 1000 A Match
Dressler VM 1000 A Match
Dressler VM 1000 A Match
Dressler VM 1000 A Match
Dressler VM 1000 A Match
Dressler VM 1000 A Match
Dressler VM 1000 A Match
Dressler VM 1000 A Match
Dressler VM 1000 A Match
Dressler VM 700 A Match
Driver board 005843 Stepper motor driver board
Drytech 13.56Mhz Power Source Generator
DWYER RMA-10-SSV AIR FLOWMETER,1/8 NPT CONN.,UP TO 100PSI
Ebara A30W Vacuum Pump
Ebara A30W Vacuum Pump
EBARA AA70WN Vacuum Pump
EBARA AA70W Vacuum Pump
EBARA A30W Vacuum Pump
Ebara Ebanet 1250 AD Communications module for dry pumps
Ebara FREX300 Tungsten Tungsten CMP
Ebara FREX300S Tungsten Tungsten CMP
Ebara FREX300S Tungsten Tungsten CMP
Ebara FREX300 Tungsten Tungsten CMP
Ebara FREX300S Poly/STI Poly/STI CMP
Ebara FREX300S Tungsten Tungsten CMP
Ebara FREX300S2 Tungsten Tungsten CMP
Ebara Frex 300 W CMP
Ebara Frex 300 STI CMP ( missing front end robot and load port)
EBARA 30024-02 RING, ONE PIECE EBARA FREX 200
EBARA C-1120-008-0001 BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200
Ebara FREX 200 (Spares) PRESSURE SENSITIVE VALVE  FOR EBARA FREX 200
Ebara AAS70WN Dry pump
ECI QL-10-EX PLATING BATH ANALYSER
ECO Snow VersaClean 1200 Mask / Substrate cleaner
EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven
EDA Industries PCBA 05758 UBTS Diagnostic Board for BIB oven
EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven
EDA Industries PCBA 05431 DRIVER module for BIB oven
EDA Industries PCBA 07009 PTDM TO ART 200 EXTENDER CARD
EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven
EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven
EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers
EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers
EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU
EDA Industries PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven
EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers
EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU
EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers
EDA Industries ERNI 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated).
EDAX Eagle 2 Micro-probe EDX analyser
Edwards Flange Edwards pump flange 10 7/8″ i.d. 11 3/8″ O.D.
EDWARDS iH1000 Mark 5 Dry vacuum Pump
EDWARDS iH1800 Mark 5 Dry vacuum Pump
EDWARDS iXH-1820H Dry Pump
EDWARDS iGX100L Dry Pump
EDWARDS E2M5 Mechanical Vacuum Pump
EDWARDS E2M18 Mechanical Vacuum Pump
EDWARDS iQDP80/QMB500 Dry Vacuum Pump/Blower Package, with Lower Enclosure
Edwards EPX500NE Mechanical Vacuum Pump
EDWARDS STP-XH2603P Turbomolecular pump
EDWARDS STP-1003C Turbomolecular pump
EDWARDS ih600 Mk5 Vacuum pump
Edwards iQDP40 Dry Mechanical Pump
EDWARDS QMB500 Vacuum Pump
EDWARDS IPX100A Vacuum Pump
EDWARDS IPX100 Vacuum Pump
EDWARDS IH1800 Vacuum Pump
EDWARDS IH1000 Vacuum Pump
EDWARDS IGX100L Vacuum Pump
EDWARDS IGX1000N Vacuum Pump
EDWARDS EPXTWIN180L Vacuum Pump
EDWARDS EPX500LE Vacuum Pump
EDWARDS EPX180NE Vacuum Pump
EDWARDS EPX180LE Vacuum Pump
EDWARDS EPX180L Vacuum Pump
EDWARDS EPX 500NE Vacuum Pump
EDWARDS ETM63X PLASMA HEATING CONTROL UNIT
Edwards iXH 1820H Vacuum Pump
Edwards iGX100L Vacuum Pump
Edwards STP-XH2603P Turbo Pump
Edwards STP-1003P Turbo Pump
Edwards Flange Edwards pump flange 5 1/8″ OD 4″ ID
Edwards STP-A1303C TURBOMOLECULAR PUMP
EDWARDS A529-80-905 QMKII dry pumping system
Edwards Speedivalve SPEEDI VALVE
Edwards 040020030 Cable, motor drive, 5M seiko
EDWARDS STP-1003C Turbomolecular pump + controller + cable set
Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo
Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo
Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo
Edwards QDP80 + QMB 250F Dry Vacuum Pump combo
Edwards iQDP 80 Dry vacuum pump
Edwards QDP80 + QMB 250F Dry Vacuum Pump combo
Edwards iQDP 80 Dry vacuum pump
Edwards QDP80 Dry Vacuum Pump
Edwards iQDP 80 + QMB 500F Dry vacuum pump COMBO
Edwards iQDP 80 + QMB 500F Dry vacuum pump combo
Edwards iQDP 80 + QMB 500F Dry vacuum pump combo
Edwards iQDP 80 + QMB250F Dry vacuum pump combo
Edwards QDP80 Drystar Dry Vacuum pump with power box
Edwards QDP80 + QMB250F Dry Vacuum pump combo with power box
Edwards QDP40 + QMB250F Dry Vacuum pump combo with power box
Edwards QDP80 + QMB250F Dry Vacuum pump combo with power box
EDWARDS GVI 100P Gate valve
EDWARDS 03-A344-02-881 Rotary vacuum pump E1M40, E1M80,E2M40,E2M80
EDWARDS 03-A301-51-883 Eh series mechanical booster pump and combination units
EDWARDS 03-A344-02-882 Rotary vacuum pump E1M40,E2M40,E2M80 and E2M40S, E2M80S superpumps
Edwards / Seiko Seiki STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40
EDWARDS. ETC. Vacuum Pumps Various Vacuum Pumps, Tubo Pumps, Controllers for sale
Efector 500 Pressure sensor switch, programmable
ELCO MMC50-1 POWER SUPPLY
ELCO CO. LTD K50A-15 POWER SUPPLY
ELCO CO. LTD K SERIES POWER SUPPLY
Electroglas Horizon 4085X Fully Automatic Prober with Optem microscope and an inker
ELECTROGLAS EG5300 Prober
ELECTROGLAS EG5/300 A Prober
ELECTROGLAS EG5300 Prober
Electrotech LF A48257 Match
Electrotech LF A48257 Match
Electrotech LF A48257 Match
ELES ART 200 Debug Station for Reliability Test System
Elind 3232 Laboratory power supply 0-3,2A current adj – 0 – 32 V voltage adj
Elind 328 Laboratory power supply 0-0,8A current adj – 0 – 32 V voltage adj
Elind KL 1200W Laboratory Power supply
Empak PH9150 Wafer Transportation Box
ENI ACG-10B Generator
ENI ACG-10B Generator
ENI ACG-10B Generator
ENI ACG-10B Generator
ENI ACG-10B Generator
ENI ACG-10B Generator
ENI ACG-10XL Generator
ENI ACG-3 Generator
ENI ACG-3 Generator
ENI ACG-3 Generator
ENI ACG-3 Generator
ENI ACG-3 Generator
ENI ACG-3 Generator
ENI ACG-3 Generator
ENI ACG-3B Generator
ENI ACG-3B Generator
ENI ACG-3B Generator
ENI ACG-3B Generator
ENI ACG-3DC Generator
ENI ACG-3DC Generator
ENI ACG-5 Generator
ENI ACG-6 Generator
ENI DCG-200Z-OPTIMA RF Generator
ENI ACG-6 Generator
ENI RFC-6-03 RF Generator
ENI DC Power Generator Generator
ENI DCG 200 Generator
ENI DCG 200 Generator
ENI MWH-100 RF Match
ENI DOFBC2-078 Match
ENI Dual Match 2.27Mhz Match
ENI GHW-25 Generator
ENI GHW-25 Generator
ENI Harmonic Filter Miscellaneous
ENI HPG-2 Generator
ENI HPG-2 Generator
ENI LPG-12A Generator
ENI LPG-12A Generator
ENI LPG-12A Generator
ENI LPG-12A Generator
ENI LPG-12A Generator
ENI LPG-12A Generator
ENI LPG-12A Generator
ENI LPG-24 Generator
ENI LPG-24 Generator
ENI LPG-6 Generator
ENI LPG-6A Generator
ENI LPG-6A Generator
ENI MW Controller Miscellaneous
ENI MW Controller Miscellaneous
ENI MW Controller Miscellaneous
ENI MW Controller Miscellaneous
ENI MW Controller Miscellaneous
ENI MW Controller Miscellaneous
ENI MW Controller Miscellaneous
ENI MW Controller Miscellaneous
ENI MW Controller Miscellaneous
ENI MW Controller Miscellaneous
ENI MW-10 Match
ENI MW-10 Match
ENI MW-10 Match
ENI MW-10 Controller Miscellaneous
ENI MW-10 Controller Miscellaneous
ENI OEM-12A XL RF Generator
ENI MW-10 Controller Miscellaneous
ENI MW-10 Controller Miscellaneous
ENI MW-10 Controller Miscellaneous
ENI MW-10 Controller Miscellaneous
ENI MW-10 Controller Miscellaneous
ENI MW-10 Controller Miscellaneous
ENI MW-10 Controller Miscellaneous
ENI MW-10D Match
ENI MW-10D Match
ENI MW-10D Controller Miscellaneous
ENI MW-10D Controller Miscellaneous
ENI MW-10T Match
ENI MW-5 Match
ENI MW-5 Match
ENI MW-5 Controller Miscellaneous
ENI MW-5 Controller Miscellaneous
ENI MW-5 Controller Miscellaneous
ENI MW-5 Controller Miscellaneous
ENI MW-5 Controller Miscellaneous
ENI MW-5 Controller Miscellaneous
ENI MW-50W Match
ENI MW-5D Match
ENI MW-5D Match
ENI MW-5D Match
ENI MW-5D Match
ENI MW-5D Match
ENI MW-5D Match
ENI MW-5D Match
ENI MW-5D Match
ENI MW-5D Match
ENI MW-5D Match
ENI MW-5D Match
ENI MW-5D Controller Miscellaneous
ENI MW-5D Controller Miscellaneous
ENI MW-5D Controller Miscellaneous
ENI MW-5D Controller Miscellaneous
ENI MW-5D Controller Miscellaneous
ENI MW-5D Controller Miscellaneous
ENI MWD-25 Match
ENI MWD-25LD Match
ENI MWD-25LD Match
ENI MWH-100 Match
ENI MWH-100 Match
ENI MWH-100 Match
ENI MWH-100 Match
ENI DCG-200Z RF GENERATOR
ENI MWH-100 Match
ENI DCG-200Z RF GENERATOR
ENI MWH-100 Match
ENI DCG-200Z RF GENERATOR
ENI MWH-25 Match
ENI DCG-200Z RF GENERATOR
ENI MWH-25 Match
ENI DCG-200Z-OPTIMA RF GENERATOR
ENI MWH-25 Match
ENI DCG-200Z-OPTIMA RF GENERATOR
ENI MWH-5 Match
ENI DCG-200Z-OPTIMA RF GENERATOR
ENI MWH-5 Controller Miscellaneous
ENI DCG-200Z-OPTIMA RF GENERATOR
ENI MWH-5 Controller Miscellaneous
ENI DCG-200Z-OPTIMA RF GENERATOR
ENI MWM-25-02 Match
ENI DCG-200Z-OPTIMA RF GENERATOR
ENI MWM-25-02 Match
ENI DCG-200Z-OPTIMA RF GENERATOR
ENI MWM-25-02 Match
ENI DCG-200Z-S00 RF GENERATOR
ENI OEM-10B Generator
ENI DOFBC2-078 RF GENERATOR
ENI OEM-12 Generator
ENI MWH-100 RF GENERATOR
ENI OEM-12 Generator
ENI MWH-100 RF GENERATOR
ENI OEM-12 Generator
ENI OEM-12B RF GENERATOR
ENI OEM-12 Generator
ENI SPECTRUM 11002-00 RF GENERATOR
ENI OEM-1250 Generator
ENI POD Interface Box Miscellaneous
ENI OEM-1250 Generator
ENI OEM-1250 Generator
ENI OEM-1250 Generator
ENI OEM-1250 Generator
ENI OEM-1250 Generator
ENI RFC-5MW Miscellaneous
ENI OEM-12A Generator
ENI OEM-12A Generator
ENI OEM-12A Generator
ENI OEM-12A Generator
ENI OEM-12A Generator
ENI OEM-12A Generator
ENI OEM-12A Generator
ENI OEM-12A Generator
ENI OEM-12A Generator
ENI OEM-12A Generator
ENI OEM-12A Generator
ENI OEM-12A XL Generator
ENI OEM-12A XL Generator
ENI OEM-2000 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-6 Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI OEM-650A Generator
ENI HF-3000-50 HARMONIC FILTER ASSY
ENI OEM-650A Generator
ENI OEM-650A XL Generator
ENI OEM-650A XL Generator
ENI OEM-650A XL Generator
ENI OEM-650A XL Generator
ENI OEM-650A XL Generator
ENI OEM-650A XL Generator
ENI OEM-6B Generator
ENI OEM-6B Generator
ENI OEM-6L Generator
ENI OEM-6M Generator
ENI PL2-HF Generator
ENI PL2-HF Generator
ENI PL2-HF Generator
ENI PL2-HF Generator
ENI PL2-HF Generator
ENI PL2-HF Generator
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI OEM-2000-01M1 RF GENERATOR
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI POD Interface Box Miscellaneous
ENI RFC4T Match
ENI RFC-5 Controller Miscellaneous
ENI ACG-3B RF Generator
ENI RFC-5MW Miscellaneous
ENI RFC-5MW Miscellaneous
ENI RFC-5MW Miscellaneous
ENI RFC-5MW Miscellaneous
ENI RFC-5MW Miscellaneous
ENI RFC-5MW Miscellaneous
ENI RFC-6 Controller Miscellaneous
ENI RFC-6 Controller Miscellaneous
ENI RFC-6 Controller Miscellaneous
ENI RFC-6 Controller Miscellaneous
ENI RFC-6 Controller Miscellaneous
ENI RFC-6 Controller Miscellaneous
ENI RFC-6 Controller Miscellaneous
ENI RFC-6 Controller Miscellaneous
ENI Spectrum 5K 2mhz Generator
ENI UTF-10 Miscellaneous
ENI VL-400 Controller Miscellaneous
ENI VL-400 Controller Miscellaneous
ENI VL-400 Controller Miscellaneous
ENI VL-400 Controller Miscellaneous
ENI VL-400 Controller Miscellaneous
ENI VL-400 Controller Miscellaneous
ENI VL-400 Controller Miscellaneous
ENI VL-400 Controller Miscellaneous
ENI VL-400 Controller Miscellaneous
ENI Voltage Probe Miscellaneous
ENI Voltage Probe Miscellaneous
ENI Voltage Probe Miscellaneous
ENI OEM-6J RF GENERATOR
ENI ACG-10 Generator
ENI ACG-10 Generator
ENI ACG-10 Generator
ENI ACG-10B Generator
ENI ACG-10B Generator
Enidine MB21539 Shock Absorber
Entegris RSPX-EUV-036 Reticle Direct Purge Cabinet
entegris PH9150 Wafer Transportation Box 6″
entegris Box 2″ Wafer Transportation Box 2″
entegris A72-40MB-0215 Teflon Carrier 4″
entegris PH9100 Wafer Transportation Box 4″
EO Technic CSM-2000 CHIP SCALE LASER MARKER
EO Technics EO 9702 Laser marking
EO TECHNICS CSM 2000 Chip scale laser wafer marker
EO TECHNICS CSM 3000 Chip Scale laser marker
EO Technics CSM2000 CHIP SCALE LASER MARKER
EO TECHNICS CSM2000 CHIP SCALE LASER MARKER
EO TECHNOLOGY FOR GLASS PANEL Laser Marker for Glass
ERACOND X3 MINIATURE AIR CYLINDER
ERACOND Z3 M20 PD 50 MINIATUR AIR CYLINDER
ESA ET5200LX2000 Touch Screen Computer
ESEC CT-2000 Automatic Flip Chip Die Attacher, 3ea Available
ESEC 3018 Gold Ball Bonder
ESEC 3088 Gold Ball Bonder
ESEC BU-050-N Parts Unloader for Micron 2 Automatic Die Attacher
ESEC BL-050-N Parts Loader for Micron 2 Automatic Die Attacher
ESEC 2008HSPLUS Die Bonder
ESI 44 COMPLETE SET OF LASER TRIMMER SPARE PCBS AND PARTS
ESI M9825 Laser Fuser
ESI 29286 ESI pcb Servo Preamp
ESI 29282 ESI pcb Transducer Preamp
ESI 43175 4 phase encoder logic assy
ESI 929284 MAX Velocity control board
ESI 40898 ESI pcb Interrupt control pcb
ESI 42328 ESI pcb Precharged Servo Preamp
ESI 29278 ESI pcb Power Amplifier driver for ESI 44 fuser
ESI 29800 Driver power supply
ESI 41751 Transducer Buffer PCB for ESI model 44 laser fuser
ESI 42251 Approach Control PCB for ESI 44
ESI 29292 ESI pcb Position Encoder Logic for ESI 44 fuser
ESI 42119 ESI pcb 4 Phase Control Module
ESI 42253 ESI pcb Power Amplifier driver for ESI 44 fuser
ESI 42252 ESI pcb Acceleration Control Assy for ESI 44 fuser
ESI 24971 Manual Functions PC Board FOR ESI MODEL 44 LASER TRIMMER
ESI 41207 IEEE 488 Interface FOR ESI 44 LASER TRIMMER
ESI M9825 LASER REPAIR
ESI 48503 Linear motor/Laser Control PC Board FROM ESI MODEL 44 TRIMMER
ESI 24961 Extender Board PC Board w/ cables FOR ESI 44 LASER TRIMMER
ESI 42356 S&R Interface PC Board FOR ESI MODEL 44 LASER TRIMMER
ESI 24955 Scanner Module PC Board for ESI 44 fuser
ESI M9275 LASER REPAIR SYSTEM
ESI HD 9830 Laser Repair
ESI HD 9830 Laser Repair
ESI HD 9830 Laser Repair
ESI HDE 9830 Laser Repair
ESI HDE 9830 Laser Repair
ESI 5380 CO2 laser ablation system
ESI 41506 Bridge Calibrator PCB for ESI 44 LASER TRIMMER
ESI M9825 LASER REPAIR
ESI M9825 LASER REPAIR
ESI Power Assy Power supply assy, ESI 44/4400
ESI ELECTRO SCIENTIFIC INDUSTRIES 146900-01 REV A Lower Pneumatic Control Box for ESI 9830
ESPEC STPH-101 OVEN
ESPEC TSB 51 Shock Chamber
Eurotherm 2408f Temperature controller
Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition)
Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition)
Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition)
EVG 101 Photoresist coater and developer
EVG Smart View Wafer Aligner – Parts Only
EVG 620 Mask Aligner (TSA)
EVG Gemini Automated Production Wafer Bonder
EVG 620 Mask Aligner (TSA)
EVG 101 Photoresist Spray Coater
EVG 501 Wafer Bonder
EVG 520 Wafer Bonder
EVG 620 TB Manual Mask Aligner
EVG 820 Mold Carrier Lamination System
EVG 520 Manual Wafer Load Substrate Bonder
EVG Gemini Automated Production Fusion Bonder
EWS
Expertech CTR-200 Wet/Dry Oxidation Annealing System
Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer
FAITH TECHNOLOGY RapiTran 2 Wafer Transfer Station
FEI ExSolve CLM Next Gen High Accuracy FIB SEM
FEI Strata 400 Dual Beam FIB SEM
FEI Sirion FE SEM with e-beam lithography capability and EDX
FEI CLM-PLUS
(CRT-082)
FIB SEM
FEI Company XL820 Dualbeam FIB
FEI Company FIB 200 Single Beam FIB
Fenwal Sealer Sealing
Fenwal Sealer Sealing
Fenwal Sealer Sealing
Fenwal Sealer Sealing
Festo 150857 SME-8-S-LED-24 Proximity switch
FICO SM Injection mold press
FICO AMS24 AUTO MOLDING SYSTEM
FINETECH GmbH Fineplacer 96 Manual FlipChip Bonder
Fluoroware PA182-39MLB-0603 Carrier 4″
Fogale DeepProbe 300M Low Coherence IR wafer interferometry
FOUR DIMENSIONS CV92A Semi Auomatic Mercury Probe CV Plotter
FSI Polaris 3500 (Spares) staubli rx 90 robot control unit 308998-001 RX 90 CONTR.1
FSI ExcaliburISR HF Vapor Cleaning
FSI Polaris 3500 (Spares) POWER SUPPLY, CONVERSION EQUIPMENT CORP. M/N 05D-0189-01
FSI Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track
FSI Polaris 3500 (Spares) 294157-200 REV C PCB, MODULE DRIP AND GUARD INPUTS
FSI Polaris 3500 (Spares) IKEGAMI PM-930A PICURE MONITOR
FSI Polaris 3500 (Spares) VT106 10 INCH VGA MONOCHROME MONITOR
FSI Polaris 3500 (Spares) IBM 8228 P/N 6091014 Multistation Access Unit
FSI Polaris 3500 (Spares) Proteon P7228 rev h PROTEON PRONET P7228 8 PORT
FSI Polaris 3500 (Spares) Patlite SL-V Signal Tower, 2 color, Amber, Red
FSI Polaris 3500 (Spares) Power box for 200 mm note track
FSI Polaris 3500 (Spares) PLAST-O-MATIC PRD075B-PP VALVE
FSI Polaris 3500 (Spares) PCB, FSI A/N 294084-200C
FSI Polaris 3500 (Spares) DIGI ACCELPORT PCB 55000534 REV F
FSI Polaris 3500 (Spares) PCB, FSI 200-0097 REV B MVS 8100 FRAME GRABBER
FSI Polaris 3500 (Spares) PCB, FSI 61-0061-10 EXP-BP5
FSI Polaris 3500 (Spares) PCB, FSI 33000-472, VME MONOLITHIC 12 SLOT
FSI Polaris 3500 (Spares) PCB, FSI 10444-01AA
FSI Polaris 3500 (Spares) PCB, FSI SP 586T (00-065-0015 REV D) SINGLE BOARD COMPUTER
FSI Polaris 3500 (Spares) Power Supply, Densei-Lambda Alpha 250S
FSI Polaris 3500 (Spares) GAS SENSOR, FSI P/N 841-004-i
FSI Polaris 3500 (Spares) ATEN CS-122 CPU SWITCH FOR PS/2 PC/AT
FSI Polaris 3500 (Spares) PLATE ASSEMBLY
FSI Polaris 3500 (Spares) PLASTIC COVER
FSI Polaris 3500 (Spares) FSI P/N 05D-0167-03A1 POWER SUPPLY, DC BATTERY BACKUP 05D016703A1
FSI Polaris 3500 (Spares) FSI P/N 917410-002C POWER BOX WITH BOARD CT 294159-200C
FSI Polaris 3500 (Spares) FSI P/N 917803-001B DWR-DSP CABLE, 25 CM
FSI Polaris 3500 (Spares) FSI P/N IB1-7000T 2 FEET CABLE  0795
FSI Polaris 3500 (Spares) FSI P/N IB1-7000T , CABLE 2 FEET 0795
FSI Polaris 3500 (Spares) FSI P/N  POWER CABLE, LENGTH 135 CM
FSI Polaris 3500 (Spares) FSI P/N  8454-AWM-4097-MT BELDEN CABLE, LENGHT 34 CM
FSI Zeta 300 G3 Wafer Acid Spray Cleaner, Hot SPM Process
FSI Zeta 300 G3 Batch Wafer Processing
FSI Mercury (Spare Parts) Brand New Chemical Canister, HF Coded
FSI Mercury (Spare Parts) 586 CPU Board for an FSi Mercury
FSI Mercury (Spare Parts) Used Chemical Canister, HCl Coded
FSI 906498-100 FSI CHEM FILL Dec scotland system manual and print
FSI 905403-001 Print and Product Structures (Poly) Mercury MP
FSI 907575-100 Mercury MP spray processing system operation and maintenance manual
FSI 501666-000 Operations and maintenance Excalibur ISR
FSI 500539-001 Saturn MP comprehensive technical package
FSI 906496-100 Bit bus node installation system requirement and guidelines
FSI 906487 Chemblend 350 operation and maintenance manual
FSI 905521 Model 1000 CDM operations and maintenance manual
FSI 501442-058 Booster pump operations and maintenance
FSI 504099-001 Motorola mos 16 PLC expansion project
FSI 912631 Excalibur ISR and EOS systems operations and maintenance manual
FSI 903943-200 Heated recirculations operations and maintenance manual
FSI Excalibur ISR & EOS Manual
FSI 906488-100 Model 1000 CDM operations and maintenance manual
FSI Proteus 11 Manual
FSI Polaris 3500 Mini Photolithography Track without coaters and developers
FSI 916197-100 Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual
FSI Chemfill systems Manual
FSI 903893-200 Helios 52 di water meter operation and maintenance manual
FSI Excalibur Manual
FSI SATURN & TITAN Manuals
FSI Mercury Manual
FSI Mercury MP Operation and Maintenance Manual
FSI Excalibur ISR Manual
FSI 912629 Manual
FSI 904602-200 Manual
FSI 906496-100 Manual
FSI Excalibur Manual 905364-200
FSI Excalibur ISR Manual Prints & Product  Structures
FSI Booster Pump Manual
FSI Saturn MP Manual 500539-001
FSI Saturn MP Manual 500539-004
FSI Booster Pump Manual
FSI Proteus 1 Manual
FSI Saturn OC Manuals
FSI ISR Maual In Situ Rinse Prints & Parts Structures Manual
FSI Excalibur Vapour Phase Processing system Supplementary training manual
FSI PLC Expansion PLC expansion Project Manual
FSI 907051-100 Excalibur ISR Operation and Maintenance Manual
FSI HELIOS 52 Prints  & Products structures Manual
FSI Excalibur 200mm Supplier Manual
FSI 500539-004 Parts & Structures Manual
FSI Saturn Manual General
FSI Mercury MP Spray Processing system Operation & Maintenance Manual
FSI Chemfill systems Model 1000 CDM/ PPS Manual Rev D
FSI Chemfill systems – Manual Model 1000-4 PLC CDM Supplementary Training Package
FSI Zeta 300 G3 Wafer Acid Spray Cleaner, Hot SPM Process
FSI * Mercury MP * Acid Spray Process System
FSM SYMPHONYMC Life Time
FSP GROUP INC 100-240 W POWER SUPPLY for a p.c.
FUJI CP32 CIRCUIT PROTECTOR
FUJI SEIKI & SILENT FA-1612HCB-C FA.FWM.
Fujikin FBSDV-6.35-2B3-BGC VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA
Fujitsu Denso FDS26-29 750W 26V29A Power supply FOR ADVANTEST T5335P TESTER
Fujitsu Denso FDS11+11 750W 34A Power supply FOR ADVANTEST T5335P TESTER
Furukawa UVW-102M FURUKAWA UV-102 SEMI AUTO UV CURE SYSTEM
Future Fab Future Fab Solvent Hood Fume Hood Workstation
GACHOT DN 15-10 STAINLSS STEEL BALL VALVE
Gaertner L115C-8 Ellipsometer, cassette to cassette
GAIN G50H13P RF GENERATOR
GAIN M50H13P RF GENERATOR
Gasonics DTC2280 ISA IDE control board floppy HDD
Gasonics IRIDIA 4800 DL Stripper / Asher
Gasonics IRIDIA 4800 DL Stripper / Asher
Gasonics IRIDIA 4800 DL Stripper / Asher
GASONICS Gasonics IPC Match Match
GASONICS Gasonics IPC Match Match
GASONICS Gasonics Match Match
GAZEL MG.FE 14 SUPERCLEAN
GAZEL MG.FC 14 GSI
GAZEL MG.FE 38 SUPERCLEAN
GAZEL EMC 38 AB GSI
GAZEL ECF 14 SUPERCLEAN
GAZEL ECM 14 SUPERCLEAN-GSI
GAZEL ECF 12 SUPERCLEAN
GAZEL ECM 12 GSI
GCA TROPEL 9000 Wafer Flatness Analyzer
GEMINI GEMINI III E EPI Reactor Batch
Gencal GenCal Power Meter Miscellaneous
General Electric Dummy Load Miscellaneous
Genesys DC Power Supplies
Genesys DC Power Supplies
Genmark Various genmark robots and Edwards turbo  pumps Mixed lot of Edwards Turbo pumps, Genmark Robots and controllers and a JEL robot
GENMARK S08R Robot Controllers, PN 990010622, 2ea Available
Genmark GB4/3L Atmospheric wafer handling robot with controller
Genmark GB8-MT-80050102 Single arm Atmospheric wafer handling robot
Genmark AVR series Cleanroom Vacuum Robot only
Genmark GB3 Wafer handling Robot
Gigaphoton A700GK-1 248 nm  (KrF) excimer laser
GL Automation IDSCOPE Wafer bar code reader
GL Automation IDSCOPE Wafer bar code reader
GL Automation IDSCOPE Wafer bar code reader
GL Automation IDSCOPE Wafer bar code reader
GL Automation IDSCOPE Wafer bar code reader
Glassman High Voltage, Inc PS/FL1.5F1.0 Generator
Gossen Konstanter IEC625 Laboratory Power supply Gossen Konstanter UOP
GPD PBFT856VS Pull Force Tester
Group 3 1981001 Digital Teslameter
GSC P140-74 Gleichstrom Servo Motor
GSI CSP200 Wafer Level Die Marking System
Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System
HAMPTON CYCLETROL 240 CIRCUIT BREAKER
Henry Henry 2k Controller Miscellaneous
Henry Henry 2K Controller Miscellaneous
Hesse & Knipps BJ 820 Magazine to magazine transport system for wirebonder
Hesse & Knipps BJ855 and BJ820 Wedge Bonders , magazine to Magazine
Highmax UV-200 Curing System
Hitachi CG-4100 Critical Dimension (CD) Measurement SEM
Hitachi CG-4000 Critical Dimension (CD) Measurement SEM
HITACHI IS2700SE Dark Field inspection
Hitachi 6280H CONTROL RACK CD SEM (PARTS)
Hitachi Spare Parts Various Spare Parts for sale
Hitachi FB 2100 FIB SEM
HITACHI CM-700H WIRE BONDER
HITACHI S-5000 FE SEM
HITACHI S4160 Scanning electron microscope
HITACHI S-5000 FE SEM
HITACHI S-5000 FE SEM
HITACHI S-5000 FE SEM
HITACHI S-5000 FE SEM
HITACHI LS9000 Wafer Surface Inspection System
HITACHI LS-6800 wafer surface inspection
HITACHI UA-7200 Stripper/Asher
Hitachi HL7000M E-Beam Litho (9 inch mask)
Hitachi HL7500M E-Beam Litho (6 inch mask)
Hitachi HL7800M E-Beam Litho (6 inch mask)
Hitachi HL8000M E-Beam Litho (6 inch mask)
Hitachi S-6280H CD SEM
Hitachi S5200 FE SEM with EDX
HITACHI 6280H (SPARES) SORD Computer for cd sem system
Hitachi S9380 II CD-SEM
Hitachi 545-5516 7 Channel Power Supply module
Hitachi 545-5522 VG board for CD SEM
Hitachi RS4000 Defect Review SEM
HITACHI S4700-l Scanning Electron Microscope
HITACHI S4700-ll FE Sem with Horriba EMAX EDX
Hitachi RS4000 Defect Review SEM
HITACHI S4700-ll FE Sem with Horriba EMAX EDX (Destocking Status)
Hitachi 545-5521 EVAC PCB FOR HITACHI CD-SEM
Hitachi 545-5537 IP-PC2 for cd-sem
Hitachi 377-7592 Power Supply Module for CD SEM
Hitachi CG4000 SEM
HITACHI S4700II FE SEM with EDAX (Detecting Unit)
Hitachi CG4000 SEM – Critical Dimension (CD) Measurement
HITACHI CV4000 High Voltage SEM
Hitachi S4500 Type I FE SEM
HITACHI S-5000
HITACHI FB2100 FIB
HITACHI IS3000SE WAFER PARTICLE INSPECTION
HITACHI IS3200SE WAFER PARTICLE INSPECTION
HITACHI LS9000 Wafer Surface Inspection
HITACHI LS-6800 Wafer Surface Inspection
Hitachi 6280H CD SEM Control Rack including qty 12 PC  Boards in the rack
HITACHI RS6000 (Enhanced) Defect Review SEM
Hitachi 545-5515 DC power supply module for CD SEM
Hitachi 6280H Power Supply Module 4channels
Hitachi 545-5540 Power Supply unit for CD SEM
HITACHI S7000 CD SEM
HITACHI RS 4000 Defect Review SEM
HITACHI RS 4000 Defect Review SEM
Hitachi SU 8010 Ultra High Resolution Field Emission Scanning Electron Microscope
HITACHI 131-9008-1 Model U-3210 / U 3410recording  spectrophotometer available commands
HITACHI 131-9007-1 Model U3210 / U3410 Recording  spectrophotometer operating procedure
Hitachi IS3000 DARK FIELD INSPECTION
Hitachi HD2300 STEM (Scanning Transmission Electron Microscope)
Hitachi RS5500 Defect Review SEM with optional EDX
Hitachi S5500 HIGH RESOLUTION INSPECTION SEM
HITACHI N-6000 NANO PROBER
HMI EScan 400XP E-Beam wafer inspection system
HMI EScan EP3 E-Beam wafer inspection system
HMI eP3 XP E-beam Inspection
HMI eScan 300 E-beam Inspection
HMI eScan 320 E-beam Inspection
HMI eScan 320 E-beam Inspection
HOLADAY HI-1801 MICROWAVE SURVEY METER
HP 4145B CV Measurement
HP / Agilent 4062 Automated Test equipment
HP / Agilent 54601A Oscilloscope, 4 Channel 100 MHz
HP HEWLETT PACKARD 9145A PC HP HEWLETT PACHARD 9145
hps mks 90 degree flange HPS MKS Stainless Steel VACUUM FITTING
HSEB AXIOSPECT 300 MICROSCOPE INSPECTION STATION
HSEB Zeiss Axiotron 300 AOI microscope with 2 units of Brooks load port
HTC ISO1010OCRVSA Pump flange PN 192112412
Huber Unichiller UC060Tw chiller
Huttinger PFG 300 RF Generator
Huttinger PFG 300 Generator
Huttinger PFM 1500 A Match
HYPERFLOW CENTURA WET Wafer Carrier Boat Wash System
HYPERVISION Visionary 2 Emmission Microscope with Karl Suss PM-8 Analytical Prober
ICP R48870 Match
ICP DAS DB-8025 2-port Data Acquisition Board
ICP DAS Omega DB-16R Daughter Board
IGUS 07.10.038.0 Belt
IKO LWES 15 C1 R460 S2 Linear way with single bearing block,set of 4
IKO NIPPON THOMPSON LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL
IKO NIPPON THOMPSON T1 H S2 LINEAR BEARING AND RAIL
IKO NIPPON THOMPSON BCS H S2 LINEAR BEARING AND RAIL
IKO NIPPON THOMPSON PS1 LINEAR BEARING
IKO NIPPON THOMPSON LWL 12 C2 LINEAR BEARING AND RAIL
INFICON XTC/2 Deposition Controller
INFICON 850-200-G1 LEYBOLD IG 3 VACUUM GAUGE CONTROLLER
Ingersoll Rand ANAQK-ABXAB-160 Provenair HT Pneumatic Cylinder
INNOLAS ILS 700 P Laser Drill
Innolas ILS 700P Laser Edge Isolation
InTest Test Head CPIT TEP8 / STFLASH  EPROM / 1792 Test Head
INVALID[Andre] Deluxe Near Field Detection Receiver
INVALID[OSCOR] Blue 24 GHz Specrum Analyzer
INVALID[Sensofar] PLu Neox Optical 3D Surface Profiler with motorized XY stage, 4 Lens (10X, 20X, 50X, 100X), anti vibration table Optical 3D Surface Profiler
INVALID[Thamway] A161 RF Generator
IPEC 372M Multi-Process CMP
IPEC 472 CMP Polishing system
IPEC 472 CMP
IPEC 472 Multi-Process CMP
Irvine Optical Auto Wafer Loader Microscope Inspection WAFER INSPECTION MICROSCOPE WITH AUTOLOADER
IsMeca NX16 TEST SYSTEM
IWASHITA Shotmatic 3 Epoxy Dispenser, 2ea Available
IWATANI LNS-1 Liquid Nitrogen Supplier
J.A Woollam VUV-VASE (Gen II) Ellipsometer
J.A Woollam VUV-VASE VU302 (Gen I) Ellipsometer
Jel SCR32000CS‐ 450‐PM Cleanroom Handling Robot
JEOL JSM-6600F Scanning Electron Microscope
JEOL JSM-5600 FE SEM
JEOL JSM-6700F FE SEM
JEOL JFS-9855S Focused Ion Beam System
JEOL JSM-6460LV Scanning Electron Microscope
JEOL JWS-7555 SEM – Defect Review (DR)
JEOL JEM3200FS High Resolution TEM
JEOL JEM-2010F TEM
JEOL JFS-9815 Focused Ion Beam System
JEOL JSM-6400F FE SEM
JEOL JSM-6340F FE Sem
JEOL JWS-7855S Mask Inspection & Repair System
JEOL JWS-7500E SCANNING ELECTRON MICROSCOPE
JEOL CD-SEM, JSM-6340F JEOL
JEOL JSM-7500F SEM
Jonas and Redmann SDB Automated Loader for Baccini Printing Line
Jonas and Redmann WHD (Wafer Handling Diffusion) Automated Loader for Centrotherm E2000 Furnace
Jonas and Redmann WHP (Wafer Handling Plasm) Automated Loader for Anti Reflection Coating System
Jonas and Redmann SDB A AUTOMATED Loader for baccini Print Line
Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace
Jordan Valley JVX 6200 X-ray metrology (X-Ray Reflectivity)
Jordan Valley JVX6200 X-Ray Inspection System
JORDAN VALLEY JVX6200I X-ray Metrology System
Jordan Valley Semiconductors LTD JVX 7200 X-ray Fluorescence Spectrometer
JSC Systems 510 Controller
JST CLV IPA Wafer Dryer
K AND S SPRINT HIGHSPEED AUTOMATIC WIRE BONDER
K AND S 98060-0000-001-01 Manual for Model 8060 automatic wedge bonder
K AND S 8028 Automatic Ball Bonder
K Tech Engineering BK04A Blister tape applicator for microelectronic components
K&S 4123 Manual Wedge Bonder
K&S 4124 Manual Thermosonic Ball Bonder
K&S 4129 Manual Deep Access Wedge Bonder
K&S 4526 Manual Wedge Bonder, with Vertical Wire Feed
K&S 6497 Semi-Automatic Flip Chip Epoxy Die Bonder
K&S 8020 Automatic Ball Bonder
K&S 8028 Automatic Ball Bonder
K&S 8060 Automatic Wedge Bonder
K&S 4524AD Manual Thermosonic Ball Bonder
K&S 9388 Laser Pro Automatic Ball Attach System
K&S 1471 Automatic wedge bonder
K&S 1488 Plus Automatic Gold Ball Bonder
Kalrez O-RING AS-568A o-ring seal
KARL SUSS MA200 Mask Aligner (Cassette to Cassette)
Karl Suss MA 25 Mask Aligner
KARL SUSS MA45 Mask Aligner
Karl Suss MA200 Mask Aligner with CIC1000 lamp housing
KARL SUSS MJB-3 Mask Aligner
KARL SUSS MA56 Mask Aligner
KARL SUSS PA200HS Prober
Karl Suss MA150e Mask Aligner with TSA
KARL SUSS MA-4 Mask Aligner, IR Backside Alignment with Single Microscope, for up to 4″ Wafers
KARL SUSS MA-45 Mask Aligner, Front Side Alignment with Splitfield Microscope, for up to 4″ Wafers
Karl Suss MJB3 350W Mask Aligner
KARL SUSS PM-8 Analytical Wafer Prober
Karl Suss MA200 Compact Mask Aligner with TSA
Karl Suss MA200 Compact Mask Aligner with TSA
Karl Suss MA150 Mask Aligner
Karl Suss Mask 9inch Mask for 8inch Aligner
Karl Suss MA8/BA8 Gen 3 TSA/BSA Mask Aligner
Karl Suss MJB4 350W Mask Aligner
KARL SUSS MA 150 Mask Aligner (Spare Parts)
KARL SUSS PM 8 Manual Prober
Karl Suss MA 25 Mask Holder
Karl Suss MA8 BA8 Mask Aligner with BSA
Karl SUSS MA200 Mask Aligner
Karl Suss MA200 Mask Aligner
Karl SUSS MA200 Mask Aligner
Karl Suss MA 56 Mask Aligner
KARL SUSS MA6 Mask Aligner
Karl Suss Micro Tec MA200 Mask Aligner with CIC1000 lamp housing
Karl Suss Micro Tec PA-200 Wafer Prober Station
Karl Suss Micro Tec PA200 Wafer Prober Station
Kawasaki 3NS411B-F006 Robot with cable and Controller
Kawasaki 3NX540B-A302 atmospheric wafer robot( AMAT producer)
Kawasaki NS410B-A002 atmospheric wafer robot( AMAT producer)
Keller VARIO-T 1.0-SC8-B30-HD Scrubber / Compact Dust Separator for Baccini  laser unit exhaust air
Kensington WFH4C wafer robot with aligner
Kensington WFH4D wafer robot with aligner
KEYENCE VHX-2000 D Digital Micrsocope for laboratory / Assembly inspection use
KEYENCE FU-12 PHOTO SENSOR
KEYENCE PS SERIES PHOTO SENSOR
KEYENCE PS SERIES PHOTO SENSOR
KEYENCE PS SERIES PHOTO SENSOR
KEYENCE VHX-2000 D Digital Micrsocope for laboratory / Assembly inspection use
Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester
Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester
KINETIC SYSTEMS Vibraplane 1202-22-12S Vibration Isolation Table, 47″ x 36″
KINETIC SYSTEMS Vibraplane 1201-01-11 Vibration Isolation Table 30″x35″x29″(h)
KLA AIT FUSION UV (SPARES) Hard Disk Drive with software for KLA AIT Fusion UV
KLA 2131 Inspection System
KLA 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122
KLA 1007 Chuck, prober, 6″ gold chuck assembly
KLA 715-023506-00 Complete lead screw and stepping motor for KLA 2xx reticle inspection system
KLA 655-6616141-00 Wafer stage, kla 21xx
KLA Surfscan AIT 3 Wafer particle Inspection
KLA 740-401-320 P-N 073-401-320  AIRLOCK
KLA UV1250SE Thin film meansurement system
KLA 7700 Surfscan wafer particle detection system
KLA Ceramic table 8″ Ceramic Table & Misc Parts
KLA 7700M (SPARES) Lens PCB 042763
KLA 7700m robot Dist. PCB
KLA 7700m Keyboard Assy
KLA 7700m 253537 Rev A PCB Microscope Dist
KLA 710-658036-20 Alignment Processor board REV C3
KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0
KLA 710-658046-20 PRocessor Board REV E0
KLA 710-658177-20 Interpolator phase 3 Board REV F1
KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1
KLA 710-655651-20 Cornerturn 3 PC board REV C0
KLA 710-029946-00 Rev:XE Corrector-Formatter PCB M2A only
KLA 710-659412-00 Mass Memory PCB REV C0
KLA 7700m Pittmann Motor 94337528 Microscope driver
KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1
KLA 7700m 181137 Drive Assy PCB
KLA 710-658086-20 PC Board, REV E0
KLA 7700m 174203 Rev D PCB Flat finder pwd Driver
KLA 6400 6220 Set of New OEM Cables FOR SURFSCAN MODELS 6200 AND 6400
KLA 7700m (spares) p/n 252948 Rev B Motor Lamp Drive SFS 76
KLA 7700m Front Panel PCB
KLA 7700m 181830 Rev D, National Instruments AT-GPIB/TNT
KLA 7700m EMO Switch
KLA 710-023236-00 Rev B1 P3 Scan delay FIR Filter PCB
KLA 7700m Leadscrew and Servo Motor CMC MT2115-014DF
KLA 710-022410-01 Rev A5 AR GRAPHICS DISPLAY CONTROLLER 2 PCB
KLA 710-029421-00 Rev C2 SERVO DRIVE 2 III PCB
KLA 7700m Mirror Assy with Fiber Optic
KLA 710-023589-00 Rev B2 SERVO DRIVE 1 III PCB
KLA 710-101836-02 Rev G3 AUTOFOCUS 2  PCB
KLA 7700m 201989 Concave Mirror
KLA 050-654234-00 Lamp Micro Line Filament w/ clips
KLA 7700m Detector Assy
KLA 7700m Mouse & PCB 240C
KLA 2830 (PARTS) EFEM ONLY with Yaskawa XURCM9206 robot
KLA 7700m Convex Glass Plate
KLA 7700m two cables 7 brackets
KLA 7700 Misc Bracket
KLA 7700m Photomultiplier
KLA 710-022400-01 D5 Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system
KLA 710-023602-00 B Display System Trap, RF PCB for KLA 2xx reticle inspection system
KLA 710-023256-00 C2 P3 Data Input PCB for KLA 2xx reticle inspection system
KLA 710-023141-00 Assy, Formatter p3 PCB for KLA 2xx reticle inspection system
KLA 8100 PICOAMP 11  P/N 720-02964-000
KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system
KLA 8100 (Spares) wafer tabel for CD SEM P/N 731-09404-047 Rev 2
KLA 710-028014-01 E ADBA PCB for KLA 2xx reticle inspection system
KLA 8100 Plate Wafer P/N 731-08507-004
KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system
KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system
KLA 8100 Block, Pivot ,Keybd  P/N 740-03389-000
KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system
KLA Archer AIM Plus Overlay measurement System
KLA 8100 Bracket retainer keybd P/N 740-03390-000
KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system
KLA 8100 Interface cable set P/N 810-09072-002 REV A
KLA 710-039524-00 A AP 1 210 series PCB for KLA 2xx reticle inspection system
KLA 8100 MCA Module P/N 720-02847-000
KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system
KLA eDR-5210 SEM – Defect Review (DR)
KLA 8100 P/N 740-05635-000 REV A
KLA 710-028014-00 B3 Image Memory Address PCB for KLA 2xx reticle inspection system
KLA 8100 T Piece P/N 471-07945-000
KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system
KLA 8100 Ground Strap P/N 810-04308-005
KLA 710-037887-01 B BMMC M2A with RIA signal mod. PCB for KLA 2xx reticle inspection system
KLA eS805 E-beam Inspection
KLA 8100 Ground Strap P/N 810-04308-004
KLA 710-029767-00 REV D UPLL RF PCB for KLA 2xx reticle inspection system
KLA 8100 Bracket P/N 740-07893-000
KLA 710-036420-00 XB Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system
KLA SM-300/SpectraMap Film Thickness Measurement System
KLA 8100 P/N 740-05728-000
KLA 710-036380-00 C IAS parameter 2  PCB for KLA 2xx reticle inspection system
KLA 8100 P/N 740-07892-000 Rev A
KLA 710-023279-00 H2 04/16 level dump  PCB for KLA 2xx reticle inspection system
KLA Surfscan 7700 Particle Measurement
KLA 8100 Flex Pipe
KLA 710-040042-00 XB e-series detector, threshold 2×2 259 M2A  PCB for KLA 2xx reticle inspection system
KLA 8100 PCB  830-10172-000 Rev 3
KLA 710-023455-00 XC e-series detector, compare, 21xe   PCB for KLA 2xx reticle inspection system
KLA TP300 Implant Dosing Measurement
KLA 8100 Bracket P/N 740-05415-000 Rev A
KLA 710-029694-00 XF e-series detector,data 259 ROQ   PCB for KLA 2xx reticle inspection system
KLA VisEdge CV300R Edge Defect
KLA 8100 Festo PU-3 Duo air Pipe
KLA 710-023455-00 XC e-series detector, compare, 21xe   PCB for KLA 2xx reticle inspection system
KLA 8100 Plastic Disc
KLA 710-039924-00 A1 SERVO DRIVE 3 IV  PCB for KLA 2xx reticle inspection system
KLA 710-028287-01 G1 servo 4 II    PCB for KLA 2xx reticle inspection system
KLA 710-023599-01 B1 Computer IF (RF)    PCB for KLA 2xx reticle inspection system
KLA 710-037718-00 C SBC 3.5 inch floppy   PCB for KLA 2xx reticle inspection system
KLA 710-023273-00 C 512 K ram, 210 series  PCB for KLA 2xx reticle inspection system
KLA 710-037717-00 A FDD controller, 3.5 inch  PCB for KLA 2xx reticle inspection system
KLA 710-029924-00 REV F Preprocessor 1 (RF)  PCB for KLA 2xx reticle inspection system
KLA 710-029927-00 REV E Preprocessor 2 (RF)  PCB for KLA 2xx reticle inspection system
KLA 710-037889-00 Rev XA Preprocessor I/O  PCB for KLA 2xx reticle inspection system
KLA 710-036106-00 REV D Defect Highlighting Control 3  PCB for KLA 2xx reticle inspection system
KLA 8100 KLA 8100 SEM Part 740-03565-000
KLA Defect Highlighting PC Defect Highlighting PC for KLA 2xx reticle inspection system
KLA 710-037699-00 XA1 gain/offset testpoint board  PCB for KLA 2xx reticle inspection system
KLA 259 (Spares) Set of 2 Objectives and 2 illuminator lenses for KLA 259 reticle inspection system
KLA 710-102570-02 Rev 1 Autofocus Pre-Amplifier PCB  PCB for KLA 2xx reticle inspection system
KLA 210e and 259 (Spares) Encoders, 2500 LPI  for KLA 2xx reticle inspection system
KLA 720-05887-000 MCP Detector Control Chassis
KLA 740-064388-000 RETICLE TRANSFER SYSTEM FOR 5 AND 6 INCH PHOTOMASKS
KLA 259 (spares) Trinocular Microscope Head for KLA 2xx reticle inspection system
KLA 740-210171-00 ORH Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system
KLA 712-023914-00 rev B CCD TV camera for KLA 2XX reticle inspection system
KLA 710-661729-00 CD0 S.A.T. RANDOM DEFECT PROCESSOR PCB FOR KLA 21XX
KLA 710-101836-02 REV D AUTOFOCUS II POWER AMP
KLA 710-102570-02 AUTOFOCUS PRE-AMPLIFIER
KLA VLSI 845 DUPONT VERIMASK for KLA 2xx reticle inspection system
KLA 710-101836-02 AUTOFOCUS 2  HV PCB for KLA 2xx reticle inspection system
KLA 740-401-320 AIRLOCK ASSEMBLY PCB
KLA 900-01003-120 Assy. Software System 8xxx V3.1.2
KLA SP2 (spare parts) Complete set of calibration standard wafers for a KLA SP2
KLA 2351 Brightfield Wafer Defect Inspection System
KLA AlphaStep 300 Profilometer
KLA UV1250SE Wafer Film measurement / Ellipsometer
KLA 740-100360-00 ORH Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system
KLA 740-100059-00 GWH Glass Wafer Holder, 250-150 mm P1, for KLA 2xx reticle inspection system
KLA 2367 Brightfield wafer defect inspection system
KLA  Tencor 710-661729-00 PC Board, KLA 21XX
KLA – Tencor 259 Image Digitizer Assembly
KLA -TENCOR 7700 CASSETTE PLATE + PCB  8″
KLA -Tencor 7700m (Spares) Mirror Curved
KLA -Tencor 7700m p/n 199958 Rev F PCB PSF Driver SFS75
KLA -TENCOR 7700M (Spares) CONCAVE MIRROR 201969 – Optical part from KLA 7700M Surfscan
KLA -Tencor 8100 (Spares) load lock assembly for CD-SEM
KLA Tencor 2131 Wafer Defect Inspection
KLA TENCOR SEM-3800C Scanning Electron Microscope
KLA TENCOR 7700M COMPUTER HARD DISK
KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis
KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS
KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS
KLA TENCOR 7700 M MODEL 2214-30SLOTT
KLA TENCOR 8100 PART 740 05584 000 C   CONNECTOR INTERFACE
KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS
KLA TENCOR Surfscan 7200 Surfscan wafer particle detection
KLA TENCOR Surfscan 7200 Surfscan wafer particle detection
KLA TENCOR HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems
KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM
KLA Tencor 213780 REV C PCB PFE 4K MASK ASSY SFS 7500
KLA Tencor 244143 REV B PCB ADC PFE I/F 576 ASSY
KLA TENCOR Viper 2430 Macro Defect Inspection
KLA TENCOR Viper 2435 Macro Defect Inspection
KLA TENCOR Viper 2435XP Macro Defect Inspection
KLA TENCOR CI T1X0 package inspection system
KLA TENCOR CI T1X0 package inspection system
KLA TENCOR 7700M (Spares) PIN DIODE PRE AMP PCB
KLA TENCOR 2132 (mainbody only) Wafer Inspection System
KLA TENCOR P-2 Profileometer
KLA TENCOR 7700M (Spares) Optical sub-assembly
KLA TENCOR 7700M (Spares) Lens assembly
KLA TENCOR 7700 Mechanical part
KLA TENCOR SFS6400 MECHANICAL CALIBRATION Document Number 238 WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE
KLA TENCOR Surfscan 64X0 Calibration Procedure Surfscan 64X0 Calibration Procedure
KLA TENCOR surfscan 64XX optical alignments Document Number 236 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE
KLA TENCOR SFS6x00 MECHANICAL CALIBRATION Document number 200 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE
KLA Tencor AIT I Patterned Surface Inspection System
KLA- TENCOR 720-05721-000 Column Control Chasis for KLA 8100 cd sem
KLA-TENCOR 242163 Rev B  PCB  ADC-PFE Interface S76 PCB FOR KLA 7700M
Kla-Tencor AIT 1 Network Card PCB
KLA-Tencor 7700M (Spares) Electro-optical assembly for KLA Surfscan 7600 and 7700
KLA-Tencor 289825A Sony XC-711 Video Camera and cable set
KLA-Tencor Surfscan 5500 Wafer Particle Detection
KLA-Tencor P15XP Surface Profiler (Upgraded from a P10)
KLA-Tencor 5xxx Spare Part LH Research Mighty Mite 500w Power Supply for KLA 5xxx Overlay Measurement System
KLA-Tencor AIT Particle Review
KLA-Tencor 2132 (8 inch Wafer Chuck Assembly) Ceramic Wafer chuck, 8″
KLA-Tencor Compumotor M575L11 Stepping motor drive
KLA-Tencor AIT Surfscan wafer particle detection system
KLA-Tencor PROMETRIX FT-650 WAFER FILM THICKNESS MEASUREMENT SYSTEM
KLA-Tencor 710-039524-01, rev C Alignment Processor 2 , 210e series PCB
KLA-Tencor PROMETRIX FT750 Film Thickness Measurement
KLA-Tencor PROMETRIX FT750 Film Thickness Measurement
KLA-Tencor 7700m (spares) Mirror Assy Flat
KLA-Tencor 7700m (Spares) p/n 186392A  PCB Controller Handler
KLA-tencor 7700m (Spares) 18458 Rev B  $ CH Motor Control
KLA-TENCOR 8100 (Spares) Motorized slit assembly for CD SEM
KLA-tencor 7700m (Spares) 210617 rev B PCB Filter Optical
KLA-Tencor 7700m (Spares) p/n 099660 Handler back plane PCB
KLA-Tencor Roll-a-Lift KIT 2135,2138,2230 MOVE
KLA-Tencor 655-650504-00 8 INCH CHUCK ASSY FOR KLA 2132
KLA-Tencor 7700M (Spares) leadscrew and stepper motor for KLA Surscan 7700m, 7600 microscope
KLA-Tencor 7700m (Spares) p/n 210595 Rev B Optical Filter PCB
KLA-Tencor 7700m 242163 Rev B  PCB  ADC-PFE Interface S76
KLA-Tencor 7700m (spares) p/n 213780 Rev C  PCB  MASK SFS
KLA-Tencor 7700m (spares) Fresnel Lens / Mirror
KLA-TENCOR 3005503 OPTICAL ASSY for kla 7700 and 7600 surfscans
KLA-TENCOR ASSY.CBL.GND EATHING STRAP
KLA-TENCOR AIT 1 (SPARES) Lens Assembly in transport box
KLA-TENCOR 7700M (Spares) Mirror assembly for KLA 7700 m surfscan
KLA-TENCOR 7700M (Spares) Optical Lens assembly from a KLA 7700 M Surfscan
KLA-TENCOR 7600M ELECTRO-OPTICAL ACTUATOR ASSY
KLA-TENCOR 113387 4-CHANNEL PWM MOTOR DRIVE ASSY PCB FOR KLA 7XXX SURFSCAN, P2 and P20 profilers
KLA-TENCOR 655-6500504-00 CERAMIC CHUCK 200 MM(8*),2132
KLA-TENCOR 7700M (Spares) EMO Button for KLA 7700M
KLA-TENCOR 665-037138-00 MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM
KLA-Tencor 8100 (Spares) Bracked Lift Keyboard KLA Part 740-03393-000
KLA-Tencor 2820 Wafer Inspection Equipment
KLA-Tencor 2820 Wafer Inspection Equipment
KLA-Tencor 2830 Brightfield Inspection
KLA-Tencor Surfscan 7700m Keyboard Assy
KLA-Tencor AIT UV Darkfield Inspection
KLA-Tencor ASET-F5x Film Thickness Measurement System
KLA-Tencor Puma 9130 Darkfield Inspection
KLA-Tencor Puma 9130 Darkfield Inspection
KLA-Tencor 259 (Spare parts) PCBs for reticle inspection system
KLA-Tencor Surfscan SP2 Particle Measurement
KLA-Tencor 710-013838-00 Rev L PCB Universal Video Mux for KLA 2xx reticle inspection systems
KLA-TENCOR 259 (spare parts) Reticle Inspection – SPARE PARTS
KLA-Tencor TFE Gun Controller Gun Controller Chassis  Part No 720-05888-000
KLA-Tencor JDS-Uniphase 2214-30 SLQ TT LASER FOR KLA 7700 SURFSCAN
KLA-Tencor 8100 (Spares) Loadlock assembly for CD-SEM
KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM
KLA-TENCOR 5xxx Spare Parts Set of Spare Parts from a KLA 5015
KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM
KLA-Tencor 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx
KLA-Tencor 710-401249-01 Rev F Driver Board  for KLA 5xxx
KLA-Tencor 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part
KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE
KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board  for KLA 5xxx
KLA-Tencor Surfscan 4500 Unpatterned Wafer Surface Inspection
KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX
KLA-TENCOR 8100 (Spares) Load-lock assembly for CD SEM
KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system
KLA-Tencor 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system
KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system
KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system
KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system
KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system
KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system
KLA-TENCOR 546860-27 Operation manual
KLA-Tencor Hamamatsu R1924A Photomultiplier
KLA-TENCOR Pittman 9433F528 Servo Drive motor for KLA 7700 Surfscan
KLA-TENCOR 563234-27 Reference manual
KLA-Tencor 7700M (Spares) 8 inch ceramic chuck table for KLA 7700M
KLA-TENCOR 990-039410-00 210 e-series theory
KLA-Tencor  AIT-1 SHIPPING KIT  AIT-1 SHIPPING KIT
KLA-TENCOR 905-664046-000 25×2 analysis workstation
KLA-TENCOR 7700M SENSOR OPTICAL
KLA-Tencor RIBBON CABLE
KLA-TENCOR 563226-27 Software version 5.0 manual
KLA-TENCOR 546879-27 Software version 4.1 manual
KLA-Tencor 2xx (SPARES) Control Paddles for KLA 2xx reticle inspection system
KLA-Tencor 655-03737-00 Rev XA Mechanical part for a KLA 7700 M (Surfscan)
KLA-Tencor 5xxx Spare Part Lambda Electronics LFS-47-48 REGULATED POWER SUPPLY
KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part
KLA-TENCOR 7700M (Spares) Robot Arm, for up to 8 inch wafers, for  KLA 7700 M
KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system
KLA-Tencor POWER SUPPLY LAMBDA
KLA-Tencor 851391-101 LH RESEARCH
KLA-TENCOR 7700M (Spares) Adjustable Opto Mechanical assembly for KLA 7700 Surfscan
KLA-TENCOR 2122 Brightfield Wafer Defect Inspection System
KLA-Tencor Surfscan 4500 Wafer Particle Inspection System
KLA-TENCOR 720-02847-000 MCA Module for KLA 81xx CD SEM
KLA-TENCOR 720-02964-000B PICOAMP II for KLA 81xx CD SEM
KLA-TENCOR 195430 rev B Detector Assembly for a KLA 7700M Surfscan
KLA-Tencor Surfscan AIT Patterned Wafer Inspection
KLA-Tencor 251739 CH3 PMT OPTICS ASSY AIT2
KLA-TENCOR 7700M (Spares) AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M
KLA-TENCOR 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX
KLA-TENCOR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system
KLA-TENCOR 253537 Rev A Microscope Distribution  PCB, for Surfscan 7600 and 7700 series
KLA-Tencor Corp. 720-05888-000 Electron gun controller for KLA 8100
KNF Neuberger 057359 Diphagrahm service kit for N40.3FT pump
KNF NEUBERGER N 840.3 FT.18 DIAPHRAGM VACUUM PUMP LABOPORT D-79112
KNIEL System CPD 5.12/6.3 Power Supply, 321-019-02.00
KOGANEI ORCA 16X120 Slit type rodless cylinder
KOGANEI SLIM AIR CYLINDER
KOGANEI PDA S AIR CYLINDER
KOGANEI KA CMA AIR CYLINDER
KOGANEI TWDA AIR CYLINDER
KOGANEI SLIM AIR CYLINDER
KOGANEI JDAS32X5-165W AIR CYLINDER
KOGANEI BDAS10X30
KOGANEI AME07-E2-PSL VACUUM EJECTOR
KOGANEI A200-4E1 AIR VALVE
KOGANEI ORCA 16X120 Slit type rodless cylinder
KOGANEI LTD KA.CMA MINI CYLINDER
KOGANEI LTD KA.CMA MINI-CYLINDER
KOGANEI LTD KA.CMA MINI-CYLINDER
Kokusai DJ-1206VN Vertical Furnace
Kokusai DD-1223 V-DF Vertical Furnace, Wet Oxidation
KOKUSAI VR70 Resistivity Test Tool
Kokusai DJ-1236VN-DF Vertical Low-Pressure CVD System
Kokusai DD1223VN Pyro
Kokusai DJ1223VN ALD
KOKUSAI ZESTON-lll DD-1223V Dielectric Etch
KOKUSAI QUIXACE2 ALD TiN
Kokusai Quixace II ALD Nitride Vertical Furnace
Kokusai Quixace II ALD Nitride Vertical Furnace
Kokusai Quixace II ALD Nitride Vertical Furnace
Kokusai Quixace II Anneal Vertical Furnace
Kokusai Quixace II ALD Oxide Vertical Furnace
Kokusai Quixace II Anneal Vertical Furnace
Kokusai Quixace II Nitride Vertical Furnace
Kokusai Quixace II Poly Vertical Furnace
Kokusai Quixace Ultimate ALD SiO2 Vertical Furnace
Kokusai Quixace II Poly Vertical Furnace
Kokusai Quixace Nitride Vertical Furnace
KOKUSAI DJ1206VN CVD FURNACE SiN
KOKUSAI DJ1206VN CVD FURNACE SiN
KOKUSAI QUIXACE2 Vertical furnace, ALD TiN
KOKUSAI QUIXACE2 Vertical furnace, ALD TiN
KOKUSAI QUIXACE2 Vertical furnace, ALD TiN
KOKUSAI QUIXACE2 Vertical furnace, ALD TiN
KOKUSAI QUIXACE2 Vertical furnace, ALD TiN
KOKUSAI QUIXACE2 Vertical Furnace, Nitride deposition
KOKUSAI M 152 WRL THERMO COUPLE
Kokusai DJ-853V-8BL J3 VERTICAL CVD FURNACE, NITRIDE PROCESS
Kokusai DD-823V VERTICAL CVD FURNACE, H2 ANNEAL PROCESS
Kokusai DJ-853V-8BL J2 VERTICAL CVD FURNACE, HTO PROCESS
Komatsu G20K4-1 248 nm  (KrF) excimer laser
KORNIC KORONARTP1200+ RTP
KORNIC RTP-600M RTP, Wafer Diffusion
KOYO LINBERG VF5100B Set of Cleanroom Manuals
KTC BT-30 Die and ball shear tester
Kurt J Lesker ISO160AVCRT Pump centering ring
Kurt J Lesker ISO100AVCRT Pump centering ring
Kurt J Lesker  ISO Flange ISO63, 5-hole, *NEW* 5 hole pump flange
Kurt J Lesker QF-SSC-ALM Single claw clamp
Kurt J Lesker QF160-SAVR Pump centering ring
Kurt J. Lesker AT3 Match
KYOSAN 15Z-S1 RF GENERATOR
KYOSAN 15ZI-M RF GENERATOR
KYOSAN HPK06ZI-TE7-SINGLE RF GENERATOR
KYOSAN HPK15ZD RF GENERATOR
KYOSAN JFK85TH-TC6 RF GENERATOR
LABCONCO Protector Laboratory Fume Hood and Cabinet with Sink
Lam 4520 (spares) REMOTE CART
Lam 2300 Exelan Flex Dry Etcher with 3 chambers
LAM 832-038915-103 RF GENERATOR
LAM 832-038915-203 RF GENERATOR
LAM 853-040482-502 RF GENERATOR
LAM 853-040482-600 RF GENERATOR
LAM 853-085372-114 RF GENERATOR
LAM 853-085375-015 RF GENERATOR
Lam 4520 (spares) REMOTE CART
LAM 2300 CHAMBER ONLY  MWAVE STRIP (POLY)
LAM 2300 CHAMBER ONLY  MWAVE STRIP (POLY)
LAM 2300 CHAMBER ONLY  MWAVE STRIP (POLY)
LAM 2300 CHAMBER ONLY  MWAVE STRIP (POLY)
LAM 2300 CHAMBER ONLY  MWAVE STRIP (POLY)
LAM 2300 FX EX+ CHAMBER ONLY PLASMA OXIDE ETCH
LAM 2300 MWAVE STRPR CHAMBER  MWAVE STRIP (POLY)
LAM ALTUS CVD
LAM FLEX FX CHAMBER ONLY PLASMA OXIDE ETCH
LAM Novellus Altus Max Concept Three Tungsten CVD
LAM TORUS300K DRY Bevel Etcher
Lam 6 inch RF Coil Miscellaneous
Lam 9500 Match
Lam 9500 Match
Lam Controller Miscellaneous
Lam Controller Miscellaneous
Lam Kiyo Poly Match Match
Lam Research Match Match
Lam TCP Upper Match Match
Lam TCP Upper Match Match
Lam Versys Poly match Match
LAM / SEZ SP203 Single Wafer Processing
LAM / SEZ SP304 Single Wafer Processing
LAM / SEZ SP4300 Single Wafer Processing
LAM / SEZ SP203 Single Wafer Processing
Lam Research 853-011168-002 Rev C Surge Protector, Gap Drive Motor Assy
LAM RESEARCH Spare Parts Various Spare Parts for sale
LAM RESEARCH INOVA PVD
LAM RESEARCH INOVA PVD
LAM RESEARCH STRATA-3 CVD
LAM RESEARCH STRIKER CVD
LAM RESEARCH STRIKER CVD
LAM RESEARCH Vector Express CVD
LAM Research ALTUS CVD System
LAM Research ALTUS CVD System
LAM Research ALTUS CVD System
LAM Research INOVA Concept 3 NExT
Lam Research 853-495477-001 Rev B Cable
Lam Research 853-017410-003 REV A Assy. cable.LWR match,Pk det.
LAM Research 2300 MWAVE STRPR Chamber only
LAM Research FLEX_GX_E6 Oxide ETCH
LAM Research VECTOR EXPRESS TEOS CVD
LAM Research VECTOR EXPRESS TEOS CVD
LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma
LAM Research 2300 Exelan Flex FX – Chamber Only Dielectric Etch
LAM Research 2300 Versys Metal Metal Etch
Lam Research 810-06526-000 ASSY.CBL.EF CONTROL.SNIPER
LAM Research 2300e4 Exelan Flex DX Dielectric Etch
LAM Research 2300e4 Exelan Flex ES Dielectric Etch
LAM Research 2300 Coronus Wafer Edge Cleaning – Plasma
Lam Research 490 Autoetch Polysilicon Dry Etcher
LAM Research 2300 Exelan Flex Dielectric Etch
LAM Research TORUS 300K Dry Etch
LAM Research TORUS 300S Dry Etch
LAM Research 2300e4 Exelan Flex GXE Dielectric Etch
LAM Research EXELAN 2300 OXIDE ETCH
LAM RESEARCH 406-240121-008 TCP 9600SE Drawings and schematics Vol 3
LAM RESEARCH 406-240121-005 TCP 9600SE Maintenance procedure
LAM RESEARCH 406-240122-007 TCP 9600SE Drawing and schematics Vol 2
LAM RESEARCH 406-240122-006 TCP 9600SE Drawing and schematics Vol 1
LAM RESEARCH 406-240121-006 TCP 9600SE Drawing and schematics Vol 1
LAM RESEARCH 406-240121-007 TCP 9600SE Drawing and schematics Vol 2
LAM RESEARCH 406-240121-008 TCP 9600SE Manual set addendum
LAM RESEARCH 409-240164-001 TCP rainbow e oxide 9500
LAM RESEARCH 406-240040-002 TCP Rainbow 4500 system descriptions
LAM RESEARCH 406-240203-074 TPC 9400/9600 PTX alliance installation guide
LAM RESEARCH 406-240101-001 TCP 9400 SE installations and start up
LAM RESEARCH 407-240100-001 TCP 9400 illustrated part identifier
LAM RESEARCH 406-240121-003 TCP 9600 SE system operation
LAM RESEARCH 406-240121-002 TCP 9600 SE system description
LAM RESEARCH 406-240101-005 TCP 9400 SE maintenance classic
LAM RESEARCH 406-240203-074 TCP 9400/9600 PTX alliance installation guide
LAM RESEARCH 409-240163-001 Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface
LAM RESEARCH 406-240100-005 TCP 9400 maintenance procedure
LAM RESEARCH 406-240101-008 Drawings and schematics Vol3
LAM RESEARCH 406-240101-006 Drawings and schematics Vol3
LAM Research 2300 MWAVE STRPR Asher/Stripper
LAM RESEARCH 406-240101-005 Drawings and schematics Vol1
LAM RESEARCH 406-240101-007 Drawings and schematics Vol2
LAM Research FLEX GX E6 OXIDE ETCH
LAM RESEARCH 406-240122-009 Drawings and schematics Vol4
LAM Research FLEX GX E6 OXIDE ETCH
LAM RESEARCH 406-240122-008 Drawing and schematics Vol3
LAM RESEARCH 406-240122-010 Drawing and schematics Vol5
LAM Research TCP 9600SE metal etcher
Lam Research Ontrak Synergy Post CMP cleaner with HEPA mini-environment
Lam Research ® Jetstream MZI Gas Box, new and unused, p/n 571-065780-91534F
Lambda SPA 400 Generator
LAMBDA CKS-36-21A 36V DC Regulated power supply, Advantest T5335P
Lambda CA1000 Alpha 1000W  CA1000 Power Supply
LAMBDA PHYSIK Novaline K2005 248 nm excimer laser for ASML /300
LASERTEC BGM300 Wafer Surface Analyzing and VIsualization System
LASERTEC BGM300 Wafer Inspection System
Laurier DS-7000T/R Die Pick and Sort
LEATHERWOOD LPD333.FR4.FT Semi-Auto Automated 6′ Acid Wet Bench, for up to 6″ Wafers, Excellent Condition
LEATHERWOOD LPJ333.SS.ADFTX Semi-Auto 6′ Solvent Wet Bench, for up to 6″ Wafers, Excellent Condition
LEE VAC Gate valves
LEICA INM100 Microscope
LEICA INM100 Microscope
LEICA INM100 Microscope
LEICA KENSINGTON 300901 Wafer Inspection Microscope
LEICA KENSINGTON 300901 Wafer Inspection Microscope
LEICA KENSINGTON 300901 Wafer Inspection Microscope
LEICA KENSINGTON 300901 Wafer Inspection Microscope
LEICA Polyvar SC POLYVAR SCOPE
LEICA Reichert POLYVAR SC Wafer Inspection Microscope
LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope
LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope
LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope
LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope
Leica INS3300 Macro-Defect
Leica INS3300 Macro-Defect
Leica INS3300 Macro-Defect
Leica LEICA INM20 Microscope inspection station
Leitz 512815 / 2 Microscope for KLA 51xx with olympus eyepieces
LEITZ ERGOLUX AMC -LIS Inspection microscopes
LEYBOLD ISO-K 100 Large ISO-K Vacuum Bellows and 90 Degree Elbow
Leybold 287 02 V2 Pump Valve
LEYBOLD-HERAEUS NT-450 Turbo Pump Controller
LEYBOLD-HERAEUS TMP-450C Turbo Pump, 2ea Available
LEYBOLD-HERAEUS Turbotronik NT 150/360 Turbomolecular Vacuum Pump Controller
LEYBOLD-HERAEUS Turbovac 150 Turbo Pump, 2ea Available
LEYBOLD-HERAEUS Turbovac 150CSV Turbo Pump, 2ea Available
Liebherr FKV 3610 Fridge for the safe storage of photoresist
Lintec RAD-2000F / 8 LINTEC UV CURE TOOL
Lintec RAD-2500 Lintec Wafter Mounter RAD2500
Lintec RAD-2500M/8 Wafer mounter
Long Hill Ind. Ltd. LH 836 Automated Wafer taper
Longhill LH800 Wafer transfer machine
LOOMIS LCD 2P Wafer Scriber with Breaker Option
LOTUS Spray Cleaner WET Clean for parts
LUMONICS SUPERCLEAN Laser Marking System
MAC 225B-111BAAA Pneumatic solenoid valve
MACTRONIX UKA-625 Eureka III Jr. Manual Wafer Transfer
MACTRONIX UKA-825 Wafer Transfer Tool – Eureka Jr. for 200 mm Wafers
MACTRONIX UKA-650 Wafer Transfer Tool – Eureka III Sr. for 150mm Wafers
MagneTek DS 316 GPD 503
Maple Systems HMI530TV45 Touch Screen
Maple Systems HMI530TV45 Touch Screen
March Plasma Asher
MATHESON TRI.GAS ROTAMETER
MATRIX 0995-99844 System one etcher model 403 installations and operations manual
MATRIX 0995-99842 system one etcher model 303 installations and operations manual
MATRIX 0995-99844 Installation and operations manual
MATRIX 0995-99829 Oem user’s manual
MATSUSHITA BBC 35 N INTERRUPTOR CAP SYS
MATSUSHITA HP2-DC 24V RELAY  HP
MATTSON PARADIGM_SI DRY ETCH
MATTSON PARADIGM_SI Poly-silicon etcher
Mattson AST3000 RTP
MATTSON HELIOS RTP
MATTSON HELIOS RTP
MATTSON RFS3019 RF GENERATOR
MATTSON MILLIOS Rapid Thermal Anneal
MATTSON 17500675-00 Aspen OEM manual volume I
MATTSON 17500675-00 Aspen OEM manual volume II
MATTSON 17500675-00 Aspen OEM manual volume III
MATTSON 299-31000-00 Aspen strip system manual
MATTSON HELIOS RTP
MATTSON HELIOS RTP
MATTSON PARADIGME SI Dry Strip
MATTSON PARADIGME SI Polysilicon Etch
Mattson Aspen 3 ICP Dual Chamber Light Etcher
MATTSON HELIOS RTP
Mazzali Climatest C320G5 Temperature and humidity testing chamber
Mazzali Climatest C320G5 Temperature and humidity testing chamber
MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system
Mechatronic mWS 300t 4-stations Wafer sorter with 4 load ports
Mechatronic mWS200/300t Wafer sorter with 4 load ports
MECS UTC 820Z atmospheric wafer handling robot( Hitachi CD-SEM)
MECS OF 250 wafer pre-aligner(Hitachi CDSEM 8820/8840)
MECS UTC 801P atmospheric wafer handling robot( WJ-1000
MECS UTX 1100 atmospheric wafer handling robot(ASM eagle-10)
MECS UTX 1200 atmospheric wafer handling robot(ASM eagle-10)
MECS(Asyst) UTW-FS5500S atmospheric wafer handling robot
MEI VQ-20-ASA-H-SM Variable Throttle Valve
MELLES GRIOT 05-LHP-121 HE NE Laser (Unused)
Metryx Mentor OC23 Mass Measurement
Metryx Mentor DF3 Mass Measurement
Metryx Mentor OC23 Mass Measurement
Micro Automation 2066 Mask and wafer cleaner
Micro Vu Vertex 420 Precision coordinate measurement system
MICROAUTOMATION M-1100 Wafer Dicing Saw, for up to 6″ Wafers
Microcontrol MWE Plus UV Wafer Eraser with cassette loading
Micromanipulator Probe Station 1 Manual Prober with hot and cold chuck
MICRON 256 MB SYNCH
MICRONICS JAPAN CO. MP-10 Manual Probe Station with B&L StereoZoom 7 Microscope & 2ea Micropositioners
MICROSPEED PD-250C PC-TRAC mouse
Milara Milara MTW-1 Milara MiniTouch MTW-1 Wafer Screen Printer
Milara Milara MTW-1 Milara MiniTouch MTW-1 Wafer Screen Printer
MILLIPORE WGGB06WR1 WAFERGARD IN-LINE GAS FILTER
MILLIPORE FLUOROGARD-PLUS CWFA01PLV filter CARTRIDGE
MILLIPORE CORP WGFG01HR1 WAFERGARD F MINI IN-LINE GAS FILTER
Milton Roy AA761-65S Flow rate pump
MINATO MM-6600 Wafer Mobility Tester with MECS UX-1000 Wafer Robot, 2ea Available
Minato Electronics 1940 EPROM Programmer with additional memory
Minato Electronics 1940 EPROM Programmer
MINERTIA MOTOR RM SERIES MOTOR ELECTRIC
MINERTIA MOTOR RM SERIES INDUCTION MOTOR
MISC BPS MATCH Match
MISC DC BIAS CONTROL Match
MISC DC BIAS CONTROL Match
MISC DC BIAS CONTROL Match
MITSUBISHI MR-J10A1 AC SERVO
MITSUBISHI FR-Z120-0.4K PLC, INVERTER 200 V CLASS
MITSUBISHI Melservo MR-C10A1-UE AC SERVO AMPLIFIER
Mitutoyo Quick Vision QVT1-X606P1L-D CNC coordinate measuring system
MKS DCG 100E OPTIMA Generator
MKS MW-5060 Match
MKS MW-5060 Match
MKS MW-5060 Match
MKS MW-5060 Match
MKS MWJ-1013 Match
MKS NW100 Two Stage Heated Vacuum Valve, HPS DIV Jalapeno 45 Series Heater Cover
MKS 653B-13064 Baratron 1mbar
MKS 627BX01MCC1B Baratron 1mbar (CAPACITANCE MANOMETER)
MKS ASTRON i Remote Plasma Cleaner
MKS ASTRONex Remote Plasma Cleaner
MKS AX3060-1 Remote Plasma Cleaner
MKS AX3063 Remote Plasma Cleaner
MKS AX3063ULVJ Remote Plasma Cleaner
MKS AX3151 Remote Plasma Cleaner
MKS AX7650 Remote Plasma Cleaner
MKS AX7657-2 Remote Plasma Cleaner
MKS AX7700-10 Remote Plasma Cleaner
MKS AX9004 Remote Plasma Cleaner
MKS B-3013 RF GENERATOR
MKS B-5002 RF GENERATOR
MKS B-5002 RF GENERATOR
MKS D13449 RF GENERATOR
MKS DCG-200Z RF GENERATOR
MKS DCG-200Z RF GENERATOR
MKS DCG-200Z RF GENERATOR
MKS DCG-200Z RF GENERATOR
MKS DCG-200Z RF GENERATOR
MKS DCG-200Z RF GENERATOR
MKS DCG-200Z RF GENERATOR
MKS DCS80-13E RF GENERATOR
MKS FI20160-1 RF GENERATOR
MKS FI20162 RF GENERATOR
MKS FI20162 RF GENERATOR
MKS FI20162-1 RF GENERATOR
MKS FI20608 RF GENERATOR
MKS FI20609 RF GENERATOR
MKS FI20612 RF GENERATOR
MKS GEW-3540 RF GENERATOR
MKS GHW-12Z RF GENERATOR
MKS GHW-50Z RF GENERATOR
MKS GHW-85A RF GENERATOR
MKS GHW-85A RF GENERATOR
MKS GL-139 RF GENERATOR
MKS MWH-100-01M6 RF GENERATOR
MKS MWH-100-01M6 RF GENERATOR
MKS OEM-12 RF GENERATOR
MKS OEM-1250 RF GENERATOR
MKS OEM-25-11481 RF GENERATOR
MKS OEM-25G RF GENERATOR
MKS OEM-25N-01 RF GENERATOR
MKS OEM-6A-01 RF GENERATOR
MKS OEM-6A-11491-51 RF GENERATOR
MKS 154-0100P High Vacuum Valve, NEW
MKS OEM-6AM-1B RF GENERATOR
MKS OEM25A-21091-51 RF GENERATOR
MKS PC-3G RF GENERATOR
MKS Type 624 Baratron pressure transducer with trip points, range 1000 Torr, CE
MKS ASTeX Astron FI80131-R Remote Plasma Source
MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges
Moeller Xpole PLSM-C10/1 mini breaker switch
MOSAID 1000242-01 MS3400 test system reference manual
MOSAID MS4155 Memory Test System
MOSAID M4205 Memory Tester
MPM SP200 Screen Printer
MRL Black Max Black max heater element, 850 celcius
MRSI 505 Die Attach/ Multichip Module
MS PRG WO A9403789 MOUSE MODEL No.240C
MSP CORP. 2300XP1 Particle Depositioning
Muegge MX4000D-110LL MICROWAVE POWER SUPPLY
Muegge Controller Controller, CAN controller, L/R sync
Muegge MW2009D-260ED Magnetron Head 2.45GHZ
Muegge MX4000D-110LL Microwave Power Supply
Muhlbauer DS 10000 DSD HIGH SPEED TAPE AND REEL SYSTEM
Muhlbauer DS 10000 Muhlbauer DS10000
Multitest MT2168 Test handler with Lemsys tester for High Voltage and Hi Current testing
Multitest MT2168 test handler with hot, ambient and cryogenic cooling options
Musashi FAM2200 Dispenser
Musashi Short Master 200 Dispenser
Musashi Short Master 300 Dispenser
N&K ANALYZER 5700-CDRT Wafer Inspection System
n&k Technology 6700-CDRT Wafer Inspection System
NANO OPTICS HAZE 2 Nano Optics Haze 2
Nanofocus Microprint 3-D package inspection system
NANOMETRICS Caliper Mosaic Overlay measurement System
Nanometrics LynX 9010T Optical CD Measurement (Scatterometry) system
Nanometrics Nanospec 9100 Ox film thickness measurement (PC missing)
NANOMETRICS CALIPER ELAN Overlay
NANOMETRICS Caliper Mosaic Overlay
NANOMETRICS Caliper Mosaic Overlay
NANOMETRICS NANOMETRICS 9-7200-0195E Mask & Wafer Inspection
NANOMETRICS CALIPER_MOSAIC Overlay
Nanometrics 8000X film thickness measurement
Nanometrics 8000Xse film thickness measurement
Nanometrics Caliper Mosaic (Parts) EFEM Module, including a Brooks Razor robot
Nanometrics Lynx EFEM Kawasaki robot
Nanometrics Tevet Trajectory T3 Film Thickness Measurement System
Nanometrics 9000 Nanospec Wafer Metrology film thickness measurement tool
Nanometrics 9000 (Spare Parts) Spectroscopic Reflectometer
Nanometrics 9000 (Spare Parts) Computer Nanometrics P/n 7200-2432
NANOMETRICS CALIPER-ULTRA Overlay Measurement
NANOMETRICS CALIPER_ULTRA Mask & Wafer Inspection
NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection
NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection
NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection
Nanyang Quartz wafer boat Shell boat 200mm quartz
National Instruments GPIB120A GPIB BUS EXPANDER / ISOLATOR
NC NOR-CAL PRODUCTS 0995-16528 CHEMRAZ O-RING INSIDE OF VALVE
NEC NEC SL-473F Si Wafer Marker
NEC C1OT 6D TA 0100 THERMOSTAT
NEC MU1238B-11B AIRFLOW ROTATION
NEC MF300-02 MIST FILTER
Nemic-LAMBDA CKD-65/65 65V 6A DC Regulated power supply FOR Advantest T5335P
Nemic-LAMBDA TKS_36-21A 36V 21A DC Regulated power supply, Advantest T5335P
NESLAB HX+75 A/C Process Module Chiller
NESLAB CFT-75 Recirculating Water Chiller
NESLAB RTE-221 Refrigerated Recirculating Water Chiller with Microprocessor Controller
Neslab 1033-0017-001 Chiller
Neslab RTE-110 chiller
NESLAB HX-750 Air Cooled Recirculating Water Chiller, Damaged Compressor
NESLAB HX-75 Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled
NEUTRONIX/QUINTEL 7000 Mask Aligner, with IR Backside Alignment, for up to 6″ Wafers
NEXTEST MAGNUM II EV ICP Memory Tester
NexTest / Teradyne MAGNUM 1 EV Automated Test Equipment
NexTest / Teradyne MAVERICK PT II Automated Test Equipment
NexTest / Teradyne MAVERICK PT II Automated Test Equipment
NexTest / Teradyne Maverick-II PT Bitmap Tester
NexTest / Teradyne Maverick-II PT Bitmap Tester
Nextest Systems Corporation MAGNUM II ICP 1280 Memory Tester
Nextest Systems Corporation MAGNUM ICP 1280 Memory Tester
NGR NGR2150 E-beam wafer inspection
NICOLET Avatar 370 DTGS FT-IR Spectrometer
NICOLET Magna 410 ft-ir FTIR Spectrophotometer
NICOLET Magna 560 ft-ir FTIR Spectrophotometer
NICOLET Magna 550 FT-IR Spectrophotometer
NIDEC Im15 Wafer inspection
NIDEC Im800 Wafer inspection
Nikon NSR-S307E 193 nm  (ArF) excimer exposure system
Nikon Auto Wafer Loader for Microscope Inspection WAFER INSPECTION MICROSCOPE
Nikon NSR-S208D 248 nm  (KrF) excimer exposure system
NIKON OptiStation 3 Wafer Inspection Microscope
NIKON Optistation 3 Wafer Inspection Microscope
Nikon NWL860-TBM Wafer Auto Loader
NIKON NWL-860 Automatic Microscope Wafer Loader for up to 200mm Wafers
Nikon 4S061-690-1 Linear Motor Controller
NIKON Optiphot 200 Wafer Inspection Microscope, B/Dfield & DIC Microscopy, Motorized Turret with 5ea Objectives, Prior ProScan Programmable Stage, 200mm X 200mm XY Travel, Color CCD Camera & More
Nikon 4S586-580 NEST
Nikon Irradiance Meter included G-line sensor
Nikon Irradiance Meter included i-line sensor
Nikon OPTIPHOT-200 Wafer inspection microscope
Nikon OPTIPHOT-300 Wafer inspection microscope
Nikon OPTIPHOT-88-AC IN Wafer inspection microscope
Nikon R1505A test reticle
Nikon R2005MF(VER3.09N), R2005HMF(VER5.09) test reticle
Nikon R2205HA, HB, HDIS, HMF test reticle
Nikon VT286 Digital
Nikon EpiPhot 200 Inspection Microscope
NIKON NSR-S620D 193 NM immersion scanner (EXCIMER LASER NOT INCLUDED)
Nikon NSR-SF140 I-LINE SCANNER
Nikon NSR-S204B 248 nm  (KrF) excimer exposure system
Nikon Eclipse L200 Wafer Inspection Microscope
Nikon NSR-S609B ArF 193 nm scanner (Scanner)
Nikon NES1-H04 Mini stepper
Nikon NSR-S308F 193nm (ArF) Scanner
Nikon A1R Confocal Laser Scanning Microscope with Prior Proscan III automated stage
NIKON 204402 Operations manual NSR-1755I7A
Nikon ECLIPSE L150 Microscope
Nikon Optiphot 150 Microscope Inspection Station
Nikon 4S061-688-5 X Linear Motor Stage Amp
NIKON RETICLE BOX 5 INCH 5 INCH RETICLE BOX
NIKON AMI-3300 Wafer Inspection System
NIKON AMI-3300 Wafer Inspection System
NIKON AMI-3500 Wafer Inspection System
Nikon NSR2205 i14E i-line stepper
Nikon NSR-SF100 i-line scan-field Stepper
Nikon Optistation 3 Wafer Inspection System
NIKON NSR-204B Scanner DUV (GIGAPHOTON G20K2 KRF laser)
Nikon Optistation 3A Automatic Wafer Inspection Station
NIKON NSR-204B 248 nm  (KrF) excimer exposure system
NIKON Optiphot 200 (For spares use) Wafer Inspection Microscope (Parts Tool Only)
Nikon Optiphot 200 Wafer Inspection Microscope
NIKON Optiphot 88 Wafer Inspection Microscope
Nikon NSR-S204B 248 nm  (KrF) excimer exposure system
Nikon NSR-S203B 248 nm  (KrF) excimer exposure system
Nikon NSR-S203B 248 nm  (KrF) excimer exposure system
Nisshin 7 kgf/cm2 pressure gauge
Nisshin 4 kgf/cm2 pressure gauge
Nisshin 0-76 cmHg vacuum gauge
Nisshin 0-1 kgf/cm2 pressure gauge
Nissin Exceed 3000AH Medium Current Implanter
Nissin Exceed 2000 Medium Current Ion Implanter
NITROGEN PRESSURE VALVE
NITSUKO BCR 2600/BCV 5050 POWER SUPPLY
Nitto N286M Wafer Mounter
Nitto TT1R2-1 teach pendant for robot TT1R2-1
Nitto MA 3000 II Wafer tape mounter and demounter
Nitto HR8500-2 INSTRUCTION MANUAL
Nitto DR8500-2 INSTRUCTION MANUAL
Nitto 44941001 TAPE SPOOL
Nitto 1603502 TAPE SPOOL
NK RM 120 REAL TIME  MONITOR
Nordson Matchbox Match
Nordson Matchbox Match
Nordson Matchbox Match
Nordson Matchbox Match
Nordson Matchbox Match
Nordson Matchbox Match
Nordson Matchbox Match
Nordson Matchbox Match
Nordson Matchbox Match
Nordson Matchbox Match
Nordson Matchbox Match
NORDSON CW2 RF GENERATOR
NORDSON DSS CW2 V2 RF GENERATOR
Norgren M/50/EAN/5V electronic switch
NOVA NOVASCAN 3090 P/N 390-10000-11 CMP metrology system
Nova NovaScan 3090 Next SA Optical CD Measurement
Nova NovaScan 3090 Next SA Optical CD Measurement
Nova NovaScan 3090 Next SA Optical CD Measurement
Nova NovaScan 3090 Next SA Optical CD Measurement
Nova V2600 Integrated CMP Endpoint / Film Measurement
NOVA T600 MMSR Ellipsometer for CD and thin film measurements
NOVA MEASURING Novascan Novascan CMP Endpoint measurement unit
NOVA MEASURING Novascan Novascan CMP Endpoint measurement unit
Novascan 945-00666-00 HALOGEN LAMP
NOVELLUS GAMMA 2100 asher / PR STRIP
NOVELLUS MB2 Metal PVD System
NOVELLUS MB2 Metal PVD System
NOVELLUS MB2 Metal PVD System
NOVELLUS CONCEPT 3 GAMMA 2130
NOVELLUS CONCEPT 3 GAMMA 2130
NOVELLUS CONCEPT 3 SPEED NEXT
NOVELLUS CONCEPT 3 SPEED NEXT
NOVELLUS CONCEPT 3 SPEED NEXT
NOVELLUS CONCEPT 3 INOVA NExT
NOVELLUS VECTOR PECVD Nitride
NOVELLUS 676 CMP System Polisher
Novellus Concept Three Altus WCVD (Chemical Vapor Deposition)
Novellus Concept Three Altus WCVD (Chemical Vapor Deposition)
Novellus VECTOR PECVD (Chemical Vapor Deposition)
Novellus VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition)
Novellus Concept Three Speed HDP CVD (Chemical Vapor Deposition)
NOVELLUS TOP MATCH RF GENERATOR
Novellus SABRE 3D ECD (Electro Chemical Deposition)
Novellus VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition)
NOVELLUS VECTOR TEOS CVD SYSTEM
NOVELLUS VECTOR TEOS CVD SYSTEM
NOVELLUS VECTOR TEOS CVD SYSTEM
NOVELLUS VECTOR TEOS CVD SYSTEM
NOVELLUS VECTOR TEOS CVD SYSTEM
Novellus C3 Vector UV Cure UV Cure
NPP NPG-15KM RF GENERATOR
NPP NPG-3KG RF GENERATOR
NPP NPG-8000H RF GENERATOR
NPP NPG-8000H(VER 04) RF GENERATOR
NPP NPG-8000H(VER 05) RF GENERATOR
NPP NPG-8000H(VER 06) RF GENERATOR
NPP NPG-8000H(VER 08) RF GENERATOR
NPP NPM-10KCSM RF GENERATOR
NPP NPM-10KCSMD RF GENERATOR
NPP NPM-1250M RF GENERATOR
NPP NPM-1250X RF GENERATOR
NPP NPM-2KEM RF GENERATOR
NPP NPM-3KCAT RF GENERATOR
NPP NPR-804L RF GENERATOR
NPP NPR-804LJ RF GENERATOR
NPP NPRLC-400 RF GENERATOR
NSK GLOBAL LTD MAEBASHI PLANT BALL SCREW
Nuclear Elettronica 314E 5/12 – 6/2 rev A Power Supply, 5V/12A – 6V/2A
Nuclear Elettronica 314E.100 REV A Power Supply, 5V/20A
Nuclear Elettronica 312E.44L REV A Dual Power Supply, +/- 15V / 1A
Numatics 225-272B Solenoid Valve
Numatics 12DSA4 OA00030 Solenoid Valve 120V
Numatics 227-833B valve
Numatics L22L-03 FILTER PNEUMATIC
NUPRO SS-4BK-V51 REGULATOR PRESSURE
NUPRO SS-4R3A1- TUBE FITTINGS & VALVE
NUPRO 7 MICRON
NUPRO 107
OAI Hybralign 400 Mask Aligner, for up ro 200mm Wafers
Oerlikon Clusterline 200 PVD cluster tool with 6 chambers (Used for Al, Ti, NiV and AG)
Olympus AL110N-LMB6 Microscope autoloader only (Not including the microscope)
OLYMPUS AL100-L8 Wafer Loader, 200mm, Parts Tool
Olympus AL110-LMB6 with MX51 wafer loader with Microscope
Olympus WHK 10X/20L-H EYEPIECES FOR TRINOCULAR MICROSCOPE
OLYMPUS DBAP-FA-Z SERVO DRIVER
Olympus BH2-UMA BRIGHTFIELD / DARKFIELD REFLECTED LIGHT ILLUMINATOR FOR THE BH SERIES MICROSCOPES
Olympus LH50A Microscope illuminator, 50W 12 V
Olympus BH3 (Parts) Camera adapter and illuminator for Microscope
Olympus AL110N-LMB6 Wafer Inspection Microscope with autoloader
Olympus BA124L001 DC MOTOR W/ D500 GEAR HEAD
Olympus BA124L001 DC MOTOR W/ D500 GEAR HEAD
OLYMPUS BH-BHM Wafer Inspection Microscope
Olympus Optical DBAP-FA-Z GA Servo Driver
Omniguard 860UV-IR UV-IR Fire detector, w/ mount
OMRON r88d-ua02ha servo driver
OMRON E3C-DM2R 2 M PHOTOELECTRIC SWITCH
OMRON E3C-C PHOTOELECTRIC SWITCH
OMRON E5CJ TEMPERATURE CONTROLLER
OMRON E3S-X3CE4 TEMPERATURE CONTROLLER
OMRON TL-W5WC2 PROXIMITY SWITCH
OMRON E3XR-CE4 TEMPERATURE CONTROLLER
OMRON E2E-C1C1 PROXIMITY SWITCH
OMRON E3S-XE1 PHOTO ELECTRIC SWITCH
OMRON E3C-JC4P PHOTO ELECTRIC SWITCH
OMRON E3X-A11 PHOTO ELECTRIC SWITCH
OMRON E3HT–DS3E2 PHOTO ELECTRIC SENSOR
OMRON E3C–JC4 AMPLIFIER UNIT
OMRON EE-SPW321 PHOTO MICROSENSOR
OMRON E32-TC200A PHOTO ELECTRIC SWITCH
ON SITE GAS SYSTEMS N-20 N2 Generator with 100 Gallon Storage Tank
ONE AC CORP FMV 321S TRANSFORMER FOR KLA 7700 SERIES PSU
ONTO WV320 MACRO INSPECTING
ONTO AutoEL III Ellipsometer
ONTO Axi 935 Macro-Defect
ONTO MetaPULSE 300 Film Thickness Measurement System
ONTO MetaPULSE 300 Film Thickness Measurement System
ONTO NSX 105 Macro-Defect
Orbotech Ultra Discovery VM IC inspection system for FC-BGA, PBGA, CSP and COF
ORIEL 68805 POWER SUPPLY 40-200 WATTS  FOR MERCURY ARC LAMP
ORIEL 68805 ULTRAVIOLET LIGHT – LAMP HOUSING
ORIENTAL MOTOR SEE COMMENTS GEAR HEADS
ORIENTAL MOTOR 21K6GK-A2 INDUCTION MOTOR
ORIENTAL MOTOR 21K6GN-A
ORIENTAL MOTOR 51K40GN-AT
ORIENTAL MOTOR PB204-101
ORIENTAL MOTOR 5rk40gk-a2 MOTOR ELECTRIC
ORIENTAL MOTOR 2IK6GK-A MOTOR ELECTRIC
ORIENTAL MOTOR XU9 MOTOR ELECTRIC FO FR CARRIER MOTOR
ORIENTAL MOTOR 4GK15K MOTOR ELECTRIC
ORIENTAL MOTOR MBM425-411 SPEED CONTROL MOTOR
ORIENTAL MOTOR PH596-A STEPPING MOTOR
ORIENTAL MOTOR PH265-02 2-PHASE STEPPING MOTOR
ORIENTAL MOTOR 4LF45N-2 LINEAR HEAD
ORIENTAL MOTOR UPH564-A 5 PHASE STEPPING MOTOR
ORIENTAL MOTOR 4RK25RGK-AM REVERSIBLE MOTOR
ORIENTAL MOTOR 4GN30K GEAR HEAD
Oriental Motor 5RK40RGK-AM REVERSIBLE MOTOR,  40W 100V 50/60 HZ
ORTHODYNE 20B Heavy Wire Bonder
Oxford NGP 1000 PECVD
Oxford Plasmalab System 100 PECVD TEOS with Load Lock
Oxford Micro-etch 300 Dry Etcher
Oxford Micro-dep 300 PE CVD system (For spares use)
OXFORD 800+ RIE (Reactive Ion Etcher)
Oxford Instruments OPT AMU Match
PAC TECH SB2-SM SOLDER BALL BUMPER
PALL GLF6101VF4 GASKLEEN GAS FILTER
PALL GLF6101VF4 GAS FILTER
Palomar 3500-III Die Bonder
PANASONIC MF A 020LATNP AC SERVO MOTOR
Panasonic M91C90GD4W1 AC Geared Motor (G Series)
PARKER 60 SERIES QUICK COUPLING FEMALE COUPLER BH4-60
PATLITE SEFW-A SIGNAL TOWER
PEARL GEN 80-19 RF GENERATOR
PEARL M-30A2S-V RF GENERATOR
PEARL RP-3000-100MK-PS RF GENERATOR
PEARL RP-8000-2M RF GENERATOR
PEARL ZDK-926-100M RF GENERATOR
PEARL KOGYO ZDK-916L2X Automatch Controller, Brand New, 4ea Available
Pepperl Fuchs OBE5000-18GM70-SE5 proximity sensor
pepperl&fuchs OBE5000-18GM70-E5-V1 Proximity sensor
PERKIN-ELMER 2400 Sputtering System
PFEIFFER ADS602P TOP Vacuum Pump
PFEIFFER ADS602P Vacuum Pump
PFEIFFER ADS602H Vacuum Pump
PFEIFFER ADS1202H Vacuum Pump
Pfeiffer PTR26761A Pirani Gauge, D-35614 TPR265
Philips PHI 680 Auger Nanoprobe
Picosun P1000 High Volume ALD system for batch coating of parts
Pink V8-G-AUTO Low pressure Plasma Cleaning System
Pittman GM9213E081 GEAR motor 19.1 vdc 65.5:1 RATIO
Plasma Therm Wafer/ Batch 740 DUAL PLASMA ETCH AND RIE
Plasma Therm Wafer/ Batch 740 DUAL PLASMA ETCH AND RIE
PLASMA-THERM 790 Reactive Ion Etcher, Refurbished – Call for Details
PLASMA-THERM SLR770 Inductively Coupled Etcher with Load-Lock, Refurbished – Call for Details
PLASMART PF05100-3B36S RF GENERATOR
PLASMART PF05100-3B36S RF GENERATOR
PLASMART PFDUAL-6B36A RF GENERATOR
Plasmatherm SLR 770 Dual Chamber R.I.E.
Plasmatherm SLR 720 RIE Etcher
PlasmaTherm SLR 740 Dual Chamber RIE / Plasma etch
Plasmatherm 790 Reactive Ion Etcher
PLASMATHERM LAPECVD Large Area PECVD system, used for SiO and SiN process depositions
PM500 09 P MASK RETICLE
PMS Liquitrack 776200 Non volatile residual Monitor for water-quality checking
PMS MICRO LPC-210 MICROLASER PARTICLE COUNTER
Poly Design Inc. Custom Heated Quartz Boat storage / drying system
PolyFlow Triple Tower II Quartz Cleaner
Power Launch (?) FU100F Valve tube electron tube
Power One HPM5A2A2KS234 5V Switching Power Supply
Power One HPM5C1C1E1E1H1S240 Switching Power Supply
Power One HPM5F2F2KS233 2V Switching Power Supply
Power One HPM5E2E2KS228 28 V Switching Power Supply
Power One SPM2E1E1S304 28 V Switching Power Supply
POWERTEC 9J5-360-371 SUPER SWITCHER TM SERIES POWER SUPPLY
POWERTEC ASTEC 9J8-200-371 SUPER SWITCHER TM SERIES POWER SUPPLY
POWERTEC ASTEC 9K2-300-372 SUPER SWITCHER TM SERIES POWER SUPPLY
POWERTEC ASTEC 9J12-130-371 SUPER SWITCHER TM SERIES POWER SUPPLY
POWERTEC ASTEC 6C32-EE-371 SUPER SWITCHER TM SERIES POWER SUPPLY
PSC DES-220-456AVL ASHER
PSK TERA21 Asher
PSK SUPRA3 Asher Dry Strip
PSK TERA21 Asher
PSK DAS 2000 Asher
PSK TERA21 Asher/Stripper
PSK SUPRA3 Dry Strip
PSK TERA21 PR Ashing
PSK TERA21 PR Ashing
PSK TERA21 PR Ashing
PSK TERA21 PR Ashing
PSK Supra IV Stripper/Asher
PSK Supra IV Stripper/Asher
PSK Supra IV Stripper/Asher
PSK Supra IV Stripper/Asher
PSK SUPRA III PHOTORESIST STRIP
PULNIX TM-7EX VIDEO CAMERA WITH FUJI NF35A-2 LENS
Qualiflow AFC 50D Mass Flow Controller;Meter,Spectrometer,Gas Mix, control
RAYTEX RXW-800 EDGE SCAN
Raytex RXW-0826SFIX-SMIF edge scanner
Raytex RXW-1227 EdgeScan Edge Defect
Raytheon ELC-14947 Directed light assembly, for ESI
RECIF IDLW8R Wafer ID Reader – Tabletop for 200mm Wafers
RECIF SPP8 Wafer Transfer for 200mm Wafers
Rectif Sort Batch Flip AHMS Sorter
Renishaw RGH24X30A00A Encoder Head NEW IN BOX
ReVera RVX1000 Film Thickness Measurement System
ReVera RVX1000 Film Thickness Measurement System
RF Global Solutions RF Services Match controller Miscellaneous
RF Services ICPSM Match
RF Services ICPSM Match
RF Services ICPSM Match
RF Services ICPSM Match
RF Services LF Splitter Miscellaneous
RF Services LF Splitter Miscellaneous
RF Services LF Splitter Miscellaneous
RF Services LF Splitter Miscellaneous
RF Services LF Splitter Miscellaneous
RF Services RFS 1000 Match
RF Services RFS 1000 Match
RF Services RFS 1000 Match
RF Services RFS 1000 Match
RF Services RFS 1000 Match
RF Services RFS 1000 Match
RF Services RFS 1000 Match
RF Services RFS 1000 Match
RF Services RFS 1000 Match
RF Services RFS 1000 Match
RF Services RFS 1000 Match
RF Services RFS 1000 Match
RF Services RFS 1000M Match
RF Services RFS 2502 Match
RF Services RFS 3002 ICP Match
RF Services RFS 3002A Match
RF Services RFS 3002A ICP Match
RF Services RFS 3006 Match
RF Services RFS 3009 ICPSM Match
RF Services RFS 3018 Match
RF Services RFS 500 Match
RF Services RFS 500/700 Match
RF Services RFS 500/700 Match
RF Services RFS 500/700 Match
RF Services RFS 500/700 Match
RF Services RFS 500/700 Match
RF Services RFS 500/700 Match
RF Services RFS 500/700 Match
RF Services RFS 700 Match
RF Services RFS 712 Match
RF Services Splitter 1k Miscellaneous
RF Services Splitter 1k Miscellaneous
RF Services RFS 500/700 RF Match
RFPP LF-5 RF Generator
RFPP AM-10 Match
RFPP AM-10 Match
RFPP AM-10 Match
RFPP AM-10 Match
RFPP AM-10 Match
RFPP AM-10 Match
RFPP AM-10 Match
RFPP AM-10 Match
RFPP AM-10 Match
RFPP AM-10 Match
RFPP AM-10 Match
RFPP AM-10 Controller Miscellaneous
RFPP AM-20 Match
RFPP AM-20 Match
RFPP AM-30 Controller Miscellaneous
RFPP AM-5 Match
RFPP AM-5 Match
RFPP AM-5 Controller Miscellaneous
RFPP AMN Match
RFPP AMNPS-2A  Controller Miscellaneous
RFPP AMNPS-2A Controller Miscellaneous
RFPP AMNPS-2A Controller Miscellaneous
RFPP AMNPS-2A Controller Miscellaneous
RFPP AMNPS-2A Controller Miscellaneous
RFPP AMNPS-2A Controller Miscellaneous
RFPP AMNPS-2A Controller Miscellaneous
RFPP ICP20-P Generator
RFPP LF-5 Generator
RFPP LF-5 Generator
RFPP LF-5 Generator
RFPP LF-5 Generator
RFPP LF-5 Generator
RFPP LF-5 Generator
RFPP LF-5S Generator
RFPP Match Match
RFPP RF 25M Generator
RFPP RF 30H Generator
RFPP RF 50 Generator
RFPP RF-20H Controller Miscellaneous
RFPP RF-20M Generator
RFPP RF20S Generator
RFPP RF-20S Generator
RFPP RF-20S Generator
RFPP RF-20S Generator
RFPP RF-20S Generator
RFPP RF-20S Generator
RFPP RF25M Generator
RFPP RF30H Generator
RFPP RF-30S Generator
RFPP RF-30S Generator
RFPP RF-30S Generator
RFPP RF-5S Generator
RFPP RF-5S Generator
RFPP RF-5S Generator
RFPP RF-5S Generator
RFPP RF-5S Generator
RFPP RF-5S Generator
RFPP RF-5S Generator
RFPP RF-5S Generator
RFPP 7600001010 RF GENERATOR
RFPP 751031301F RF GENERATOR
RFPP I22050001AMNPS RF GENERATOR
RFPP AM-10 RF Match
RFVII ATN-10 Match
RFVII ATN-50 Match
RFVII PT-II-CE Controller Miscellaneous
RFVII PT-II-CE Controller Miscellaneous
RFVII RF-20 Generator
Riber ® MBE 32 Molecular Beam Epitaxy system for R and D
RIGAKU V300 Total Reflection Xray Fluoroescence Spectrometer
RIGAKU 3640  WAFER/DISK Analyzer
RIGAKU XRF3640 (Handle include) Wafer/ Disk Analyzer
Rofin PowerLine D-100 (RSM, Sx) Fiber Laser for solar cell edge isolation
Rofin Powerline L100 SHG YAG laser Ablation system 532 nm
Rorze RR304L90 Wafer handling robot, with 5 ceramic robot blades
Rorze RV201 Load Port
Rorze RR701L1521-3A3-111-3 Dual arm Atmospheric wafer handling robot
Rorze RR713L1521-3A3-E13(E11)-1 Dual arm Atmospheric wafer handling robot
Rorze RR717L1521 Dual arm Atmospheric wafer handling robot
Rorze Wafer sorter with RR717L1521 robot wafer sorter for 300mm wafer
RORZE RASS300F Wafer Sorter / 4Foup type
Rorze BERC-RD023MS 2P MICRO STEP DRIVER
RORZE RSC242 Wafer Sorter / 4Foup type
RORZE RSC242 Wafer Sorter / 4Foup type
Rorze RR701L1521-3A3-111-2 Dual arm Atmospheric wafer handling robot
Rorze RR701L90-Z20-616 Dual arm Atmospheric wafer handling robot
Rorze RR713L1521-3A3-E11-0 Dual arm Atmospheric wafer handling robot with controller
Rosemont Analytical 1055-01-11-22 PH Monitor Solucomp II
Roth & Rau SiNA (Spare Parts) Spare Parts from PECVD system for deposition of Silicon Nitride
ROYCE INSTRUMENTS ASTM-2kg Die Shear Load Cell
ROYCE INSTRUMENTS ASTM-50g Wire Pull Load Cell
ROYCE INSTRUMENTS ASTM-200g Die Shear Load Cell
ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell
ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell
ROYCE INSTRUMENTS STM-20kg Die Shear Load Cell
ROYCE INSTRUMENTS System 550 100K Die Shear/Bond Pull Tester with RPTM 50g Wire Pull Load Cell, ASTM-200g, ASTM 2K, STM 20K & STM 100K  Shear Test Load Cells, Collection of Workholders
ROYCE INSTRUMENTS Die Shear Workholder
RUDOLPH AXI-S930B Macro Defect Inspection
Rudolph WaferView 320 Macro Wafer Defect Inspection System
RUDOLPH FE-3 Focus Ellipsometer
RUDOLPH FE-4D Focus Ellipsometer
RUDOLPH AXI-S Macro Wafer Inspection
RUDOLPH MP1-300XCU Film Thickness Measurement System
RUDOLPH WV320 Wafer Inspection System
RUDOLPH AXI-S Macro Inspection System
RUDOLPH FE-7 Ellipsometer
RUDOLPH MP300 Film thickness measurement
RUDOLPH MP300 Film thickness measurement
RUDOLPH S3000A Focused Beam Ellipsometer
Rudolph MP200XCU Cu Film thickness measurement
Rudolph MP300 Metal Film thickness measurement
Rudolph MP300 XCu Cu Film thickness measurement
RUDOLPH NSX 105 Automated Wafer, Die and Bump Inspection System
RUDOLPH AXI-S Macro Inspection
RUDOLPH AXI935D AVI
RUDOLPH MP3 300XCU FLIM THICKNESS MEASUREMENT SYSTEM
RUDOLPH META PULSE 200 Film thickness measurement
RUDOLPH META PULSE 200 Film thickness measurement
RUDOLPH META PULSE 200X CU Film thickness measurement
(Including HDD)
RUDOLPH META PULSE 200X CU Film thickness measurement
(Including HDD)
RUDOLPH AXI_S Macro Inspection System
RUDOLPH META PULSE II 200X CU Film thickness measurement
Rudolph Sonus 7800 Acoustic Metrology and Defect Detection System
RUDOLPH AXI_S Macro Inspection System
RUDOLPH MP200 Film thickness measurement
RUDOLPH AXI_S Macro Inspection System
RUDOLPH Meta Pulse Film Metrology
Rudolph WS3840 3D Bump Metrology
RUDOLPH META PULSE 200 Surface Film Metrology
Rudolph NSX 115 Automated Defect Inspection
Rudolph NSX 95 Automated Macro Defect Inspection
Rudolph NSX-105 Automated Defect Inspection
Rudolph NSX-95 Automated Macro Defect Inspection
Rudolph NSX-95 Manual Macro Wafer Defect Inspection
Rudolph Axi 935 Macro-Defect
Rudolph Matrix S-300 Ellipsometer
Rudolph MetaPULSE 300 Film Thickness Measurement System
Rudolph NSX 105 Macro-Defect
RUDOLPH  MP3_300A METAL THICKNESS MEASUREMENT
RUDOLPH MP-300 Film thickness measurement
RUDOLPH MP1-300 Film Thickness Measurement
RUDOLPH MP1-300 Film Thickness Measurement
RUDOLPH MP1-300XCU Film thickness measurement system
RUDOLPH NSX 105 MACRO DEFECT INSPECTION SYSTEM
RUDOLPH S3000A FBE(focused beam laser ellipsometry)
RUDOLPH S3000A FBE(focused beam laser ellipsometry)
RUDOLPH S3000A FBE(focused beam laser ellipsometry)
RUDOLPH S3000A FBE(focused beam laser ellipsometry)
RUDOLPH S3000S FBE(focused beam laser ellipsometry)
RUDOLPH S3000S FBE(focused beam laser ellipsometry)
RUDOLPH WS2500 Wafer Inspection System
RUDOLPH WS2500 Wafer Inspection System
RUDOLPH WS2500 Wafer Inspection System
RUDOLPH WS2500 Wafer Inspection System
RUDOLPH WV320 Macro Inspection
RUDOLPH MP200 Film thickness measurement
RUDOLPH MP200 Film thickness measurement
RUDOLPH WV320 Macro Defect Inspection System
Rudolph AXI-S Macro Defect Inspection System
RUDOLPH WV320 Macro Defect Inspection System
RUDOLPH Meta Pulse 300 Film thickness measurement
RUDOLPH Meta Pulse 300 Film thickness measurement
RUDOLPH MP1-300 Film thickness measurement
Sairem MU Controller Miscellaneous
Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester
SAMCO PD3800 LED – pecvd system
SAMCO RIE-212 IPC LED Reactive Ion Etcher
SAMCO RIE-300NR Reactive Ion Etching System
SAMCO RIE-300NR Reactive Ion Etching System
SAMSUNG MR16R0828AN1-CKB 128 MB/8 RAM
Sanitas EG Multilevel EPROM Programmer
Sankei Giken TCW-12000 CV Process Module Chiller
SANYO DENKI RBA2C-202 SERVO DRIVER MODULE
SCANLAB RTC 2 Type XY-01 PC INTERFACE BOARD
SCFH AIR 100 PSIG
Schroff PSM 115 Power Supply Unit
SDI FAAST 230-DP+SPV+SLIC CARRIER LIFETIME MEASUREMENT
SEC Semiconductor Equipment Corp. 3100 Manual wafer taper
SECRON IP 300 Prober
SEEKA UM-T50DT PHOTO SENSOR
Seiko SDI 4000 operation manual for SDI 4000 Semiconductor process evaluation SEM
Seiko Seiki SCU 301H Turbo Pump  Controller Unit
Seiko Seiki SCU-1000C Controller for Seiko Seiki STP 1000C Turbo pump
SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box
SELA/Camtek EM3i Saw for TEM sample preparation
Semco C60 Cleanstar PFA Valve C608075305A12HPW C60 HPW
Semco HVS 1500 Controller Miscellaneous
Semco HVS 2K Controller Miscellaneous
Semco 156 MM WAFER BOAT Solar Wafer (156mm) Quartz Rack/Holder for a Furnace
Semco Wafer Boat Solar Wafer (156mm) Quartz wafer boat
Semco wafer boat Solar Wafer (156mm square) Quartz Rack/Holder for a Furnace
Semco Wafer Boat Solar Wafer  Quartz wafer boat, 5″
SEMICS OPUS2 FULLY AUTOMATED PROBER
SEMICS OPUS2 FULLY AUTOMATED PROBER
SEMICS OPUS2 FULLY AUTOMATED PROBER
SEMICS OPUS2 FULLY AUTOMATED PROBER
SEMICS OPUS3 FULLY AUTOMATED PROBER
SEMICS OPUS3 FULLY AUTOMATED PROBER
SEMILAB PMR_3000 Dose Monitoring
SemiNet Automation Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Wafer Stocker
Semitool Symphony 2300 Spray Acid Tool (1-chamber, 300mm)
Semitool Equinox Electroplating System
Semitool Sirius HydrOzone wafer cleaning system
Semitool SST 421 Spray Solvent Tool
Semitool SAT2081D2PCCU OEM SAT Spray Acid Etch Tool
SEMITOOL WST 406MG Wafer Spray Solvent Tool
Semitool ST-921R-AA Spin Rinse Dryer
Semitool ST-240 Spin Rinse Dryer
Semitool PA7230M SRD Rotor
Semitool A72-20M SRD Rotor
Semitool Raider ECD 312 Electro-chemical deposition system
SEMITOOL 2080S(OAZP)CBE Equipment manual
SEMITOOL EO212PM Cu PLTNG
SEMITOOL ST 470
SEMITOOL WSST Water Soluble Strip Tool
SEMITOOL WST305M Spin Dry
Semitool PSC 101 Double Stack SRD (Set up for solar wafer use)
Semitool ST-921R-AA Spin Rinse Dryer
Semitool Scepter Solvent Batch Wafer Processing
Semitool Equinox Cu Cu Plating tool with  4 plating chambers and 5 preclean chambers
Semix Tazmo SOG track
Sensarray 1530D-8-0023 Process Probe Instrumented Wafer
Sensarray 1530D-8-0023 Process Prober Instrumented Wafer
SENTECH Senduro 300 Thin Film measurement
Seren L301 RF Generator
Seren L601 RF Generator
Seren AT20 Match
Seren AT30 Match
Seren AT35 Match
Seren AT35DSE2 Match
Seren AT35VFC Match
Seren R601 RF Generator
Seren AT6 Match
Seren AT6 Match
Seren AT6M Match
Seren Controller Miscellaneous
Seren I1827MWF Generator
Seren I1827MWF Generator
Seren I1827MWF Generator
Seren I1827MWF Generator
Seren I1827MWF Generator
Seren I2000 Generator
Seren L301 Generator
Seren L301 Generator
Seren L301 Generator
Seren L301 Generator
Seren L301 Generator
Seren L301 Generator
Seren L301 Generator
Seren L601 Generator
Seren L601 Generator
Seren MC2 Controller Miscellaneous
Seren MC2 Controller Miscellaneous
Seren MC2 Controller Miscellaneous
Seren MC2 Controller Miscellaneous
Seren MC2 Controller Miscellaneous
Seren MC2 Controller Miscellaneous
Seren MCRS Match
Seren PSRS Generator
Seren R2001 Generator
Seren R3001 Generator
Seren R601 Generator
Seren Seren Match Match
SEREN R301MKⅡ RF GENERATOR
SET SET 1500 Match
SET SET 1500 Match
SET SET 1500 Match
SEZ Chemical Storage Cabinet, 2ea Available
SFI Endeavor AT PVD cluster tool
SFI Endeavor AT PVD cluster tool
SGL Carbon / Ringsdorff 3PC1396/2 Heater 18 inch Graphite 3PC1396 2
Shibasoku S230 Automated test equipment
Shibuya EH162 TestHandler
Shibuya EH520 TestHandler
Shimaden SR91-8P-90-1N0 Temperature Regulator
Shin-Etsu MW 200 Wafer Transportation Box 8″
Shindengen SDC05150G 5V 150 A Regulated power supply, Advantest T5335P
SHINKAWA COF 300 Flip Chip Bonder
SHINKAWA UTC-2000 SUPER Wire Bonder
SHINKAWA UTC-1000 SUPER Wire Bonder
SHINKAWA UTC-3000WE Wire Bonder
Shinmei Keiki 0-1 kgf/cm2 pressure gauge
Shinwa Controls Co., Ltd. CH1-CP-D1 CH1-CP-D1
SHOWA 341 Laboratory Power Supply – 4 channel
SHOWA ELECTRONICS 511-16 REGULATED DC POWER SUPPLY
SIGMAMELTEC SFG3000 Photomask
Sikama Falcon 8500 REFLOW OVEN
Singulus S-000414 Singular
Singulus Singular XP ICP PECVD system for solar cells production
SJ SEMITECH Liquid Horning Liquid Horning
SKF 6002-2Z bearings
SMC CY 4R08 CY3B15-300 CYLINDER
SMC ZPT25US-B5 Suction cups
SMC WO 36517 RODLESS CYLINDER
SMC CMFN20-50 AIR CYLINDER
SMC CDGBN20-204 AIR CYLINDER
SMC CDM2BZ20-125 AIR CYLINDER
SMC CMFN20-50 AIR CYLINDER
SMC CDM2RA20-190 AIR CYLINDER
SMC CDG1FA20-222 AIR CYLINDER
SMC CDJ2F16 AIR CYLINDER
SMC IRS_056/09/GT RODLESS PNEUMATIC CYLINDER *NEW*
SMC RL17858 1030567 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW*
SMC ZX1101-K15LZB-D21L-X121 Vacuum GENERATOR ZXF35
SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE
SMC CDY1S15H TESTED
SMC INR-498-050 Single loop chiller
SMC CDQSWB20-35DC COMPACT CYLINDER
SMC ECQ2B32-10DC ACTUATOR, 32MM CQ2 DOUBLE-ACTING
SMC CQ2B25-25D ACTUATOR CYLINDER
SMC CQ2B25-20DC ACTUATOR, CQ2 COMPACT CYLINDER
SMC ECDQ2B32-30D CQ2 COMPACT CYLINDER
SMC ECDQ2B32-50D COMPACT CYLINDER
SMC MXS16-30 AS cyl, slide table, MXS/MXJ GUIDED CYLINDER
SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE
SMC CDQ1B32-40D CYL compact, CQ2 COMPACT CYLINDER
SMC CDQ1B40-20DM CYLINDER compact, CQ2 COMPACT CYLINDER
SMC DF9N VALVES
SMC MHF2-12D1R SMC cylinder
SMC INR 341-54E CHILLER
SMC INR-341-59B CHILLER
SMC INR-341-59A INR-341-59A
SMC INR-499-201 Chiller
SMC INR-499-203 INR-499-203
SMC INR-341-59B1 chiller
SMC ULUSP-00005 PNEUMATIC MANIFOLD BASE BLOCK with SMC VL-14 VL-15 VL-16
SMT Max QM3000 Automatic Pick and Place Machine
Sokudo SK-3000 Lithography Coater and Developer
Sokudo SK-3000 Lithography Coater and Developer
Sokudo SK-3000 Lithography Coater and Developer
Sokudo SK-3000 Lithography Coater and Developer
Sokudo SK-3000 Lithography Coater and Developer
Sokudo SK-3000 Lithography Coater and Developer
Solitec FlexiFab Coater and Developer
Solitec 5100 Manual Spin Coater
Solitec 5110C Manually loading Photoresist Spin Coater
SONIX UHR-2000 Scanning Acoustic Microscope
Sorensen SS200-S0120 Power Supply Megatest Part number 113849
SORENSEN 220 VOLTS POWER SUPPLY
Sosul Etch Kit 6″ Etch kit for Sosul 2300
Special Optics Beam Enlarger for Argon Ion Laser Beam Enlarger for Argon Ion laser
Special Optics Fourier Transform Lens Fourier transform Lens for Argon Ion laser
Special Optics Half silvered mirror 10″ X 14″
Special Optics Custom Motorized Iris 6″
Special Optics Mirror, 9″ X 7″
Special Optics APOD #113
Special Optics Beam expander
SPTS A45494 Match
SPTS A48870R Match
SPTS AC1948 Match
SPTS AS307416.07 Match
SPTS AS310777.04 Match
SPTS AS314055-03 Match
SPTS AS317624.A Match
SPTS AS317624.H Match
SPTS AS318775.07 Match
SPTS AS318775.07 Match
SPTS AS325489.01 Match
SPTS AS325489.02 Match
SPTS AS325490.01 Match
SPTS AS325490.01 Match
SPTS Omega 201 Plasma Dry etcher (For spares use)
SQUARED SBO-2 SWITCH
SSEC WaferStorm 3300 Single Wafer Cleaning System
SSM 470i CV Plotter
SSM 5200 Resistivity Measurement
ST Automation MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories
ST Automation MT32SX Flash Memory testing System
ST Automation PT-M1 Automated Test System
ST Automation QT200 Automated Tester System with monitor
ST Automation QT200 Automated Tester System with monitor
ST Automation QT200 Automated Tester System with monitor
ST Automation QT200 Automated Tester System with monitor
ST Automation QT200 Test System
ST Automation QT200 Automated Tester System with monitor
ST Automation QT200 Automated Tester System with monitor
ST Automation QT200 Automated Tester System with monitor
ST Automation QT EPR16 DD Automated Flash Memory Tester System with monitor
ST Automation QT200 Tester System with monitor
ST Automation R.S.V. ST Memory Test System Electronic Automation
ST Automation MT32SX Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY
ST Automation EPR88 Automated Test System
ST Automation QT200 Automated Tester System with monitor
ST Automation QT200 Automated Test System
ST Automation QT 200 epr 88 Flash Memory Testing System
ST Automation MT32SX Automated Flash Memory Testing System
ST Automation test head test head for Eprom U 1835
ST Automation PTM1 Flash Memory Tester
ST Automation MT32SX Flash Memory Test System for 256 MB memory testing
STANGL WPS Automated Solvent Strip Bench, with 3 Stainless steel tanks and one QDR tank
STARTECH GC9SF GENDER CHANGER
Staubli 308998-001 RX90 robot controller
STEAG AST 98050479 AST machine documentation
Strasbaugh 7AA-SP Grinder
Strasbaugh 6EC CMP Wafer Polisher
Strasbaugh 6DS-SP Multi-Process CMP
STS ICP BALUN MATCH Match
Sun Ultrasparc 60 Unix computer from Teradyne J994
Sun Ultrasparc 60 (Hard Disk Drive) Hard Disk from Unix computer from Teradyne J994
SUNX SS-A5 SENSOR CONNECTIONS
SUNX CX-21/FX/SU SENSOR SYSTEM
SUNX SU-7 LO SENSOR & SYSTEM
SUNX GSA-5S QUALITY PROXIMITY SENSOR
SUNX SS-AT1 / SS2-300E SENSOR SYSTEM
SUNX SH-21E SENSOR SYSTEM
Super vexta udk5114n 5-phase driver
SURPASS PTC 3/8 NU PRESSURE SENSOR FOR EBARA FREX 200
SUSS ACS200 Photoresist coater and developer track, 1C, 1 D
Suss MicroTec CB200 High Pressure Wafer Bonding Chamber
SUSS Microtec ACS200 Automated Photoresist Coater
SUSS Microtec ACS200 Automated Photoresist Coater
SUSS Microtec ACS200 Classic Automated Photoresist Coater
SUSS Microtec ACS200 Classic Automated Photoresist Coater
SUSS Microtec ACS200 Plus Automated Photoresist Coater
SUSS Microtec MA200 MASK ALIGNER
SUSS Microtec MA150 (Spare Parts)
Suss MicroTec (Karl Suss) MA150 Mask Aligner
Suss MicroTec (Karl Suss) MA150 Mask Aligner
SUSS MICROTECH PM8 Prober
SUSS MICROTECH RC 16 Resist Spin Coater
SUSS MICROTECH RC 16(RC5) Resist Spin Coater
SUSS MICROTECH RC 8-ACS 200 Resist Spin Coater
SVG 99-46450-01 9200SE SVG ASML 90 track Z-robot
SVG 8626/8636 Coater Track
SVG 8632-CTD-D Developer Track
SVG /ASM 128197-001 HEATER ELEMENT, HCGI
SVG Thermco 606314-02 REV E Galil Motion Controller CMU PCB, DMC 1330
SVG Thermco 606314-02 REV 1 Galil Motion Controller CMU PCB, DMC 1330
SVG Thermco 606180-01 rev 04 WTU MOTION CONTROL I/F PCB
SVG Thermco 168160-001 REV 4 PCB, ANALOG ATMOSPHERE APL
SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL INTERFACE PCB
SVG Thermco VMEXB12D-CS VMEBus J1/J2 Common Substrate Extender Board
SVG Thermco 165220-001 REV B EXTENDER BOARD
SVG Thermco 168150-002 REV 3 S13 RELAY BOARD PCB
SVG Thermco 606200-01 REV 3 S5 WAF CRT MOTION CONTROL I/F PCB
SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL I/F PCB
SVS MSX1000 Auto Track
SWAGELOK 12M06 TUBE FITTINGS & VALVE
SWAGELOK 55-8-VCO-4 TUBE FITTINGS
SWAGELOK SS-4-VCO-3 TUBE FITTINGS
SWAGELOK SS-4-VCO-4 TUBE FITTINGS
SWAGELOK SS-605-4 TUBE FITTINGS
SWAGELOK GLV-4MW-3 WELD FITTINGS
SWAGELOK 207/235/332 TUBE FITTINGS
Swagelok / CAJON SS-6-VC0 FITTINGS
SYNAX SX3100 Fully Automated test Handler, ambient and hot configured.
SYNAX SX3100 Fully Automated test Handler, ambient and hot configured.
System General T9600 Universal Device  Programmer
Systron Donner DL 40 – 2A Powe Supply – single and dual voltage
Sytrama MTM 32 V01 ST Test Head Manipulator QT 124
Sytrama MTM 32 V01 ST Test Head Manipulator QT 124
T&C POWER Power Supply Power Supply
T&C POWER Power Supply Power Supply
T&C POWER Power Supply Power Supply
T&C POWER Power Supply Power Supply
T&C POWER Power Supply Power Supply
Taitec TEX 25C HEAT EXCHANGER
Takatori ATM-1100E Film Laminator – Taping Machine
TAMARACK SCIENTIFIC Mask Aligner, Contact Mask Exposure System
TAYLOR-WARTON LD 25 DEWAR FOR CRYOGENIC FLUIDS
Tazmo/Semix TR 6133UD Photoresist Coater and Developer tRACK, SOG type
TDK E S R 05-12R-3 SWITCHING REGULATOR
TDK load port E3, E4, E4A, F1
TDK RKW24 Power Supply
TDK RKW24 Power Supply
TDK RKW24 Power Supply
TDK RKW24 Power Supply
TDK RKW24 Power Supply
TECHNICAL MFG. CORP. MICRO-g 62-111 Vibration Isolation Table, 36″ X 72″; 1/4″- 20 Holes on 2″ Centers
TECHNICAL MFG. CORP. MICRO-g 63-463 Vibration Isolation Table, 48″ X 30″
TECHNICAL MFG. CORP. MICRO-g 63-661 Vibration Isolation Table, 47″ X 36″
TED PELLA INC CAT 622 M TIN SPHERES ON CARBON
Tegal 903E Dry Etcher
TEGAL 900 Plasma dry etch
TEGAL 903E Plasma dry etch
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
Tegal Tegal Match Match
TEKTRONIX TDS720P Oscilloscope
Tektronix TDS694C Digital 3 GHz real-time oscilloscope
Tektronix TDS 544A Color 4 channel digitizing oscilloscope
TEKTRONIX 7704 Oscilloscope
Tektronix PS 280 DC Power supply (Working condition)
Tektronix 11801C Digital Sampling Oscilloscope
Tektronix 2432A Digital Oscilloscope, 2 channel, with GPIB
TEL Tokyo Electron INDY Vertical Furnace
TEL Tokyo Electron INDY Vertical Furnace
TEL Tokyo Electron INDY PLUS BCD POLY
TEL Tokyo Electron ACT 12 Photoresist coater + developer track, dual block, 4C4D
TEL Tokyo Electron VIGUS Mask Dielectric Etch
TEL Tokyo Electron VIGUS RK2 Dielectric Etch
TEL TOKYO ELECTRON 3387-002688-12 Tel P8XL Camera assembly
TEL Tokyo Electron Expedius Acid Wet bench
TEL Tokyo Electron Mark8 Lithography Coater and Developer with 2c, 2d
TEL Tokyo Electron P-12XL Prober
TEL Tokyo Electron P-12XL prober
TEL Tokyo Electron Telius Dry Etcher
TEL Tokyo Electron Telius SP-Vesta Dry Etcher
TEL Tokyo Electron Trias Ti/TiN TiN CVD
TEL Tokyo Electron Trias Ti/TiN TiN CVD
TEL Tokyo Electron UNITY2e-855DD Dry Etcher
TEL Tokyo Electron Unity2e-855II Dry Etcher
TEL TOKYO ELECTRON P12XLM Prober
TEL Tokyo Electron Unity2e-855II Dry Etcher
TEL Tokyo Electron A303I Vertical furnace
TEL Tokyo Electron Unity2e-855II Dry Etcher
TEL Tokyo Electron A303I Vertical furnace
TEL Tokyo Electron Unity2e-855II IEM Dry Etcher
TEL Tokyo Electron A303I Vertical furnace
TEL Tokyo Electron Unity2e-855PP DP Dry Etcher
TEL Tokyo Electron P12XLM Prober
TEL Tokyo Electron Unity2e-855SS Dry Etcher
TEL TOKYO ELECTRON Precio Nano FULLY AUTOMATED PROBER
TEL Tokyo Electron Unity2e-85DPA Dry Etcher
TEL Tokyo Electron ACT 8 Photoresist coater and developer track with 3C and 3D
TEL Tokyo Electron Unity2E-85IEM Dry Etcher
TEL Tokyo Electron I/F Block (Mark8 – i11D) Track interface block for Nikon i11D
TEL TOKYO ELECTRON Trias CVD
TEL Tokyo Electron Unity2e-85TPATC Dry Etcher
TEL Tokyo Electron UW300Z Wet
TEL Tokyo Electron UW8000 Wet
TEL Tokyo Electron UW8000 Wet
TEL Tokyo Electron P8XL Fully Automated Prober
TEL Tokyo Electron Alpha-303i-H Vertical Furnace, MTO
TEL Tokyo Electron Alpha-303i-K Vertical Furnace, DCS MTO
TEL Tokyo Electron Alpha-303i-K Vertical Furnace, MTO
TEL Tokyo Electron Formula Vertical Furnace
TEL Tokyo Electron Formula Vertical Furnace
TEL Tokyo Electron Indy-A-L Vertical Furnace, LPRO
TEL Tokyo Electron Indy-B-L Vertical Furnace
TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly
TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly
TEL Tokyo Electron Indy-B-L Vertical Furnace, DCS Nitride
TEL Tokyo Electron Indy-I-L Vertical Furnace
TEL Tokyo Electron Indy-I-L Vertical Furnace, LT ALD SIN
TEL Tokyo Electron Interface module For Mark7, Mark8
TEL Tokyo Electron LU-8209 Auto refill system for TEL ALPHA-8S TEOS
TEL Tokyo Electron TE8500 Dry Etch
TEL Tokyo Electron VMU-40-007 Heater for Alpha 8SE furnace
TEL Tokyo Electron EXPEDIUS DUMMY CLN
TEL TOKYO ELECTRON TRIAS CHAMBER ONLY LT TIN (N2/NH3/N2/CIF3)
TEL TOKYO ELECTRON VIGUS MASK ETCH
TEL TOKYO ELECTRON VIGUS MASK ETCH
TEL TOKYO ELECTRON VIGUS MASK ETCH
TEL TOKYO ELECTRON ALPHA-303i H type / Poly
TEL Tokyo Electron 028-016314-1 FITTING TUBE…1016-0 8
TEL TOKYO ELECTRON ALPHA-303i H type / Poly
TEL TOKYO ELECTRON ALPHA-303i K type
TEL TOKYO ELECTRON Telformula(ver.0) optimal thermal processing
TEL TOKYO ELECTRON Alpha 8 SZ Vertical Furnace, Fast Thermal Oxidation process
TEL Tokyo Electron Lithius Lithography Coater Developer
TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO
TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO
TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch
TEL Tokyo Electron ALPHA 303I K type / Nitride
TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO
TEL Tokyo Electron ALPHA 303I Poly / K type
TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO
TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO
TEL Tokyo Electron ALPHA 303I Poly / K type
TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track
TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO
TEL Tokyo Electron ALPHA 303I Poly / K type
TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track
TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type
TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type
TEL Tokyo Electron ALPHA-303i K type / Poly
TEL Tokyo Electron ALPHA-303i K type / Poly
TEL TOKYO ELECTRON UPGRADE FOR SCCM OXIDE TOOL KIT FOR UPGRADE FOR SCCM OXIDE TOOL
TEL Tokyo Electron ALPHA-303i K type / Poly
TEL Tokyo Electron FORMULA DCS SiN
TEL Tokyo Electron FORMULA DCS SiN
TEL Tokyo Electron FORMULA DCS SiN
TEL Tokyo Electron FORMULA DCS SiN
TEL Tokyo Electron FORMULA DCS SiN
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron TRIAS High K Metal CVD and ALD system, NiOx, HfOx process
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron Tactras RLSA (Chamber) Polysilicon Dry Etch Chamber
TEL Tokyo Electron ACT 12 DOUBLE BLOCK TRACK with 3 PCT, 1 COT, 4 DEV, R to L
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron VIGUS_NEST Dry ETCHing System
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL TOKYO ELECTRON INDY-PLUS-B-M VERTICAL CVD FURNACE
TEL Tokyo Electron VIGUS_PX Dry Etcher
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D)
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D)
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D)
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D)
TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace
TEL Tokyo Electron FORMULA Vertical Diffusion Furnace
TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace
TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace
TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace
TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace
TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace
TEL Tokyo Electron INDY ALD High-K
TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace
TEL Tokyo Electron INDY ALD High-K
TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Multi Block (Resist Coater/Developer)
TEL Tokyo Electron INDY Doped Poly
TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer)
TEL Tokyo Electron INDY PLUS ALD High-K
TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Coat only Track
TEL Tokyo Electron INDY PLUS ALD High-K
TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer)
TEL Tokyo Electron INDY PLUS ALD High-K
TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer)
TEL Tokyo Electron INDY PLUS ALD High-K
TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Pro Z Multi Block (Resist Coater/Developer)
TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop)
TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop)
TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop)
TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop)
TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308)
TEL TOKYO ELECTRON Expedius Batch Wafer Processing
TEL Tokyo Electron LITHIUS SINGLE BLOCK (for NIKON S205)
TEL TOKYO ELECTRON Expedius+ Batch Wafer Processing
TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308)
TEL TOKYO ELECTRON Tactras DRM3 Dielectric Etch
TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch
TEL TOKYO ELECTRON 201345 Operations manual
TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer
TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch
TEL TOKYO ELECTRON 201336 Operations manual
TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer
TEL TOKYO ELECTRON TELFORMULA ALD High-K Vertical Furnace
TEL TOKYO ELECTRON 201341 Operations manual
TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace
TEL TOKYO ELECTRON 201342 Operations manual
TEL TOKYO ELECTRON TELIUS SCCM T-3044SS DRY ETCHER
TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer
TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace
TEL TOKYO ELECTRON 201339 Operations manual
TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer
TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace
TEL TOKYO ELECTRON 201335 Operations manual
TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer
TEL TOKYO ELECTRON TELFORMULA Oxide Vertical Furnace
TEL TOKYO ELECTRON 201342 Operations manual
TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer
TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace
TEL TOKYO ELECTRON 201346 Operations manual
TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace
TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer
TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace
TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace
TEL Tokyo Electron Expedius Batch Wafer Processing
TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace
TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch
TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch
TEL TOKYO ELECTRON ACT12 (4C4D) PHOTORESIST COATER AND DEVELOPER TRACK
TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch
TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch
TEL Tokyo Electron Tactras RLSA Poly Polysilicon Etch
TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch
TEL Tokyo Electron Tactras Vesta Polysilicon Etch
TEL TOKYO ELECTRON Telius SP-305 SCCM Dielectric Etch
TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch
TEL TOKYO ELECTRON Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch
TEL Tokyo Electron Tactras Vigus Dielectric Etch
TEL Tokyo Electron Tactras Vigus – Chamber Only Dielectric Etch
TEL Tokyo Electron Tactras Vigus RK5 Dielectric Etch
TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, DRY OXIDE
TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, TEOS PROCESS
TEL Tokyo Electron Alpha 8S-Z (AP) Vertical Furnace Oxide Process
TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, PYRO PROCESS
TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace
TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, POCL3 PROCESS
TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace
TEL Tokyo Electron TELINDY Plus IRAD Oxide Vertical Furnace
TEL Tokyo Electron P12XL Automatic Prober
TEL TOKYO ELECTRON 2985-429208-W4 ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE
TEL Tokyo Electron TELINDY Plus process TBD Vertical Furnace
TEL Tokyo Electron Telius SP 305 DRM Dielectric Etch
TEL Tokyo Electron TELFORMULA Nitride Vertical LPCVD Furnace
TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace
TEL Tokyo Electron Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)
TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace
TEL Tokyo Electron Unity Me 85 DRM Dielectric Etch
TEL TOKYO ELECTRON FORMULA ALD High K FURNACE
TEL Tokyo Electron Unity Me 85 SCCM Dielectric Etch
TEL Tokyo Electron FORMULA Vertical LPCVD Furnace
TEL TOKYO ELECTRON FORMULA ALD High K FURNACE
TEL TOKYO ELECTRON LITHIUS High Reliability and Productivity Coater Developer (5C/5D)
TEL TOKYO ELECTRON LITHIUS i+ High Reliability and Productivity Coater Developer (5C/5D)
TEL Tokyo Electron Unity SCCM Shin Oxide Etcher with qty 3 chambers
TEL Tokyo Electron INDY Vertical Furnace
TEL TOKYO ELECTRON TEL TRIAS CVD Cluster tool
TEL Tokyo Electron INDY Vertical Furnace
TEL TOKYO ELECTRON TEL TRIAS 200 SPA CVD Cluster tool
TELEMARK 259 Electron Beam Source, Mounted on DN200CF Flange with HV, Water & Instrumentation Feedthroughs
TELEMARK TT-3 Electron Beam Power Supply with TT-3/6 Control & XY Sweep
TEMESCAL BJD-1800 E-Beam Evaporator with CV-14 Power Supply
TEMESCAL FCE-2500 E-Beam Evaporator with Simba 2 Power Supply
TEMESCAL VES-2550 E-Beam Evaporator with CV-14 Power Supply
TEMPTRONIC TP22-2 TEMPERATURE TEMP SET
TEMPTRONIC THERMO SPOT THERMO SPOT HEATING HEAD
TENCOR INSTRUMENTS AC 100V POWER SW
TENCOR INSTRUMENTS AC 100-120 V POWER SW
TERADYNE CATALYST AUTOMATED TEST EQUIPMENT
Teradyne 405-097-00 Power-One Dual 5 V Power Supply 150 Amp, 230 VAC, for Teradyne J971 tester
TERADYNE CATALYST AUTOMATED TEST EQUIPMENT
TERADYNE CATALYST AUTOMATED TEST EQUIPMENT
Teradyne Catalyst Mixed SIGNAL TEST SYSTEM
Teradyne J971SP (Spares) Boards from VLSI test system
Teradyne 405-142-00 Power Supply 150 Amp, 230 VAC
Teradyne 961-061-00 Teradyne J971 Power Supply
Teradyne 961-128-00 Teradyne J971 Power Supply
TERADYNE IP750EX AUTOMATED TEST EQUIPMENT For CMOS Image Sensor testing
Teradyne 950-662-02/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341
Teradyne 950-656-00 rev B PCB from test system
Teradyne 953-003-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 7221 9720
Teradyne 950-542-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30420 9208
Teradyne 950-541-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 53420 9208
Teradyne 950-421-01/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61819 9517
Teradyne 950-713-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX
Teradyne 950-220-02 rev a Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251
Teradyne 950-777-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513
Teradyne 950-572-04 Rev. A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345
Teradyne 950-569-03/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314
Teradyne 950-212-03/B Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 55119 9328
Teradyne 950-687-01 rev D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200
Teradyne 950-561-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60620 9547
Teradyne 880-751-10 /E Precision Measurement unit PCB, REV E
Teradyne 405-167-00 Power Supply 8 Amp, 28V
Teradyne 405-096-00 POWER ONE Power Supply 150 Amp, 230 VAC (S233)
Teradyne UltraFLEX Automated Test System
Teradyne 961-129-01 Teradyne J971 test system power control panel
TERADYNE CATALYST EDS/ TEST
TERADYNE 950-217-04 REV B PC BOARD FOR TERADYNE J971 SP
TERADYNE 950-561-04 REV A PC BOARD FOR TERADYNE J971 SP
TERADYNE 950-562-00 REV A PC BOARD FOR TERADYNE J971 SP
TERADYNE 950-681-00 REV A PC BOARD FOR TERADYNE J971 SP
TERADYNE 950-560-00 REV A PC BOARD FOR TERADYNE J971 SP
Teradyne 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521
Teradyne 950-421-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 72019 9517
TERADYNE 950-569-03 REV A PC BOARD FOR TERADYNE J971 SP
Teradyne 950-574-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx
Teradyne 950-568-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A
Teradyne 950-566-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448
Teradyne 950-569-03 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314
Teradyne 950-558-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 64620 9509
Teradyne 950-560-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918
Teradyne 950-562-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226
Teradyne 950-681-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319
Teradyne 950-556-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222
Teradyne 950-217-04 Teradyne J971 PCB,
TERADYNE 517-400-01 APMU Board for J750 tester
Teradyne J994 Memory Tester
Teradyne 405-155-00 Power Supply 150 Amp, 230 VAC
TERRA UNIVERSAL 8 Tank Stainless Steel Sink with 8ea 14″ X 14″ X 12″ (d) Tanks
TERRA UNIVERSAL 1679-06-1 SST Dessicator Cabinet , 2ea Available
TERRA UNIVERSAL Desiccator Box, 12″x11″x12″
TERRA UNIVERSAL Dessicator Box
TES CHALLENGER_ST Plasma-Enhanced CVD system
TESCOM 150 REGULATORS PRESSURE
Texwipe 810-39234 BRUSH, ELONGATED CORE EBARA (TEXWIPE)
Thamway A161 Generator
Thamway A161 Generator
THERMAL GYE-12000 Evaporator System
Thermo Fisher ECO 1000 FTIR System
THERMONICS T-2500SEA Precision Temperature Forcing System
THERMONICS T-2427 Temperatuire Forcing System with DUT Cooling Shroud, Needs Compressor Work
THK 280L Linear Bearing and guide
THK LWHS15 LINEAR WAY WITH SINGLE BEARING
THK RSR 15 LINEAR WAY WITH 7 BEARINGS
THK A6F 598 LINEAR WAY WITH SINGLE BEARING
THK HSRIZRI/UUM+490LM LINEAR WAY WITH SINGLE BEARING
THK ATHI240 LINEAR WAY WITH SINGLE BEARING
THK Y8A31 LINEAR WAY WITH SINGLE BEARING
THK A6 C II LINEAR WAY WITH SINGLE BEARING
THK 689 LINEAR WAY WITHOUT  BEARING
THK RSR12VM LINEAR WAY WITH 2 BEARINGS
THK KS 3J22 RELIANCE BEARING
THK LMT40UUM+489LFM LEADSCREW  FOR EBARA FREX 200
THK CO.,LCD OR17 BLOCK SR-2V
THK CO.,LCD SC35uu LM CASE UNIT
THK CO.,LCD FBA 5 FLAT BALL
TOK 12262 Wafer bonder
TOK 12263 Wafer Debonder
TOKIMEC VA12134A DIRECTIONAL CONTROL VALVE
TOKIMEC 012-7 DIRECTIONAL CONTROL VALVE
TOKYO ELECRON 015 RELAY
TOKYO ELECRON 011 SUPPORT.PCB..SQ-80
Tokyo Electron Spare Parts Various Spare Parts for sale
TOKYO ELECTRON 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER
TOKYO ELECTRON / CONTEC FC-SD70 flow meter
Tolomatic 11240741 Tolomatic cylinder, replacement for AMI tools
TORAY FC3000L2 FLIP CHIP Line
Toray SP-500w Bump Height Measurement
tosok EBD3570 Die attach system
TOWA CC-S Injection Molding Press, 2ea Available
Towa CC-S Injection Molding Press
Trazar AMU10A-1 Match
TRAZAR AMU10E-2 RF GENERATOR
Trazar AMU10B-1 Match
TRAZAR AMU2-1 RF GENERATOR
Trazar AMU10B-1 Match
TRAZAR SRN1-2 RF GENERATOR
Trazar AMU10D-4 Match
TRAZAR SRN1-3 RF GENERATOR
Trazar AMU10D-4 Match
TRAZAR SRN2 RF GENERATOR
Trazar AMU10G-1 Match
Trazar AMU2B-1 Match
Trazar AMU2B-1 Match
Trazar AMU2B-1 Match
Trazar AMU2B-1 Match
Trazar AMU2B-1 Match
Trazar AMU2B-1 Match
Trazar AMU2D-1 Match
Trazar AMU3-20 Match
Trazar AMU5-1 Match
Trazar AMU5C-1 Match
Trazar AMU5C-1 Match
Trazar AMU5D-1 Match
Trazar ESC Control Match
Trazar IG270 Match
Trazar RFS1-3 Switch Box Miscellaneous
Trazar RFS1-4 Switch Box Miscellaneous
Trazar RFS1-4 Switch Box Miscellaneous
Trazar RFS1-4 Switch Box Miscellaneous
Trazar RFS1-4 Switch Box Miscellaneous
Trazar RFS1-4 Switch Box Miscellaneous
Trazar RFS1-4 Switch Box Miscellaneous
Trazar SRN1-2 Match
Trazar (Phoenix) AMU10A-1S Match
Trazar (Phoenix) AMU10B-1 Match
Trazar (Phoenix) AMU10B-1 Match
Trikon Trikon M6 Match
Trikon Trikon M6 Match
Trikon Trikon M6 Match
Trumpf HL 101 P CNC laser cutter
Trumpf HL 101 P CNC laser cutter
Trumpf HL 101 P CNC laser cutter
Trumpf HL101P CNC laser cutter
Trumpf TruMicro 7240 Laser source
Trumpf TruPlasma RF1003 Generator
Trumpf TruPlasma RF1003 Generator
TT Vision T-224X TT Vision – Post Tape Inspection
ULTRACISION 860 Manual Wafer Prober, for up to 200mm Wafers
Ultratech 4700 Stepper
Ultratech 6700 Stepper
Ultratech Titan II Stepper
Ultron UH108 Ultron Tabletop Laminator
Ultron UHI08-12 Ultron Tabletop Laminator
Ulvac Enviro Downstream Microwave Asher
Ulvac FRE200E XeF2 Etching System
Ulvac Ceraus ZX-1000 PVD
Ulvac Ceraus ZX-1000 PVD Cluster tool
Ulvac Ceraus ZX-1000 PVD
Ulvac Ceraus ZX-1000 PVD Cluster tool
Ulvac Entron-EX W300 PVD (Physical Vapor Deposition) cluster tool, 3 chamber
Ulvac Entron-EX W300 PVD (Physical Vapor Deposition) cluster tool, 3 x PVD chambers+ support chambers
Ulvac Entron-EX: Aluminum Interconnect PVD (Physical Vapor Deposition)
UNAXIS SLR-720 RIE
UNAXIS SLR-720 REACTIVE ION ETCHER
Unaxis/Balzers ZH620 Alumina Fill Fill Sputter Deposition System
UNIPHASE 1103P-0187 HE NE Laser
United Detector Technology, Inc. 40X Laser Power Meter
V-Tek TM-330 TM-330
V-TEK TM-50 Manual Taping Machine
Vante Sealer Sealing
Vante Sealer Sealing
Vante Sealer Sealing
Vante Sealer Sealing
Varian Vista PLAD EFEM Unit Only
Varian E11040440 Rev 7 Secondary workstation for implanter
Varian 3190 Sputtering System
Varian 350D (Spares) Implanter (Spare Parts)
VARIAN 929-0081 Star Cell Ion Pump Power Unit
VARIAN MBB W CVD
Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type
Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type
Varian E17015570 SCANACT,COUNTER WEIGHT
Varian E11002430 WAFER COOLING CONTROLLER
Varian E17064301 BEAM SHIELD
Varian E17032320 CHASIS GUIDE M FRME ESSERV
Varian E11001320 REV B TARGET, FOCUS, FARADAY
Varian E11002183 PEDESTAL ASSY,MULTI 150/200MM
Varian E17026720 PLATE,GROUND,GRAPHSCAN-SCAN
Varian E17026680 PLATE,GROUND,GRAPHSCAN
Varian E17101600 COVER,DUAL VAPORIZER
Varian BEAM SHIELD
Varian VARIAN GRAPHITES
Varian SOURCE COVER
Varian MKS HPS VALVE 62161
Varian ISOLATION VALVE PARTS
Varian various VARIAN SOURCE PARTS
Varian MKS HPS VALVE 69542
Varian VIISta 810 Medium Current Implanter
Varian E11030450 REV 3 VERT SCAN ACTUATOR
VARIAN SD331 Mechanical Vacuum Pump, 2ea Available
VARIAN 936-70 SP Helium Leak Detector
Varian VIISta HC High Current Implanter
Varian Varian Power Supply
Varian Varian Match Match
Various Vacuum Valves Various Vacuum valves (MKS, Varian, Fuji Seiki) and fittings
various MFCs MFC LOT Qualiflow, Brooks 5850 Cracked/broken MFCs for refurb.
VAT PM-5 Adaptive Pressure controller
VAT 14040-je24-0004 HV Gate Valve
VAT 14040-je24-0004 HV Gate Valve
VAT 14040-je24-0004 HV Gate Valve
VAT 14046-PE44-1016 Gate Valve 8″
Veeco Dektak 200 Si Contact Profilometer – for spares use (Not operational condition)
VEECO E475 Turbodisc MOCVD System configured for AsP use
Veeco Dimension 7000 Atomic Force Microscope (AFM)
Veeco Vx340 Dimension Atomic Force Proflier
VEECO AP-150 Automtic Four Point Probe, for up to 6″ Wafers
VEECO Dektak 3030ST Profilometer
Veeco Dimension X3D Model 340 Atomic Force Microscope
VEECO MS-35T Turbopumped Leak Detector
Veeco Dimension 3100 Atomic Force Microscope
Veeco Wyko NT3300 3D Bump Height (Veeco)
VERIFLO CORP. 42800147 PRESSURE VALVE
Vero Electronics 116-010069H Monovolt PK120 Power Supply, +5V 20A
Verteq Verteq Generator
Verteq 1600-34 Spin Rinse Dryer (Single Stack)
VERTEQ FLUOROCARBON RD4500 CLASSIC SRD
Verteq 1600-34 Double Stack Spin Rinse Dryer
Verteq Superclean 1600-55M Double Stack SRD (Set up for solar wafer use)
VERTEQ SUPERCLEAN 1600 Spin Rinser Dryer
VEXTA UPH569H-B 5 PHASE STEPPING MOTOR
VEXTA UDK5114NA 5-PHASE DRIVER
Vexta BLD1024H Brushless DC Motor Driver, DC24V
Vision Semicon VSP-88A(H) Oven
Vision Semicon VSP-88H Oven
Vision Semicon VSP-88H Oven
VISTEC LWM9000 CD SEM for 65 nm mask measurement
Viton 43-2-131 O-ring seal, Roth & Rau
VLSI STANDARDS INC. Various Step Height Standards, Various Models, 12ea Available
Votsch VT 7018 Thermal Shock Chamber
Votsch VT 7018 Thermal Shock Chamber
Votsch VT 7018 Thermal Shock Chamber
Votsch VT 7030 S2 Thermal Shock Chamber
Votsch VT 7030 S2 Thermal Shock Chamber
Votsch VT 7030 S2 Thermal Shock Chamber
WAFER MASTERS SAO-302LP TSV Cu Anneal
WARNER LINEAR K2G20-24V-BR-10lsc LINEAR ACTUATOR , 24V DC
Wasco SV129-31W3A/2065 Vacuum pressure switch 75 Torr
Watkin Johnson UTC 800 atmospheric wafer handling robot( WJ-999)
Watkins Johnston 903169-001 rev D WJ 952 MFC REGULATION PCB
WATLOW DC10-40P0-0000 SOLID STATE POWER CONTROL, AMP: 55 AMPS, NEW
WAVECREST DTS-2070C (-52) Credence Duo Wavecrest digital time controller
Weir HSS 100/5 Power Supply Unit
Weir HSS 100/5 Switching Power Supply Unit AC to DC
Weiss VT 7012 S2 Temperature Shock Test Chamber
Weiss TS130 Thermal shock testing chamber
WENTWORTH LABS HOP “Hands Off Probe” Micropositioner, 2ea Available
WEST BOND 10490 Convertible Bonder , Wedge to Ball
WEST BOND 2416B Automatic Wedge Bonder
WEST-BOND 7200A Manual Epoxy Die Bonder
Wiha 368 3 SW Ball end screw driver
WIKA 233.50.100 -1 BAR PRESSURE GAUGE G1/2A D1001/2″
Woollam VUV-VASE (Gen II) Ellipsometer
Xantrex XFR 40-70 NV8200P DC Power Supply Magnet
Yamatake honeywell WLS302 switch
Yaskawa XU RC350D-C31+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3000)
Yaskawa XU RC350D-C61+ERCR-RS10-C003 atmospheric wafer robot( DNS SU-3000)
Yaskawa XU RC350D-K01+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3100)
Yaskawa XU RCM9205 with ERCR-NS01-A003 controller atmospheric wafer robot for KLA
Yaskawa XU RCM9206 robot alone atmospheric wafer robot for KLA
Yaskawa XU RSM53E0 with XU-CM6180 controller atmospheric wafer robot( Ebara Frex 300 CMP)
Yaskawa XU RCM9206 atmospheric wafer robot( KLA 2835i)
YASKAWA ERCR-RS10-C003 CONTROLLER FOR DNS SU3000 ROBOT
Yield Engineering YES-450PB6-2P Polyimide Bake Oven
Yield Engineering YES-450PB Polyimide Bake Oven
Yield Engineering YES-15 HMDS Vapor Prime Oven
Yield Engineering 58 HMDS Vapor Prime Oven
Yield Engineering 15F HMDS Vapor Prime Oven
Yield Engineering LP#-M3 HMDS Vapor Prime Oven
Yield Engineering 15 HMDS Vapor Prime Oven
Yield Engineering 58SM HMDS Vapor Prime Oven
YOKOGAWA TS6700 LCD DRIVER TESTER
Yokogawa AL6095 Automated test equipment
Yokogawa ST6730A Logic Tester
Yokogawa TS670 Tester
Zeiss Axiospect 300 Optical Review System
ZEISS Stemi 2000 Stereozoom Microscope
Zeiss corygon 2.8/60mm 2.8/60mm objective lens
Zeiss Axiotron (spare Parts) Axiotron microscope spare parts
Zeiss C35 35mm Camera with microscope attachments
Zeiss AxioMAT screws AxioMAT Thumbscrews, spare parts
Zeiss Turret Turret 4 position for Zeiss, Leica and others, good condition
Zeiss 910137 Microscope illumination transformer
Zeiss 990634-5100 Joystick, Carl Zeiss
Zeiss 477473-0207 Cover plate
Zeiss 475690-0206 Joint plate
Zeiss Axiospect Wafer Inspection Microscope
ZEISS AXIOTRON Inspection Micro Scope
ZEISS AXIOTRON Inspection Micro Scope
ZEISS AXIOTRON High Perfomance Micro Scope
Zeiss Stage part moveable x,y stage part
ZENITH ZPS-400 Multiple voltage power supply

Please contact us for more information on the product:

[dynamichidden dynamichidden-813 "CF7_URL"]

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5303-0-7-1-1-1-All

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers