Main Maker

Spare parts

Category:

Description

The following Spare parts are only for end user. Please contact us if you have any questions. Subject to prior sale without notice. Appreciate your time!

1 0700-727327 Flange 10-3/4″OD x 9″ID
2 09054NQ10212 Quartz Ring 340mm
3 1/4″ Parker Valve PV-106146-0204 w/ Millipore ENCOMRF04
4 2014303-001/0 Vacuum Lid 20″ (dia.) w/ 3 gauges
5 3D Systems 272017-01 V-Flash Building Pads (Lot of 5)
6 3M Model 718 & 718A Static Sensor / Charger Ionizer Test Kit
7 3M Nextel 031-915654 Diffusion Furnace Collar for 245mm Quartz Tube
8 655-730-330-00 Rev-Do Beam Splitter
9 70839-52 Threaded Reducing Adapter 1/2″ TB to 3/4″ NPT Teflon M054
10 8″ Quartz Wafer Boat Holds 5 Wafers 286HT-8-10EPTI3 Wafer Carrier
11 Aavid Thermalloy 4005G Insulator Mounting Pads (Lot of 200)
12 ABB AL9-30-10 Contactor
13 ABRASIVE INDUSTRIES PF 500-3 Power Blender/Feeder with Foot Pedal
14 Absolute Process Instruments API 1220 G Hi/Hi Temp Alarm Relay
15 Absolute Process Instruments API 4000G
16 Absolute Process Instruments API 6010 G 5A AC/DC Transmitter, Isolated
17 Abus Steel Security Cable 10/500 16′
18 ACDC Electronics / Emerson ETV401 Power Supply
19 ACDC Electronics / Emerson EVC12DI Power Supply
20 ACDC Electronics ECV 5N3-1 Power Supply
21 ACDC Electronics Power Supply 1666330-1 Modified to 10V Op. RS10V13.5-1
22 Ace Glass Pressure Filter / Drying Funnel #40 Opening 2000ml.
23 Acme TA-2-81149 Transformer
24 Acme TA-2-81322 Transformer
25 Acopian A24MT550 Power Supply
26 Acopian B24G170 Regulated Power Supply
27 Acopian D15-20 Mini AC/DC Power Module (one only)
28 Acopian U24Y1000 Unregulated Power Supply
29 AcopianV24G170 Regulated Power Supply
30 Acterna 80-30758-01 Printer Cable 21″
31 Action Jac D2820-01-00 Speed Reducer Worm Gear Jack
32 Adaptec EISA-to-Fast SCSI Host Adapter / PCB AHA-2740/42/50/52
33 ADE Model 1517 Wafer Thickness Tester w/ Sensors 2102/ 2101, 2103/ 21 Granite
34 ADE Technologies 2248 Probe
35 ADE Technologies OSC Module PDB-Euro w/ 2756 Sensor
36 Adept Tech 10332-11000 Manual Control III Programmer
37 Adept Tech 10332-21000 Manual Control III Programmer
38 Advance AV-4245-11 Solenoid Valve
39 Advanced Electric AV-5269-431 Pneumatic Valve
40 Advanced Energy / Verteq 3156023-000H Magasonic Amp.
41 Advanced Energy 2278-000-C Pulsing Arc Power Supply
42 Advantech ADAM-3951 Rev-A1 Terminal Block Interface Module
43 AE Advanced Energy 3155069-103 A RF Countermatch
44 AE Advanced Energy AE-4851046A Cable Assembly AWM2464
45 Aera CA-26DSUB Digital MFC Adapter
46 Aera CA-26DSUB Digital MFC Adapter
47 Aera CA-98DU Adaptor Top for MFC Mass Flow Controller
48 Aera FC-D980C Mass Flow Controller 100 SCCM Gas (CHF3)
49 Aera FC-D980C TC Mass Flow Controller 50 SCCM Gas(N2)
50 Aera FC-D980C-10RA Mass Flow Controller 100 SCCM Gas(N2) 3030-07514
51 Aera PV-104C Mass Flow Controller (Gas He)
52 Aera RO-40 MFC Control Module
53 Aero Switch Div. Mini Push Switch 224-1594-00 (Lot of 7)
54 Aero TC FC-785Y-BF Mass Flow Controller 500 SCCM Gas: N2
55 Aeronca Electronics 398-18108-1 PCB 279-14109-1
56 Aesseal N-P05-ADY2-0222 Bellows Valve Seal Rebuild Kit
57 Aesseal N-P05-G-YP-0222 Parallel Spring Diaphragm Seal Rebuild Kit
58 AFOP 2554136 SC-P Attenuator, Fiber Optic Reference Cable
59 AFOP JCC7B107W00149 Fiber Optic Cable SC-P Attenuator
60 AG Accessories 7310-3009-021G Heat Pulse Quartz Liner
61 AG Associates 7310-3008-01A Quarts Wafer Tray DTC For 150mm wafers
62 AG Associates 7400-0023-01 G. Isolation Quartz Tube Heat Pulse 4100
63 AG Associates 7400-0063-06H Quartz Wafer Tray Slip Free 4″ AG610
64 AG Associates 7400-0063-10 Quartz Wafer Tray Slip Free 4″ AG410
65 AG Associates 7400-0063-10 Quartz Wafer Tray Slip Free 4″ AG410
66 AG Associates 7400-0082-01 E/F Quartz Tray for AG 410 & 610 Systems
67 AG Associates 7400-0102-01C Quartz Oven Door Inlay
68 AG Associates 7400-0109-06 F Quartz Wafer Tray for 5″ Wafers
69 AG Quartz Wafer Tray 7400-0106-06 H for 150mm Wafers
70 AGL C13171 Microwave Generator
71 Aichi Tokei Denki ND10-PATAAA Flow Rate Sensor (one only)
72 Aichi Tokei NFIO-TTN NFIO-PTN Pressure Regulator & Digital Flow Meter
73 Aihara Electric Type SD-114 Transformer
74 Aim Industries Botron IHI9002 Continuous Wrist Strap Monitor B9000 Series
75 Aim Industries Botron IHI9002 Series B9000 Continuous Wrist Strap Monitor
76 AImco AE-4520 Torque Driver w/ AE-2045 DPS Power Supply
77 Air Pot S160P105X Actuator
78 Air Products CRSD1031 / 1250 PCB 809-420900
79 Air Products CRSD1031 / 1250 PCB 809-420900
80 Air Products CRSD1031 / 1250 PCB 809-420900
81 Air Products CRSD1032 DD1250
82 Air Systems Int. SVB-E8EXP Explosion Proof Electric Blower
83 Air Trol R-900-90 Miniature Pressure Regulator 10/32 Ports
84 Airborn 10400-WG104SAD9SY342 Connector 104 Pin.
85 Airborn MK-452-100-335-620S Connector
86 Airborn MM-212-015-1A3-4100 M Series Connector
87 Airborn MM-222-009-213-9900 Mil Spec. M Series Connector 9 Pin D-Sub
88 Airborn RM232-020-122-2900 Two Row Straight Thru Hole PCB Connector
89 Airborn RM422-276-871-9300 R Series Connector 276 Pin
90 Airborn WTA40PD9J W Series Connector
91 Airco Temescal Model CV-8 Power Supply
92 Airco Temiscal VWS-R-1A Sweep Controller 0505-4580-0
93 Airtrol R-901-15 Mini Precision Pressure Regulator Factory Preset 1-150 PSI
94 Alcatel 1036038-0389 Aluminum Pump Casting EA002982
95 Alcatel 5150 CP Molecular High Vac Turbo Pump & CFF 450 Turbo Controller
96 Alcatel 5150 CP Molecular High Vac Turbo Pump & CFF 450 Turbo Controller
97 Alcatel 8305 / 3932 CFF450 Turbo Pump Controller for 5030 & 5150 Turbo Pumps
98 Alcatel PTM-5150 Ceramic Turbo Pump & CFF-450 Pump Controller w/ Cables
99 Alco 211WA 10P04 Water Survice Solenoid Valve 211CA Series
100 Alco AMG24 / 50-60 Solenoid Coil
101 Alessi RSZ Remote Focus w/ Adjustable Down Stop
102 Allen Bradley 100-A60NJ3 60A Contactor
103 Allen Bradley 42SMR-7001 Photo Switch
104 Allen Bradley 42SMR-7001 Sub Miniature Receiver w/ Attachment.
105 Allen Bradley 42SMR-7001 Sub Miniature Receiver w/ Attachment.
106 Allen Bradley 42SMR-7001 Sub Miniature Receiver w/ Attachment. D-Sub
107 Allen Bradley 700-FSQ4QU18 Timing Relay
108 Allen Bradley Control Relay 700-BR800A1
109 Allen Bradley Heater Element for Overload Relay W39 New
110 Allen Bradley Heater Element for Overload Relay W45 New
111 Allen Bradley P634 Driver Cable for Cryopump AB Cat Robot Motor Zone 4 (35′)
112 Allenair 2CD8SYSCS Solenoid Valve
113 Allen-Bradley 42SML-7000 Sub Mini Source Photo Switch
114 Allen-Bradley 42SMR-7001 Sub-Miniature Receiver
115 Allen-Bradley 52-00029 / P34 Thermal Overload Heater Element (Lot of 4)
116 Allied Telesis 3012T Centre COM IEEE 802.3 Ethernet 10 Base T Multiport Repeater
117 Allied Telesyn AT-MC102XL Media Converter
118 Allied Telesyn CentreCom 210T Twisted Pair Tranceiver IEEE 802.3 Base T
119 Allied Wire HST221-1/8 Heat Shrink Tubing Irradiated Polyolefin 100′
120 Alpha Wire ME519914 3/64″ Heat Shrink Tubing 1000′
121 Alpha Wire SD96003 1/8″ Heat Shrink Tubing 400′
122 Alphasem 23049A Circuit Board
123 Alphasem DB6/1 DB6s1 PCB Circuit Board 01-05109-00
124 Alphasem DB6/3L DB6s3L PCB Circuit Board 01-05026-00
125 Alphasem DB6/3L DB6s3L PCB Circuit Board 01-05026-00
126 Alphasem DPS 21300-C Circuit Board
127 Alphasem View Engineering 01-05252-00 , 93-8162-123 Video Interface Board
128 Alphasem View Engineering 01-05253-00, 93-8162-11B Video Memory Board
129 Alphasem View Engineering 01-05254-00, 93-8162-08B CPU Board
130 Alphasem View Engineering 01-05255-00, 93-8162-13B PCB Video Processor
131 Alphasem View Engineering 01-05256-00, 93-8162-10B PCB Gate Processor
132 Alphasem Wirz AG DB6/55-IO/4 Circuit Board 93-8162-25B
133 Altec Industries 30001017-420 PCB Program Panel
134 Altech 30A Circuit Breaker 3GU30
135 Altek / Alphasem 999320 Rev-E Nova 900/920/950/ PCB Assy
136 Altek 999341 Rev-A 950 Memory Assy PCB
137 Altera EPM1270GF25615 CPLD Max II 980MC
138 AMAT 0270-20016 Rev-C Lift Bellows Wrench 11677400
139 AMAT Applied Material 0040-05142 Weldment Heater Conn HPTXZ Chamber 3
140 AMAT Applied Material 0150-20580 Mainframe Cable Assy. PHS Driver Out Motor Ph-2
141 AMAT Applied Materials 0010-06645 Service Plate 300MM 416247-R3
142 AMAT Applied Materials 0010-2098 Shield Treatment Controller
143 AMAT Applied Materials 0010-70321W Actuator Slit Valve /Chamber Door 0020-10202
144 AMAT Applied Materials 0010-76621 150mm Cassette Boat Weight Assembly
145 AMAT Applied Materials 0020-00399 Harmonic Drive Coupling Shaft Retainer
146 AMAT Applied Materials 0020-00991 Cathode Cover Tray
147 AMAT Applied Materials 0020-02438 Lower Shield Clamp 300mm SIP
148 AMAT Applied Materials 0020-14112 Wafer Cassette Support Assy 125mm Cassettes
149 AMAT Applied Materials 0020-14113 Wafer Cassette Support Assy 125mm Cassettes
150 AMAT Applied Materials 0020-19187 Raceway Gas Lines Cover 300mm TCG
151 AMAT Applied Materials 0020-24082 Lower Shield
152 AMAT Applied Materials 0020-26906 Shield Lower Knee 13×4″
153 AMAT Applied Materials 0020-30223 6″ 152mm Gas Distribution Plate / Shower Head
154 AMAT Applied Materials 0020-34289 Plate
155 AMAT Applied Materials 0020-35576 Insulator Lid BOC- 4183829-0001
156 AMAT Applied Materials 0020-40706 Rear Cover
157 AMAT Applied Materials 0020-41551 Lower Shield 300mm 2.85 LE
158 AMAT Applied Materials 0020-42290 Rev-B Tight Pocket Centura Robot Arm 200mm
159 AMAT Applied Materials 0020-52073 Side cover for Degas Chamber 12″ / 300mm
160 AMAT Applied Materials 0020-77292 Main Cap.
161 AMAT Applied Materials 0020-77293 Spindle Cap Pulley
162 AMAT Applied Materials 0020-78295 / AJX-P6-189 Hyzod Polycarbonate Sheet
163 AMAT Applied Materials 0020-78703 P2 Monitor Shelf, Cort 0199
164 AMAT Applied Materials 0020-78781 Monitor Mounting Bracket
165 AMAT Applied Materials 0020-83876 Upper Shield 300mm SIP
166 AMAT Applied Materials 0020-85420 ISS.A Pre-Focus Aperture 2 Carbograf 500
167 AMAT Applied Materials 0020-85421/A ISS.A Carbograf 500 Pre Focus Aperture
168 AMAT Applied Materials 0020-86641 ISS.B. Suppression Electrode Bracket
169 AMAT Applied Materials 0021-01317 Outer Shield Ring 1″
170 AMAT Applied Materials 0021-06421 UWave Adjustable Plate 5200 Frame
171 AMAT Applied Materials 0021-11929 Rev-002 Chamber Housing
172 AMAT Applied Materials 0021-15657-001 Shutter Disk 300mm /12″
173 AMAT Applied Materials 0021-17498 Electrical Bracket SWLL Preheat Degas
174 AMAT Applied Materials 0021-18068-002 Shield, Dark Space, Alps Al, 136610 300mm
175 AMAT Applied Materials 0021-20148 High Contact Lower Shield
176 AMAT Applied Materials 0021-20199 G-Type 142mm Shim Encapsulated Magnet CL.
177 AMAT Applied Materials 0021-22587 Rev-P1 Nut, RF Connector 10/32
178 AMAT Applied Materials 0021-26505 0021-26637 Cover SWLL 416288-PJ
179 AMAT Applied Materials 0021-33793 Cover Ring 300mm Pvd 101 SIP
180 AMAT Applied Materials 0021-33836 Lower Shield 300mm
181 AMAT Applied Materials 0021-35869 Perf Plate Shower Head 300mm / 12″
182 AMAT Applied Materials 0021-35946 Edge Ring
183 AMAT Applied Materials 0021-77282 Lower Rear Panel
184 AMAT Applied Materials 0022-77178 Corner Guard P1 AJX-P1-480
185 AMAT Applied Materials 0022-77179 Corner Guard P1 AJX-480
186 AMAT Applied Materials 0022-77180 Corner Guard P1 AJX-480
187 AMAT Applied Materials 0022-77181 Corner Guard P1 AJX-480
188 AMAT Applied Materials 0040-01564 Uwave Base 5200 Frame Plate
189 AMAT Applied Materials 0040-01891 Panel Chamber C Position 300mm Centura
190 AMAT Applied Materials 0040-01937 Panel Chamber B Position 300mm Centura
191 AMAT Applied Materials 0040-09549 Rear Chamber Shield
192 AMAT Applied Materials 0040-22812-001 Cover RF Enclosure Cable Interlock
193 AMAT Applied Materials 0040-34891-001 AJX-001-041
194 AMAT Applied Materials 0040-34892
195 AMAT Applied Materials 0040-36180 Rev-P8 TXZ Heater
196 AMAT Applied Materials 0040-50965 Water Box Enclosure 300mm DE
197 AMAT Applied Materials 0040-62682 Chamber Lid
198 AMAT Applied Materials 0040-76737 Ground Ring 300mm SIP
199 AMAT Applied Materials 0040-77542 Lower Panel
200 AMAT Applied Materials 0040-78402 Panel Assy Lower Side
201 AMAT Applied Materials 0050-44367 Rev-001 Tube Extension NW25
202 AMAT Applied Materials 0090-00657 Level Sensor Pressure Switch SMC ISE5B-T2-67L
203 AMAT Applied Materials 0090-03469 2 Position Circuit Breaker Panel Assy.
204 AMAT Applied Materials 0090-03469-002 2-Position Circuit Breaker Panel Assy.
205 AMAT Applied Materials 0100-00195 End Point Interface Smoother PCB
206 AMAT Applied Materials 0100-00655 Hazardous Slurry H/W Interlock Board
207 AMAT Applied Materials 0140-00726 LTESC Lamp Harness Integration Cable
208 AMAT Applied Materials 0140-02249 Rev-P3 G925560 Wiring Harness.
209 AMAT Applied Materials 0140-06711 Rev-002 AC Power Cryo Control Jumper
210 AMAT Applied Materials 0140-16121 Shielded Power Cable Apx. 60′
211 AMAT Applied Materials 0140-21836 Harness Assy XZ-CVD BSP Endura
212 AMAT Applied Materials 0140-35959 Rev-A Service Process Harness Assembly
213 AMAT Applied Materials 0140-76222 Wiring Harness Assy Interconnect 0140-21406 P2
214 AMAT Applied Materials 0150-00931 MF EMO Umbilical Cable 60′
215 AMAT Applied Materials 0150-01106 EMO Interconnect Cable 60′
216 AMAT Applied Materials 0150-09033 Remote Monitor Cable
217 AMAT Applied Materials 0150-10490 C/A OPER Panel EMC Cable 55′ 0242-37513
218 AMAT Applied Materials 0150-13159 Power Cable 208VAC From Cont/ Chamber Tray 75′
219 AMAT Applied Materials 0150-13160 Power Cable SFMR/ Chamber Tray. 5KVA-3 75′
220 AMAT Applied Materials 0150-20075 Signal Cable EMO Interconnect
221 AMAT Applied Materials 0150-20187 Remote System Video Cable PVD Endura 300160-XC
222 AMAT Applied Materials 0150-20537 Cable Assembly
223 AMAT Applied Materials 0150-20576 EMO 1 Cable
224 AMAT Applied Materials 0150-21342 Chamber 4 Interconnect Mainframe
225 AMAT Applied Materials 0150-21359 Main AC Bulkhead J4 to Sys Cont. P17 Cable
226 AMAT Applied Materials 0150-21502 Cable Assy
227 AMAT Applied Materials 0150-35002 Rev-P3 Monitor 30 Cable Assy.
228 AMAT Applied Materials 0150-38433 Power Supply Cable
229 AMAT Applied Materials 0150-38461 Rev-A 5 Phase Driver Input Cable Assy P1to J?
230 AMAT Applied Materials 0150-70137 Rev-A PI Monitor Interface Cable 25′
231 AMAT Applied Materials 0150-75076 Power Cable 40′ 300160-XC
232 AMAT Applied Materials 0150-76175 OP Panel Cable P26 EMC Comp 25′
233 AMAT Applied Materials 0150-76183 EMC Computer Harness Assembly Controller Cable
234 AMAT Applied Materials 0150-76184 Rev-A Chamber Umbilical Cable 25′
235 AMAT Applied Materials 0150-76184 Rev-A Chamber Umbilical Cable 25′
236 AMAT Applied Materials 0150-76199 Rev-A Interconnect Cable, Turbo Cont. 50′
237 AMAT Applied Materials 0150-76647 Wire Jumper 2 Pin Connector EMO
238 AMAT Applied Materials 0150-76647 Wire Jumper 4 Pin Connector EMO
239 AMAT Applied Materials 0150-91185 AMLG Type 7
240 AMAT Applied Materials 0190-02550 ATI Infinity S3410044N Diamond Polishing Pad
241 AMAT Applied Materials 0190-08555W 8″ Composite Retaining Ring, Titan Head
242 AMAT Applied Materials 0190-08556W 8″ Composite Retaining Ring, Titan Head
243 AMAT Applied Materials 0190-70024-H8 Light Pen
244 AMAT Applied Materials 0190-77195 Sharp Precision Vice Action Latch
245 AMAT Applied Materials 0200-02396 Ceramic Middle Liner Producer SE 10″
246 AMAT Applied Materials 0200-03456 Quartz Insulator
247 AMAT Applied Materials 0200-04406 Gas Insert IEP Lid 300mm DPS2
248 AMAT Applied Materials 0200-20175 Labyrinth Rigid Coil Support Vector IMP
249 AMAT Applied Materials 0200-35218/ / P4-TCA-121995-9 Adapter Ring 150mm Sil Coat
250 AMAT Applied Materials 0240-20592 PVD Chamber Integration Kit P749R02-PE G4
251 AMAT Applied Materials 0242-11542 Standard PM Replacement Kit P541R03-PE
252 AMAT Applied Materials 0242-15305 Kit, Loto Gas Panel 300mm Radiance 416248-R3
253 AMAT Applied Materials 0242-25370 RP Toxic Chamber Exhaust Install Kit Part 1
254 AMAT Applied Materials 0242-26542 Kit 300mm Centura Mainframe Ship Kit
255 AMAT Applied Materials 0242-28368 Field Start Up Spares Kit 300mm Centura 4.0
256 AMAT Applied Materials 0242-33930 E2 300mm Degas Chamber Kit
257 AMAT Applied Materials 0242-38184 Leak Check Throttle Valve Shipping Plate
258 AMAT Applied Materials 0242-45025 E2 300mm Degas Chamber Integration Kit Rev-001
259 AMAT Applied Materials 0242-61195 Throttle Valve Kit w/ 0090-36452 3690-04602
260 AMAT Applied Materials 0242-75378 Common Centura Per System TTF Connection Kit
261 AMAT Applied Materials 0242-75857 Kit PER 101 Chamber
262 AMAT Applied Materials 0270-20059 Calibration Tool, Heater Lift Alignment
263 AMAT Applied Materials 0270-20083 Hoop Lift Calibration Tool 8″
264 AMAT Applied Materials 0270-2037 Indexer Calibration Bar
265 AMAT Applied Materials 0620-02383 Cable Assy RS-232 75′ DB9-M/M EMI/RFI Hood
266 AMAT Applied Materials 118468-G1 5Pin Plus 2-RF Plugs 8′
267 AMAT Applied Materials 118469-G1 9Pin Sielded Resin Cable for Baratron 8′
268 AMAT Applied Materials 1270-01251 Pressure Switch Geco P110-81W3/1514-8
269 AMAT Applied Materials 2FC-NW-25-1 Thin Wall Flex Bellows Coupling Flange
270 AMAT Applied Materials 3300-02224 TBG Plug Hollow Hex 9/16-18 SAE/MS (Set of 2)
271 AMAT Applied Materials 3700-02728 Seal Bonnet For, DN100 Series 12 Gate Valve
272 AMAT Applied Materials 3950-01042 Tube Connector
273 AMAT Applied Materials AFC 550E Mass Flow Controller 200 SLPM H2
274 AMAT Applied Materials AFC-550A Gas H2 1000SCCM Mass Flow Controller
275 AMAT Applied Materials HLZ-399-023, 01-3634 Rev-30 Throttle Valve Cleaning Tool
276 AMAT Applied Materials Mirra Bezel 0190-77064 Monitor Cover w/ Glass 17″ Monitor
277 AMAT Applied Materials Stainless Steel Flex Tube 14″
278 AMAT Applied Matrerials 0200-36631 8″ Cover Plate Heater DXZ Patterend
279 AMAT Producer SS-8P6T Water Distribution Manifold H-700-SS-L-1″
280 American Dynamics ADLCD22PPS0B 22″W LCD Monitor 9975455601
281 American Optical Model 655 Microscope Illuminator
282 American Standard 5-161-03-014-005 Heat Exchanger BCF/HCF Type 4 Pass
283 Ametek WG-89 Piston & cylinder Assembly
284 AML Model 5000 Portable Data Terminal
285 AMP 208742-1 Circular Connector 37 Pin
286 AMP 208747-1 Circular Connector 57 Pin
287 AMP 7500-001 CPU Socket
288 AMP 87579-2 Electrical Connectors 10 MOD DRRA SHRD 100CL (Lot of 270 NEW)
289 AMP 87579-2 Electrical Connectors 10 MOD DRRA SHRD 100CL (Lot of 320 NEW)
290 AMP 97-4106A-20-27P Circular Connector 14 Pin
291 AMP 97-4106A-20-27PW Circular Connector 14 Pin
292 AMP Mouser 571- 747461-5 D-Sub Terminals 25 pin (Lot of 100)
293 AMP Shielded HDE Kit D15PHTKPC (749810-2) Connector (Lot of 18)
294 Amphenol / BNC UG-89C/U Connector / Adaptor to BNC (Lot of 13)
295 Amphenol 10-825810-20P I/O Connectors (Lot of 2)
296 Amphenol 31-10 BNC Bulkhead Connector Solder Type (Lot of 12)
297 Amphenol 408JE GP-1B 6′ Cable
298 Amphenol 74868 UG-27C/U 90° Degree Coax Connector. (Lot of 10) RF Adapter
299 Amphenol 74868 UG-27C/U 90° Degree Coax Connector. (Lot of 10) RF Adapter
300 Amphenol 97-3106A-18 Circular Shell for Circular Connectors (Lot of 5)
301 Amphenol 97-3106A-22-20S Circular Connector
302 Amphenol 97-3106A24-7P Circular Connector 16 Pin.
303 Amphenol 97-67-18-8 , 10-825923-182 Circular Mil-Spec Strain Relief & Adapter
304 Amphenol Aerospace 10-497623-075 Circular MIL Spec Contacts
305 Amphenol Aerospace D38999/24FJ19SA Circular MIL Spec Connector
306 Amphenol AN-3057-4 Mil-Spec Circular 2 Pin Connector Assy. MS-3106A-10SL-4S
307 Anaconda D3592-252-P20 SS Braided Flex Line CTI 8081579 10′
308 Analog Devices AD7858AR Converter
309 Analog Devices Card Cage w/ 10 Cards 7000-0028
310 Andover Controls E-Z Link Module
311 Andrew 245575A Termination Dummy Load Attenuator DC 2.5GHz
312 ANELVA Gasket Pack (3 Gaskets per Pack) 953-9210
313 Answer Engineering Turn-Act 032-B1083A Actuator
314 Antenna Specialists 92001051 ASPRM2973 Station Antenna
315 Antriebstechnik Type M 32×30/1+P32 DC Gear Motor PD 308
316 AP Tech 033-0185-010 Pressure Regulator
317 AP Tech 2 stage diaphragm Regulator, AP1710SM, 3PW FV4 FV4 0 SC
318 AP Tech AP1010SM-3P-IV4-IV4-IV4-0 Regulator
319 AP Tech AP1020S 3P 4 4 0 Regulator
320 AP Tech AP1030SM 3P IV4 IV4 IV4 Regulator
321 AP Tech AP1410SM 2PW MV4 MV4 3.38 Tied Diaphragm Valve / Regulator
322 AP Tech AP1510SM 2PW MV4 MV4 Tied Diaphragm Regulator 3500 Psi.
323 AP Tech AP3000SM 2PWC FV4 MV4 Solenoid valve
324 AP Tech AP3000SM, 3PWF MV4 MV4 MV4, Solenoid valve
325 AP Tech AP3550S Dual V ISO Valve
326 AP Tech AP3550S V SUM IS Dual Solenoid Valve
327 AP Tech AP3600S 2PW MV4 MV4 Manual Diaphragm Valve
328 AP Tech AP3600S 2PW MV4 MV4 Manual Diaphragm Valve
329 AP Tech AP3600SM 4PWN FV4 TW6 TW6 FV4 Pressure Regulator 4 Port
330 AP Tech AP3625S 2PW FV4 FV4 P PMT Manual Diaphragm Valve
331 AP Tech AP3625SM 2PW FV4 FV4 Manual Springless Diaphragm Valve
332 AP Tech AP3625SM V3/V4 AL/1 Dual Diaphragm Valves
333 AP Tech AP3650S Manual Diaphragm Valve 2PW FV4 FV4
334 AP Tech AP3650S Manual Diaphragm Valve 2PW MV4 MV4
335 AP Tech AP3650SM 3PWD FV4 FV4 TW4 Manual Diaphragm Valve
336 AP Tech AP3650SM 3PWD FV4 FV4 TW4 Manual Diaphragm Valve
337 AP Tech Diaphragm valve, AP3000SM, 2PW FV4 FV4
338 AP Tech High pressure regulator KT-10JOS, 4PW, MV4, 40, 20
339 AP Tech Manual Diaphragm valve, AP3600SM 3PWG FV4 TW6 TW6
340 AP Tech Mini Spring Regulator, SL5210S
341 AP Tech pneumatic valve AP3550SM, 2PW FV4 MV4
342 AP Tech Pneumatic valve, AP3550SM, 3PWE MV4FV4MV4
343 AP Tech regulator, AP1001SHM 2PW FV4
344 AP Tech Regulator, AP1001SHM, 2PW FV4 FV4 SC
345 AP Tech S033-0179-100 High Pressure Valve 5 Port
346 AP Tech Solenoid Valve AP 3000SM 3PWD FV4 MV4 MV4
347 AP Tech, Manual Diaphragm valve, AP3650SM, 2PW FV4 FV4
348 AP Tech, Manual Diaphragm valve, AP3650SM, 2PWA MV4 FV4
349 AP Tech, Manual High pressure Diaphragm valve, AP1510SM, 4PWL FV4 FV4 FV40
350 Apcon ACI-2016 ASN Rev-D SCSI Booster
351 API American Precision Industries Smart Axis Controller SAC-560
352 API Delevan CM6296R-253 Common Mode Filter/ Choke
353 Applied Ceramics 9101139A Feedthrough Coil Support
354 Applied Materials / Hayward 901725-001 Sulfuric Acid Valve
355 Applied Materials / SemiQuip Cassette Alignment Tool, CAT200P-98001
356 Applied Materials / Varian Anode Cover 0066419801 **NEW**
357 Applied Materials 0010-09416 RF Match Phase IV
358 Applied Materials 0010-09978 Lamp Heater Module 600VAC
359 Applied Materials 0010-60015 Suscector Assembly
360 Applied Materials 0020-04257 Wafer Pedestal 150mm / 6″
361 Applied Materials 0020-09039 Bracket, Strip, NDM-B-495 Oxide Cathode
362 Applied Materials 0020-09933 Gas Distribution Plate Sputter
363 Applied Materials 0020-13909 , 119423-437 Shipping Window (2 parts)
364 Applied Materials 0020-20112 Clamp Ring
365 Applied Materials 0020-20112 Clamp Ring
366 Applied Materials 0020-20112 Clamp Ring
367 Applied Materials 0020-20340 Door Transfer Slit Valve
368 Applied Materials 0020-32983 Insert Full Round NI OX/MLR/NIT/ 200mm
369 Applied Materials 0020-32984 Cover Pedestal Full Round NI
370 Applied Materials 0020-33525 Plate, Side, Recover, Waterbox R2.
371 Applied Materials 0021-36191 Pumping Plate for DCSxZ Metron PN: 5008107
372 Applied Materials 0040-21826 Flange Edwards Pump Exhaust
373 Applied Materials 0040-36826 Blocker Plate
374 Applied Materials 0040-40360 Rev 001 **NEW**
375 Applied Materials 0040-76076 Manifold Short Facilities I/F Centura
376 Applied Materials 0040-79321 Adapter Block SWLLA-FI Alignment w/Gas Feed
377 Applied Materials 0045-00031 Pedestal Bottom Ring 150mm Oxide
378 Applied Materials 0045-00115 Pillow Block
379 Applied Materials 0045-20037 Pillow Block Chamber Lid.
380 Applied Materials 0050-21366 Nitrogen Weldment
381 Applied Materials 0050-42367 Stainless Steel Weldment
382 Applied Materials 0100-09307 DTLR Interlock PCB
383 Applied Materials 0100-09463 Suscector Assembly
384 Applied Materials 0100-35227 E Chuck Controller Board
385 Applied Materials 0140-77708 K-Tec Cable Assembly
386 Applied Materials 0140-77708 K-Tec Cable Assembly
387 Applied Materials 0150-1670 Cable Assy Controller to Chiller
388 Applied Materials 0150-20027 Cable J1/P11
389 Applied Materials 0150-20160 cable Assembly EMO Interconnect 50′
390 Applied Materials 0150-20575 Cable Assy Monolith Pump Std Interface
391 Applied Materials 0150-21011 Remote Video Cable Assy for 5500 PVD Mainframe 50′
392 Applied Materials 0150-75204 EMC Comp Chamber Umbilcial Harness Assembly 25′
393 Applied Materials 0150-76116 Rev-A Robot Calibration Cable
394 Applied Materials 0150-76186 EMC Comp. Controller Pump Cable Assy
395 Applied Materials 0150-76322B DXZ Countermatch Coax Cable
396 Applied Materials 0190-23270 Hokuyo DMS-HB1-A28 Variable Sensor Cable 17′
397 Applied Materials 0190-23270 Hokuyo DMS-HB1-Z28 Variable Sensor Cable 17′
398 Applied Materials 0190-23965 Hot Ion / Pirani Gauge w/ Sensor 0190-22146
399 Applied Materials 0200-00149 Quartz Tube Applicator ASP+ C115865 MTI# MA-700025
400 Applied Materials 0200-00980 Rev-011 Ceramic Ring 381mm Notch
401 Applied Materials 0200-01284 Quartz Shadow Ring Stepped Alignment 300mm EMAX
402 Applied Materials 0200-02228 Quartz Shadow Ring Stepped Alignment 300mm EMAX
403 Applied Materials 0200-02626 Quartz Top Ring 63RA 300mm EMAX
404 Applied Materials 0200-09610 Quartz Outer Ring Ulvac 1016698 150mm X 30mm
405 Applied Materials 0200-09657 End Point Recessed Window 6mm Aperture
406 Applied Materials 0200-20331 Cover Ring
407 Applied Materials 0200-30073 Ring Assembly
408 Applied Materials 0200-36105 Insert CHB 320mm-OD
409 Applied Materials 0200-36105 Insert CHB-A BOC Edwards pn: 4183829-0001
410 Applied Materials 0200-36630 8″ Cover Plate Heater, DXZ, Aluminum NIT
411 Applied Materials 0200-36680 Upper Quartz Liner Ulvac 5002020
412 Applied Materials 0240-39753 Kit Elect IQDP Pump Interface
413 Applied Materials 0270-20016 Lift Bellows Wrench 111677400
414 Applied Materials 0270-20052 Calibration Tool 7″ Degas
415 Applied Materials 0270-70062 DC Bias Post Alignment Jig Widebody
416 Applied Materials 0500-24654 Process Argon Weldment
417 Applied Materials 0620-02267 Cable Assy EXT EMO IQDP Pump Edwards
418 Applied Materials 0620-02268 Cable Assy EXT Signal IQDP Pump
419 Applied Materials 1270-90147 Pressure Switch
420 Applied Materials 257604 Cable Assembly Left, Ret. Opto U674
421 Applied Materials 3860-01229 TBG Plastic Tubing 1/4od 5/32 id PTFET 40Feet
422 Applied Materials 670243 Gasket Epi Lamp Panel 4622808-0001
423 Applied Materials 70512470000 Power Supply HDPM PS
424 Applied Materials 70512470000 Power Supply HDPM PS
425 Applied Materials 70512470000 Power Supply HDPM PS
426 Applied Materials 715-9657-001 B Black Anodized 6 1/2″ Aluminum Ring
427 Applied Materials Adapter 0021-09181
428 Applied Materials AMAT 3870-04392 Valve Gate Kalrez **NEW**
429 Applied Materials AMAT Chopper Drive Module 0100-00060
430 Applied Materials AMAT HT SWLL Cooldown Pedestal 0040-70865 old prt # 0040-96230
431 Applied Materials AMR-500 Flow Meter
432 Applied Materials Bracket, Flag 0020-09600
433 Applied Materials HOA1881-13 9923 Optocoupler
434 Applied Materials HOA1881-13 9940 Optocoupler
435 Applied Materials Inner Can assembly 0021-09179
436 Applied Materials Insulating Flange 0020-33373
437 Applied Materials Insulating Pipe Quartz 0020-03398D
438 Applied Materials Kit,PER MFC/BYP, 0240-71274
439 Applied Materials K-Tec 0150-20112 Cable Assy, EMO Generator 1,2, 6 1/2″
440 Applied Materials Opal 78319260000 Detectors Distribution Box
441 Applied Materials Outer Can MXP 0021-09178
442 Applied Materials Plate Adapter Clamp 0020-23836
443 Applied Materials Plate Waterbox 0020-33586
444 Applied Materials Plate Waterbox 0021-09180
445 Applied Materials Plate, Recover, Waterbox. 0020-33526
446 Applied Materials Plate, Side, 0020-33523
447 Applied Materials Plate, Side, Pump, Waterbox 0020-33527
448 Applied Materials Plate, Top Plumbing. 0020-33522
449 Applied Materials Plate, Top Pump Stack. 0020-33528
450 applied materials Plate, top. 0020-33524
451 Applied Materials Pusher MFG. 0020-09047
452 Applied Materials RF Match Rod 0020-09067
453 Applied Materials Sensor Bracket 0020-09054
454 Applied Materials Sensor plate 0020-09055
455 Applied Materials Shaft Plug, 0021-03973
456 Applied Materials Susceptor Assy TEOS 200mm 0010-09463
457 Applied Materials WXZ Newuwave Pos D Upper 0050-26612
458 Applied Robotics BXC5T-25-06-NP-CL End Effector
459 Appliied Materials 0200-10246-P2 Uni-Insert Gas Dist. 88 Holes Quartz (NIB)
460 Arc Machines M107-4 / M80-3 Remote Control Pendant for Orbital Welding 25′ Cable
461 Archive Corp 80567-102 SC402 Controller Board
462 Ardel Kinamatic C-100-0-2 Dual Driver Control Unit
463 Aries Electronics 24-350000-10 Socket Adaptor SOIC 24-Pin DIP (Lot of 31)
464 Aristo LR573W45OLY Fluorescent Microscope Ring Light M1457-3
465 Arlyn Scales Bench / Cylinder Scale, 9″X9″ 300lb. Series 620G-4-300
466 ARO 666053-344 Diaphragm Pump
467 ARO Ingersol Rand 127112-300 Mini Regulator 1/8 & 1/4 Pipe A0250
468 Arrow PT-24 Mini Sign Tower
469 Arrow ST-18C Electronic Alarm
470 ASA-6 Throttle Valve Pneumatically Controlled with Micrometer Adjustment
471 Asco 158-927 Valve Rebuid Kit
472 Asco 8210C87 Red Hat Solenoid Valve
473 Asco 8210G36 Solenoid Valve
474 Asco 8262G212 Solenoid Valve
475 Asco EF8314G36 3 Way Valve Hazardous Duty
476 Asco HV2681851 Vacuum Switch
477 Asco P32-MR50-A1-3-210LA-NW-120V-BRP Series 342 Regulator Filter
478 Asco Red Hat 8262G210LT Vacuum Valve A755186
479 ASCO Red Hat Solenoid Valve 8030313
480 ASCO Red Hat Solenoid Valve 8262C2
481 ASCO Red-Hat 302028 Rebuild Kit
482 ASG H10S CL-7000 Electric Screwdriver 751078J
483 ASG H10S SB-650C Electric Screw Driver Y35106L
484 Ashcroft / Cole Parmer Duragauge Solid Front 451279SSL04L400#
485 ASHCROFT 45 1279 SSL 04L 400 Duragauge
486 ASM 16-320174B02 Gasket Restrictor 5 SLM-N2 1.4″ VCR GSKT/W/.021 Bore (1 Unit)
487 ASM 16-321312E01 Quartz Process Chamber 150mm Wafers.Epsilon 2000 Epi Reactor.
488 ASM 16-321411C01-B Susceptor Ring Lifter
489 ASM4022-471-45821 FRM Remote Control
490 ASML 4022-436-7961 SVG 12NC.28.12.00
491 ASML 4022-471-4988 4022-470-0013
492 ASML 4022-480-6089, 4022-471-5737, 4022-471-5741, 4022-471-5740 Module
493 Astec 73-171-010 Power Supply MN: ALS301C-3000-EF
494 Astec 73-385-014 ACV24N2.4 Power Supply
495 Astec LPQ352 Power Supply
496 Astro SI-1613 Digital Serial Interface Adapter Panel-Link
497 Asyst 05050-014 Prealigner
498 Asyst Air Vacuum End Effector SN: 018221
499 Asyst Hine Design Process Chamber Elevator Drive Assembly
500 Asyst SAM4410 Network Module w/ Cables
501 AT&T Bar Code Reader Controller BCR-200
502 ATC 375A500Q50PX Multi Range Timer Counter
503 Atcor MPU HA-0478-05 NEW
504 Atcor Optical Isolator
505 Athena 4000 FE Digital Temp Controller
506 ATI Assembly Technology 30001005-450 Rev-E IFB Add on Board CPU Driver
507 ATMI Now Technologies SP4BBABB Smart Probe
508 ATMI Now Technologies SP4BBAEB Smart Probe
509 ATMI Now Technologies SP4BBAMB Smart Probe
510 Atten 8 to 1 parallel auto switch. AS-811P
511 AULT SW113 Power Supply
512 Austin Scientific Model 220 Thermocouple Gauge Controller
513 Auto Flow FS574-587 Water Flow Switch
514 Autobotics Ergonomic Wafer Cassette Loader
515 Autobotics INTL-1000 Ergo Wafer Cassette Loader w/ shocks Humphrey HKSH-8×10
516 Automation Direct AD-BSMM-24 MOV Module (Box of 4)
517 Automation Direct D4-16TR Output Relay Module
518 Automation Direct Flange for TRDA Encoder F-2D **NEW**
519 Automation Inc. LCAB013002 PCB
520 Avaya X330S2 (Cajun) Ethernet Module
521 Avimo British Optical Lens 42-9670-0134 / PP-VL-3737L-00 150mm X 25mm 6″X1″
522 Avimo British Optical Lens 42-9675-0623 / PP-VL-5358L-00 140mm X 25mm 5.5″X1″
523 Avnet SN650LVDS32BD LVDS Interface IC Quad Reciever (Lot of 7)
524 AVX 0402YD104KAT2A Ceramic Capacitors (Lot of 9,520)
525 AVX 06035A360FAT2A Ceramic Capacitors (Lot of 1134)
526 AVX 0603AC224KAT2A Multilayer Ceramic Capacitors (Lot of 2,119)
527 AVX 18251C154KAT Multi Layer Ceramic Capacitors (Lot of 145)
528 AVX TPME227M016R0025 22ouf 16V 20% Tantalum Capacitors (Lot of 251)
529 AVX TPSD156K03540100 Tantalum Capacitors (Lot of 109)
530 AVX TPSE477M010R0100 Tantalum Capacitors (Lot of 138)
531 AVX W2L1ZC474MAT3A Capacitor Arrays (Lot of 100)
532 AVX W2L1ZC474MAT3A Capacitor Arrays (Lot of 2000)
533 AWG LL29779 / E66858 Ground Cable 40′ E16007731 Rev-1
534 Axcelis / Eaton 0295-0013-2001 Quadrapole Aperture **NEW**
535 Axcelis 0295-0014-2001 Quadpole Ring Aperture
536 Axcelis 0295-0043-0001 Aperture Upstream Beam Splitter
537 Axcelis 1550-0017-0001 Arc Chamber Top Plate
538 Axcelis 17032100 Beam Aperture
539 Axcelis 17032100 Beam Aperture
540 Axcelis 1706380 Nozzle Retainer
541 Axcelis 17066871 Graphite Strike Plate
542 Axcelis 17066871 Graphite Strike Plate
543 Axcelis 17099981 Post Accel Aperture for E-Gun
544 Axcelis 17115920 Aperture Support
545 Axcelis 17115920 Aperture Support (NEW)
546 Axcelis 1712040 Carbon BRG / Electrode Bearing
547 Axcelis 17122880 Perforated Insert Shield Variable Electrode for GSD 200E
548 Axcelis 17122960 Quadrapole Lens Tube for GSD 200
549 Axcelis 17135430 Bypass Aperture Electron Shower Housing Liner
550 Axcelis 17208240 Beam Defining Aperture
551 Axcelis 17237140 VG Magnet Plate / Electrode Plate Graphite
552 Axcelis 17239360 Graphite Liner VG-Magnet Duct
553 Axcelis 1911960 Linac AMU HE3 Bellow
554 Axcelis 569691 Rev1 Sensor Probe Thermocouple
555 Axcelis 580773D Thermocouple
556 Axcelis ACT-02-10042 24″ / 635mm Plasma Tube 2mmID-2.5mmOD
557 Axcelis Eaton 17164510 E-Shower Insulator HBN Boron Nitride / Ceramic Block
558 Axcelis III PEF-XE Shower Tube Aperture 170010540 Rev A
559 Axiom EX-850 Video Printer Seikosha VP-51
560 Baldor 085-038-249 Electric Motor 1/3Hp 1725 RPM Ph1 Class B
561 Baldor BSM63A-138-SPL Servo Motor
562 Balluff BKS-S49-1-PU-05 Cable Assembly
563 Balmac 191-5 Vibration Transmitter
564 Balmac Model 1121 Vibration Monitor
565 Banner 22-0189 Fiber Optic Cable Assy PIT46U
566 Banner Engineering BT13S Photoelectric Sensor MN:6641
567 Banner Photo Emitter SMA91ESR
568 Banner SM31E Mini Beam Glass Lens Photoelectric Sensor / Emitter
569 Banner VS2RP5RQ Mini Sensor.
570 Barber-Colman TC-1151 Two Stage SPDT Thermostat 55-85F
571 Barden 105FFT5 Precision Bearing
572 Barden 116HDL Angular Ball Bearing (Single bearing)
573 Barden 116HDL Angular Ball Bearing set of 2
574 Barden 38FFTX2 Ball Bearings (2 per box)
575 Barden SR6SS / SR6SDB Precision Ball Bearings (Lot – 2 boxes, 2 per box)
576 Barksdale Pressure Switch E1S-H90-PLS
577 Barnes 021339 4 for 1 Foot Valve
578 Barnes Engineering Radiometric Microscope Accessories RM-121-1 RM-122-1 +
579 Batston 250-2456-A Differential Gas Filter Service Indicator Gauge
580 Baumer FNDK 14G6904/S14-IO Smart Reflect Light Barrier IO-Link
581 Bausch & Lomb 31-35-28 Microscope Illuminator Transformer
582 Bausch & Lomb 31-35-30 Microscope Illuminator Transformer
583 Bay Pneumatics Solenoid Valve Assembly w/ Regulator BES 5783, 93-0114.
584 Beam Stop 80001519 70XE HYT Particle Monitor
585 Bearings Limited 6203 2RSC3EMQ Ball Bearings (Lot of 10 )
586 Bearings Limited 6203 2RSC3EMQ Ball Bearings (Lot of 7 )
587 Beco ASP-103533 Aspirator
588 Beco MFG. AC-PVC-6.0-VT Pneumatic Cylinder 20/60 Actuator
589 Beco SC-103536-002 Manual High Purity Ball Valve
590 Beco TP-2W8P-C-RO Teflon High Purity Pneumatic Valve.
591 Beeco Inc. Cross Hair Generator. B1639
592 Belair Glass VLSI C1-9-8-3 Tempered Glass 11×12″
593 Bel-Art Desiccator Box F42020 w/ Valve (NIB)
594 Belden 02-103855C04 RF 9008RG 8/U 12′ RF Plasma Cable Assy.
595 Belden E108998 Power Adapter Cable shielded low volt 9 pin D-sub to 5 pin DIN
596 Belima AF24 US Spring Return Failsafe On/Off Damper Control Actuator
597 Belkin F1B024-E Data Switch
598 Bellofram 962-134-100 Pneumatic Voltage Sensor T-1000
599 Bendix Corp. Connector 9335, 9342
600 Berg Electric 2297, 81190-005 Rev-C GPIB Cable Interface 2′
601 Bete FF187145 Fog Nozzle / Water Deluge Nozzle 3/8″ (Lot of 10)
602 Bimba 046-DXP 3/4 Bore 6″ Stroke Pneumatic Cylinder 10″
603 Bimba 300888-001 Rev-E / D-31497-A Pneumatic Air Cylinder
604 Bimba D-5096-A-2 A Series Air Reservoir
605 Bird LUC-007F Dummy Load Terminal Attenuator
606 Bird LUC-010F Termination Dummy Load Attenuator 75W 50Ohms
607 BK Precision 1760 Triple Output DC Power Supply
608 Black Box AC056A-2 VGA Splitter
609 Black Box Corp. PI015A Serial to Parallel Converter II
610 Black Box Twister-10 Converter Unit 01333764
611 Block Type ES 30 DIN Rail Mount Power Conditioner
612 BMT GS5-8143 Accessories Kit for Ozone Monitor BMT930
613 BOC Edwards 0200-36524 4183829-0001 Lid Liner – TXZ
614 BOC Edwards 0620-9092-0 Cable Assy SS64 I/O
615 BOC Edwards D37215000 Network Interface Module
616 BOC Edwards D37272000 Dry Pump Control Module
617 BOC Edwards Gas Control Module for Compact Dry Pumps
618 BOC Edwards Temescal 0620-9120-0 Cable Assy SS64 Sweep Coil Dr.
619 BOC Edwards Temperature Management System
620 BOC Edwards Y14-202000 Temperature management system,
621 Bodine 2411PE4327 Stepping Motor Type: 23T3BEHH
622 Boekel Cement Shelf for Desiccator Box 8″X10″
623 Boekel Desiccator Statnip Stainless Steel Desiccator Box 22x20x12
624 Boekel SS, Stainless Steel Desiccator Box 12X10X12″
625 Boekel TropiCooler / Heater Model 260014 Dry Block Incubator
626 Bold Technologies 940-2250 Auto Heater / Chiller
627 Boonton Electronics Model 72AD Capacitance Meter
628 Bosch UFLED60-8BD AEGIS IR LED Illuminator Night Surveillance Light
629 Boston Gear JS50B Stainless Joint Bore 1/4″ 30* Angle
630 Boston Gear, Gear Reducer w/ Pulley 10:1 1750 RPM
631 Brady TLS2200 Portable Thermal Labels PTL-17-428
632 Branson / IPC PWA 14024-01 Rev D Interface Card
633 Branson / IPC PWA 14024-01 Rev D Interface Card
634 Branson C03392D Asher High Voltage Diode Board 481647-0001
635 Branson IPC 13013-01 PWA DC Driver Blank PCB
636 Branson IPC C10250 Fan Detector Board
637 Branson IPC PWA 16348-01 Rev-A EOP/Press Cont. Card
638 Branson IPC PWA 16364-01 I/O Board
639 Branson IPC PWA 16364-02 Rev-A I/O Card
640 Branson IPC RF Gen Board PM122-B07150 PM122/129
641 Branson-IPC / Gasonics PN: 03470-01 Input Tuning Capacitor
642 Brisk Heat 4962S-007 Input Power Supply / Alarm Box
643 Brisk Heat APM211025 / 0190-35702 Heater Jacket w/ Cables. 20225-05
644 Brooks 001-7440-01 E/R Flag Assembly PCB#17426-01A Exit Assy# 001-7427-02
645 Brooks 398-19599-1 End Effector up to 8″ Wafers
646 Brooks Automation 001-4967-65 Non Vacuum End Effector / Wafer Arm 002-8482-01
647 Brooks Automation 108378 DN Module
648 Brooks Automation 5850-C Mass Flow Controller Gas N2
649 Brooks Automation 70009117 Rev-C PCB, Stepper Driver 70009115 Rev-E
650 Brooks Automation Fix Load 25 FOUP Wafer Front Load
651 Brooks BM06241 PCB, Rev M **New**
652 Brooks Instruments / Mountz 003-00178 3.0 in. lbs. Fixed Hex Torque Wrench
653 Brooks Instruments / Mountz 003-01460 2.9 in. lbs. Fixed Hex Torque Wrench
654 Brooks Instruments / Mountz 21863 1.4 in. lbs. Fixed Hex Torque Wrench
655 Brooks Instruments / Mountz 2662 2.9 in. lbs. Fixed Hex Torque Wrench
656 Brooks Instruments / Mountz 2681 1.4 in. lbs. Fixed Hex Torque Wrench
657 Brooks Instruments / Mountz 6599 20 in. lbs. Fixed Hex Torque Wrench
658 Brooks Instruments / Mountz 6726 3.0 in. lbs. Fixed Hex Torque Wrench
659 Browning 1VP65 Variable Pitch V-Belt Pulley 1-5/8
660 Browning 1VP75 Variable Pitch V-Belt Pulley 1-5/8″
661 Browning E-108 Boar Loader Bearing
662 Browning VE-108 Boar Loader Bearing
663 Buchanan 0342 Sectional Fuse Switch Terminal Block of 7.
664 Bulbrite 40T10CL Tubular Lamps (Lot of 22)
665 Bulbrite 40T10CL Tubular Lamps (Lot of 25)
666 Burkert 2509 AC DC Solenoid Valve Connector
667 Burkert 256A-08,0-B Actuator
668 Burkert 301C1/16F-PL Man-0-E-041 Solenoid Valve
669 Burkert 301C1/64F-PL Man-0-E-041 Solenoid Valve
670 Burkert 49Z8 Brass Solenoid Valve Safety Shut Off Valve
671 Burkert Manifold FLNSCH PN0-6bar, 8) Solenoid Valves on Manifold
672 Burkert MICR-2030-S0 Pneumatic Diaphragm Valve PVC
673 Burkert US06261 / 6106 T 01, 6FF P MAN PMAX 14PSI Solenoid Valve W24LT
674 Cajon 316-16-VCR Metal Gasket Seal Fitting Automatic Tube Butt Weld 1″
675 Cajon Gasonics A69260D01 Gas Inlet Stand-off
676 Cajon L192E 316LV / 316VCO Gas Inlet Tube, Weldment
677 Cajon SAE 316 Male Treaded End Plug w/ O-Ring (Box of 10)
678 Cajon SS Metering / Needle Valve 1/4″
679 Cajon SS-4-VCR-VLC Conduit Retainer (Lot of 15)
680 Cajon SS-6-HC-1-4 1/4″ NPT to Barb Fitting ( New Box of 10 )
681 Cajon SS-6-HC-1-4 1/4″ NPT to Barb Fitting ( New Lot of 4 )
682 California DC LR5301-46 Power Supply
683 Cambridge EIC-Y23X916 2T-474520G-STN92 OEE-005805-1 Absolute Filter
684 Cambridge Tech 002-3005043 Axis Servo Board 711-73883-18 Rev-E
685 Canon BH8-1054-01 LD Drive PCB Assembly Board w/ Motor BG9-4660
686 Canon BH8-1819-02 BG4-6811 / BG8-2682 PA/SH-PCB
687 Canon BR9-4152, BH8-0510-01 Extender Board
688 Carl Zeiss LT-177Z Halogen Illuminator Bulb 6V – 15W
689 Carlo Gavazzi CA18CLN12NAM1 Proximity Sensor Capacitive
690 Carr Lane CLR-003-HPA Pump Handle for Model D8.800 D8-800
691 CCSI PC871600-00A/B STD20-CXS SB871600-00A Network Standard Interface Module PCB
692 CELERITY / Unit Model 1660 Mass Flow Controller
693 Celerity GF125 Prototype Mass Flow Controller 15000 SCCM Gas N2
694 CELERITY IN3XP XAXBDB00 / XAXBDB00130B3 Mass Flow Controller **NEW**
695 Center / Aihara FRB-750E Transformer
696 Center Type SPA-1K Transformer
697 Ceramic End Effector / Vacuum Wafer Handler
698 Ceramic End Effector / Vacuum Wafer Handler
699 CFQ PN:2017 50.8000X1.6000 2″ Quartz / Glass Comm. Disc
700 CFW California Fine Wire 200745-030 Rev-D 1000′ .010 Spool SS 316L VM
701 CHA Cryocoil and Cryocoil Controller for CHA Cryopump
702 CHA Industries Crucible Control Indicator EA-5
703 CHA Pyrex 5738-6 Belljar View Port
704 Chatillon DFGS100 Digital Force Gauge
705 Chem Tec 1080319 Flow Switch, RF Recir.
706 Chem Tec 1MAO-500-T-10 B AB Flow Switch
707 Chemraz 9234-SD653 O-Ring AS-568A-234 CPD53
708 Chem-Tec 125-316-BPHFNDA650 Solenoid Valve Switch
709 Chem-Tech 125-B-BP Adjustable Brass Flow Monitor
710 Chem-Tech B-347-01 Adjustable Flow Monitor
711 Cherry G-15RC , CVA-S-7707-39 Rivet Gun
712 Chicago Miniature CM8-A233 Light Bulbs / Lamp BA15D Base ( Lot of 5 )
713 Chicago Miniature Lighting 5210F1 Red LED Panel Mount Light ( Lot of 10ea )
714 Christie 38-813001-01 CINE-IPM w/ Video Decoder Image Processor
715 Chromalox MT-115A Immersion Heater w/ Tank 156-019145-009
716 Cinch 25-140 Terminal Block 25 Position 353-11-25-001
717 Cinch ADBJ79-E1-UBJ20 RF Adapter BTWN Series TBK JK TO BNC JK
718 Cisco Systems 4 Port EN Module Ethernet Card 4E CNI9CGHAAA, 4E/800-02027-04E0
719 Citizen LCT-64 c Touch Screen Panel
720 CKD 4KA110 / 4KA120 Valves on Manifold
721 CKD AB3X Pneumatic Solenoid Valve
722 CKD AB42-02-4 Multi Rex 2 Way Solenoid Valve
723 CKD AMD01-X29 Solenoid Valve
724 CKD AMD01-X41-S Manually Adjustable Air Valve
725 CKD AMD212-1YT-SPL High Purity / High Temperature Valve
726 CKD AMDZ1-X24 Valve
727 CKD AMS02-X20 Valve
728 CKD AMS02-X20 Valve
729 CKD CMK2-20-25-P7 Pneumatic Air Cylinder
730 CKD FCD-L-25-50 Pneumatic Double Acting Flat Cylinder
731 CKD HVB112-6N-5 High Vacuum Solenoid Valve
732 CKD HVB41 / DC48V Solenoid Valve
733 CKD HVB81-15F-5 Vacuum Assembly USB3-6-3E Fujikin, TEL 0120-064661
734 CKD MAGD5-02A-W-11 Manifold w/ 2 NC Diaphragm Valves
735 CKD N3S010 16 Valves on a Manifold N4S0-T50, N4S0-Q, N4S0-E
736 CKD N3S010 4) Solenoid Valves On Manifold w/ N4S0-T50, N4S0-Q, N4S0-E
737 CKD N3S010 N4S0-T50, N4S0-Q, N4S0-E 13 Valves on Manifold
738 CKD PGM-H-60-5 Gas Regulator / Metal Diaphragm Valve
739 CKD PMM20-X20 Teflon Pressure Valve Regulator
740 CKD R1000-8-TS19 Regulator
741 CKD R1100-6 Regulator
742 CKD Regulator R1000-8-TS19
743 CKD SCA2-FA-40B-375 Pneumatic Cylinder
744 CKD SCPD2-CB1615 Pneumatic Air Cylinder
745 CKD TMD00-FT-SHPL Valve
746 CKD TMD20-YT-SPL Manual High Purity / High Temperature Valve
747 Clarostat JA1N056S502UA Pot / Potentiometer With LT Remote Cable #90-07026-00
748 Cleveland Motion Control MH2110-079C PM Servo Motor
749 Clifton BN12-20AF-02LF Silencer Series Brushless DC Motor
750 Clifton C13-L19W50 DC Motor
751 Climet CI-4124-11 Particle Counter
752 Clinton Electronics Corp. 663KMYGAO Video Display Monitor CE663W9H31GEZ
753 Clippard Minimatic S4 SSN-12-1-V Air Actuated Cylinder
754 Clippard Minimatic UO SSN-12-1 Air Actuated Cylinder
755 Clippard R481 4Way Electronic Valve
756 Clippard Switch PQ-T3T-B
757 CMC Cleveland Motion Control 351500000000TAA-B0 PM Servo Motor
758 Cognex 2002-110 / VB1 Vision System 2000, Camera Control System
759 Cohu 6712-2000 / 0000 Camera Controller Module CD-SEM
760 Cole Parmer Model 7090-42 PTFE Diaphragm Pump
761 Cole Parmer Model 9741-50 Low Nois Illuminator
762 Colin Press Mate, PLC 204856 Blood Pressure Sphygmomanometer
763 Color Filter Wheel Assy w/ Stepping Motor 23D-6209A & Speed Reducer Berg RXI8-2
764 Columbia Lighting ZPT22-214G-SBS-EPU-F5835 Type C2 Recessed Lighting 24×24″
765 Comair Rotron MR77B3 Thermally Protected Fan 028309A 7″
766 Communications Specialties Model 1302 Twin Split for VGA Monitor
767 Compact Air Products AR212X34 Compact Air Cylinder A57-01801
768 Compact Air QS97-130 Pneumatic Cylinder
769 Compact Air QS97-130A Pneumatic Cylinder
770 Compact Air S34X12 RF Air Cylinder
771 CompAir / Watts. Solenoid Valve 9N206 Z501FC
772 CompAir / Watts. Solenoid Valve, 9N206 Z501FC
773 Compaq Power Distribution Unit Rack Mount
774 Compumotor L57-51 Servo Drive / Stepper Drive
775 Computer Dynamics UMEM / SDRAM-64 Memory Module
776 Concurrent Computer Corp 25-816R02, 35-769M01 CPU-C Power Plane
777 Condor 6V Power Supply CP1354
778 Condor CP1417 DC Power Supply
779 Condor CP1573 Power Supply
780 Condor HAA15-0.8-A+ Power Supply
781 Conical Reducer Flange / Adapter FT4541-01
782 Continental 990W Combo Paper Towel Dispenser
783 Control Concepts IC+115 Active Tracking Line Filter
784 Control Technology 901B-2555-A Control Analog Input Module 16 CH. 505-2555
785 Convac APT 263-112 Adjustable Chuck for 2″,3″&4″ Square Plates 35mm Shaft
786 Convac APT 263-112 NON-Adjustable Chuck for 4″ Square Plates 35mm Shaft
787 CONVUM CVD-10HS2H Vacuum Generator with Dual Solenoid
788 Cooper Interconnect 126-1063 42FX108 Connector Cable Clamp (one bag of 5 )
789 CoorsTek 4095082-0001 Collimator
790 Copal Electronics PS8-102V-T Pressure Switch
791 Copley Controls AC Servo Motor Control 7225AC Input:32-132VAC Out:186V MAX 20A
792 Corcam 10VR1 EMI Filter 10A 50/60Hz
793 Corcom F7145 Power Line Filter AC EMI/RFI
794 Cornell Dubilier 14,000 uf Capacitor 200V 500C143T200DD2B
795 Cosel K100A-24 24v-4.5A Power Supply
796 Cosel K100A-24 Power Supply ACIN85-132V
797 Cosel LDA75F-24 Power Supply
798 Cosel RMC15-1 Power Supply
799 Cosel UAW125S-3 Power Supply
800 Costar 70800 Nuclepore Filter Housing
801 Costar Jai CV-730NDC CCD Camera
802 Coto 3402-05-51 Reed Relays (lot of 5)
803 Coto Technology Cato-9713 Reed Relays (Lot of 10)
804 Coto Technology Cato-9812 Reed Relays (Lot of 10)
805 Coto Technology Cato-9918 Reed Relays (Lot of 10)
806 CR Technology 240738-0A 6500 / 6520 System Calibration Board
807 CR2354 3V sc Lithium Batteries (Lot of 17)
808 CR2354 3V sc Lithium Batteries (Lot of 20)
809 Crampton 239-302A-HHXX-AC-C6-S1-S2 Panel Meter DC Volts 0-1000
810 Crampton 239-302A-HHXX-AC-C6-S1-S2 Panel Meter DC Volts 0-1500
811 Crane Electronics Tool Star Recorder V-30 2.0
812 Credence Laser Diode Pulser w/ Driver PCB Assy# 97891020
813 Critikon Dinapam, Model 8100 Dinamap Vital Signs Monitor 8100 RAG. 730-513B
814 Crouzet 82662-0 Geared Motor
815 Crouzet 82662-8 Geared Motor
816 Crydom CSE2490 Solid State Relay
817 Crydom D1240-10 Panel Mount
818 Crystal Technology RFU 27MHZ Generator Assy.
819 CS A2-70 12mmX1.75X55mm Stainless Steel Allen Bolt (Lot of 10)
820 CS A4-80 12mmX1.75X40mm Stainless Steel Allen Bolt (Lot of 10)
821 CSF Tech DRY-20 Quartz Disk
822 CSF Tech DRY-20 Quartz Disk
823 CTC Control Technology Corp. 2214-1 Single/Dual Axis Servo Module
824 CTE Chem Tec FAV375S Flow Meter /Switch B683-.025 Gas and Liguids 316SS
825 C-TEL AMT-5NS-1-10LA Sensor Amplifier
826 CTI 8032222G005 Circuit Protection Cable 75′ Cryopump to Compressor Cold Head
827 CTI 8039882G002 Helium Manifold
828 CTI Cryogenics 3918059G001/8 Industrial T Fixed Line
829 CTI Cryogenics 3918059G007 Industrial T Fixed Line
830 CTI Cryogenics 8112105 Thermocouple Vacuum Gauge Tube
831 CTI Cryogenics 8112898G005 On-Board 8F RetroFast Cryopump w/ Roughing Valve
832 CTI Cryogenics Helium Filtration Cartridge Absorber 8080260K001
833 CTI Cryogenics Helium Filtration Cartridge Absorber 8080300K001
834 CTI Cryogenics Helium Filtration Cartridge Absorber 8300
835 Cuda I-150 Fiber Optic Illuminator
836 Cuno 52243-01-41-0204 AFM Filter
837 Cutler Hammer CC371H1 Switch Handle On/Off New w/ Bracket & Hardware
838 Cutler Hammer ELFW3050L Circuit Breaker w/ Westinghouse ELD133 Leak Protection
839 Cutler Hammer JT3070T Trip Unit
840 Cutler Hammer Sensor Amp E66PE **NEW**
841 Cutler-Hammer A1X1LT / HFD3150LA04 3 Pole Series 3
842 CW Price 046 Pneumatic Air Cylinder / Carriage Cylinder for Model 8010
843 Cybeq AG RTP 2101 Wafer Cassette Elevator, Cool Down Chuck
844 Cybeq Systems TT1R2-1 Robot Pendant Controller
845 D.O. Industries Lense Adapter # 6020 , 6010, 6000
846 D4D Technologies F305137 PCB Module 10748231, E231499A/W Rev-D
847 Dage DD1482 Pull Test Load Cell 200gm for BT-22 w/ Rotation Hook
848 Daito GP75 Fuse (Lot of 10 )
849 Dale RH-50 (8)-Resistors Mounted on an 8″x8″ Heat Sink
850 Dalsa CA-D4-1024A-EC1WS2 CCD Camera w/ Extender
851 Danalite BET2-2-U Remote Mount Ballast W/ On-Off Switch
852 Daniel Woodhead 40974 Yellow Power Cable 19′
853 Datalux Data Brick II Computer, DAC 3100
854 Datalux Databrick II Computer, TORUC Keyboard, LMV10RB Touch Screen
855 Dayton 3A433 Solenoid Valve W/ Body Coil #6X542. Body and Valve set
856 Dayton 6A861E Contactor Relay
857 Dayton 6X542 Solenoid Valve Coil
858 Dayton AC Motor Model 3K522 Circulation Pump Motor Ph-1 3/4 HP
859 Dayton X-13551-72 W9512 BD Solenoid Valve – Coil
860 DEC Digital Equipment Corp. 50-23543-01 & 50-23519-01 System Board Celebris
861 Dekko Engineering 305013.3.2 / 513B53H02 Power & Data Solution Power Tap
862 Dell 2U444 A05 D-Series Port Replicator Docking Station & Monitor Stand.
863 Dell 5110CN Laser Printer Toner Cartridge Magenta KD557
864 Dell GG217 Flat Panel Monitor Stand
865 Dell T308D A03 Docking Station Model PRO3X
866 Deltron Q15-6.3 Power Supply
867 Deltron W305G Power Supply
868 Densei BCC2600 Interface Controller
869 Densei BCR 2600 Barcode Scanner / Reader BCR2616-RDA-N
870 Densei BCV5050/56 Power Supply
871 Desco 09826 Cord, Common Ground 10328-384 & 09864 Snap, Screw-on Stud & Socket
872 Desktop Distractions XC6640WD10JCP 3D Wooden Puzzle BASEBALL
873 Desktop Distractions XC6641WD10JCP 3D Wooden Puzzle FOOTBALL
874 Desktop Distractions XC6642WD10JCP 3D Wooden Puzzle GOLF
875 Desta Co. Hold Down Clamp, 305 USS
876 De-Sta-Co 201-U Toggle Clamp / Hold Down Clamp
877 Det Tronics 420737-000 Dual Spectrum Flame Detector Model: PM5PM+
878 Detect-A-Fire 165M Heat Detector / Sensor
879 Deutsch RSM07-12-14S-059 & RSM5150-12-14P-00 Circular Connectors Set M/F
880 Deutsch RSM07-12-14S-059 Circular Connector w/ Strain Relief
881 Deutsch RSM07-12-14S-059 Plug Assy. Circular Connector Kit w/ Pins Strain Relief
882 DeVaSys USB-12C10 Rev-B3 Interface Board
883 Dialight 554-1120-211 / 523-8904 SPDT Standard Push Button Switches (Lot of 5)
884 Diavac leak valve / clamp valve, LCLV-25, 12469107-66. with CKD valve (AG31-01-1
885 Diavac Limited IV-2000 Ion Gauge, Hot Cathode Tube
886 Dickson SL490F7 Temp Trace 4″ Chart Recorder
887 Diffusion Furnace Collar 200-730018 / F18641
888 Diffusion Furnace Collar 200-730019 / F18644
889 Diffusion Furnace Collar 200-730020 / F18645
890 Diffusion Furnace Collar 200-730022 / F18643
891 Diffusion Furnace Collar 200-730035 / F14386
892 Diffusion Furnace Collar 200-730036R1 / F14387
893 Digi Board 30001622 Rev-B I/O Adapter Card
894 Digi Key GH7101 Rotary Switch 71BD30-01-1-AJN
895 Digi Key SPRU1021S28 Rotary Potentiometer (Lot of 10 )
896 Digi Key SPRU1021S28 Rotary Potentiometer (Lot of 6 )
897 Digi Key SPRU2511S28 Rotary Potentiometer (Lot of 13 )
898 Digi Serial Breakout Box 8 Point DB25M
899 DigiBoard 30000354 Rev N Multi Port ISA Card / Circuit Board.
900 Digilab / Biorad 012-0402, 012-040-SPC-3200 PCB
901 Digilab D012-0408 Transformer PS-7-15
902 Digital Instruments 250-008-615 Rev-B Frame Signal Dist Board
903 Digital Instruments 250-008-626 Stage Power Board w/ Head Protection
904 Direct Logic D2-09BDC-2 PLC Module w/ Power Supply
905 Disco EAUF-790100 Operation Panel PCB
906 Disco EAUF-959100 Front Panel
907 Disco Hi-Tec MOENT206-D-02J-022 Back Grinder Pad 4.5″
908 Disco Hi-Tec MOENT206-E-07A-010 Back Grinder Pad 4.5″
909 Disco MLTW-A-120F-1 Die Saw Pump Assy
910 Disco MLTW-A-120F-1 Die Saw Pump Assy
911 Disco Vacuum Chuck Table 12″ for A-WD-300T
912 Dissolved Oxygen Probe 00485368W2
913 Diverson QP2N1DF Power Supply
914 DNS 0402-0006 Spin Chuck , Backside Scrub
915 DNS 999901000 Rev. Float Switch #408420
916 DNS C0094-1312-02 Bezel Assy for Wet Station
917 DNS Dainippon Screen DAI Screen Plate Arm, End Effector 200mm
918 DNS Dainippon Screen DAI Screen Plate Arm, End Effector 200mm
919 DNS Dainippon Screen Wafer Centering Guides. (Set of 2 )
920 DNS Power Distribution Box 4 Outlets w/ FYM300/59GP
921 Dodge 2012-1-3/8 Taper-Lock Bushings (Lot of 3)
922 Dolan-Jenner Light Guide, Dual Fiber Optic Microscope Illuminator
923 Doric Trendicator 400A T Type
924 Dormeyer Products B11-501-M-36 Solenoid
925 Dow Corning Silicone Medical Tubing HH3310 .045 X .060 60 Ft. **NEW**
926 DPS 21302C , 21419/D Circuit Board
927 DPS 21304-C, 01-86014-00, ISS4 Ink Monitor Circuit Board
928 DPS 21327A, 01-86000-00, ISS5 Head Driver Circuit Board
929 Draper Shade & Screen Targa XT Electronic Projector Screen 45″ Diag.
930 Dressler ProSys HiLight 012PSVCO MicroPower Megasonic Power Supply
931 Drytek / LAM Research Wafer Lift Pins 3 Pins) 602120, 1Tool) 600947 Kit# 600749
932 Drytek 2800295 Stepper Interface Board 2800296 Rev-B
933 Drytek 2800369 Rev 6 Dual Output Combiner Module
934 Drytek 2800797 Pulse Controller Interface 2800795A
935 DS Fibertech Tube Furnace Heating Element
936 Dual Precision Lead / Guide / Ball Screw 14″
937 Dungs LR53222 / AA-A1-4-2 Gas Pressure Switch
938 Duniway I-075-K Ion Gauge
939 Duniway I-100 KC Ion Gauge Vacuum Sensor Tube.
940 Durakool BF2-7032 Mercury Contactor Relay
941 Durakool BF2-7056 Mercury Displacement Contactor Relay
942 Dutec BD040 I/O Plexer
943 Dwyer 1910-1 Compact Low Differential Pressure Switches (Set of 3)
944 Dwyer 3001C Photohelic Pressure Gauge
945 Dwyer 651A-10 Temperature Transmitter
946 Dwyer Flow Meter, RMC-142-SSV
947 Dwyer instruments Transmitter, 602-5
948 Dwyer Mini Helic II Differential Pressure Gauge
949 Dwyer RMA-8-SSV Rate-Master Flowmeter
950 Dwyer Series 100AV / 100.5 Portable Manometer, Air Velocity Kit
951 Dynamco IFC20-HW Fixed Flow Restrictor
952 Dynapert AF0702705 / 102-339, PC244B Circuit Board
953 Dynetic Systems 15511E DC Servo Motor Tach 24V-9A
954 Eastern Air Devices LA23ECK-P300 Stepping Motor
955 Eastern Air Devices LA23GCKA-2A1 Linear Actuator KLA Tencor 740-651220-01
956 EATON 0298-0124-0001 Chamber Load Arm
957 Eaton 110-005-004 Rev-B Lift Rod
958 Eaton 3200409 Leak Valve
959 Eaton KD1 15Amp Push Terminal Circuit Breaker ( Lot of 5 )
960 Eaton KD1 15Amp Push Terminal Circuit Breaker ( Lot of 6 )
961 Eaton UPS D2012012252C Model 2000P2SE Powerware Prestige EXT.
962 Eazy SWL060A ABC Switch Black Box
963 Ebara P-V801 LCD Pump Controller
964 EC Apparatus EC-420 Power Supply
965 ECA Electrical Corp. of America Isolation Transformer ECA-1082
966 ECI Tech D-H2475001 Rev-C ALS Auto Load Interface Board
967 Ecliptek EC13TS Clock Oscillators EC1300HSTS-48 (Lot of 36)
968 Ecliptek EC13TS Clock Oscillators EC1300HSTS-48 (Lot of 57)
969 ECS 147.4-20-5PX-TR 14.7456MHz Crystal (Lot of 960)
970 Edco PC642C-008 Data Protector / Surge Protector
971 Edco PC642C-015 Data Protector / Surge Protector (Lot of 2)
972 Eddy Co.SC-20 System Controller
973 Edge Tech Dew Prime 1 Dew Point Monitor
974 Edmund Scientific Optical Lens Concave / Flat 12mm X 4mm (Lot of 10)
975 Edwards General Signal 88-50 Tranformer 120V 50 / 60hz
976 Edwards LR 50251 Class-2 Transformer Not Wet
977 Edwards PV16EKA Solenoid Valve
978 Edwards, Pressure sensor / transducer. K658A004,
979 EFD Engineered Fluid Dispensing 1000XL Fluid Adhesive Dispenser
980 EG&G Light Chopper and Detrapping Device Model 197
981 EG&G Model 196 Light Chopper and Motor
982 EG&G Model 410 CV Plotter Princeton Applied Research
983 Electra Switch 15-650-PP Horizontal Level Switch Flow Switch
984 Electric Measurements HCR 20-13-111 / PS13 Power Supply
985 Electro-Craft E-550-MH0 Motomatic Motor Control Speed Controller PN: 9022-0025
986 Electroglas 255423-004 Rev-C Remote Microscope Control Module
987 Electro-Graph L/H Element Clamp 54030 NEW
988 Electro-Graph R/H Element Clamp 54082 NEW
989 Electronics Measurements 00454035 Rev-B HV Power Supply EMKI 150-40-1-0561G
990 Elmwood / Honeywell L232C Thermal Switch 3450CM8081-88 Ceramic (Lot of 5)
991 EM Technik 20 GN15-G1, G1/2 PN 10PP Valve
992 E-Meca Attenuator 662-01-1
993 E-Meca Attenuator 662-10-1R
994 Emerald Computers 8300-3022-02 ISA Riser Card 25-10600 Rev-1.0
995 Emerson 393-2SVSR-2 Multi Pair Arrestor Assy. Vent Safe Protector
996 EMI 20-013-XXX PCB NTU-1 94V-0
997 Endevco 3024-126 Accelerometer Sensor Cable ? 3024-120
998 ENI MWH-100-01 Automatch Internal Assembly
999 Entegris 3870-03063 / 201-46-01 Flaretek Manual Diaphragm Valve
1000 Entegris CA-09DSS 9 Pin D-Sub Connectors (Lot of 197) NEW
1001 Entegris PM8FN-3 Fluoroline Tube Adapter Union
1002 Entegris UE20FN-3S Union Elbow 1-1/4″ High Purity Flaretek PFA
1003 Entegris UT-12-/T-12FN-1 T-Union Reducer 3/4-3/4-1/2
1004 Entegris WE32P 2″ PFA Elbow, High Purity Pure-Bond 90* Union
1005 Enterprise Systems 10764 Non Volatile Memory PCB
1006 Entrelec RB121A-24VAC-DC Relay- Terminal Block
1007 Equipe ATM 2211 3 Axis Robot
1008 Ergotron 45-174-300 Neo-Flex LCD Arm Articulating Monitor Stand
1009 Escap 28L / 18.6:1 Mini Motor w/ Gearhead K27.0 28 219 100
1010 Escap 28L28 / 18.6:1 w/ Gearhead K27.0 28219100
1011 Escap 34 L11224E20 DC Gear Motor w/ A42.0 / 6:1 Gear Head
1012 Escap A42.0 Gearhead, Gear Reducer 15:1
1013 Escap L28 416 E100 / 18.6:1 Mini Motor w/ Gearhead K27.0
1014 Eslon Type-B 15-1/2 Manual PVC Ball Valve 1/2″
1015 Espy / Fluoroware Sensor ES-1C-PM-XX
1016 Estek 298-50307-2 Optic Mirror
1017 E-Tek MSLS-1000 Multi-Channel Stabilizer Laser Source
1018 Etel Motion Technologies ILM09-060-3RC-A10A Ironless Linear Motor
1019 Eurolog Z80 Curcuit Board EML-CPC23
1020 Eurotherm 425A Thyristor Unit
1021 Eurotherm 931/25A250V/MCLB/X11 Temperature Control Module Thyristor
1022 Eurotherm AD130292B PCB
1023 Eurotherm FICS10 Control Panel
1024 Eurotherm Model 024 Driver Unit 024/PA/115V/AM/BB/X11
1025 Eurotherm Model 30A240V/4-20mA Ampstack Power Controller
1026 Eurotherm Model 50A240V/4-20mA Ampstack Power Controller
1027 Eurotherm Model 917 Analog Setpoint Temperature Controller
1028 Eurotherm Model 917 Analog Setpoint Temperature Controller
1029 EUROTHERM Model 93 Temperature Limit Switch
1030 Eurotherm Model 932/40A-240V/MCLA/X11 Thyristor
1031 Eurotherm Temperature Controller 917 917/ZCP/J/0/400C/P10/FT/115V/X/A **NEW**
1032 Everclear EC210 Sediment Pre-Filter Cartridge 20″
1033 Everlight Electric M28881EGWB/P17 Dot Matrix Red/Green Indicators (Lot of 8)
1034 Ewal 53-63GTC-2NR CGA 632-642 End Cap SS
1035 Ewal Titan II 316L SCG 1/2 Turn Manual Valve
1036 Ewal Titan II 94 Series Fluid Powered Valve 316L SCG Swagelok
1037 Ewal Titan II 95 Series Actuator Swagelok 316L SCG
1038 EXFO Novacare N2100 Spot Curing System
1039 Exhaust Filter Assembly w/ SMC CDRQB 15 Actuator
1040 Extron RGB 109 Plus Dedicated Interface Switch

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

ID-SS5880-SS380EB

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers