Description
Semiconductor equipment parts in CA,USA. Valid Time: Subject to prior sale without notice. These items are only for end user.
1 | E&K Scientific | OX1275 | 5 E&K Scientific OX1275, 96 Well PP Plate, 2.0mL, U-Bottom, Round Well |
2 | E&K Scientific | OX1275 | 50 E&K Scientific OX1275, 96 Well PP Plate, 2.0mL, U-Bottom, Round Well |
3 | E. Dold & Sohne KG | LG5925.48/61 | E Dold & Sohne LG5925.48/61 Safemaster Safety Relay Emergency Stop Module |
4 | EA | 2FC-NW-50-3 | EA, 2FC-NW-50-3, Flex Coupling, NW-50, Bellows |
5 | EAG | Q0EMB007 | EAG Q0EMB007 Test PCB Board, Broacom 2912BES210Y01, Tomahawk/BCM56960 |
6 | Eagle Industry | 5300-1000 | 3 new Eagle 5300-1000 Digital counters |
7 | EAO | 51.971.02 | Lot of 20 EAO 51.971.02 switch contact block |
8 | EAO | 61-820.22/.25 | Lot of 23 EAO 61-820.22/.25 contact Block |
9 | EASTERN AIR DEVICES | LA23BCK-P9R1 | Eastern Air Devices LA23BCK-P9R1 Stepping Motor 3.2A / 6.4A, 1.8 Deg |
10 | EASTERN AIR DEVICES | 021534-01 | EASTERN AIR DEVICES 021534-01 STEPPING MOTOR 5.1V 4PH, ASSY MOTOR |
11 | EASTERN AIR DEVICES | LA23ACK-2 | Eastern Air Devices Stepping Motor LA23ACK-2, 12V, 4PH, .57A 1.8 DEG |
12 | EATON | 1503061 | AXCELIS PCB, EATON 1503061 CONTROLLER REMOTE P.C. BOARD, AXCELIS PCB, 1403060, REV F1 |
13 | Eaton | 0342-1000-4001 | EATON / AXCELIS 0342-1000-4001 Power I/O H-4 |
14 | Eaton | 1500070 | Eaton / Axcelis 1500070 Arc Current Card 1400070 Rev F1 Pcb |
15 | Eaton | D-1401080 | EATON / NOVA D-1401080 REV B1, D-1501080 |
16 | Eaton | 0342-0156 | Eaton 0342-0156 I/O STATUS DISPLAY BOARD 0567-0063-3001 |
17 | Eaton | 0342-0259-3001 | EATON 0342-0259-3001 IMPLANT SOURCE INSULATOR |
18 | EATON | 0342-0717-4001 | EATON 0342-0717-4001 PCB, AMU METER |
19 | Eaton | 0342-0987-4001 | Eaton 0342-0987-4001 Buffer H6-H9 |
20 | EATON | 0906-0191-0001 | EATON 0906-0191-0001 STEPPER MOTOR DRIVE AMP |
21 | Eaton | 1105670 | EATON 1105670 ACTUATOR ASSEMBLY, PWB 110568-002 |
22 | Eaton | 1198250 | EATON 1198250 E SHOWER BACK BIAS ASSY |
23 | EATON | 1500360 | EATON 1500360 UNIVERSAL 50 PIN INTERCONNECT PCB BOARD, 1400360 |
24 | EATON | 1501050 | Eaton 1501050 PCB ASSY ELECTRODE SUPPRESSION POWER SUPPLY AXCELIS |
25 | Eaton | 1501050 | Eaton 1501050 PCB ASSY ELECTRODE SUPPRESSION POWER SUPPLY AXCELIS TECHNOLOGIES |
26 | Eaton | 1501300 | EATON 1501300 INPUT TO I/O 80 PCB BOARD,ART NO. 1401300 REV. BI |
27 | Eaton | 1501300 | EATON 1501300 INPUT TO I/O 80 PCB BOARD,ART NO. 1401300 REV. BI, M479350 |
28 | EATON | 1501360 | EATON 1501360 PCB DATA AQUISITION 94VO 1485 |
29 | Eaton | 1501710 | EATON 1501710 PCB, PNEUMATICS COMMUNICATIONS |
30 | Eaton | 1501710 | EATON 1501710 PNEUMATICS COMMUNICATOR PCB BOARD |
31 | EATON | 1501990 | EATON 1501990 KEYBOARD P. C. BD. 1401990, PCB, REV B |
32 | EATON | 1501990 | EATON 1501990 KEYBOARD P. C. BD. 1401990, PCB, REV B, 1739930 |
33 | EATON | 1503070C | EATON 1503070C PCB ASSY I/O REMOTE |
34 | Eaton | 94-09190-30 | EATON 1503220 MEMORY EXPANSION BOARD D-1403220 REV A, 94-09190-30 |
35 | Eaton | 1503540 | EATON 1503540 PCB, VAC I/O |
36 | Eaton | 1503860 | EATON 1503860 PCB, ASSY MEM EXP W/IO |
37 | Eaton | 1503880 | EATON 1503880 PCB, DOSE CONT OPTO ISOL |
38 | Eaton | 1503990 | EATON 1503990 PCB, OPTO I/O |
39 | Eaton | 1503991 | EATON 1503991 PCB, OPTO I/O |
40 | Eaton | 1504320 | EATON 1504320 PCB ASSY, CPU |
41 | Eaton | 1504850 | EATON 1504580 PCB, ASSY PWR CONT, 1404580 |
42 | Eaton | 1504650 | EATON 1504650 PCB, ASSY WATCHDOG |
43 | Eaton | 1504880 | EATON 1504880 PCB ASSY, L SCR DRV I/O, 1404880 |
44 | Eaton | 1504920 | EATON 1504920 PCB, ASSY CPU CD SCONT |
45 | Eaton | 1504930 | EATON 1504930 PCB, ASSY CPU CD MATROX ES |
46 | Eaton | 1504940 | EATON 1504940 PCB, ASSY ANALOG ES CONT |
47 | Eaton | 1504950 | EATON 1504950 PCB, ASSY CMOS RAM |
48 | Eaton | 1505040 | EATON 1505040 PCB ASSY, 150MM ALIGN RCVR, 1405040 |
49 | Eaton | 1505230 | EATON 1505230 PCB, ARC FILAMENT & SERVO |
50 | Eaton | 1505270 | EATON 1505270 PCB, CONTROLLER BUFFER |
51 | EATON | 1505430C | EATON 1505430C PCB SPEED CTRLLR ROT DRV BOARD, 1505430 |
52 | Eaton | 1505722 | EATON 1505722 PCB, AMU GROUND LEVEL |
53 | Eaton | 1505722R | EATON 1505722R PCB, AMU GROUND LEVEL |
54 | EATON | 1505750 | EATON 1505750 OUTPUT BUFFER BOARD 1405750 REV D |
55 | Eaton | 1407400 | Eaton 150740 PCB OPTO ISOLATOR DOSE CONT |
56 | Eaton | 1516860 | EATON 1516860 PCB, AUTO TUNE MOTHER |
57 | Eaton | 1516940 | EATON 1516940 PCB ASSY, SCR INTERFACE, 1 |
58 | Eaton | 1517010 | EATON 1517010 PCB, ASSY NV20 ES TRANS PROT |
59 | Eaton | 1521220 | Eaton 1521220 PCB OPTO ISOLATOR DOSE |
60 | EATON | 1521840C | EATON 1521840C PCB ASSY REMOTE VACuum 1 |
61 | Eaton | 1522210 | Eaton 1522210 36 Output Buffer PCB |
62 | EATON | 1522670 | EATON 1522670 TI9900 CPU BOARD, 1422670, REV C |
63 | EATON | 1614400 | EATON 1614400 REV. B, CTS 1695, CABLE ASSY, TRIGGER/RELAY HYT |
64 | EATON | 17049260 | Eaton 17049260 Pilot Disc. ISO Valve, Plate, 94-48163-00 |
65 | EATON | 17064260 | Eaton 17064260 Ground Plate, Electrode0 |
66 | Eaton | 17077710 | Eaton 17077710 Plate Water Filter |
67 | EATON | 17093930-A | EATON 17093930 BLK-OFF PL FLAG FARAD 17093930-A |
68 | EATON | 17093930 | EATON 17093930 VAcuum Chamber Blankoff, Plate. 1180070 |
69 | EATON | 17129700 | EATON 17129700 REV A Strike Plate #10 |
70 | EATON | 17129710 | EATON 17129710 REV A Strike Plate #20 |
71 | EATON | 17129720 | EATON 17129720 REV A Strike Plate #30 |
72 | Eaton | 17129720 | EATON 17129720 REV A Strike Plate #3 |
73 | EATON | 17129730 | Eaton 17129730 Strike Plate (4)0, Ion Implanter |
74 | EATON | 259311 | Eaton 259311 Connector Cable |
75 | EATON | 26930-0303-0022 | EATON 26930-0303-0022 REV A1 |
76 | EATON | 431023 | Eaton 431023 Probe Assembly |
77 | Eaton | 5990-0015-0001 | Eaton 5990-0015-0001 Press. Temp PCB |
78 | Eaton | 5990-0016-0001 | Eaton 5990-0016-0001 process control board 0303-0125 Rev B |
79 | Eaton | 5990-0017 | Eaton 5990-0017 Magnet Term Data Link |
80 | Eaton | 5990-0058-0001 | Eaton 5990-0058-0001 Voltage Monitor PCB |
81 | EATON | 5990-0115 | EATON 5990-0115 PCB, SCAN MON & CHILLER INTERFACE |
82 | EATON | 1407440 | EATON AMU REMOTE PCB 1407440, ASSY 1507440, REV B |
83 | EATON | 0261-0003-0002 | EATON AXCELIS Unit 5600 Beam Current Amplifier Chassis 0261-0003-0002 |
84 | Eaton | D-1400620 | Eaton D-1400620 Logarithmic A/D Converter Component |
85 | EATON | D-1505580 | EATON D-1505580 BEAM CONTROLLER REMOTE I/O BOARD, PCB ASSY |
86 | EATON | DA1-34014FB-A20C | Eaton DA1-34014FB-A20C Power XL Variable Frequency Drive, IP20, DA1 |
87 | Eaton | DH364FGK | Eaton DH364FGK Heavy Duty Safety Switch, w/ 3 Littlefuse FLSR 125 ID Indicator |
88 | Eaton | E-1500620 | Eaton E-1500620 Logarithmic A/D Converter component |
89 | Eaton | E-1501400 | Eaton E-1501400 End Station Front Panel Display PCB |
90 | EATON | EMS03H5ABR | EATON EMS03H5ABR Electronic Motor Starter, Panel with Control Station |
91 | Eaton | FAZ-C15/3 | Eaton FAZ-C15/3 Circuit Breaker,5V |
92 | Eaton | FAZ-C15/3 | Eaton FAZ-C15/3 Circuit Breaker,5V, 36915C |
93 | Eaton | FAZ-C40/3 | Eaton FAZ-C40/3 Mini Circuit Breaker,5V, 40A, 3 Pole |
94 | EATON | D-1503230 | EATON PCB ANTILOG A/D BOARD, D-1403230, D-1503230, REV G, 94-09938-00 |
95 | EATON | 1505950C | EATON PCB REMOTE LOG A/D BOARD, 140-1505950C, 1401505950C, 1505950C, 182597, REV B1 |
96 | EATON | 1422670 | EATON TI9900 CPU BPARD 1422670 REV C, 1522670 |
97 | Eaton | 0342-0579-3001 | Eaton/Axcelis 200A 0342-0579-3001 Ion Implanter Column/Accelerator Tube |
98 | Eaton | 1501280 | Varian Eaton 1501280 PCB ASSY, INPUT/OUTPUT CASSETTE TO CASSETTE BOARD |
99 | Eaton | D-1400630 | Varian-Eaton D-1400630 1400630 LOG RATIO MODULE CONT SYSTEM |
100 | EATON | 1503720 | EATON 1503720 PCB, VAC I/O VACUUM CONTROLLER, 1403720, 94-48119-00 |
101 | EATON | 1521900 | EATON 1521900 REV A1, COMPONENT SIDE, 1421900, CIRCUIT BOARD |
102 | EATON | 0342-1431-0001 | EATON 0342-1431-0001 ARC DISCHARGE POWER SUPPLY |
103 | EATON | 1501320 | EATON 1501320 36 OUTPUT BUFFER BD, 1401320 |
104 | Eaton | CE15FN3Y1 | Eaton CE15FN3Y1 Cutler-Hammer SER B1, Size K1, 3 Pole 7.5-25HP |
105 | Eaton | CE15FN3Y1 | Eaton CE15FN3Y1 Cutler-Hammer SER B1, Size K2, 3 Pole 7.5-25HP |
106 | Eaton | CE15FN3Y1 | Eaton CE15FN3Y1 Cutler-Hammer SER B1, Size K3, 3 Pole 7.5-25HP |
107 | Eaton | CE15FN3Y1 | Eaton CE15FN3Y1 Cutler-Hammer SER B1, Size K4, 3 Pole 7.5-25HP |
108 | EATON | D-1503070 | EATON D-1503070 BEAM CONTROLLER REMOTE I/O BOARD |
109 | Eaton / Axcelis | 5990-0131-0001 | Axcelis 5990-0131-0001 Rev. A Data CVRSN.A/AV Mag Data Link |
110 | Eaton / Axcelis | 1501720 | AXCELIS TECHNOLOGIES 1501720 PCB BEAM CONTROLLER FRONT PANEL INPUT |
111 | Eaton / Axcelis | 5990-0003-0001 | Eaton / Axcelis 5990-0003-0001 FIBER OPTIC CONVERTER, 0303-0107, REV B |
112 | Eaton / Axcelis | 5990-0066-0001 | Eaton Nova/ Axcelis 5990-0066-0001 Filament PS CONT PCB BOARD |
113 | Eaton / Nova | 1500940 | Eaton / Nova 1500940, 1400940 VariTeck 000-140 Repair of input buffer 1 |
114 | Eaton Axcelis | 5990-0001-0001 | Eaton Axcelis NV 6200 Relay Control Center PCB 0303-0103 5990-0001-0001 REV A |
115 | Eaton Cutler-Hammer | HFD 65k | Eaton Cutler-Hammer HFD 65k Circuit Breaker HFD3100 100A, 3 Pole, 600VAC, RS1267 |
116 | Eaton Fusion Systems | 423621 | Eaton Fusion Systems Cable Assembly, P1360-8 (12/97), |
117 | Eaton Heinemann | CF1-G3-U | 2 Eaton Heinemann CF1-G3-U Circuit Breaker, 30A, 120/240V, 50/60Hz |
118 | EATON/NOVA | 94-09120-30 | EATON/NOVA 94-09120-30 CIRCUIT SIDE, D-1401230 REV A, D-1501230, HW50DO-111 |
119 | EATON/NOVA | C-1501070 | EATON/NOVA C-1501070 FILTER BOARD, C-1401070, REV A, REV A1 |
120 | EATON/NOVA | C-1501150 | EATON/NOVA C-1501150 ANALYZER MAGNET SUPPLY BOARD, C-1401150 |
121 | Ebara | SR00474 | 236 Ebara C-1210-001-0001 O-Ring (P4) SR00474 for Cleaning CEP0C2-00P040N |
122 | Ebara | SS20012 | 35 EBARA PRECISION SS20012 SHIM 0.03MM CEPO22-BLB0210 |
123 | Ebara | C-3102-121-001 | 8 new top ring guides |
124 | Ebara | 313-0200S | Ebara 0313-0200S Compressor, Adsorber |
125 | EBARA | 305W | Ebara 305W Turbomolecular Pump Controller 305 |
126 | Ebara | 313-0200S | EBARA 313-0200S, Compressor Adsorher Cartridge. |
127 | Ebara | 323-0014 | Ebara 323-0014, Cryocompressor 2.1 Varian X323005200, Cryo |
128 | Ebara | 323-0015 | Ebara 323-0015 Cryocompressor 2.1 w/ 323-0060 Cryopump, FS-8 |
129 | Ebara | A07V | Ebara A07V Dry Pump, A07V-S, 1.0/1.1 Pa, 8/6/8.4A |
130 | Ebara | A150W-T | Ebara A150W-T Dry Vacuum Pump, 0.53 Pa, 50 Hz, 200 v, 550 STD1, ZCCM |
131 | EBARA | A70W | EBARA A70W VACUUM PUMP CONTROLLER |
132 | Ebara | A70W-K | Ebara A70W-K Multi-Stage Dry Vacuum Pump, EP3DD1178, |
133 | Ebara | R020631301 | Ebara Air Filter, R020631301, Gas Hepa |
134 | Ebara | C-3812-324-0021 | Ebara C-3812-324-0021 Edge Ring E, TEL, Tokyo Electron SR00908 |
135 | Ebara | ESR100WN | Ebara ESR100WN Dry Vacuum Pump |
136 | Ebara | ESR100WN | Ebara ESR100WN Dry Vacuum Pump w/ Controller |
137 | Ebara | ESR100WN | Ebara ESR100WN Dry Vacuum Pump, SR100WN |
138 | EBARA | ET300WS | EBARA ET300WS TURBO MOLECULAR PUMP, TYPE 1 |
139 | Ebara | ET600W | Ebara ET600W Turbo Molecular Pump Controller 600W ETC04 PWM-20M |
140 | EBARA | ET600WS | Ebara ET600WS Turbo Molecular Pump, TEL, A-3000-191-0001 |
141 | Ebara | EV-S100N | Ebara EV-S100N Dry Pump, DKF00124, Vacuum, EMB-EVS2, LAM 796-0108048-010 |
142 | Ebara | EV-S100N | Ebara EV-S100N Dry Pump, DKF00124, Vacuum, EMB-EVS2, LAM 796-108048-010 |
143 | Ebara | EV-S100N | Ebara EV-S100N Dry Pump, DKF00129, Vacuum, EMB-EVS2, LAM 796-0108048-010 |
144 | Ebara | EV-S100N | Ebara EV-S100N Dry Pump, DKF00246, Vacuum, EMB-EVS2, LAM 796-0108048-010 |
145 | Ebara | EV-S100N | Ebara EV-S100N Dry Pump, DKF00247, Vacuum, EMB-EVS2, 200-220VAC, 50/60Hz |
146 | Ebara | EV-S100N | Ebara EV-S100N Dry Pump, DKF00287, Vacuum, EMB-EVS2, LAM 796-108048-010 |
147 | Ebara | EV-S100N | Ebara EV-S100N Dry Pump, DKF00292, Vacuum, EMB-EVS2, S100N/min |
148 | Ebara | EV-S100N | Ebara EV-S100N Dry Pump, DKF00480, Vacuum, EMB-EVS2, 200-220VAC, 50/60Hz |
149 | Ebara | EV-S100N | Ebara EV-S100N Dry Pump, DKF00870, Vacuum, EMB-EVS2, 200-220VAC, 50/60Hz |
150 | Ebara | EV-S100N | Ebara EV-S100N Dry Pump, DKF01023, Vacuum, EMB-EVS2/min, 3 Phase |
151 | Ebara | EV-S100N | Ebara EV-S100N Dry Pump, DKF01817, Vacuum, EMB-EVS2, LAM EV-S100N, S100N |
152 | Ebara | EV-S20N | Ebara EV-S20N Dry Pump, DKB00455, Vacuum, 1670L/min, 5.0 PA, 3 Phase |
153 | Ebara | EV-S20N | Ebara EV-S20N Dry Pump, DKB00848, Vacuum, 1670L/min, 5.0 PA, 3 Phase |
154 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump DPB00731, 500L/min, 1Ph0v, 60/50Hz |
155 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump DPB01067, 500L/min, 1Ph0v, 60/50Hz |
156 | Ebara | 10 | Ebara PDV500 Dry Vacuum Pump DPB01252, 500L/min, 1Ph0v, 60/50Hz |
157 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00572 |
158 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00573, RS1314 |
159 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00574 |
160 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00601 |
161 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00732 |
162 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00758 |
163 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00759 |
164 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00760 |
165 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00837 |
166 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB00839 |
167 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, DPB01000 |
168 | Ebara | PDV500 | Ebara PDV500 Dry Vacuum Pump, R08S244702 6/10, SanAce140L 109L1424H101 |
169 | Ebara | Ebara Vacuum pump 50X20 COOLER, 9800939 .40 Exhaust , C32830 S CT 1 | |
170 | Ebara | Unknown | Lot of 2, Controllers for mechanical pump |
171 | Ebara | Remote control for mechanical pumps, with 50 ft cable | |
172 | Ebara Keiki | MOGAH-31253 | Ebara Keiki, MOGAH-31253, Pressure Gauge, 0-3 kgf |
173 | EBARA PRECISION | C-5144-037-0001 | EBARA PRECISION C-5144-037-0001 Battery (PWM4F-T) |
174 | EBARA PRECISION | C-5144-037-0001 | EBARA PRECISION C-5144-037-0001 Battery (PWM4F-T) |
175 | EBM | W2S130-AA25-01 | EBM W2S130-AA25-01 NOVELLUS 20-839740-00 ELECTRIC COOLING FAN VENTURI |
176 | EBMPAPST | 3212 J/2H4P | EBMPAPST 3212 J/2H4P Fan |
177 | ebmpapst | R1G250-AQ37-52 | ebmpapst R1G250-AQ37-52, Squirrel Cage Fan Motor + Blades |
178 | ECC | E95-3027 | ECC Wafer Chuck Power Supply Unit, E95-3027, |
179 | ECI Technologies | 2U0600 | ECI Tech 2U0600 8 Way Dist. Valve, Syringe, Peek, Novellus 22-153569-00 |
180 | ECI Technologies | TQ503296 | Lot of 3, Drain pumps with external wiring |
181 | ECI Technologies | LU0600 | Lot of 3, PEEK valve, 8 way distribution, syringe |
182 | ECI Technologies | TQ503297 | Lot of 3, VMS pumps with external wiring |
183 | ECI technologies | TQ503298 | Lot of 4, Flow switch assymin |
184 | ECI Technologies | CDU00083 | new ECI technology CDU00083 CU, motor belt |
185 | ECI Technologies | NM0003-1536 | NEW NetMercury PN NM0003-1536 CU electrode, silver, ECI technology VAU510 |
186 | EDA | 2298 | EDA 02298 TESTER DRIVER BOARD F9XED VIL, PCBA-02298 |
187 | EDC | TT130R2-2 | EDC TT130R2-2 Hand Held Teach Pendant, Robot, Controller |
188 | Edlon | S019035 | Edlon Smart Solutions S019035 Tank, X096040REP, .090″ PFA -.0 PSI |
189 | Edmond Industrial Optics | Edmond Industrial Optics 3x Objective, Lens, Adapter, Microscope | |
190 | EDWARDS | C10009670 | Edwards C10009670 Valve Flexible Bellows Iso 100 |
191 | EDWARDS | C105-16-401 | EDWARDS C105-16-401 , NW40 CLAMPING RING ST/STEEL PK1 |
192 | Edwards | C105-17-295 | Edwards C105-17-295 NW50 Braided Flexible 250mm Pipeline |
193 | EDWARDS | D37207593 | EDWARDS D37207593 Cable Harness |
194 | Edwards | D386-54-000 | Edwards D386-54-000 AGC 1-Display Standard Expansion Gauge Controller, Vacuum Products |
195 | EDWARDS | D39566000 | Edwards D39566000 Pirani Active Gauge Display, AGD Control Unit 25 Watt |
196 | EDWARDS | D37209000 | EDWARDS DRY PUMP HANDHELD REMOTE DISPLAY CONTROL TERMINAL |
197 | Edwards | ESDP30A | Edwards ESDP30A Dry Scroll Pump, Yaskawa EN-8ZT Induction Motor, Omron |
198 | Edwards | GV80 | Edwards GV80 Drystar Pump, 230/460V, 3PH/60Hz, 1 L/min |
199 | EDWARDS | GVI 100 P | EDWARDS GVI 100 P B65354000 GATE VALVE NO COPPER, STD EXCH PR |
200 | EDWARDS | IPX500 | Edwards IPX 500 NXD5-14-000 Dry Vacuum Pump |
201 | EDWARDS | nXL110i | EDWARDS nXL110i DRY VACUUM PUMP, HV NW50/NW50, A77012420 |
202 | EDWARDS | PT35-VD-B00 | EDWARDS PT35-VD-B00 B748-74-000 TURBO CONTROLLER STP CABLE, STC-L SP021V003N101 |
203 | EDWARDS | PT35-Y1-BS5 | EDWARDS PT35-Y1BS5 TURBO CONTROLLER STP CABLE, P035Y001B231,179NIK355 |
204 | EDWARDS | PV40PKA DBL-B | EDWARDS PV40PKA DBL-B Vacuum Valve C41417000, 0010-91107 ASSY MAG TURBO |
205 | Edwards | W65514811 | Edwards W65514811 Barocel Pressure Sensor 655 Trans 2TR NW-16 |
206 | Edwards SEIKO SEIKI | SCU-H1301L1B | Seiko Seiki SCU-H1301L1B, Turbomolecular Pump Control Unit, STP-H1301L1B |
207 | Edwards SEIKO SEIKI | SCU-H600 | Seiko Seiki SCU-H600 Turbo Molecular Pump Control Unit, STP, STP-H600, |
208 | Edwards SEIKO SEIKI | STP-H803C1 | SEIKO SEIKI STP-H803C1 TURBO PUMP POWER CABLE 99555DLD1, 9955SDL01 |
209 | Edwards SEIKO SEIKI | STP-X600A | Seiko Seiki STP-X600A Turbo Molecular Pump, High Vacuum |
210 | Edwards SEIKO SEIKI | STP-H600/H1000 | SEIKO SEIKI TURBO MOLECULAR PUMP CABLE STP-H600/H1000 |
211 | Edwards SEIKO SEIKI | STP-X600A | VAT Gate Valve, Seiko Seiki STP-X600A Turbo Molecular Pump High Vacuum Isolation |
212 | EEJA | CP-032 | 20 New EEJA CP-032 Vacuum, Pad, #2 E393-2506K |
213 | EFD | 1500XL | EFD 1500 XL Adhesive Dispenser |
214 | EFD | 1500XL | EFD 1500XL Fuse T 125mA 250V 0114-162-0032 |
215 | EFD | 2000XL | EFD 2000XL-PRS, Fuse 125mA 100V, 120V, 220V, 50/60Hz, 9/10 VA |
216 | EFD | 902R | EFD 902R Retainer Block, Kit, Barrel, 908-10, 907 |
217 | Efector Inc | KB-3020-ANKG | Efector KB-3020-ANKG IFM Efector Capacitive Proximity Switch/10M |
218 | EFFEKTA | EFF.1500 I-NET 19″-STE | EFFEKTA EFF.1500 I-NET 19″-STE UPS, Uninterruptible Power Supply |
219 | EFFEKTA Regeltechnik | EFF.1500 I-Net 19″-STE | EFFEKTA Regeltechnik EFF.1500 I-Net 19″-STE, R20/X1500M, Power Supply |
220 | EFI electronics | MBP120/208Y | 2 used Linemaster MBP, multiphase surge protection, look new, surplus inventory from major semiconductor factory |
221 | EFI electronics | MBP120/208Y | EFI MBP120/208Y Linemaster MBP Surge Protection Device, MBM120 |
222 | EFOS | Novacure | EFOS Novacure N2001-A1, 4.0 Amp, 250Vtt Mercury Arc, |
223 | EFOS | EFOS Replacement Lamp, EFOS Lite, | |
224 | EG PROBER | 266875-002 | EG PROBER 4080ET POWER DAR ASSY 266875-002 REV F |
225 | EG&G | 460-2 | EG&G 460-2 Silicon Detector, 550-11 Laser Attentuator, |
226 | EGS | 25EGS, EMT Connectors Gland compression Type, for Steel EMT, Steel Zinc Plated | |
227 | EGS | EGS, EMT Connectors Set-Screw Type, for Steel EMT, Steel Zinc Plated | |
228 | EI SPAN | GCS-300 | EI SPAN GCS-300 1910023 GAS CYLINDER SCALE GCS300-300-9X9-NOCON |
229 | EKK | FR-0010053 | Ekk EAGLE INDUSTRY ROTARY UNION JOINT FR-0010053 |
230 | Elco | GT3 | Elco GT3 Power Supply, 15V, 2.6A |
231 | Elco | J100-5 | Elco J100-5, 8Y1253, Switching Regulator, Power Supply, 5V, 20A |
232 | Elco | J15-12 | Elco J15-12 Regulator, Switching, J-Series |
233 | Elco | K505A-5 | Elco K505A-5 Power Supply, K50A5 |
234 | Elco | K50AU-24 | Elco K50AU-24 Power Supply Switching Regulator 85-125V 47-440Hz 24V 2.1A |
235 | Elcom | 01-08-0548 | Elcom 01-08-0548 Rev.C, Wafter Transfer Assembly, Motor |
236 | Elcon | 259-66-00500 | ELCON TA PIN ICCON PARALLEL NON-LOCK 259-66-00500 |
237 | Electrical | Plug | 30A, 120/208V, 30Y, Plug |
238 | Electrical | SG53RCUL | NEW Earth leakage circuit breaker SG53RCUL, circuit breaker 3 pole unit AD-7103 |
239 | Electrical | VI-262-CV | VICOR VI-262-CV DC to DC Converter and Switching Regulator Module |
240 | ELECTRO CRAFT | MAX-430 | Electro-Craft Max-430 Brush Servo Drive, PCB, 9077-0677 REV A |
241 | Electro Scientific Ind. | 61322 | B.P. power supply, Looks very clean, Surplus asset from Major semiconductor manufacturer |
242 | Electro Scientific Instruments | SC15008 | AC Power control unit, 76890 |
243 | Electro Tech Systems | 850 | ETS, Electro Tech Systems Surface Resistant Probe Model 850 |
244 | Electrocraft | DDM-005X-DN-AM | ELECTROCRAFT SERVO DRIVE AMPLIFIER DDM-005X-DN-AM, 9101-1745, 50/60 HZ |
245 | ELECTRO-CRAFT | 6033-03-802 | ELECTRO-CRAFT 6033-03-802 AC SERVO MOTOR, BRUSHLESS SERVO |
246 | Electrode | 832-2687A | ALUMINIUM ELECTRODE A FOR PY150 832-2687A |
247 | Electrode | 832-2687B | ALUMINIUM ELECTRODE B FOR PY150 832-2687B |
248 | Electrode | ELH002 | ELH002 Electrode Plate |
249 | Electrode | ELH002 | ELH002 Electrode Plate, |
250 | Electrode | ELH002 | ELH002, Plate, Electrode |
251 | Electrogas | DM-386DX | Electroglas Peak/DM-386DX Motherboard PCB 4085x Horizon PSM |
252 | Electroglas | 251563-004 | 7 Electroglas 251563-004 EProm Chips for Electroglas PCB, 9310-5383 |
253 | Electroglas | 96707 | ASSY 40″ Stainless Steel Bellows Vacuum Tubing, NW-50, Thin-Wall, Foreline |
254 | Electroglas | 258805-001 | Controller, Aux, cold sort PCB, Looks new in sealed bag |
255 | Electroglas | 4085x | Electrogas Horizon Series 4085X |
256 | Electroglas | 012031-002 | Electroglas 012031-002 PCB, memory system |
257 | Electroglas | 11424-001 | ELECTROGLAS 114824-001 REV. A 28V SOLENOID DRIVERS ASSY |
258 | Electroglas | 247012-001 | Electroglas 247012-001 LIN MTR INTFC PCB Board |
259 | Electroglas | 247012-001 | Electroglas 247012-001 LIN MTR INTFC PCB Board REV E |
260 | ELECTROGLAS | 247216-001 | ELECTROGLAS 247216-001 PCB SYSTEM I/O ASSY REV P |
261 | ELECTROGLAS | 247216-001 | ELECTROGLAS 247216-001 PCB SYSTEM I/O ASSY, 247496-003-A |
262 | Electroglas | 247216-001 | ELECTROGLAS 247216-001 REV K, PCB, SYSTEM IO REV K |
263 | Electroglas | 247216-001 | ELECTROGLAS 247216-001 REV L, PCB, SYSTEM IO REV L |
264 | Electroglas | 247216-001 | ELECTROGLAS 247216-001 REV M, PCB, SYSTEM IO REV M |
265 | Electroglas | 247216-001 | ELECTROGLAS 247216-001 REV T, PCB, SYSTEM IO REV T |
266 | Electroglas | 247216-001 | ELECTROGLAS 247216-001R REV M, PCB, SYSTEM IO REV M |
267 | Electroglas | 247228-001 | Electroglas 247228-001 X THETA DRIVER ASSY |
268 | Electroglas | 248228-002 | Electroglas 248228-002 QIK LDR/WFR SEN INTEC Sensor I/F PCB Board |
269 | Electroglas | 248228-002 | Electroglas 248228-002 QIK LDR/WFR Sensor I/F Board PCB Rev. N 4085X |
270 | ELECTROGLAS | 249914-002 | Electroglas 249914-002 ASSY, PCB, DAR MOTHERBOARD |
271 | ElectroGlas | 250259-001 | ElectroGlas 250259-001 CRT Controller Lamp Driver Assy REV C |
272 | ElectroGlas | 250259-001 | ElectroGlas 250259-001 CRT Controller Lamp Driver Assy REV C, FAB |
273 | Electroglas | 250259-001 | Electroglas 250259-001 PCB CRT Controller Lamp Driver |
274 | Electroglas | 250262-001 | Electroglas 250262-001 Theta Z Joystick PLC |
275 | Electroglas | 250262-001 | Electroglas 250262-001 Theta Z Joystick PLC Rev D |
276 | Electroglas | 250262-001 | Electroglas 250262-001 Theta Z Joystick PLC Rev F |
277 | Electroglas | 250262-001 | Electroglas 250262-001 Theta Z Joystick PLC Rev C |
278 | Electroglas | 250262-001 | Electroglas 250262-001 Theta Z Joystick PLC Rev D |
279 | Electroglas | 250262-001 | Electroglas 250262-001 Theta Z Joystick PLC Rev H |
280 | ElectroGlas | 251411-002 | ElectroGlas 251411-002 A1 CPU 020 REV D, FAB 251410-001 REV B, TELTEC |
281 | ElectroGlas | 251411-002 | ElectroGlas 251411-002 A1 CPU 020 REV F, CPU 020, 251410-001 REV C |
282 | ElectroGlas | 251411-002 | ElectroGlas 251411-002 A1 CPU 020, 500069178, PCB ASSY 68020 CPU, HV1D.9 |
283 | Electroglas | 253491-001 | Electroglas 253491-001 Communication PCB Board |
284 | Electroglas | 254921-001 | Electroglas 254921-001 Prealign Interface Module |
285 | Electroglas | 256286-001 | ELECTROGLAS 256286-001, PCB, PREALIGN CCB ARRA |
286 | ELECTROGLAS | 258158-001 | ELECTROGLAS 258158-001 TC2000 CONTROLLER IV, PCB ASSY |
287 | Electroglas | Electroglas Camera and Lens, 249006-001 | |
288 | Electroglas | 247265-001 | Electroglas Handler Communication 247265-001 Rev.D PLC Board |
289 | Electroglas | 246067-011 | Electroglas Horizon 4085X 4Port Serial I/O ASSY II 246067-001 |
290 | Electroglas | 97055740 | Electroglas Horizon 4085X Gold Chuck 97055740 |
291 | Electroglas | 247265 | Electroglas Horizon 4085X Handler Communications ASSY 247265 |
292 | Electroglas | 247222-002 | Electroglas Horizon 4085X Linear Motor Subsystem ASSY 247222-002 |
293 | Electroglas | 247222-002 | Electroglas Horizon 4085X Linear Motor Subsystem ASSY 247222-002 Rev L |
294 | Electroglas | 102944-010 | Electroglas Horizon 4085X Motion Control ASSY-010 Teltec 01V 3493 |
295 | Electroglas | 102944-010 | Electroglas Horizon 4085X Motion Control-010 Teltec 01V 0994 |
296 | Electroglas | 253491 | Electroglas Horizon 4085X PCB ASSY Communication BD Board 253491 |
297 | Electroglas | 247219 | Electroglas Horizon 4085X Prealign Subsystem ASSY 247219 Teltec 02V 0297 |
298 | Electroglas | 4085X | Electroglas Horizon 4085X Robot Blade |
299 | Electroglas | 247439-001 | Electroglas Horizon 4085X Solenoid I/O Interface ASSY 247439-001 |
300 | Electroglas | 247216 | Electroglas Horizon 4085X System I/O ASSY 247216 Teltec 01V 1196 |
301 | Electroglas | 4085X | Electroglas Horizon 4085X System I/O ASSY 247222-002 Linear Motor Subsystem |
302 | Electroglas | 244288-001 | Electroglas Horizon 4085X Tester Interface 244288-001 Teltec 01V 3096 |
303 | Electroglas | 250262 | Electroglas Horizon 4085X Theta Z Joystick ASSY 250262 |
304 | Electroglas | 4085X | Electroglas Horizon 4085X,Aluminum Chuck |
305 | Electroglas | 4085X | Electroglas Horizon 4085X,Wafer Sensor Assembly |
306 | Electroglas | 246067-001 | Electroglas Horizon 4Port Serial I/O ASSY II 246067-001 |
307 | Electroglas | 244288-001 | Electroglas Horizon Tester Interface 244288-001 |
308 | Electroglas | 33460124 | electroglas PCB ASSY, PREALIGN, MOD, I/F 033460124 |
309 | Electroglas | 254921-001 | Electroglas Prealign Interface PCB 254921-001 Rev. B |
310 | Electroglas | 247219-002 | Electroglas Prealign Subsystem 247219-002 Rev.M Fab. 247218-001 Rev. C |
311 | Electroglas | 247219-002 | Electroglas Prealign Subsystem PCB 247219-002 Rev G, 247496-001 |
312 | ElectroGlas | 247219-002 | Electroglas Prealign Subsystem PCB 247219-002 Rev G, 247496-001 Rev.M |
313 | Electroglas | PLC 247219 | Electroglas Prealign Subsystem PLC 247219 Rev 1 |
314 | Electroglas | 4085X | Electroglas PSM Horizon 4085X Wafer Pober, 8 inch tool. Parts Tool |
315 | ELECTROGLAS | 247212-001 | Electroglas Teltec Main System Board 247213-001 Fab 247212-001 |
316 | ELECTROGLAS | 247213-001 | Electroglas Teltec Main System Board 247213-001 Fab 247212-001 Rev D |
317 | ELECTROGLAS | 247212-001 | Electroglas Teltec Main System Board 247213-001 Fab 247212-001 Rev K |
318 | ELECTROGLAS | 247212-001 | Electroglas Teltec Main System Board 247213-001 Fab 247212-001 Rev L |
319 | ELECTROGLAS | 245023-001 | ELECTROGLAS THETA Z FUNCTION II ASSY 245023-001 REV. J |
320 | Electroglas | 247222-002 | Linear Motion PCB Assy |
321 | Electroglas | 012031-002 | PCB assy, Memory system |
322 | ELECTROGLAS | 247204-001 | ELECTROGLAS 247204-001 PCB WAFER SENSE INTERFACE |
323 | ELECTROGLAS | 247204-001 | ELECTROGLAS 247204-001 PCB WAFER SENSE INTERFACE SBC-2A94V-098-45 |
324 | ElectroGlas | 248981-001 | ElectroGlas 248981-001 A1 System Memory Assy REV R |
325 | Electroglas | 250259-001 | Electroglas 250259-001 CRT CONTROLLER LAMP DRIVER REV B |
326 | Electroglas | 250259-001 | Electroglas 250259-001 PCB CRT Controller Lamp Driver REV B |
327 | Electroglas | 256303-003 | Electroglas 256303-003 PCB Extended Memory |
328 | ElectroGlas | 102971-001 | ElectroGlas-001 Power DAR Extender Assy Rev A |
329 | Electroglas Horizon | 247219 | Electroglas Horizon 4085X System I/O ASSY 247219 Dev-558 Pre-align Subsystem |
330 | Electroglas Horizon | 247225 | Electroglas Horizon 4085X System I/O ASSY 247225 XFR AARM Subsystem |
331 | Electroglas Horizon | 4085X | Electroglas Horizon 4085X, Pneumatic Module |
332 | Electroglas Prealign Subsystem | 247219-002 | Electroglas Prealign Subsystem PCB 247219-002 |
333 | Electroglas Prealign Subsystem | 247219-002 | Electroglas Prealign Subsystem PCB 247219-002 REV G |
334 | Electroglas Prealign Subsystem | 247219-002 | Electroglas Prealign Subsystem PCB 247219-002 Rev H |
335 | Electroglas Prealign Subsystem | 247219-002 | Electroglas Prealign Subsystem PCB 247219-002 Rev M |
336 | Electroglas Prealign Subsystem | 247219-002 | Electroglas Prealign Subsystem PCB 247219-002 REV N |
337 | Electrograph | 94200 | Electrograph 94200 Electrode, Focus |
338 | Electro-Graph | 21362 4875 | 5 Electro-Graph 21362 4875, Ceramic Axle |
339 | Electro-Graph | 21362 4875 | 6 Electro-Graph 21362 4875, 760-438-9090, ISO 9001, Ceramic Axle |
340 | Electro-Graph | 35948 | Electro-Graph 35948 A/C Base Plate |
341 | Electro-Graph | 35948 | Electro-Graph 35948 A/C Side Plate, EGHN.011 |
342 | Electro-Graph | 35974 | Electro-Graph 35974 A/C Side Plate, EGHN.012 |
343 | Electro-Graph | 35987 | Electro-Graph 35987 A/C Side Plate, EGHN.014 |
344 | Electro-Graph | 36013 | Electro-Graph 36013 Arc Chamber Top, EGHN.015 |
345 | Electro-Graph | Electro-Graph EGHN.012 A/C Side Plate | |
346 | Electro-Graph | EGHN-011 | Electro-Graph EGHN-011 AC Base Plate |
347 | Electronic | J2PS | J2PS 208VAC to 100VAC power distribution box |
348 | Electronic | K-8017 | K-8017, I/O Box, Z Channel |
349 | Electronic | Memory Upgrades Non-Parity SO DIMM Memory Upgrade 64MB 66MHz 3.3 V SDRAM | |
350 | Electronic | F8429-1 | System Interlock and Control Network Controller F8429-1, 0318124 |
351 | Electronic | 541D11C2 | V1.11 0401 541D11C2 PROM, CONTACT, SIDE, TC |
352 | Electronic Measurements | TCR 7.5S115-1-D-0487 | EMI TCR 7.5S115-1-D-0487 DC Power Supply |
353 | Electronic Measurements | TCR 40S15-1-CV | Lambda EMI TCR 40S15-1-CV Programmable DC Power Supply |
354 | ELECTROSTATICS | 94-51799-00 | ELECTROSTATICS 94-51799-00 GYN, 190M, IONIZING NICKEL PL |
355 | Electrotech | CB4111 | Electrotech CB4111 ISS20 A/D + D/A PCB, 9602 |
356 | Elgar | 5606315-01 | Elgar 5606315-01 Dual Channel Power Supply |
357 | Elgar | 5606315-01 | Elgar 560635-03 Dual Channel Power Supply |
358 | Elgar | AT8000B | Elgar AT8000B Programmable DC Power Supply, AT8B-00-0S-S1-02-4556, |
359 | Elgar | AT8B-01-04-01-02-4555 | Elgar AT8000B Programmable DC Power System AT8B-01-04-01-02-4555, |
360 | ELMO | CEL-3/100-C6 | ELMO CEL-3/100-C6 MOTION CONTROL, SERVO MOTOR |
361 | ELMO | CEL-A10/100-C6 | ELMO CEL-A10/100-C6 MOTION CONTROL, SERVO MOTOR |
362 | ELMO | CEL-A10/100-C6 | ELMO CEL-A10/100-C6 MOTION CONTROL, SERVO MOTOR, RU RS52-1 CEL |
363 | ELPAC | BFS200-48 | ELPAC BFS200-48, Selectable, unregulated, open range DC power supply |
364 | Elpac | SOLV 15-24 | Elpac SOLV 15-24 Power Supply 24V .75A |
365 | ELPAC | BFS200-48 REVd | POWER SUPPLY, qt 470017 |
366 | ELPAC POWER SYSTEMS | WRI 4231 | ELPAC WRIOWER SUPPLY |
367 | ELPIDA | PC3-8500R-7-10-AP | 25 ELPIDA 1 GB MEMORY, 1Rx8 PC3-8500R-7-10-AP, 1Rx4 PC-2-3200E-333 |
368 | Eltrol | 3900052 | Eltrol corp, assy 3900052, E256792, Fab 3850052B, 806107-14 |
369 | ELWOOD GETTYS | 23D-6204A | ELWOOD GETTYS 23D-6204A SPV LIFETIME STAGE STEPPER MOTOR |
370 | EMC | BM23475L11R REV B | EMC-1 94V0, BM23475L11R REV B |
371 | Emerson | 6592 | Emerson 6592 Motor & Gear Box, Inverter, Ipec Speedfam Novellus, .50 HP |
372 | Emerson | 6592-A | Emerson 6592-A Motor & Gear Box, Inverter, Ipec Speedfam Novellus |
373 | Emerson | H300-03400123 | Emerson H300-03400123, HVAC Drive, H300, H300-034 |
374 | Emerson | S4K2U3000-5C | Emerson S4K2U3000-5C SOLA-HD Power Supply Module, RS1148 |
375 | Emerson | S55JXSJZ-6078 | Emerson S55JXSJZ-6078 1/2 HP 230V 1725/1425 RPM Carbonator Pump Motor |
376 | Emerson Power Transmission CORP | 100Q40L5 | Emerson Power Transmission 100Q40L5 Ratio 5:1 HP .50 ,Torque 82 IN. |
377 | EMI | 10-250-4-D-10/T | EMI EMS 10-250-4-D-10/T DC Power Supply, 190-250VAC |
378 | EMI | 20-30-1D-10/T | EMI EMS 20-30-1D-10/T Power Supply, TB25A, 47-63Hz |
379 | EMI | 20-50-1-D | EMI EMS 20-50-1-D DC Power Supply, TB25A, 47-63Hz |
380 | EMI | EMS 30-20-1D-10/T | EMI EMS 30-20-1D-10/T DC Power Supply, TB25A, 47-63Hz |
381 | EMI | 10T500-1-0329-0V-LB | EMI TCR 10T500-1-0329-0V-LB DC Power Supply, 208/220 VAC, 60Hz, 25 AMP |
382 | EMI | 20S90-1-LB-0329 | EMI TCR 20S90-1-LB-0329 DC Power Supply |
383 | Empak | Z200-0203 | Empak Z200-0203 Solopak Single Wafershipping Box |
384 | Empire Magnetic | S57-102 | Empire Magnetic S57-102, Stepper Motor |
385 | EMS | 20-30-1D-10/T | EMS 20-30-1D-10/T Power Supply, Lambda |
386 | EMS | RSTL | EMS RSTL 488-2-40-40-40 Power Supply, 00468165 Lambda |
387 | EMS | 4701082 | EMS TCR 7.5S70-2-D-OV Power Supply, 004701082 |
388 | EMSC | 170120 | EMSC 170120 PCB, 9062-024844-R, EI# 57324 |
389 | EMSC | 170120 | EMSC 170120 PCB, EI# 57324, 5211 |
390 | EMSCOPE | SC 500 | EMSCOPE SC 500 Au-Pd Sputter Coater |
391 | EMULEX | FC1010472-01 | Emulex FC1010472-01, FC1020034-01J 2Gb/s Fibre Channel LP9002L-E |
392 | Encoder | 3FC111AL06300 | 2 ASSY ENCODER UNIT 3FC111AL06300, OMRON E6H-CWZ6C ENCODER |
393 | Encoder Products Co. | 755A | Encoder Products 755A Accu-Coder Incremental Shaft Encoder 5000 030020013 |
394 | Endevco | 4948 | 3 Endevco 4948 control racks with 12 signal conditioners 2775A and 5 charge amps |
395 | Engelhard-CLAL | 700230 | Engelhard-CLAL 700230, Type R, Dual TC Probe with Ceramic Sheath |
396 | ENI | 000-1093-075 | ENI 000-1093-075 LAM 685-495681-002 RF MATCH + ENI 003-1093-300 PCB |
397 | ENI | 1001-032 | ENI 1001-032 PCB ASSY POWER SUPPLY38, MC1368C |
398 | ENI | ACG-10-11631-50 | ENI ACG-10 RF Generator ACG-10-11631-50 |
399 | ENI | ACG-10-01 | ENI ACG-10-01 RF GENERATOR REV B |
400 | ENI | ACG-10B-02 | ENI ACG-10B-02 MRC RF GENERATOR |
401 | ENI | ACG-10B-02 | ENI ACG-10B-02 RF GENERATOR |
402 | ENI | DCG-100 | ENI DCG-100 DC sputtering power supply DCG2M-A101200020, REV C, DC PLASMA,117281 |
403 | ENI | GHW80A-13DF2L0-001 | ENI GHW-85A GHW80A-13DF2L0-001 POWER SUPPLY, CONTROLLER, GENESIS |
404 | ENI | GHW-85A | ENI GHW-85A GHW80A-13DF3L0-001 POWER SUPPLY, CONTROLLER, GENESIS, REV C, 27-105447-00 |
405 | ENI | LPG-6A | ENI LPG-6A Low Frequency RF Generator, LAM |
406 | ENI | OEM-1250 | ENI OEM-1250 RF GENERATOR AC POWER |
407 | ENI | OEM-12A | ENI OEM-12A Solid State RF Generator OEM-12A-21041-51, SB225 |
408 | ENI | OEM-12A-21041-51 | ENI OEM-12A-21041-51 & OEM-12B-1 Solid State RF Generators |
409 | ENI | OEM-12B-02 | ENI OEM-12B RF GENERATOR, OEM12B-02, 0190-70080 |
410 | ENI | OEM-12B-07 | ENI OEM-12B RF GENERATOR, OEM12B-07 |
411 | ENI | OEM-12B-07 | ENI OEM-12B-07 RF GENERATOR REV A |
412 | ENI | OEM-12B-07 | ENI OEM-12B-07 RF GENERATOR REV H, AMAT 0190-76048 |
413 | ENI | OEM-12B-07 | ENI OEM-12B-07 RF GENERATOR REV H, AMAT 0190-76048, 233433573 |
414 | ENI | OEM-12B-07 | ENI OEM-12B-07 RF GENERATOR REV L, AMAT 0190-70080, 233433573 |
415 | ENI | OEM-6 | ENI OEM-6 Power Systems Solid State RF Plasma OEM-6M-11781 (TESTED WORKING) |
416 | ENI | OEM-6A-11491-51 | ENI OEM-6A-11491-51 OEM-650A RF GENERATOR |
417 | ENI | PL-2HF-11451 | ENI PL-2HF-11451 PLASMALOC 2-HF REV G LOW FREQUENCY RF GENERATOR |
418 | ENI | PL-2HFN-01 | ENI PL-2HFN-01 2500W RF Generator |
419 | ENI | VPA-1987-21121 | ENI VPA-1987-21121 VERTEQ VPA-1987 MCS2600-4AL POWER AMPLIFIER |
420 | ENIDINE | PRO15 IF-1B | Lot of 10 ENIDINE PRO15 IF-1B Hydraulic shock absorbers w/ AMALOY |
421 | Enpac | 5200-YE | Enpac 5200-YE Drum Spill Platform Cart, Poly – Spillcart, 63x29x13″ |
422 | Ensemble Designs | JWETF4908 | Ensemble Designs Avenue Signal Integration System, 1RU Frame, JWETF4908 |
423 | Entegris | FC4-8FN-1 | 3 Entegris FC4-8FN-1 FLARETEK PFA |
424 | Entegris | 1031-076 | ENTEGRIS 1031-076 DIAPHRAM VALVE Teflon 3 way |
425 | ENTEGRIS | 1032-054 | ENTEGRIS 1032-054 REGULATOR, BACKPRESS, 0/60, 233500011, 301043-001 |
426 | Entegris | A192-81MLZ-0215 | Entegris A192-81MLZ-0215 Carr – A192 – 81M LZMRK, DSUL Boat, PFA, Carrier, Cassette, AWS, 500085146 |
427 | Entegris | A192-81MLZ-0215 | Entegris A192-81MLZ-0215 Carr – A192 – 81M LZMRK, SULF, Carrier, Cassette |
428 | ENTEGRIS | A192-81MLZ-0215 | ENTEGRIS A192-81MLZ-0215 PFA CARRIER AWS NIT, 200MM, 500033927 |
429 | Entegris | A192-81MLZ-0215 | ENTEGRIS A192-81MLZ-0215 PFA CARRIER, 200MM |
430 | Entegris | SS-500KF-I-4R/R | ENTEGRIS AERONEX SS-500KF-I-4R/R INSERT GAS PRUIFIER 60 SLM 0.003 MICRON |
431 | Entegris | CA192-81MLZ-0215 | Entegris CA192-81MLZ-0215 Carr – A192 – 81M MKD PRCLND, Carrier |
432 | Entegris | CA192-81MLZ-0215 | Entegris CA192-81MLZ-0215 Carr – A192 – 81M MKD PRCLND, Carrier, Cassette, SCR, TEL, 039037000, AWS421, Etch |
433 | Entegris | N/A | Entegris Carrier, Cassette, PFA, PRS, AWS415, 500033930 |
434 | ENTEGRIS | DHT-00-GH-000 | ENTEGRIS DHT-00-GH-000 HEAD DISPENSEA PFA, KEY CODED, SCE FSI-CDU |
435 | ENTEGRIS | DHT-00-QB-000 | ENTEGRIS DHT-00-QB-000 HEAD DISPENSEA PFA |
436 | ENTEGRIS | DHT-00-QB-000 | ENTEGRIS DHT-00-QB-000 HEAD DISPENSEA PFA, DISPENSE HEAD TA-33, FSI-CDU |
437 | ENTEGRIS | PVA4-T4-2FN-1-R | Entegris PVA4-T4-2FN-1-R STPCK 2 WAY 90 DEG VALVE |
438 | ENTEGRIS | F613P2B8 | ENTEGRIS UPLAND F613P2B8 PNEUMATIC VALVE BELLOWS 3-WAY, 3870-03032 |
439 | Entegris | X3150-0101 | Entegris X3150-0101 CARR – WFR, 150mm w/ HEW EWRF C/A |
440 | Entegris | 421-32-14 | Entegris-14 Gun PFA Spray 1/4 INFNPT, 300514-001 6610-0059 |
441 | Entegris | 1032-002 | Entegris 1032-002 Flaretek Sealed Tube Fitting |
442 | Entegris Fluoroware | SU8FN-1 | 1 Lot of 3 Entegris SU8FN-1 Flaretek Straight Union 1/2″, PFA HP |
443 | Entegris Fluoroware | SU8FN-1 | 2 Entegris SU8FN-1 Flaretek Straight Union 1/2″, PFA HP1 |
444 | Entegris Fluoroware | 300144-002 | 3 ENTEGRIS FSU4 300144-002 FLOUROWARE FITTING PFA FULL COUPLER 1/4 NPT |
445 | Entegris Fluoroware | UT8T-8T-8FN-1 | 4 Entegris Fluoroware UT8T-8T-8FN-1 1/2″ tee |
446 | Entegris Fluoroware | 0190-12797 | AMAT 0190-12797 Gate Compl |
447 | Entegris Fluoroware | FC4-4FN-1 | Enegris FC4-4FN-1 Union, 1/4 Flare-1/4, AMAT 3300-03992 |
448 | Entegris Fluoroware | 01-009877-09UI | ENTEGRIS 01-009877-09UI, F300, Door, 300mm Cassette |
449 | Entegris Fluoroware | 1032-054 | Entegris 1032-054 Pneumatic Valve Integra Distribution Valve 3 outlet, 3/4 inch |
450 | Entegris Fluoroware | 151-140-36 | ENTEGRIS 151-140-36 DHT Code Ring |
451 | Entegris Fluoroware | 151-140-63 | Entegris 151-140-63 Assy-DHT Key Code Ring |
452 | Entegris Fluoroware | 201-35 | Entegris 201-35 2-Way Manual Valve, 1/2″, Fluoroware, PFA |
453 | Entegris Fluoroware | 202-122-01 | Entegris 202-122-01 2 Way Flurowave Valve 1/4″ |
454 | Entegris Fluoroware | 302549-001 | ENTEGRIS 302549-001 1/4IN VALVE WITH 3/8 FLANGE |
455 | Entegris Fluoroware | 6500-XX-F03-D12-K-P2-U1-M19 | Entegris 6500-XX-F03-D12-K-P2-U1-M19 NT Integrated Flow Controller |
456 | Entegris Fluoroware | 888-900033 | Entegris 888-900033 MFLD (PTFE), AMAT Special Mixer, 4 Port |
457 | Entegris Fluoroware | 888-900033 | Entegris 888-900033, MFLD (PTFE), AMAT Special Mixer, 4-Port |
458 | Entegris Fluoroware | A192-81MLZ-0215 | ENTEGRIS A192-81MLZ-0215 PFA TEFLON WAFER CARRIER AWS 8 INCH CASSETT |
459 | Entegris Fluoroware | CF4-8FN-1 | Entegris CF4-8FN-1 1/4” Flaretek Flared Tube x 1/2” FNPT, CONN, FEMALE |
460 | Entegris Fluoroware | CN0301T06 | Entegris CN0301T06 Mykrolis Filter, ProcessGard CN, 10″, 0.3 Micron |
461 | Entegris Fluoroware | DS12-2C-12F | Entegris DS12-2C-12F FLARETEK PNEUMATIC 2-WAY DIAPHRAGM VALVE |
462 | Entegris Fluoroware | E6-6TFN-1X | Entegris E6-6TFN-1X, M9P828145, PFA Elbow Adapter, Reducer, 3/8″ |
463 | Entegris Fluoroware | ES-1C-CA-01-SP1S | Entegris ES-1C-CA-01-SP1S Sensor Probe Assembly |
464 | Entegris Fluoroware | 206-0422-2F | Entegris Fluoroware 206-0422-2F, Check Valve |
465 | Entegris Fluoroware | 206-0422-2F | Entegris Fluoroware 206-0422-2F, Check Valve, 300667-008 |
466 | Entegris Fluoroware | 206-0424-2F | Entegris Fluoroware 206-0424-2F, Check Valve, 300667-009 |
467 | Entegris Fluoroware | E4-6FN | Entegris Fluoroware E4-6FN 90 deg. Flaretek fitting |
468 | Entegris Fluoroware | FG8-8FN-1 | Entegris Fluoroware FG8-8FN-1 Flaretek Tube Fitting, Connector |
469 | Entegris Fluoroware | FSU8 | Entegris FSU8 NPT Pipe Straight FNPT |
470 | Entegris Fluoroware | N8-4 | Entegris N8-4 Fitting |
471 | Entegris Fluoroware | PM12FM-1 | Entegris PM12FM-1 Straight Union Tube, 3/4, OD, PFA, Fluroware |
472 | Entegris Fluoroware | SU8FN-1 | Entegris SU8FN-1 Flaretek Straight Union 1/2″, PFA HP |
473 | Entegris Fluoroware | SU8FN-1 | Entegris SU8FN-1 Flaretek Straight Union 1/2″, PFA HP1 |
474 | Entegris Fluoroware | SU8T-6FN-3 | Entegris SU8T-6FN-3, Flaretek, Reducer, 3/8″, PFA |
475 | Entegris Fluoroware | U39896 | Entegris U39896 Position Plug, PFA w/ Kalrez O-Ring |
476 | Entegris Fluoroware | UE4FN-1 | Entegris UE4FN-1059, Fluoroware, Flaretek |
477 | Entegris Fluoroware | UT8-4-4FN-3 | Entegris UT8-4-4FN-3 Flaretek, Tee Union Valve, PFA, 0.5×0.25×0.25in. |
478 | Entegris Fluoroware | UT8-4-4FN-3 | Entegris UT8-4-4FN-3, Flaretek, Tee Union Valve, PFA |
479 | Entegris Fluoroware | WG2F02PS1 | ENTEGRIS Wafergard II F in Line Gas Filters WG2F02PS1 |
480 | Entegris Fluoroware | SP-VLF-CN8002 | Flouroware SP-VLF-CN8002 Fluorotrac ID station |
481 | Entegris Fluoroware | 201-8 | Fluoroware 201-8 Manually Activated Chemical Valve |
482 | Entegris Fluoroware | 202-59-01 | Fluoroware 202-59-01, Pneumatic 2-Way Diaphragm Valve, NC, Teflon. |
483 | Entegris Fluoroware | 203-1414-415 | Fluoroware 203-1414-415 Galtek 2-Way Solenoid Valve 1/4″ Orifice 115 VAC |
484 | Entegris Fluoroware | 301698-001 | Fluoware 301698-001 Teflon, Flaretek, T Pipe, Valve |
485 | Entegris Fluoroware | BT4-2FN-1 | Lot of 11 Entegris Fluoroware BT4-2FN-1 Flaretek Tee |
486 | Entegris Fluoroware | FMP886 UTR | Lot of 20, 3/8-3/8-1/4 run tee |
487 | Entegris Fluoroware | FMP 68 CR | Lot of 5, Female reducer |
488 | Entegris Fluoroware | 4210-100G-F08-B06-B-P2-U1 | NIB Entegris00G-F08-B06-B-P2-U1 NT Pressure Transducer Flow-Thru |
489 | Entegris Fluoroware | ES-1C-CA-03 | Ontrak 80-0002-059 MOD SGL CHNL CABLES CABLE TRIAX CONN NUT 3M99-0043 |
490 | Entegris Fuoroware | 8PC-12P | 2 ENTEGRIS 8PC-12P VALVE-PNCH/PNEU 3/4 PB N/C |
491 | Entegris Fuoroware | U39896 | ENTEGRIS U39896, POSITION PLUG, PFA W/KALREZ O-RING |
492 | Entegris Fuoroware | HFC-RKIT-01 | Entergris HFC-RKIT-01, VALVE REPAIR KIT, HIGH FLOW, PNEUMATIC, VACUUM |
493 | Entegris Fuoroware | 10764 | NON volatile memory PCB, Looks new in sealed bag |
494 | Enterprise Systems | 706015 | Enterprise Systems 10764-UMC Non-Volatile Board, FAB 706015 A/W 900178 |
495 | Enterprise Systems | CS900179 | Enterprise Systems 10764-UMC Non-Volatile Board, FAB CS900179 A/W 900178 |
496 | Enterprise Systems | CS900179 | Enterprise Systems 10764-UMC Non-Volatile Board, FAB CS900179 A/W 900178 |
497 | Entrelec | D4/6 | Entrelec D4/6 Terminal Block, |
498 | ENTRELEC | FEM8D1 | ENTRELEC FEM8D1 LOT OF 5 |
499 | Entrelec | LR88297 GMU 3U | Entrelec LR88297 GMU 3U Circuit breaker 3A 1p |
500 | Entrelec | PS Systron | Entrelec PS Systron Power Supply, Schiele |
501 | Entrelec | LR88297 GMU 15U | Lot of 4 Novellus11414 Entrelec LR88297 GMU 15U 15 amp circuit breaker Look new |
502 | Entrelec | LR88297 GMU 15U | Novellus11414 Entrelec LR88297 GMU 15U 15 amp circuit breaker |
503 | Entrepix | A10450 | Entrepix A10450, Elipsometer, PCB Assembly, SS2 Driver Board, A10449. |
504 | ENVIROSONIK CONTROL | 807579 | ENVIROSONIK CONTROL 807579, BOARD CONTROL VER 2, 808067 |
505 | ENZ-Electronic | 2063-0010 | ENZ-Electronic 2063-0010 Control Unit, PCB |
506 | EOS | ZVC60NT12AA | Lot of 2, AC adapter, 12VDC out |
507 | Epichem | 400S | Metal Organics bubbler Ampoule with Swagelok DP valves |
508 | Epimedia | 6ADC_SSR | Epimedia 6ADC_SSR PCB, Board, RS1143 |
509 | Eppendorf | 25 mL | 15 Eppendorf 25 ml syringes, 433326 |
510 | Eppendorf | Combitip | 6 New Eppendorf combitip, 50 ml combitips |
511 | Eppendorf | 4700 | EPPENDORF DISPENSOR 4700, 50 uL, 75 uL, 100uL |
512 | EPSION | ASR | epsion compatible ASR infrared light stimulator |
513 | EPSON | 2000308 | Epson 2000308 Dot Matrix 32kb Serial Interface Card, BKM82302 |
514 | EPSON | ELPLP03 | EPSON ELPLP03 LCD PROJECTOR LAMP UNIT |
515 | EPSON | ELPLP04 | EPSON ELPLP04 Spare Lamp, 150W |
516 | EPSON | RAIOC-33 | EPSON RAIOC-33 PROGRAMMABLE CONTROLLER PLC MAX3A DC24V |
517 | EPSON | SRC-300 | Epson Seiko SRC-300, AC200-220V, 50/60Hz, Single Phase 1200W |
518 | Equipe Tech | 103-00192-00 | I-Bus Systems 103-00192-00 Bus Board PCB, Equipe 103-00082-00, 4913-2406 |
519 | Equipe Technologies | ATM-3155 | Equipe ATM-3155 Robot, Wafer, Automation, 50773T-U |
520 | EQUIPE TECHNOLOGIES | Equipe Technologies Robot Blade, Wafer, End Effector | |
521 | EQUIPE TECHNOLOGIES | TRA-036-SBI | EQUIPE technologies TRA-036-SBI Robot Linear Stage |
522 | Erecta | 1270-02908 | Erecta Switch Float Switch REED SPDT Megasonics 1270-02908 |
523 | Ergotron | Logitech | Ergotron Arm with Keyboard and Logitech Trackball, Mouse |
524 | ESCAP | 28DT 12 222P 102 | ESCAP 28DT 12 222PMT8K13 TRANS-WAFER TRANSMISSION UPGRADE |
525 | ESD | NA | ESD Semiconductor Analysis Test System, Verifier |
526 | ESD Systems | 41230 | ESD Systems Calibration Unit |
527 | ESEC | 16.62.111 | ESEC 16.62.111 Motor Controller RUB 2.104 |
528 | ESH INC | DM120LCC84 | ESH INC DM120LCC84 REV B, 84 STD PCB, SH35-89 |
529 | ESI | 67737-03 | ESI 9250 Servo Control Board CKA 67737-03 Rev R |
530 | ESI | 62461 | ESI Power Supply Monitor CKA 62461, 62460 |
531 | esl | ST 32 B1-1006 | esl ST 32 B1-1006, 5.0-250, Power Supply |
532 | ESMO | FAM cart | ESMO Failure Analytical Manipulator (FAM) Cart adapted for Advantest T2000 |
533 | Essex Electronics | SKE-26I | Keyless entry looksnew |
534 | Estek | 398-18707-1 | ESTEK I.S.D707-1 Rev.A s/nard PCB, LIGHT CHANNEL |
535 | ESTEK | ESTEK 398-18674-1/1S | ESTEK674-1/1S ,PCB, Z-BOT STANDARD, 297-14355-1 |
536 | Etasis | EFRP-S2500 | Etasis EFRP-S2500 Switching Power Supply |
537 | ETEC | 1046-0002-000 | ETEC 1046-0002-000 Transmission Detector Preamp |
538 | ETEC | 756-4350-01 | ETEC systems LENS control 756-4350-01, ASSYMBLY 756-4350, FAB 756-4351-01 |
539 | EtherWAN | EX17008 | EtherWAN EX17008 8-Port 10/100TX Web-Smart PoE Ethernet Switch |
540 | ETI | MC5179B-2K/2K | ETI MC5179B-2K/2K Motorized Potentiometer, 8 RPM, Varian |
541 | ETLA | 423-62-003 | ETLA-003 Tower, Halar, Upper, 233375155, Foreline |
542 | ETO | N/A | 3 ETO HDPCVD RF Generator Rack, Source Generator, AMAT |
543 | ETO Ehrhorn Technological | ABX-X234 | ETO ABX-X234 300W Driver PCB BOARD |
544 | ETS | ETS-250 | ETS 250, Wrist Strap Tester, Electro-Tech Systems 250 |
545 | Eurotherm | model 808 | 3 NEW EUROTHERM 808 Temperature controller, 808 RS42 controller, 27-125058-00 |
546 | Eurotherm | RSDA | 6 used Eurotherm RSDA 25A 660V LDC Solid State Relay+Heatsink, 4-28 VDC |
547 | Eurotherm | 2408I | EUROTHERM 2408i |
548 | Eurotherm | 2408I | EUROTHERM 2408I 2408I#146042 |
549 | Eurotherm | 461/081/28/43/060/002/00 | Eurotherm 461/081/28/43/060/002/00 Controller Thyristor |
550 | Eurotherm | 461/081728/43/060/002/96/00 | Eurotherm 461/081728/43/060/002/96/00 Controller Thyristor, 440V~15A |
551 | Eurotherm | 812/LGC/00/J/0-500C/SPS/S/HH/UR// | Eurotherm 812/LGC/00/J/0-500C/SPS/S/HH/UR// Temperature Controller; we are selling as used |
552 | Eurotherm | TE10A | Eurotherm TE10A Phase Angle Power Controller 200V, 47-63 Hz |
553 | Eurotherm | GPC8422 | USED, EUROTHERM TEMPERATURE CONTROLLER, GPC8422 |
554 | Eurotherm Corporation | 239-19-10-00 | Eurotherm 239-19-10-00 Thermocouple Simulator, Type 239, MV Sourcell |
555 | Evans Component | NB-GL-8C-200-X | Evans Component NB-GL-8C-200-X, Manual Valve, Manifold |
556 | EVG | Z160603 | EVG Z160603 4″ Bond Chuck Top w/ Z160543 Field Electrode |
557 | EWAL | 18-882TWTW EJ | EWAL 18-882TWTW EJ VALVE 316L SCG |
558 | EWAL | EWAL Gas Bottle Emergency Shutoff Valve With Nupro 6L-FV4A-T4A and VCR | |
559 | EXCEL | 1012A | EXCEL 1012A 1016A, Plane Mirror Interferometer, Retroreflector |
560 | Excelon | B74G-4GK-AP3-RMN | Excelon B74G-4GK-AP3-RMN, Filter regulator water separator |
561 | EXFO | Acticure 4000 | EXFO Acticurepot Curing System |
562 | EXFO | IQ-206 | EXFO IQ-206 Expansion Unit w/ Five IQ-1200 4-CH Power Meter, IQ-9100 |
563 | EXFO | Novacure | EXFO Novacure N2001, 4.0 Amp, 250Vtt Mercury Arc, |
564 | Extech Instruments | 407119A | ExtechA CFM Hotwire Anemoneter |
565 | Extreme Networks | 17112 | Extreme Networks 17112 Summit X670 Fan Module BF, |
566 | Extreme Networks | 17112 | Extreme Networks 17112 Summit X670 Fan Module BF-00-04 |
567 | EXXUS | RR-P2-5 8/18 | 5 new Exxus Tech RR-P2-5 8/18 KF25 to kf16 adapter with two 1/4″ VCR ports |
568 | EXXUS | RR-P3-8 9/23 | 7 Exxus TECH RR-P3-8 9/23 Conflat Tee with two 2-3/4″ & one 41/2″ flanges |
569 | FABCO | GTND-100-100-T1-V | FABCO GTND-100-100-T1-V Cylinder, RS1164 |
570 | FABCO Air | GTND–050-050 | FABCO Air GTND-050-050 Cylinder w/ Wikai Gauge, 30 PSI |
571 | Fabco-Air | Fabco-Air FPS Pneumatic Cylinder, SVG 203-122 | |
572 | Fabco-Air | FPS-1065 | Fabco-Air FPS-1065 Pneumatic Cylinder, SVG 203-123 |
573 | FABCO-AIR | FPS-386B | FABCO-AIR FPS-386B Mini Pancake Pneumatic Cylinder |
574 | Fabco-Air | G-721-X | Fabco-Air G-721-X Air Cylinder 4″ Stroke, 208901, 4730-0030 |
575 | Fabco-Air | GTND-100-050 | Fabco-Air GTND-100-050 Air Cylinder, RS1302 |
576 | Fabco-Air | GTND-100-050-V | Fabco-Air GTND-100-050-V Air Cylinder w/ Probe, RS1301 |
577 | Fabco-Air | GTND-100-050-V | Fabco-Air GTND-100-050-V Air Cylinder, RS1300 |
578 | FABCO-AIR INC. | FPS-1199 | FABCO-AIR FPS-1199 |
579 | Fafnir | 204PP | Fafnir 204PP Sealed bearing |
580 | Fafnir | RCJ 1 PT | Fafnir RCJ 1 PT Flange Mount Ball Bearing Unit, Survivor Housed |
581 | Fairchild | 80D | Fairchild Model 80D Multi Stage Pressure Regulator 80431, 150 PSIG |
582 | Fairchild | 80D | Fairchild Model 80D Multi Stage Pressure Regulator Z16847, 150 PSIG |
583 | FAITH ENTERPRISES | PBC-012 | 2 FAITH ENTERPRISES PBC-012 PUSHER BLOCK, 25 SLOT, 6″ FF VESPEL MATERIAL |
584 | FAITH ENTERPRISES | PBC-212 | 2 FAITH ENTERPRISES PBC-212 PUSHER BLOCK, 50 SLOT, 150 mm |
585 | FAITH ENTERPRISES | WTC-01 | FAITH ENTERPRISES WAFER TRANSFER SPECIALISTS P/N WTC-01 REV C |
586 | Faith Enterprises | WTC-01 | FAITH ENTERPRISES, WTC-01 SYSTEM CONTROL BOARD, PCB |
587 | Fanwall | CMS-32H | Fanwall CMS-32H R Filtering Fan Controller, HG410010-L |
588 | Fanwall | CMS-32H | Fanwall HG410025-PM-R R Filtering Fan Controller, CMS-32HI |
589 | Farnell Instruments | NS240024 | Farnell Instruments NS240024 24VDC 240 WattS DC Power Supply |
590 | FAS Corp. | FAS-ULM600LSD | FAS ULM600LSD Mortise Mount Indoor Magnetic Lock |
591 | FASCO | U31EB2 | FASCO INDUSTIRES U31EB2 MOTOR DIVISIONS 7131-0062PM, LR5304 |
592 | FASCO | U31EB2 | FASCO INDUSTIRES U31EB2 MOTOR DIVISIONS 7131-0062PM, LR5304, TEA0 TEAO, 30017-1, PUMP TITANIUM 9145, 233233238 |
593 | FASTCOM | 422 | FASTCOMS-422/RS-485 ADAPTER, SCC1000, 911-041-P1, 911-045-G1, KIT-RS485 |
594 | Faulhaber | 5020-01-16003893 | (Set of Two)Faulhaber 5020-01-16003893 Rev.B, 22B 21:1, R1Etonet166 R1 94V-0 R2. |
595 | Faulhaber | 22B | Faulhaber 22B, Servo Motor, 21:1 |
596 | FAULHABER | SA 2444 | FAULHABER Minimotor SA 2444 S 048 B K750 000021 090. |
597 | Faulhaber | 29477 | FAULHABER MINIMOTOR SA, 029477, HEDS-5500 A14, STEPPER MOTOR |
598 | Faulhaber | SA 2444 S 048 B K750 000099 110 | MINIMOTOR SA 2444 S 048 B K750 000099 110 |
599 | FCC | EJMNPDBACH4 | FCC EJMNPDBACH4, 00A0C9E4DC8F, 697680-001, 668061-005, 94V-0, 2898-3058 |
600 | FCI | 51762-10602000CBLF | 1 Lot of 58 FCI 51762-10602000CBLF PWRBLADE R/A LF REC |
601 | Federal | PMP-31101 w/ PMP-31128 | Federal PMP-31101 w/PMP-31128 |
602 | Federal Mogul Westwind | 1073-69 | air bearing Spindle Federal mogul Westwind. 1073-69 |
603 | Federal Mogul Westwind | PMP-31017 | Federal PMP-31017 |
604 | Federal Mogul Westwind | PMP-31128 | Federal PMP-31128 |
605 | Feed Through | Unknown | 2 Electrical vacuum feed through |
606 | Feed Through | SPU-MEC-100-A | 2 SPU-MEC-100-A Elect Feed Through w/ Mini-ICF, A16-26900 |
607 | Feed Through | 17033030 | Insulator, Fil, Feed-Thru, 17033030 |
608 | Feedthrough | 59-5467 | 59-5467, 3-8481-00237, VMT-5770, R-Motion Feedthrough 833-57770 VMT-3844 |
609 | FEI | XL30 | FEI Philips XL-30 Field Emission ESEM |
610 | Ferraz Shawmut | USCC21 | Fuse Block Assembly, Ferraz Shawmut USCC21 & USM1I, ABB K13A, WAGO 281 |
611 | FerroTec | 024-012428-1 | FerroTec 024-012428-1, Seal, Magnetic Fluid, VF Boat Rotary |
612 | FerroTec | NID-15748 | FerroTec NID-15748 Ferrofluid Seal |
613 | Festo | GF-1/4 | 2 FESTO GF-1/4 ROTARY DISTRIBUTOR 390R, E313 |
614 | Festo | CPV10-DNET8-AMA-SA | FEETA 273515 Vacuum Control |
615 | FESTO | 273516 | Festo 273516 AMAT1095 Pneumatic Manifold Slit Valve-AMA Series 9708-3, WS 228528/2 Working Spare |
616 | Festo | 30935 | Festo 30935 Socket Connector, KMF-1-24-2.5-LED |
617 | Festo | ADVU-16-10-P-A | Festo ADVU-16-10-P-A Compact Cylinder, 156508, RS1293 |
618 | Festo | CPV10-VI-P8-M7-AMA-SA | Festo Electronic CPV10-VI-P8-M7-AMA-SA Gas Panel 273514, 183643 J402 |
619 | Festo | FPC-202 | Festo FPC-202 PLC Programmable Logic Controller Module Unit |
620 | Festo | HE-…-D-MIDI | Festo HE-…-D-MIDI x843 Valve Assy, HE-3/4-D-MIDI-N |
621 | Festo | K-362253 | FESTO K-362253 L-363353 V0996 |
622 | Festo | L-363163 | FESTO L-363163 V0397 K-363163 |
623 | Festo | LR-1/8-D-T-Mini | Festo LR-1/8-D-7- Mini Pressure Regulator and MA-40-10-1/8 |
624 | Festo | MN1H-2-1/4-MS | Festo MN1H-2-1/4-MS, pneumatic solenoid poppet valve |
625 | Festo | CPV14-GE-MP-8 | Festo Terminal Valve CPV14-GE-MP-8, CPV-14-VI-P8 J202, 161361 H302 |
626 | Festo | MFH-5-1/8 | Festo Type 9982, MFH-5-1/8, Solenoid Valve |
627 | Festo | SA-23241 | FESTO Vacuum Control SA-23241, Vacuum Control Manifold CPV10-V1 CPV-V1-P8 161415 |
628 | FESTO | DNU-40-100-PPV-A | LOT OF 2 Cylinder DNU-40-100-PPV-A CYLINDER DOUBLE ACTING |
629 | FiberGuide | 0190-35245 | FiberGuide Industries 8807-1 Fibre Optic Cable 0190-35245 |
630 | Fiberguide | CB12498 | FIBERGUIDE INDUSTRIES CB12498 CABLE |
631 | Fiber-Lite | Seires 180 | Fiber-Lite Dolan-Jenner Industries Series 180, High Intensity Illuminator |
632 | Filer | Ultrex Membralox Filter, 3000 PSI | |
633 | Filmetrics | F76-LS-V2 | Light Source F76 |
634 | FIL-TECH | G-75-K | FIL-TECH G-75-K ION GAUGE GLASS TUBULATED GAUGE |
635 | Fil-tech West | 06M | FIL-Tech West 06M Thermocouple Vacuum Gauge |
636 | Filter | 618-441 | Metal Air Cylinder, Filter FT 618-441 |
637 | Filter | V4011 Oil Filter | |
638 | FILTERITE DIVISION | T90011475300 | FILTERITE DIVISION T90011475300 USF FILTAATION & SEPARSTIONS FILTER |
639 | FILTERITE DIVISION | T90011475300 | FILTERITE DIVISION T90011475300 USF FILTAATION&SEPARSTIONS FILTER FLTR100-10M3F 0.1 |
640 | FILTERITE DIVISION | FLTR100-10M3F | FILTERITE DIVISION USF FILTER T90011475300 FLTR100-10M3F 0.1U |
641 | Finder | 55.34 | Finder Type 55.34, Relay, 4 PDT, 5A, 250V |
642 | Finder | 55.34 | Finder Type 55.34, Relay, 4PDT, 5A, 250V |
643 | Finder | 10KA01 | Finder Type 87.61 Circuit Breaker, 10KA01, |
644 | Fine Series | FP-71-6.35 | Fine Series, FP-71-6.35, Valve, Air Actuated |
645 | Finisar | FCM-8519-1-T4 | 2 Finisar FCM-8519-1-T4 Mod. Def. 4 Active Copper GBIC |
646 | Finnigam | MAT GCQ | Finnigam MAT GCQ Mass Spectrometer Gas Chromatograph |
647 | FireAngel | FA-1000 | FireAngel FA-1000 Ozone Water Purifier |
648 | firewire | D1394PER2M6C6C | Firewire Cable D1394PER2M6C6C 2M Perf 1394 |
649 | FIS | OV-VFL | FIS OV-VFL Visible Laser Fault Locator, OF1000SFC |
650 | Fischer Scientific | 280 | 280 Vacuum Oven, 120V, 500W, 1 PH, 4.2A, 50/60Hz, Temp 35-200, 13261280 |
651 | Fisher Pierce | SFT168 | Fisher Pierce SFT168 NITELIGHTER Outdoor Lighting Controls, Photoelectric |
652 | Fisher Scientific | 11-300-49SHP | Fisher Scientific 11-300-49SHP Isotemp Digital Plate Stirrer, RS1307 |
653 | Fisher Scientific | 11-661-7B | Fisher Scientific 11-661-7B Traceable Hygrometer Thermometer Dew Point |
654 | Fisher Scientific | Centrific Model 228 | Fisher Scientific Centrific Model 228 Centrifuge, 04-978-228, |
655 | Fit-Line | FCAP12 | 2 Fit-Line FCAP12 PFA HP Flare Cap, 3/4″TF |
656 | Fit-Line | FP6 | 2 Fit-Line FP6 FlareLINK Connector, PFA HP |
657 | Fit-Line | FC12-12N-1 | Fit-Line FC12-12N-1 PFA HP Female Connnector 3/4″ X 3/4″ W/ PVDF Nut, RS1347 |
658 | Fit-Line | FC8-12N-1 | Fit-Line FC8-12N-1 HP Union Connector |
659 | Fit-Line | FCAP12 | Fit-Line FCAP12 PFA HP Flare Cap, 3/4″TF, RS1349 |
660 | Fit-Line | FE12-8N-1 | Fit-Line FE12-8N-1 PFA HP Union Elbow |
661 | Fit-Line | FP4 | Fit-Line FP4 FlareLINK Connector, PFA HP |
662 | Fit-Line | MC8-12N-1 | Fit-Line MC8-12N-1 FlareLINK PFA HP Connector |
663 | Fit-Line | ME8-8 | Fit-Line ME8-8 PVDF Union Elbow |
664 | Fit-Line | PF8 | Fit-Line PF8 PFA HP Pipe Nipple 1/2″, RS1351 |
665 | Fit-Line | TFU8N-1 | Fit-Line TFU8N-1 TightFLARE UNION 1/2″ W/ PVDF Nut |
666 | Fit-Line | UE44TN-1 | Fit-Line UE44TN-1 PFA HP Union Elbow (TightFLARE) 1/4″ x 1/4″T W/ PVDF Nut, RS1331 |
667 | Fit-Line | UE4TN-1 | Fit-Line UE4TN-1 PFA HP Union Elbow (TightFLARE) 1/4″T W/ PVDF Nut, RS1327 |
668 | Fit-Line | UE88TN-1 | Fit-Line UE88TN-1 Union (TightFLARE) 1/2″ X 1/2″T W/ PVDF Nut, RS1328 |
669 | Fit-Line | UT12-12T-12TN-1 | Fit-Line UT12-12T-12TN-1 Union Tee (TightFLARE) BRANCH RUN 3/4″ x 3/4″T x 3/4″T W/ PVDF Nut, RS1337 |
670 | Fit-Line | UT12-8-12TN-1 | Fit-Line UT12-8-12TN-1 PFA HP Union Tee |
671 | Fit-Line | UT4-4-4TN-1 | Fit-Line UT4-4-4TN-1 PFA HP Union Tee |
672 | Fit-Line | UT8T-12-8TN-1 | Fit-Line UT8T-12-8TN-1 PFA HP Union Tee |
673 | Fitting | GAFS-812 | 3 GAFS-812, Connfemale 1/2 x 3/4 |
674 | Fixture | POL066-0772CM | CONDITIONER MOUNT HIGH DOWNFORVE POL066-0772CM |
675 | Flange | Reducer | 2 Bellows Clamp Assy w/ ISO63 to KF40 Reducer |
676 | Flange | KF40 | 20″ Vacuum Foreline, KF40, Flange, Valve |
677 | Flange | 40301-048499 | 40301-048499 Plate Support Base |
678 | Flange | ISO-100 | 7″ Vacuum Foreline, ISO 100, Flange, Valve 101583 |
679 | Flange | 911104-S4 | 911104-S4 Rotary Joint, Flange |
680 | Flange | Blank Off Pumping Flange Bellows, Cover, Pumping Port, Gate Valve, Turbo | |
681 | Flange | Blank Off Pumping Flange Cover Pumping Port, Gate Valve, Turbo, High VAC | |
682 | Flange | 0270-00013-00 | Clamp, NW25, Quick w/ Ratchet, 0270-00013-00 |
683 | Flange | Copper Flange Fitting to VCR 1/4 Fitting | |
684 | Flange | ISO -KF40 | ISO to KF-40 Elbow & KF16 Vacuum Port, Elbow, Reducer Flange, Foreline, |
685 | Flange | ISO -KF40 | ISO to KF-40 Elbow, Reducer Vacuum, Vacuum Flange, Vacuum Foreline, |
686 | Flange | KF40 Vacuum Tee Flange, Fitting | |
687 | Flange | MB-304 | MB-304 Reducer Fitting, SP114, 1 1/4 x 3/4 |
688 | Flange | Semiconductor Assembly, Vacuum, Flange, Chamber | |
689 | FLATPAC | VI-LU3-EV-01 | FLATPAC VI-LU3-EV-01 AC/DC SWITCHER 100-200/200-240 V |
690 | FLEXTRONICS | NPO 4001-783A-01 | FLEXTRONICS NPO83A-01 |
691 | FloCat | GFM37S-TCDL2 | FloCat GFM37S-TCDL2 HCl 0-50, L/min max 500psi/3400 KPa |
692 | FloDynamix | L7504-012 | FloDynamix L7504-012 Gumlast FFKM High Temp O-Ring, Brown, AS568A-012 |
693 | FloDynamix | L7504-118 | FloDynamix L7504-118 Gumlast FFKM High Temp O-Ring, Brown, AS568A-118 |
694 | Flojet | 4300-501 | Flojet 4300-501 Circulating Water Pump, On Demand, RS1527 |
695 | Floline | SE-20803-B0818 | Floline SEF Series SE-20803-B0818 SEF-1N 2115203 |
696 | Floline | L010- 1303 | Flowline L010- 1303 Optic Sensor, Level, Optical NEW |
697 | Flo-Tech | 60060-IL | 2 Flo-Tech 60060-IL, FSP-750, Flow Valve |
698 | FLOWLINE | LU10-1303 | FLOWLINE LU10-1303 ULTRASONIC LEVEL SWITCH |
699 | Flowmax | 4710PS-10T-B30 | FlowMax Fan Axial 4710PS-10T-B30 |
700 | Fluke | 1722A-3008 | Fluke 1722A-3008 Memory Assembly, 256k, 718684 |
701 | Fluke | 1910A | Fluke 1910A/1911A Multi-Counter |
702 | Fluke | 2240C | Fluke 2240C, Datalogger with 7 Fluke 2200A-08 I/O Modules |
703 | Fluke | 8024A | Fluke 8024A Multimeter |
704 | Fluke | 8050A | Fluke 8050A Digital Multimeter |
705 | FLUOREX | CWFG00403 | LOT OF 3 FLUOREX CWFG00403 MYKROLIS 0.2UM FILTER |
706 | Fluorocarbon | DIB-UHMW-88P-C | 2 New Fluorocarbon DIB-UHMW-88P-C High Purity N.C. Pneumatic Valve, Type REC |
707 | Fluorocarbon | AS568-116 | 2 NEW Fluorosilicone 2-116, AS568-116, Blue, 70, 22-373610-00 |
708 | Fluorocarbon | AS568-177 | 2 NEW Fluorosilicone 2-177, AS568-177, Blue, 70, 22-373618-00 |
709 | Fluorocarbon | AT2-188 | Fluorocarbon Alpha AT2-188 2 and 3-Way Solenoid Valve 40200 |
710 | Fluorocarbon | ATT2-188 | Fluorocarbon Alpha Solenoid Valve ATT2-188, 40 Air PSI, 30 Water PSI |
711 | Fluorocarbon | PVC-88NC-EP | Fluorocarbon PVC-88NC-EP Air Operated Valve |
712 | Fluorosilicone | 2-012 | 2 NEW Fluorosilicone 2-012, AS568-012, Blue, 70, 22-373617-00 |
713 | Fluorosilicone | 2-030 | 2 NEW Fluorosilicone 2-030, AS568-030, Blue, 70, 22-373614-00 |
714 | Fluorosilicone | AS568-330 | 2 NEW Fluorosilicone 2-330 Oring, AS568-330, Blue, 70, 22-373613-00 |
715 | Fluorosilicone | 2-336 | 2 NEW Fluorosilicone 2-336, AS568-336, Blue, 70, 22-373625-00 |
716 | Fluorosilicone | 22-373622-00 | 3 NEW Fluorosilicone 22.50 x 1.29 Oring, Blue, 70, 22-373622-00 |
717 | Fluorosilicone | 22-373624-00 | 6 NEW Fluorosilicone 19.33 x 1.39 Oring, Blue, 70, 22-373624-00 |
718 | Fluorosilicone | 22-373607-00 | 6 NEW Fluorosilicone 2-011 Oring, AS568-011, Blue, 70, 22-373607-00 |
719 | Fluorosilicone | 2-010 | NEW Fluorosilicone 2-010 Oring, AS568-010, Blue, 70, 22-373616-00 |
720 | Fluorosilicone | 5-051 | NEW Fluorosilicone 5-051 Oring, LM159 Blue, 70, 22-373615-00 |
721 | FLUOROWARE | C4-2FN-1 | 3 FLUOROWARE C4-2FN-1 CONNECTORS AND CAPS |
722 | FLUOROWARE | 202-10 | Fluoroware 202-10 Valve |
723 | FLUOROWARE | 202-11 | Fluoroware 202-11 Valve |
724 | FLUOROWARE | 202-11 | Fluoroware 202-11 Valve Assembly |
725 | FLUOROWARE | 202-81 | Fluoroware 202-81 Galtek Valve |
726 | FLUOROWARE | 202-81-01 | Fluoroware 202-81-01 Pneumatically Operated Diaphragm 3-Way Valve |
727 | FLUOROWARE | 202-87-01 | Fluoroware 202-87-01 Pneumatic Diaphragm Valve |
728 | FLUOROWARE | 206-0412-1F | FLUOROWARE 206-0412-1F Tube Fitting Connector |
729 | Fluoroware | 206-0442-4SN | Fluoroware 206-0442-4SN Connection Valve |
730 | Fluoroware | 300144-004 | Fluoroware 300144-004 COUPLING,PFA 1/2FNPT X 1/2FNPT |
731 | Fluoroware | BT8-4FN-1 | Fluoroware BT8-4FN-1 Tee 1/2 in x 3/8 ft NPT FSI |
732 | FLUOROWARE | C6-4N | FLUOROWARE C6-4N Tube Fitting Connector |
733 | FLUOROWARE | E6-4N | Fluoroware E6-4N Integral Ferrule Elbow , 3/8″ Tube x 1/4″ mnpt, PFA |
734 | FLUOROWARE | E6-6FN-1 | FLUOROWARE E6-6FN-1 Tube Fitting Connector |
735 | Fluoroware | 201-36 | Fluoroware Entegris 201-36 2-Way Manual Operated Diaphragm Valve |
736 | Fluoroware | GN4-1 | Fluoroware GN4-1 Galtek Nut Assy |
737 | FLUOROWARE | 202-84 | Fluoroware Integra 202-84 Pneumatically Operated Diaphragm Valve |
738 | FLUOROWARE | NVW4-W4-3 | FLUOROWARE NVW4-W4-3 Tube Fitting Connector |
739 | FLUOROWARE | SU8FN-1 | FLUOROWARE SU8FN-1 Tube Fitting Connector |
740 | Fluoroware | UT16-12-16FN-1 | Fluoroware UT16-12-16FN-1, TEE 1 x 3/4 x 1, JAAIID |
741 | Fluoroware | IGP-04-000-1 | IGP-04-000-1 Gauge Protector, UV1-10 bar, Fluoroware |
742 | FLUOROWARE | 202-127-01 | Fluoroware 202-127-01 Pneumatic Diaphragm Valve, ENTEGRIS |
743 | FLUOROWARE, INC. | 151-140-01 | FLUOROWARE 151-140-01 ASSY, DHT KEY CODE RING |
744 | FLUOROWARE, INC. | 151-140-13 | FLUOROWARE 151-140-13 ASSY, DHT KEY CODE RING, 117097 |
745 | Fluoroware, Inc. | DHT-00-75-000 | Fluoroware DHT-00-75-000 Dispense Head, Kit |
746 | FMI | FMI 0800-0211A Wheel, Diamond, #3 | |
747 | FNW | FNW340EF | FNW FNW340EF 3/4″ PVC True Union Ball Valve |
748 | Force | CPU-2CE | FORCE SPARC CPU-2CE/16 600-12357-102 40MHZ VME CPU BOARD |
749 | FORCE COMPUTERS | SYS68K/SASI-1 | FORCE COMPUTERS SYS68K/SASI-1, 300000, VME CARD, PCB, LAM RAINBOW, CPU BOARD |
750 | FORCE SPARC | CPU-5V | FORCE SPARC CPU-5V VME CPU PCB BOARD, FORCE SPARC SBUS PCB BOARD |
751 | FORCE SPARC | CPU-5V | FORCE SPARC CPU-5V VME CPU PCB BOARD, FORCE SPARC SBUS PCB BOARD, NATIONAL INSTRUMENTS SB-GPIB/TNT 182390C-01, AURORA TECHNOLOGIES |
752 | FORESIGHT | CT1310-496964 | 2 NEW FORESIGHT PROCESSING CT1310-496964-14 PIPE JOINT |
753 | Foresight processing | 516878 | 8 channel relay logic PCB E1001 |
754 | Foresight Processing | 1D10-200733-11 | Foresight 1D10-200733-11 Shield Ring (DRM2) |
755 | FORESIGHT PROCESSING | DS2187-095922-13 | FORESIGHT PROCESSING DS2187-095922-13 ASSY, TUBE, 2187-095922-13 |
756 | Fortrend | 155-022067-001 | Fortrend SCARA 155-022067-001 Robot Arm w/ ControllerC 50/60Hz |
757 | Fortrend Engineering | F-8025 | Fortrend Engineering F-8025 Automatic Wafer Transfer |
758 | Fortrend Engineering | F-8025 | Fortrend Engineering F-8025 Automatic Wafer Transfer, 233131171, CTC08 |
759 | FORTREND ENGINEERING CO. | 116-5007-5 | FORTREND ENGINEERING 116-5007-5 A-E CONTROLLER PCB BOARD FAB 030-0010 |
760 | Foss | 02-01038-003 | Foss Industries 02-01038-003-x1 Spin Motor Control Board |
761 | Foss Industries, Inc. | 02-01456-X1 | Foss 02-01456-X1 Spin Motor |
762 | FOSS INDUSTRIES, INC. | 02-01038-X1 | Foss industries 02-01038-x1 spin board |
763 | FOUP | MW300-F-C | 2 MW300-F-C and 2 MW300G-C, 300mm silicon storage case carrier |
764 | Four Dimension 4D | 280 | Four Dimension 4D Model 280 Automatic Four Point Probe Meter, Wafer |
765 | FOXBORO | 873RS-AIPFGZ | FOXBORO 873RS-AIPFGZ Resistivity Analyzer Sensor and Display |
766 | Fram | TG3600 | Fram Tough Guard TG3600 Oil Filter |
767 | Francotyp Postalia | 20010307 | Francotyp Postalia 20010307 Head Control PCB |
768 | Franklin Electric | 1303222103 | Franklin 1303222103 Motor w/ Burks 33CT6MV-AB-FE |
769 | Franklin Electric | 1S2GX0003 | Franklin Electric 1S2GX0003 Pump, Seal-Less Verticle Centrifugal |
770 | FSC | 4321 | FSC 4321 FIRE BUS S/N 127256 M/N SS4-A |
771 | FSI | 300919-157 | 2 FSI 300919-157 Oring, -157 SIL/TFE |
772 | FSI | 902516-001 | 6 FSI 902516-002 Ribbon Cable, OC Diff. |
773 | FSI | 902516-001 | 7 FSI 902516-001 Ribbon Cable, OC Diff. |
774 | FSI | 902518-001 | 902518-001 Ribbon Cable, OC DIFF |
775 | FSI | 02-01039 | FSI 02-01039 PCB,MOTOR,STEPPER,SSI 02-01039 |
776 | FSI | 02-07393 | FSI 02-07393 Rev.E, PCB Assembly Cool Plate A/D. |
777 | FSI | 05-00782 | FSI 05-00782 PCB,PNEUMATIC SSI 05-00782 |
778 | FSI | 05-00783 | FSI 05-00783 PCB,PNEUMATIC 3 & 4,SSI 05-00783 |
779 | FSI | 113571-002 | FSI 113571-002 PCB, SRM Card |
780 | FSI | 1200 | FSI 1200 Process Controller,-001 |
781 | FSI | 209104-200 | FSI 209104-200 PCB Board Interface 419248 |
782 | FSI | 2900014-400 | FSI 290014-400 PC BOARD, 290014-200 REV A |
783 | FSI | 290020-400 | FSI 290020-400 PCB looks new |
784 | FSI | 290062-400 | FSI 290062-400, PCB, DRAIN VALVE BOARD, REV A |
785 | FSI | 290062-400 | FSI 290062-400, PCB, DRAIN VALVE BOARD, REV C |
786 | FSI | 290063-400 | FSI 290063-400 REV A, SYSTEM INTERFACE PCB, 290063-200 |
787 | FSI | 290073-400 | FSI 290073-400 BOARD PC/ASM |
788 | FSI | 290113-200 | FSI 290113-200 OC Diff PCB |
789 | FSI | 290122-400 | FSI 290122-400 PCB power system |
790 | FSI | 293011-200 | FSI 293011-200 Control-PC Heater AC, 94V-09736 |
791 | FSI | 300919-157 | FSI 300919-157 Oring |
792 | FSI | 300919-160 | FSI 300919-160 Oring |
793 | FSI | 300982-002 | FSI 300982-002 Proximity Switch, Reed, 200VAC, 0.25A |
794 | FSI | 318838-001 | FSI 318838-001 Humidity Sensor, 157-020-002, J10-7101-300 |
795 | FSI | 404126-001 | FSI 404126-001 Control Panel |
796 | FSI | 902515-002 | FSI 902515-002 Ribbon Cable, OC DIFF |
797 | FSI | 905838-001 | FSI 905838-001 IR LAMP ASSEMBLY |
798 | FSI | 906961-001 | FSI 906961-001 Cable |
799 | FSI | 921219-002 | FSI 921219-002 IR LAMP ASSEMBLY |
800 | FSI | A/N293011-400 C | FSI A/N293011-400 Control-PC Heater AC REV C, B/N293011-200 REV AX2 |
801 | FSI | A/N293011-400 | FSI Control-PC Heater AC Assy A/N293011-400 C |
802 | FSI | 290121-200 | FSI ITC SYSTEM/LOGIC 290121-200 CHEMFILL INTERFACE BOARD |
803 | FSI | FSI, Megasonic Cleaning System Panel Cover | |
804 | FSI | 401691-003 | FSI-003 HSG,BEARING |
805 | FSI | 400-A/N290234 | FSIN290234 REV B INTERFACE MODULE B/N290234-200 REV B, 0110-0370,906959-001 |
806 | FSI | 02-01039 | GRAYHILL 70RCK16-HL PCB |
807 | FSI / NORTECH | 905335-001 | FSI NORTECH 905335-001 SENSOR PUMP LEAK SENSOR REV C |
808 | FTG | 330-02577 | FTG, TBG Adapter NW40 X 1/4MVCR 1.4″LG SST2577 |
809 | FTS Kinetics | RCD151ZLAM | FTS Kinetics RCD151ZLAM Chiller, Thermal System Refrigeration, LAM |
810 | FUJI | ADBEN3600 | Fuji Main Terminal PCB1 – ADBEN3600 – ADBEN-3600 |
811 | Fuji Electric | Unknown | Chart Recorder Fuji recorder, LFE controllers |
812 | Fuji Electric | EA52F | Fuji EA52F, 2P 50A, UaAC, 460v, Auto-Breaker |
813 | Fuji Electric | EEPN2810 | FUJI EEPN2810 PCB, POWER BOARD, POWER SUPPLY, PLM, F95-6911 |
814 | Fuji Electric | AHX511-H | FUJI Electric AHX511-H Command Switch |
815 | Fuji Electric | EG32AC | Fuji Electric FA E.L. Circuit Breaker EG32AC Mitsubishi CP30-BA |
816 | Fuji Electric | FH1017A3 | Fuji Electric FH1017A3 VME Circuit Board Card Slot |
817 | Fuji Electric | FRN005E1S-2NW | Fuji Electric FRN005E1S-2NW Frenic-Multi Inverter, 3PH, 200-240V, 60Hz |
818 | Fuji Electric | FRN005E1S-4U | Fuji Electric FRN005E1S-4U Variable Frequency Inverter |
819 | Fuji Electric | MC1002B | FUJI ELECTRIC M C S MC1002B Cpu Board |
820 | Fuji Electric | M-UPS050 | Fuji Electric M-UPS050 J22L 200V input Uninterruptible Power Supply UPS – |
821 | Fuji Electric | PYZ4HBY1-0Y | Fuji Electric PYZ4HBY1-0Y Temperature Controller w/ TP28X-UL Base Unit |
822 | Fuji Electric | PYZ9 | FUJI ELECTRIC PYZ9 Temperature Controller, |
823 | FUJI ELECTRIC | SC-0 | FUJI ELECTRIC SC-0, Control Relay, IEC.VDE, 4NC0F0 |
824 | Fuji Electric | SC-4-1 | Fuji Electric SC-4-1 Control Contractor |
825 | Fuji Electric | SC-5N/UL | Fuji Electric SC-5N/UL USPP Magnetic Contactor, AC24~25V, DC24V, 50/60Hz |
826 | Fuji Electric | SC-0 | Fuji Electric Type SC-0 Contactor, 4NC0F0 |
827 | Fuji Electric | EGA52A | Fuji Electronic EGA52A Breaker, Citrcuit |
828 | Fuji Electric | AVR-50 | Fuji IMVAC AVR-50 Throttle Valve, used clean |
829 | Fuji Electric | AVR-50 | Fuji IMVAC AVR-50n Throttle Valve, H1543 |
830 | Fuji Electric | PYZ4HBY1-0Y | FUJI PYZ4HBY1-0Y Temperature Controller w/ TP28X-UL Base Unit |
831 | FUJI ELECTRICS | BU-FSB3100 | Fuji Electric BU-FSB3100 Circuit Breaker 3 Pole 100A |
832 | Fuji Seiki | 1200112 | Fuji Seiki 1200112 Pneumatic Vacuum Valve |
833 | Fuji Seiki | 1102201 | Fuji Seiki inc 000071 1102201, Valve, Fitting, Bellow. |
834 | Fuji Seiki | MBA-2T | Fuji Seiki MBA-2T, Valve, Bellows |
835 | FUJI SEIKI INC. | 1100203 | FUJI SEIKI 1100203 , 000554 Vacuum Valve Assy, Vacuum Pipe |
836 | Fujikin | KL60M002 | 2 FUJIKIN 316L-P SURFACE MOUNT GAS DIAPHRAGM KL60M002, |
837 | Fujikin | UJR-316 | 3 Fujikin UJR-316 VCR Connector |
838 | Fujikin | AQA8G000 | AMAT 3870-05315 Valve, monoblock, 3 – port, fujikin AQA8G000 Manifold |
839 | Fujikin | 76911 | Fujikin 076911 Shotoff Valve |
840 | Fujikin | 21338 | Fujikin 21338 Diaphragm Soft-Seal 316L Valve |
841 | Fujikin | 22-00612-03 | Fujikin 22-00612-03 Valve, VCR |
842 | Fujikin | AGBNR000 | Fujikin 316 Isolation Valve, KF-16, Flange, AGBNR000, 041358 |
843 | Fujikin | 316 | FUJIKIN 316 SHUT OFF VALVE, ON, OFF FUBFL-71-635 |
844 | Fujikin | AQ8MB000 | Fujikin 316L Diaphragm Valve AQ8MB000 429504 1/4″ VCR, KR5HY000 100499, |
845 | Fujikin | AT0UM000 | Fujikin 316L Diaphragm Valve AT0UM000 429504, 1/4″ VCR, KU6PK000 100499, |
846 | Fujikin | APWYM000 | Fujikin 316L Diaphragm Valve, APWYM000, 454751, 1/4″ VCR, |
847 | Fujikin | FP-91-9.52 | Fujikin 316L FP-91-9.52, L.AG223000, Valve, Type N.O |
848 | FUJIKIN | FP-91-9.52 | Fujikin 316L FP-91-9.52, L.AGBXD000, Valve, 3/8OD, DD0093696UFV093 |
849 | Fujikin | 316L | Fujikin 316L O.P. 4~6 KGF/CM6 |
850 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L# AG7X6000, C# 023718, 1/4″ VCR, |
851 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L# AGB3V000, C# 023718, 1/4″ VCR, |
852 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L# AGBDR000, C# 023718, 1/4″ VCR, |
853 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L# DCTG00, C# 023718, 1/4″ VCR, |
854 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4″ VCR, |
855 | Fujikin | 316L | Fujikin 316L Pneumatic Solenoid Valve, L.No. AGB3V000, C.No.023718, |
856 | Fujikin | FP-71-9.52 | Fujikin 316L Type N.C. FP-71-9.52 Valve |
857 | Fujikin | 316L | Fujikin 316L, CSN 003, CAB2-4-10, Bellow’s Valve |
858 | Fujikin | 316L-P | Fujikin 316L-P 3-Way Valve, AF4JW000, 043926 |
859 | Fujikin | 316L-P | Fujikin 316L-P 3-Way Valve, AF6JT000, 043925 |
860 | Fujikin | 316L-P | Fujikin 316L-P Diaphragm Valve, AH0HU000, 051386 |
861 | Fujikin | 316L-P | Fujikin 316L-P Diaphragm Valve, AJ1KE000, 051386 |
862 | Fujikin | 316L-P | Fujikin 316L-P Diaphragm Valve, AJ1KE000, 051786 |
863 | Fujikin | APWYM000 | Fujikin 316L-P Diaphragm Valve, APWYM000, 454751, 1/4″ VCR, |
864 | Fujikin | AQ2GF000 | Fujikin 316L-P Diaphragm Valve, AQ2GF000, Swagelok 6LVV-P452081 |
865 | Fujikin | AQ8MB000 | Fujikin 316L-P Diaphragm Valve, AQ8MB000, 429504, 1/4″ VCR, |
866 | Fujikin | 316L-P | Fujikin 316L-P Diaphragm Valve, B2NV00 |
867 | Fujikin | 316L-P | Fujikin 316L-P Pneumatic Valve |
868 | Fujikin | 316L-P | Fujikin 316L-P, Isolation Valve, O.P 3.5~5kgf/cm²G(0.34~0.49MPa), N.C |
869 | Fujikin | A9KFN000 | Fujikin A9KFN000 Surface Mount Type N.C. Diaphragm Valve, 521196 |
870 | Fujikin | A9LPB000 | Fujikin A9LPB000 Surface Mount Type N.C. Diaphragm Valve, 521195 |
871 | FUJIKIN | AE5KD000 | FUJIKIN AE5KD000 Diaphragm 3 Way Valve, 047355 |
872 | Fujikin | AGBNR000 | Fujikin AGBNR000, 041358 Isolation Valve KF-16 Flange Fitting |
873 | Fujikin | A-J3SZ-000 | Fujikin A-J3SZ-000, UJR-FP-F6 35 X6.35 Fitting VCR to Swagelok Fitting |
874 | Fujikin | AK02KMU00 | Fujikin AK02KMU00 Surface Mount Type N.C. Diaphragm Valve, 521195 |
875 | Fujikin | ARHU8000 | Fujikin ARHU8000 Type N.C. Isolation Valve, 467352, O.P. |
876 | Fujikin | ASK7T000 | Fujikin ASK7T000, Isolation Valve |
877 | Fujikin | ASK7U000 | Fujikin ASK7U000 Type N.C. Isolation Valve, O.P. |
878 | Fujikin | D7UA00 | Fujikin D7UA00 Diaphram Valve, Isolation, 038819 |
879 | Fujikin | FBSDV-6.35-2B3-316LP-ASA | Fujikin FBSDV-6.35-2B3-316LP-ASA 3-Way Diaphragm Valve, AMAT 3870-01913 |
880 | Fujikin | FCST1030MZFC-4J2-F5L-N2-U037-EP | Fujikin FCST1030MZFC-4J2-F5L-N2-U037-EP Mass Flow Controller, MFC, N2, 5 SLM, T1000< |
881 | Fujikin | FCST1050ZSC-4J2-F50L-N2-U-V-EP | Fujikin FCST1050ZSC-4J2-F50L-N2-U-V-EP MFC Assy, N2, 50 SLM, LAM, 316L |
882 | Fujikin | FCST1050ZSC-4J2-F50L-N2-U-V-EP | Fujikin FCST1050ZSC-4J2-F50L-N2-U-V-EP MFC, Assy, N2, 50 SLM, LAM |
883 | Fujikin | FCST1200SC-6J3-F100L-N2-U-V-EP | Fujikin FCST1200SC-6J3-F100L-N2-U-V-EP MFC Assy, N2M, LAM, 316L |
884 | Fujikin | FCST1500FC-8J3-F250L-N2-U | Fujikin FCST1500FC-8J3-F250L-N2-U Mass Flow Controller, MFC, N2, 250 SLM |
885 | Fujikin | FP-91-9.52 | Fujikin FP-91-9.52, L.AGBXD000, Valve, Type N.O. |
886 | Fujikin | FPR-71-6.35-2 | Fujikin FPR-71-6.35-2 Solenoid Valve |
887 | Fujikin | FPR-UDDFBD-21 | Fujikin FPR-UDDFBD-21-6.35-PI-APY Diaphragm Valve 2P Surface Mount |
888 | Fujikin | FP-UDDF-71-6.35-2-316L | Fujikin FP-UDDF-71-6.35-2-316L Valve |
889 | Fujikin | FP-UDDF-71-6-35-2-NL-UP | Fujikin FP-UDDF-71-6-35-2-NL-UP VALVE, AIR-OPERATE Valve |
890 | Fujikin | FUBFL-71-6.35 | Fujikin FUBFL-71-6.35 Diaphragm Valve, Isolation, 316 |
891 | Fujikin | FUBFL-71-6.35-3 | Fujikin FUBFL-71-6.35-3 Switch Bellow Valve |
892 | Fujikin | FUBFL-71-635 | Fujikin FUBFL-71-635, On/Off, Shut Off Valve, TEL 0120-064661 |
893 | Fujikin | FUBVT-115C | Fujikin FUBVT-115C 3-Direction Ball Valve, Carpissin |
894 | Fujikin | FUCL-715-9.52-0.023 | Fujikin FUCL-715-9.52-0.023 K-FIHM-000 Gas Line Manifold Valve |
895 | Fujikin | Unknown | Fujikin Gas Line Manifold Valve 16.2 MPa |
896 | FUJIKIN | FBSDAL-RS220-6.35UGF-3B4-DVD | FUJIKIN Gas Manifold VALVE FBSDAL-RS220-6.35UGF-3B4-DVD, K-NESU-000 |
897 | Fujikin | AJ9KT000 | Fujikin Inc AJ9KT000, Shut Off Valve, Isolation Valve. |
898 | Fujikin | 316L-P | Fujikin Incorporated 316L-P, O.P. 3.5~5kgf/cm2G (0.34~0.49MPa), Valve |
899 | Fujikin | 316L-P | Fujikin Incorporated 316L-P, O.P. 3.5~7kgf/cm2G, type N.O., Valve |
900 | Fujikin | FPR-NSDBT-21-6.35-APY | Fujikin Incorporated FPR-NSDBT-21-6.35-APY |
901 | FUJIKIN | FUBV-115B | FUJIKIN INCORPORATED FUBV-115B BALL VALVE |
902 | Fujikin | Fujikin International Gas Isolation Valve | |
903 | Fujikin | FPR-UDDF-71-9.25 | Fujikin International, 3-Way Valve, FPR-UDDF-71-9.25, DD1024699UFV024 |
904 | Fujikin | 316 | Fujikin International, Inc 316, O.P. 3.5~5kgf/cm²G, Valve, Fitting |
905 | Fujikin | A7F79000 | Fujikin L.No. A7F79000 Pneumatic Isolation Valve, C.No. 473462 |
906 | Fujikin | AK00WG000 | Fujikin L.No. AK00WG000 Pneumatic Isolation Valve, C.No. 473462 |
907 | Fujikin | DAL71000 | Fujikin L.No. DAL71000 Type N.O. Diaphragm Valve, C.No. 544245 |
908 | Fujikin | FCS1200FDC-6J3-F120L-N2-D00 | Fujikin Mass Flow Controller FCS1200FDC-6J3-F120L-N2-D00 100-300kPa |
909 | Fujikin | Fujikin Stop valve, FUDFL-7166-6 | |
910 | Fujikin | T1000 | Fujikin T1000 MFC N2 50SLM, FCST1050ZSC-4J2-F50L-N2-U-V-EP, Fujikin 316L |
911 | Fujikin | T1000 | Fujikin T1000 MFC, N2,, FCST1500FC-8J3-F400L-N2-U-EP |
912 | Fujikin | L.AQ7000 | Fujikin Valve FP-UDDEBDT-21-6.35-PI-APY, Surface Mount Pneumatic L.AQ7000, New |
913 | Fujikin | UBV-14C | Lot of 2, Manual Ball valve, SS |
914 | Fujikin | 70190 | New Fujikin 3 way pneumatic valve 070190 |
915 | Fujikin | FP-UDDF-71-6.35-2-NL | NEW Fujikin FP-UDDF-71-6.35-2-NL Fine Series Pure, SUS316L, 1/4 OD |
916 | Fujikin | 316 | Swagelock, Fujikin 316, P V12 C01, OP 3.5~7kgf/cm2G, Type N.C. 1/4 Valve |
917 | FUJITSU | 3850 | FUJITSU DENSO 03850 (4248-E921) POWER SUPPLY DC220V-380V |
918 | Fujitsu | M1636TAU | Fujitsu M1636TAU 1.2GB 3.5″ IDE/ATA Hard Drive / HDD, 0040 006F |
919 | Fujitsu Denso Ltd. | FH05300-L | Fujitsu Denso FH05300-L 5V Industrial Power Supply Unit PSU 3688-D921 |
920 | Fumex | FA2SSD | Fumex FA2SSD Fume Extractor, FA140P, 120v, 12.2A, 1Ph, 60Hz, 15 AMP |
921 | Furon | 1101690 | Furon 1101690 Valve Plug 1/4 NPT, 72074-07, Regulator |
922 | FURON | 1102780 | FURON 1102780, UPM2-688NC VALVE, 3/8,105275 |
923 | Furon | 1102853 | Furon 1102853 Diaphragm Valve, UPM3-646 |
924 | FURON | 1103363 | FURON 1103363 PSIG ORIFICE VOLTS CYCLES AMPS 60 , 1/8 , 24VDC , 50/60 , 275 |
925 | Furon | 1105243 | FURON 1105243 TEFLON 3-WAY DIAPHRAGM VALVE, UPM2-F46NC |
926 | Furon | 1107263-1 | Furon 1107263-1 Flare Grip II Valve, FMF12128UTRN-1 |
927 | Furon | 1112548 | Furon 1112548 Mushroom Valve |
928 | Furon | 1114374 | Furon 1114374, 6 Valve Manifold Assembly |
929 | Furon | 1114377 | Furon 1114377, 6 Valve Manifold Assembly |
930 | Furon | 3000539 | Furon 3000539 Liquid Pump with Teflon Head, CDP60-12D-12D-1-A-1-C |
931 | FURON | AR10400-445WC | FURON AR10400-445WC SEAL, 1410-02 Genus , GNS 1035 |
932 | FURON | DV2-144NCD2 | FURON DV2-144NCD2 Delta Solenoid Valve 60 AIR, 50 WATER |
933 | Furon | FMF66EAMN-1 | Furon FMF66EAMN-1 Elbow Adaptor, Flare Grip II |
934 | Furon | HPVM2-F34NC | Furon HPVM2-F34NC Pneumatic Actuated 2-Way Valve2 |
935 | Furon | MCVM-F44 | Furon MCVM-F44 Mini Check Valve4 |
936 | FURON | SC-2-24-3B | FURON SC-2-24-3B SOLENOID VALVE |
937 | FURON | UPM2-F1212-MT | Furon st Gobin UPM2-F1212-M SP2, PTFE 2 way diaphragm valves |
938 | Furon | UPM2-644NC | Furon UPM2-644NC Flow Valve6 |
939 | FURON | UPM2-788-M | FURON UPM2-788-M MANUAL 2-WAY DIAPHRAGM VALVE 1105397 UPM2-788-M SP |
940 | Furon | UPM2-F1212NC-VI | Furon UPM2-F1212NC-VI Pneumatic 2-Way Diaphragm Valve8 |
941 | Furon | UPM2-F1216NC-VI | Furon UPM2-F1216NC-VI Pneumatic Actuated 2-Way Valve3 |
942 | Furon | UPM2-F812-MT | Furon UPM2-F812-MT Manual Valve3, 233167733 |
943 | Furon | UPM2-F88NC-VI UPM | FURON UPM2-F88NC-VI UPM Pneumatically Actuated 2-Way Diaphragm Valve |
944 | Furon | UPM30646 | Furon UPM3-646 Valve3, NC |
945 | FURON | UMP3-685 | Furon UPM3-686 3-Way Manually Actuated Multi Turn UPM Diaphram Valve |
946 | FURON | UPM3-688-MT | FURON UPM3-688-MTT 3-way Ultrapure PTFE Diaphragm Valve 1102842 |
947 | Furon | UPM3-F1212-VI | Furon UPM3-F1212-VI Pneumatic 2-Way Diaphragm Valve9 |
948 | Furon | UPM3-F88-VI | Furon UPM3-F88-VI Valve7 |
949 | Furon | UPM3-F88-VI | Furon UPM3-F88-VI Valve7, NC |
950 | FURON | UPRM-644-1:1 | FURON UPRM-644-1:1 BUNNEL PLASTIC 1107200 REGULATOR VALVE |
951 | Furon | V2-F812-NC | Furon V2-F812-NC Valve9, RS1186 |
952 | FURON | UPM2-788-M | FURON UPM2-788-M TEFLON MANUAL DIA VALVE7-001 |
953 | Furon Saint Gobain | DV2-144NCD2 | 10 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60, S077 |
954 | Furon Saint Gobain | DV2-144NCD2 | 10 Furon DV2-144NCD2, P/N 1103307 solenoid, 24 VDC, 50/60, S4244 |
955 | Furon Saint Gobain | NA | 2 Furon 1/2″ Union Elbow, AMAT 3300-05283 |
956 | Furon Saint Gobain | UPM2-F1212-M | 2 way diaphragm valve, PTFE |
957 | Furon Saint Gobain | 1107300-1 | 3 Furon 1107300-1 Flare Grip II Elbow Male Adapter, AMAT 3300-05831 |
958 | Furon Saint Gobain | 501036 | 3 Furon 501036 Fitting TBG tee RDCR 1/2T x 1/2T x 3/8T Male, AMAT 3300-05475 |
959 | Furon Saint Gobain | DV2-144NCD2 | 3 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
960 | Furon Saint Gobain | DV2-144NCD2 | 3 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60, S5067 |
961 | Furon Saint Gobain | DV2-144NCD2 | 4 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
962 | Furon Saint Gobain | 1102910 | 5 Furon 1102910 Bulkhead Union, FMP450, AMAT 3300-04267 |
963 | Furon Saint Gobain | DV2-144NCD2 | 5 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
964 | Furon Saint Gobain | DV2-144NCD2 | 6 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
965 | Furon Saint Gobain | DV2-144NCD2 | 7 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
966 | Furon Saint Gobain | DV2-144NCD2 | 8 Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60 |
967 | Furon Saint Gobain | Furon 1/2″ Female NPT | |
968 | Furon Saint Gobain | 109780 | Furon 109780 Union Elbow, 3/4″ |
969 | Furon Saint Gobain | 1102691 | Furon 1102691 Regulator w/ Gauge, UPRM-144-060-M, Teflon |
970 | Furon Saint Gobain | 1102691 | Furon 1102691 Regulator w/ Gauge, UPRM-144-060-M-G, Teflon |
971 | Furon Saint Gobain | 1102691 | Furon 1102691 Regulator, Teflon, UPRM-060-M |
972 | Furon Saint Gobain | 1102691 | Furon 1102691 Regulator, UPRM-144-060-M, 2475-109-308, 2805-112678 |
973 | Furon Saint Gobain | 1102843 | Furon 1102843 Diaphragm Valve, UPM3-188 |
974 | Furon Saint Gobain | 1102922 | Furon 1102922 Bulkhead Union; |
975 | Furon Saint Gobain | NA | Furon 3/4″ Female Union Elbow |
976 | Furon Saint Gobain | NA | Furon 3/4″ NPT, 3/4″ Female |
977 | Furon Saint Gobain | DV2-144NCD2 | Furon DV2-144NCD2, P/N 1103307 selinoid valve, 24 VDC, 50/60, S5051 |
978 | Furon Saint Gobain | DV2-144NCD2 | Furon DV2-144NCD2, P/N 1103307 solenoid valve, 24 VDC, 50/60, S298 |
979 | Furon Saint Gobain | E82001 | Furon E82001, PFA, Teflon Manual Valve |
980 | Furon Saint Gobain | PP3-1 | Furon PP3-1, Head, Connector. |
981 | Furon Saint Gobain | UPRM 144-060 M W/G | Furon Saint Gobain UPRM 144-060 M W/G Regulator1 |
982 | Furon Saint Gobain | UPRM-144-060-M | Furon Saint Gobain UPRM-144-060-M Regulator1 |
983 | Furon Saint Gobain | UPM2-F1212-M | Lot of 2, PTFE, 2 way diaphragm valves, looks new |
984 | Furon Saint Gobain | DV2-144NCD2 | Lot of 8 Furon DV2-144NCD2, P/N 1103307 solenoid valve, 24 VDC, 50/60 Looks new |
985 | Furon Saint Gobain | 1102691 | New UPRM-144-M with gauge port, Teflon high performance Regulator |
986 | Furon Saint Gobain | RV3-LP | Regulator valve, RV, 3/16 or, 1/4 F, |
987 | Fusion | 251012 | FUSION 251012 POWER SUPPLY |
988 | FUSION | 344531 | Fusion Semiconductor PWB Assy 344531 Rev.C 2X-MCU MFC Gas Box |
989 | Fusion | 344531 | Fusion Semiconductor PWB Assy 344531 Rev.C 2X-MCU MFC Gas Box Interface Board |
990 | FUSION | 386642 | FUSION SEMICONDUCTOR SYSTEMS 386642 PCB, HV-SMPS CTLR INTERFACE BOARD |
991 | Fusion Semi | 266251 | Fusion Semi 266251 Emo System Control |
992 | Fusion Semi | 313952 | FUSION SEMI 313952 REV D, DUAL SERIAL INTERFACE CARD |
993 | Fusion Systems | G03 | Fusion Semiconductor G03 Ozone Asher, Dual Chamber, Dual Robot Arm |
994 | Fusion Systems | MC06R | Fusion System Curing Epoxy Adhesives UV curing MC-6R and P 300 Power supply |
995 | Fusion Systems | 52141 | Fusion Systems 052141 PCB Assembly 051970 |
996 | Fusion Systems | 30327 | Fusion Systems 30327 PWB Assembly, Wafer Detection Tower Board |
997 | Fusion Systems | 8086 | FUSION SYSTEMS 8086 CPU CARD, PWB ASSY, 248411, PCB |
998 | Fusion Systems | 51970 | Fusion Systems Assembly 051970 Sensor PCB, 052141 PWB, |
999 | Fusion Systems | 61971 | FUSION SYSTEMS ASSY, 61971 REV.C |
1000 | Fusion Systems | 400361 | Fusion Systems Worm Gear Ball Screw |
1001 | Fusion UV Systems | I300MB | Fusion Systems I300MB Curing Irradiator System, I300M, RS1020 |
1002 | FUTURESTAR CORPORATION | 118-060 | FUTURESTAR 118-060 FLOWMETER, PANEL MOUNT, WITH VALVE |
1003 | GAGE | 1602 | Compuscope 1602 16bit dual channel A?D and Oscope card for PCI., with AH248, AH 214, 0032214 |
1004 | Galil Motion Control | DMC-1540 | Galil DMC-1540, 4-Axis Motion Controller |
1005 | Galtek | 202-20 | Galtek 202-90 Pneumatic Diaphragm Valve 2 Way |
1006 | Gamma Vacuum | 360895 | Gamma Vacuum 360895 Titan Ion Pump, |
1007 | Gardner Denver | VC 100 (21) | Gardner Denver VC 100 (21) Vacuum Pump w/ Control Box, Elmo Rietschle, RS1310 |
1008 | Gas line | 258-19371-00/A | 2 Gas Line 258-19371-00/A Cal-Weld |
1009 | Gasket | 7310-9308-01 | 31 Gasket Front Plenum 7310-9308-01 |
1010 | GASKLEEN | GLF6101SM4S | GASKLEEN PALL GLF6101SM4S Inline Gas Filter, -14.7 PSIG TO 750 PSIG |
1011 | Gaskleen | GLFF4000VMM8 | Pall Gaskleen GLFF4000VMM8 In-Line Gas Filter, 2051936, 70886-11 |
1012 | Gasonic | 91-00605 | Quartz Ring, 91-00605 |
1013 | GaSonics | A90-031-03 | 2 GaSonics A90-031-03 PCB Plasma/Lamp Failure Detection |
1014 | Gasonics | 90100207 | Gasonic 90100207, PCB, Board Valve Control. |
1015 | Gasonics | 17069-01 | Gasonics 17069-01 Panel Cover |
1016 | Gasonics | 500127064 | Gasonics 500127064 Quartz Major PM Clean Kit |
1017 | Gasonics | 73333-05 | Gasonics 73333-05 Kit Door Cylinder, Clippard UDR-17-2-M |
1018 | Gasonics | 73797-05 | Gasonics 73797-05 PWB Assembly SCR Control Broad, PCB, C12294 |
1019 | Gasonics | 73797-05 | Gasonics 73797-05 PWB Assembly SCR Control Broad, PCB, C12294 REV H |
1020 | GaSonics | 89-1048-01 | GASONICS 89-1048-01 PCB HIPOX POWER CONTROL 90-1048-01 |
1021 | GaSonics | 90-1001-01 | Gasonics 90-1001-01 PC Board Assy, Alarm Hipox, PWB 89-1001-01, |
1022 | Gasonics | 90-1028-01 | Gasonics 90-1028-01 PCA, Programmable Pressure |
1023 | GaSonics | 90-1030-01 | GaSonics 90-1030-01 PCB |
1024 | GaSonics | 90-1031-01 | GaSonics 90-1031-01 Mother Board With Novellus 02-5226-01 Front Panel |
1025 | GaSonics | 90-1033-02 | Gasonics 90-1033-02 Abort Reset Over Temp Card, PCB, FAB 89-1033-01 |
1026 | GaSonics | 90-1036-01 | Gasonics 90-1036-01, 16495-71REVF, MFC/MFM Interface, PCB, Controller. |
1027 | Gasonics | 90-2607 | Gasonics 90-2607 international pcb controller board MRSN711, A89-005-01 |
1028 | Gasonics | 94-3441 | Gasonics 94-3441 Assy Load Lock External Door, 233771191 |
1029 | Gasonics | 95-0289 | GaSonics 95-0289 Control Card PCB |
1030 | GASONICS | A06-001-01 | GASONICS A06-001-01 A-2000LL PLASMA ASHER QUARTZ WINDOW W/HOLE, LAM |
1031 | GASONICS | A06-002-01 | GASONICS A-2000LL PLASMA ASHER QUARTZ WINDOW A06-002-01, 7 INCH |
1032 | GASONICS | A94-064-01 | Gasonics A94-064-01 END EFFECTOR, VACUUM ARM |
1033 | Gasonics | A95-122-01 | Gasonics A95-122-01 Load Lock Door Assembly External, 233355442 |
1034 | GASONICS | A93-021-05/D | GASONICS AURA 2000 LL STRIPPER HNL A93-021-05/D Magnetron Waveguide Module Microwave A95-013-03 REV C |
1035 | GaSonics | N/A | GASONICS AURA,SIGN, PLATE, PANEL 14’x10′ |
1036 | GaSonics | 15698-02 | GaSonics Quartz Chamber Plasma Asher 15698-02, |
1037 | Gasonics | N/A | Gasonics Quartz Kit |
1038 | GASONICS | A90-029-03 | GASONICS-IPC A90-029-03 PCB LL LIFT BOARD, REV E |
1039 | Gasonics | A94-060-01 | HINE DESIGN A94-060-01 IMR, VACUUM ARM ASSEMBLY 233574184 |
1040 | Gasonics | 71005-68 | Lot of 6 Gasonics 71005-68 O-Ring, 9.725 ID x .210 VITON, Novellus, |
1041 | GASONICS/IPC | A90-039-01 | GASONICS/IPC A90-039-01 PCA, AURA LAMP CURRENT SENSORS, PCB, REV B |
1042 | GAST Manufacturing Inc. | DOA-V113-DB | GAST DOA-V113-DB Oilless Diaphragm Pump |
1043 | Gast MFG | 0523-1010-G582DX | Gast MFG 0523-1010-G582DX Vacuum Pump, Emerson G582DEX Motor |
1044 | Gast MFG | D0A-P135-AA | Gast MFG D0A-P135-AA Compressor Vacuum Pump, 2Z866 |
1045 | Gast MFG Corp. | K478 | |
1046 | GATEKEEPER | 102570 | GATEKEEPER HBR GAS CABINET PURIFIER AND MANIFOLD, SWAGELOK 6LVV-DPBW4-P1 |
1047 | Gauge | FAS848741 | Conductor Gauge FAS848741 |
1048 | GE Fanuc General Electric | GE FANUC 90-30 SERIES IC693CHS391H CHASSIS W/ POWER SUPPLY AND 10 MODULES | |
1049 | GE Fanuc General Electric | 388 | 10 new general electrics miniature lamps model 388 |
1050 | GE Fanuc General Electric | 783166-40816 | 2 GE 30 Amp 3 Poles-3 Overloads 783166-40816 |
1051 | GE Fanuc General Electric | CR354AC3AB3 | 2 General Electric CR354AC3AB3, 30 Amp, 3 Poles, 3 Overloads without Enclosure |
1052 | GE Fanuc General Electric | IC693CHS398D | 2 PLC Series 90-30 IC693CHS398D Programmable Controller Base-5-Slot Exp |
1053 | GE Fanuc General Electric | TED113015 | Circuit breaker, 15A, 277 VAC, 125 VDC Looks new but |
1054 | GE Fanuc General Electric | AWA8NAC | GE Automation Model AWA8NAC Waferaligner |
1055 | GE Fanuc General Electric | 90-30 | GE Fanuc Automation 90-30 Power Supply 9030 Cracked Housing, IC693PWR321L |
1056 | GE Fanuc General Electric | IC693CPU351-GR | GE FANUC AUTOMATION IC693CPU351-GR CPU MODULE, 25 MHZ |
1057 | GE Fanuc General Electric | IC610MDL112A | GE Fanuc IC610MDL112A SRCE LOAD INPUT MODULE 16 CIRCUITS 24V |
1058 | GE Fanuc General Electric | IC610MDL180A | GE Fanuc IC610MDL180A Programmable Relay Output Module 8 Circuits Channel 420585 |
1059 | GE Fanuc General Electric | IC610MDL180A | GE Fanuc IC610MDL180A, Programmable Relay Output Module, 8 Circuits |
1060 | GE Fanuc General Electric | IC693CHS391 | GE Fanuc IC693CHS391 Base 10 Slot, Programmable Controller, |
1061 | GE Fanuc General Electric | IC693MDL753 | GE Fanuc IC693MDL753, PLC Module, Genius Bus Controller |
1062 | GE Fanuc General Electric | IC697BEM713G | GE Fanuc IC697BEM713G Bus Expansion, ASM 44A730317-G01 FAB 44A730318-001 |
1063 | GE Fanuc General Electric | IC697BEM713G | GE Fanuc IC697BEM713G Bus Expansion, PCB, ASM 44A730317-G001, FAB 44A730318-001R00/0 |
1064 | GE Fanuc General Electric | IC697BEM731 | GE Fanuc IC697BEM731 Genius Bus Controller 1 Channel, ASM 44A729723-G01 |
1065 | GE Fanuc General Electric | IC697BEM731R | GE Fanuc IC697BEM731N Genius Bus Controller 1 Channel, ASM 44A729723-G01 |
1066 | GE Fanuc General Electric | IC697BEM731N | GE Fanuc IC697BEM731R Genius Bus Controller 1 Channel, ASM 44A729723-G01 |
1067 | GE Fanuc General Electric | IC697BEM731T | GE Fanuc IC697BEM731T Genius Bus Controller 1 Channel, ASM 44A729723-G01 |
1068 | GE Fanuc General Electric | IC697CH5790F | GE Fanuc IC697CH5790F Rack 9-Slot Rear Mount w/IC697PWR711G Power Supply |
1069 | GE Fanuc General Electric | IC697RCM711C | GE Fanuc IC697RCM711C Redundancy Communication Module |
1070 | GE Fanuc General Electric | IC69BEM331 | GE FANUC IC69BEM331 Series 90-30 Genius Bus Controller |
1071 | GE Fanuc General Electric | GE Fanuc PLC Controller Assy | |
1072 | GE Fanuc General Electric | 90-30 | GE FANUC SERIES 90-30 PROGRAMMABLE CONTROLLER, GE PLC |
1073 | GE Fanuc General Electric | IC600BF831 | GE IC600BF831 PCB, Input |
1074 | GE Fanuc General Electric | 5KE49WN8226 | GE Motor 5KE49WN8226 A-C Brake Motor, 3 HP, 3 PH, 60 Hz, 3450 RPM |
1075 | GE Fanuc General Electric | SEHA36AT0100 | GE SEHA36AT0100 Spectra RMS Hi-Break Circuit Breaker600 VAC |
1076 | GE Fanuc General Electric | D30A | LEYBOLD-HARAEUS D30A VACUUM PUMP, GE 5K45SG2257 AC MOTOR |
1077 | GE OSMONICS INC. | MFE921EGE | GE OSMONICS MFE921EGE MEMTREX FILTER, 0.2 MICRON, 10.85″ |
1078 | GE POWER ELECTRONICS | EBVW020A0BIZ | 1 Lot of 22 GE POWER ELECTRONICS ABB POWER EBVW020A0BIZ Power Module |
1079 | Gear | MD21-1717 | Type MD21-1717 Shaft, Belt Drive |
1080 | Gear Head | 8H60F | 8H60F Gear Head |
1081 | GECO CORP. | 768-748-1/2153-1 | WASCO GECO 768-748-1/2153-1 Pressure Switch, 15233 7/90, 250 PSI |
1082 | GECO CORP. | P110-81W3/1514-8 | WASCO GECO P110-81W3/1514-8 Pressure Switch10/88, 250PSI, 1A |
1083 | Gelman | 49850 | 2 new Gelman sciences carbon capsule 49850 |
1084 | Gemini | 422 | GEMINI 1.5″ BRASS BALL VALVE MODELEUMATIC ACTUATOR FOR EBARA |
1085 | GEMINI RESEARCH, INC. | E0CS250 | GEMINI RESEARCH E0CS250 EPITYME 2 CONTROLLER |
1086 | Gemini Valve | 76 Series | Gemini Valve 76 Series Screwed End Bar Stock Ball Valve |
1087 | Gems Sensors | 74780 | 2 AMAT 6566-00 Switch Assy, GEMS 74780 Level Sensor, Liquid Level, Pump Cavity |
1088 | Gems Sensors | 122341 | Gems 122341 Type SF-4 Flow Switch |
1089 | Gems Sensors | 177100 | Gems 177100 Float Level Switch, 20, 575-00040-00 |
1090 | Gems Sensors | 27052 | Gems 27052 Flow Switch |
1091 | Gems Sensors | B2214-S25 | Gems sensors and controls B2214-S25, VAC 7, 24 VDC |
1092 | Gems Sensors | GPM-FS-200 | Gems Sensors GPM-FS-200 Flow Switch, SSU, 20 V.A., 50-240 VAC |
1093 | Gems Sensors | FS-200 | Transamerica Delaval/Gems GPM, FS-200 WATER Flow Switch SSU 1” BRASS |
1094 | Gems Sensors Inc | FS-925 | Gems FS-925 Flow Switch Water, 26915,0.25GPM |
1095 | Gems Systems | 184948 | Gems Systems 184948 FS-380 Flow Switch |
1096 | GEMU | DN15PN6PVDF | GEMU 610 DN15 2/2-Way Plastic Diaphragm Valve DN15PN6PVDF |
1097 | GEMU | 610/15/D78205A-1HP | GEMU 610/15/D78205A-1HP PLASTIC DIAPHRAGM VALVE |
1098 | GEMU | 690/25/D | GEMU 690/25/D VALVE, DRAIN, 0252-1-0104 |
1099 | GEMU | 83332D72046325 | GEMU 83332D72046325 GLOW METER, AMAT 0021-35892 |
1100 | GEMU | CH-6343 | GEMU CH-6343 VALVE BUTTERFLY, Type 410 Pneumatic, 3/4 SEALS, 5400387 |
1101 | GEMU | GMBH | GEMU GMBH DIAPHRAGM VALVE, CH-6343 ROTKREUZ, DN15, TYPE 610 |
1102 | Gemu | SV00026 | Gemu SV00026 Ball Valve PP 710/40M 254-1-1 |
1103 | General Dynamics | 753020-003 | Descrambler CCA board Has a broken capacitor and some bent componenets |
1104 | General Dynamics | 753020-003 | General Dynamics 753020-003 Descrambler CCA PCB Board |
1105 | General Electric | RV-2937 | 2 GENERAL ELECTRIC RV-2937, 3 Pole Circuit Breaker, 50AMP |
1106 | General Electric | THQB | 2 GENERAL ELECTRIC THQB, 3 Pole Circuit Breaker, NP1578013P72, 40 AMP, 240 VAC |
1107 | General Electric | RT-693 | 3GENERAL ELECTRIC RT-693, 2 Pole Circuit Breaker, 60 AMP, 120/240 VAC, THQB |
1108 | General Electric | RT-692 | 5 GENERAL ELECTRIC RT-692, 1 Pole Circuit Breaker, E-11592 TYPE THQB, 120/240 VAC, 20AMP |
1109 | General Electric | UO633 | 5GENERAL ELECTRIC UO633,1 Pole Circuit Breaker, E-11592 TYPE THQB, 120/240 VAC, 20AMP |
1110 | General Electric | 5705004 | 6 General Electric GE 5705004 Electronic Vacuum Tube, 12 Pins |
1111 | General Electric | IC693PWR321Z | GE IC693PWR321Z FANUC, Power Supply 120/240VAC 125VDC STD, TS050155A |
1112 | General Electric | RT-690 | GENERAL ELECTRIC RT-690 Circuit Breaker, 2 POLE, 30 A, THQL2130 |
1113 | General Electric | BR330 | GENERAL ELECTRIC BR330, C330, 3 Pole Circuit Breaker, 240 VAC, 30 AMP, J-969 |
1114 | General Electric | 5K49SN2187 | General Electric GE 5K49SN2187 2hp 200-230/460v-ac 3450rpm 3ph Motor |
1115 | General Electric | 5KC49RN0666X | General Electric GE 5KC49RN0666X A-C Motor01Q-G608NGX |
1116 | General Electric | 5KH36KNA510X | General Electric GE 5KH36KNA510X A-C Motor, 0523-V350Q-G588DX |
1117 | General Electric | RV-2937 | GENERAL ELECTRIC RV-2937, E11592-C, 3 Pole Circuit Breaker, 40 AMP, 240 VAC |
1118 | General Electric | RV-2937 | GENERAL ELECTRIC RV-2937, TYPE THQB, 3 Pole Circuit Breaker, 15 AMP, 240 VAC |
1119 | General Electric | TEC36007 | General Electric TEC36007 CIRCUIT BRAKER 3 POLE 600VAC / 15 AMP |
1120 | General Electric | TEC36007 | General Electric TEC36007 CIRCUIT BRAKER 3 POLE 600VAC / 7 AMP |
1121 | General Electric | TEC36015 | General Electric TEC36015 CIRCUIT BRAKER 3 POLE 600VAC / 15 AMP |
1122 | General Electric | TEC36030 | General Electric TEC36030 CIRCUIT BRAKER 3 POLE 600VAC / 30 AMP |
1123 | General Electric | TED136060 | GENERAL ELECTRIC TED136060, AMB. COMP, 600 VAC, 60A, 40C |
1124 | General Electric | THQB | GENERAL ELECTRIC THQB, NP1578013P71, 3 Pole Circuit Breaker, 15 AMP, 240 VAC |
1125 | General Electric | THQL1120 | GENERAL ELECTRIC THQL1120, 1 Pole Circuit Breaker, 20AMP, 120/240 VAC, NP1578013P100 |
1126 | General Electric | THQL2150 | GENERAL ELECTRIC THQL2150 Circuit Breakers, 2 Pole, 50 A, 120/140 VAC |
1127 | General Electric | C6 | GENERAL ELECTRIC V-LINE C6, 277/480 V, IEC947-2 |
1128 | General Microsystems, Inc. | GMSSRPX-01-B | General Microsystems GMSSRPX-01-B GMSV36-01-E Controller PCB, 0190-40086 |
1129 | General Radio | 1432-A | General Radio 1432-A Decade Resistor |
1130 | General Radio | 1644-A | General Radio 1644-A Megohm Bridge |
1131 | General Signal | TEMPRESS 92697 | General Signal, TEMPRESS 92697 Front Panel LED distribution Board assy. |
1132 | Generic Item | Metal Bracket | |
1133 | Genesis Vacuum Technologies | 623-4202 | Genesis 623-4202 ICP 200 Quick Regen Cryopump, 8″, Ebara, 60-125932-00 |
1134 | Genmark | GB8-MT-98030074 | Genmark GB8-MT-98030074 Robot Wafer Assy, MGC 2234-MB3320 Motor Encoder |
1135 | Genmark | 7S/3L | Genmark Gencobot 7S/3L Wafer Robot, 7S050009, 3L7S050005 |
1136 | Genmark | 5064340 | Genmark Precision Automated Transfer Systems, Robot, 5064340 |
1137 | Genmark | 400298338 | Wafer handler, Robot, For parts |
1138 | Genrad | 874-PB8A | 2 RF Coax Bulkhead Connector GenRad 874-PB8A |
1139 | Genuine cisco | XENPAK-10GB-LW | 3 Genuine Cisco XENPAK-10GB-LW+ 10GBASE-LW, 10-2267-01, 403658 |
1140 | Genus | 2139-01-F | APPLIED MATERIALS 2139–01-F INTERRUPTER GENUS ASSY |
1141 | Genus | 13052-001 | Genus 13052-001 PCB 13052-00A |
1142 | Genus | 13056-01 | Genus 13056-01 Circuit Board REV E, FAB 13058.00 REV D |
1143 | GENUS | 13056-01 | Genus 13056-01-Rev E Circuit Board 13056-90, 13058-00 13059-00 |
1144 | GENUS | 13056-01 | Genus 13056-01-Rev L Circuit Board 13056-20, 13058-00 13059-00 |
1145 | Genus | 13056-01 | Genus 13056-01-Rev M Circuit Board 13056-00, 13056-20 |
1146 | Genus | 2139-01-F | Genus 2139–01-F INTERRUPTER GENUS ASSY |
1147 | Genus | 2269-01 | Genus 2269-01 L Water Temperature Board |
1148 | Genus | 2269-03 | GENUS 2269-03, Chuck Temperature, PCB |
1149 | Genus | 22980-00 | GENUS 22980-00 PCB CPU SLOT1 |
1150 | Genus | 2369-00 | GENUS 2369-00 HOME POSITION SENSOR |
1151 | Genus | 2369-00 | GENUS 2369-00 HOME POSITION SENSOR. |
1152 | GENUS | 41777-02 | GENUS 41777-02 VOLTAGE REGULATOR, 6KW, ACCELERATOR SYSTEMS, C719 REV 3 |
1153 | GENUS | 42847-00 | GENUS 42847-00 CONTROLLER |
1154 | GENUS | 44220-00 | GENUS 44220-00 ELECTRODE MANIPULATOR CONTROLLER 2A12 |
1155 | GENUS | 44378-00 | GENUS 44378-00 GAS MODULE CONTROLLER, MFC CONTROL |
1156 | GENUS | 9GNA0340 | GENUS 9GNA0340 HEATED CHUCK02-B, NM06659-1, PEDESTAL |
1157 | GENUS | 9GNA0340 | GENUS 9GNA0340 HEATED CHUCK02-B, NM06659-3, PEDESTAL |
1158 | GENUS | 9GNA0340 | GENUS 9GNA0340 HEATED CHUCK02-B, NM06659-5, PEDESTAL |
1159 | GENUS | 9GNA0340 | GENUS 9GNA0340 HEATED CHUCK02-B, NM06659-6, PEDESTAL |
1160 | GENUS | 9GNA0340 | GENUS 9GNA0340 HEATED CHUCK-02-B, NM06659-B, PEDESTAL |
1161 | GENUS | 9GNA0340 | GENUS 9GNA0340 HEATED CHUCK62-B, NM06659-A, PEDESTAL |
1162 | GENUS | 13052-00 | Genus Assy 13052-00 Rev A Sch 13053-00 Board |
1163 | Genus | 2299-01 | Genus Board 2299-01 Digital Isolator FAB 2290-00 |
1164 | Genus | 2299-03 | Genus Board 2299-03 Digital Isolator FAB 2290-00 |
1165 | GENUS | 42486-00 | GENUS00 INJECTOR VACUUM CONTROLLER |
1166 | Genus | 42414-00 | GENUS00 PCB,OCTAL RS232 TO FIBER OP |
1167 | GENUS | 3779-01 | Solenoid valves with Driver board assy, 8 valves Looks new in sealed bag, surplus inventory from major semiconductor factory |
1168 | George Fisher +GF+ Signet | 801-010 | 4 GEORGE FISCHER 801-010, AMAT 0190-04810 CDO, TEE 1″ SOC |
1169 | George Fisher +GF+ Signet | 161.684.614 | GEORG FISCHER 161.684.614 PNEU ACT, DIAPHRAGM VALVE |
1170 | George Fisher +GF+ Signet | 346 | GEORG FISCHER +GF+ d32 DN 25, 1″ Valve, TYP 346 EPDM, |
1171 | George Fisher +GF+ Signet | 161375002 | Georg Fischer 161375002 Ball Valve, 1/2″ DN15, PVC-U, EPDM |
1172 | George Fisher +GF+ Signet | 161546342 | Georg Fischer 161546342, Ball Valve, Type 546, 1/2″ DN15, PVC-U, PN16 |
1173 | George Fisher +GF+ Signet | 198-150-778 | Georg Fischer 198-150-778 2-Way Pneumatic Ball Valve, AMAT 3870-02501 |
1174 | George Fisher +GF+ Signet | DN50 | Georg Fischer DN50 Valve Assy, 198150182 Electrical Actuator, 198151298 |
1175 | George Fisher +GF+ Signet | P51530P0 | GEORG FISCHER SIGNET P51530P0 ROTOR-X FLOW SENSOR |
1176 | George Fisher +GF+ Signet | P51530P0 | GEORG FISCHER SIGNET P51530P0 ROTOR-X FLOW SENSOR TYPE MK515-P0 |
1177 | George Fisher +GF+ Signet | Type 21 | Georg Fischer Type 21 PVC Diaphram Valve |
1178 | George Fisher +GF+ Signet | 148150963 | George Fischer 148150963 PVDF valve, 0050-41569 |
1179 | George Fisher +GF+ Signet | V782 | George Fischer V782 Pressure Reducing PTFE PVDF 20MM |
1180 | George Fisher +GF+ Signet | 3-8710 | GF Signet 3-8710 Compak XMTR, pH/ORP Transmitter |
1181 | George Fisher +GF+ Signet | 6-8710-WTS | GF Signet 6-8710-WTS Compak pH/ORP Transmitter, ASSM. XMTR, WTR TRET SYS |
1182 | Gerwah | DKN100 | Gerwah DKN100 Metal Bellows Coupling for Servo Motor Ø 40mm L 47mm |
1183 | Gespac | GESMFI-1 9119 | Gespac GESMFI-1 9119, PCB |
1184 | Gespac | Gespia-2A | Gespac GESPIA-2A 8835 2-Channel PCB Card OnTrak DSS-200, 1-22-0075-010 |
1185 | Gespac | GESPIA-4 | Gespac GESPIA-4, PCB, Board |
1186 | Get Control | FAI5101 | Get Control FAI05101, PCB |
1187 | Gewinde Ziegler | Gewinde Ziegler ZMV Locking Nut, 70 x 2 mm | |
1188 | GHF | GHDPT102X1E | GHF GHDPT102X1E PTFE Filter, 0.01 Micron, 10″, RS1163 |
1189 | Glass | SGN40 | 2 SGN40 L/L VIP Glass |
1190 | Glassman | AD-KA-HP/+6 | GLASSMAN AD-KA-HP/+6, POWER SUPPLY 6KV |
1191 | GLASSMAN | M101086 | Glassman M101086 Power Supply (+) 6KV PCB |
1192 | GLASSMAN | PCA1033 | Glassman PCA1033 High Voltage Board, POWER SUPPLY, PCAREV F |
1193 | Glassman | PCA1033 | Glassman PCA1033 High Voltage Board, POWER SUPPLY, PCAAD-KA-HP/-9 |
1194 | GLASSMAN H.V. CO. | M101088 | GLASSMAN M101088 Power Supply 6KV |
1195 | Glassman High voltage inc. | PS/LH003R1.7 | Glassman High Voltage PS/LH003R1.7J71, serial interface PS 3kV 1.7 A, |
1196 | Glassman High Voltage, Inc. | PS/WG-20P-15-M3 | Glassman PS/WG-20P-15-M3 Constant Voltage/Current Power Supply |
1197 | Glastics | 1461-1A | 1461-1a – Glastic Indoor Standoff Insulator, lot of 6 pcs |
1198 | GLEMCO | 99329 | 2GLEMCO 99329 , 9EAA5783 Rod, Connector |
1199 | Glenair | 153-18551-00 | Glenair 153-18551-00 Assembly, N/F, Controller, Cable |
1200 | Glenair | 153-18556-00 | Glenair 153-18556-00 Assembly, N/F, Controller, Cable |
1201 | Glentek | SMA8315-144-013A-1A-1-03 | Glentek SMA8315-144-013A-1A-1-03 Motion Controller |
1202 | Glitch Master | 230 | Glitch Master 230 Short-Duration Uninterruptiple Power Supply |
1203 | Global | 9701-5578-01 | NEW GLOBAL CONNECTIVITY 9701-5578-01, TDC005-2 |
1204 | Global electronics | GBL-uheat | IPEC PLANAR Heat exchanger controller PCB. Assy p/n 2808-106043 |
1205 | Globe Motors | D36-B10A-05W3-000 | 2 Globe Motors D36-B10A-05W3-000 Cooling Fan, 24VDC, 0.17A |
1206 | Globe Motors | 403A696 | Globe Motors 403A696 Motor, 15.1 VDC, |
1207 | GMP | C-143-JA-902 | 5 GMP Seal Forces Tester Lamps 6 Volts C-143-JA-902 |
1208 | GO | PRI-1L11A3D114 | GO PRI-1L11A3D114 Single Stage Pressure Regulator Looks New |
1209 | Goldstar | IO3KHO-IDEIOCKU | Goldstar IO3KHO-IDEIOCKU, KT4928419, IDE Controller ISA Card. |
1210 | Good Will | PSP-2010 | Good Will Instrument Co. GW inSTEK PSP-2010 Programmable Power Supply |
1211 | Good Year | 14205M-15 | 2 Good Year 14205M-15 Timing Belt |
1212 | Goodyear | 522908003 | Goodyear 522908003 V-Belt Tension Testers |
1213 | Gordos Arkansas | PB-8 | Gordos Arkansas PB-8 PCB Board, 0AC5-120904 |
1214 | GOULD | 2200-EB1-MOD A | GOULD 2200-EB1-MOD A Contactor 2200EB540EA, 2090-50DA, 24V, 60 HZ |
1215 | Gould Pumps | 2×2 1/2-8 | Gould Pumps G&L Series SSH 2×2 1/2-8 Process Chill Water Pump, 8SHK6, RS1311 |
1216 | Gowanda | CMF4-4703VM | 1 Lot of 11 Gowanda CMF4-4703VM |
1217 | GOWANDA 51-1414 | 51-1414 | 1 Lot of 60 GOWANDA 51-1414 |
1218 | GOWANDA Electronics | 51-1108LF | 1 Lot of 500 GOWANDA Electronics 51-1108LF Passive Components |
1219 | GOWANDA Electronics | 51-1108LF | GOWANDA Electronics 51-1108LF Passive Components |
1220 | GRAHAM | 6018-S | GRAHAM 6018-S , PMDC MOTOR |
1221 | Granville Phillips | 332 | 3 Granville Phillips 332 Ion Gauuge Controller with Mount |
1222 | Granville Phillips | 012685-102 | GRABVILLE-PHILLIPS 332148 PCB CONVECTRON BD, 012685-102 |
1223 | Granville Phillips | 275-945 | GRANVILE PHILLIPS 275-945 MINI CONVECTRON RS-485/422 INTERFACE |
1224 | Granville Phillips | 275-945 | GRANVILE PHILLIPS 275-945 MINI CONVECTRON RS-485/422, 815008-132 |
1225 | Granville Phillips | 009874-104 | GRANVILLE PHILLIPS 009874-104, 332 PENTA CONVECTRON PCB, 332103 VGC |
1226 | Granville Phillips | 20275-500 | GRANVILLE PHILLIPS 20275-500, CONVECTRON GAUGE PRESSURE CONTROLL |
1227 | Granville Phillips | 275 | Granville phillips 275 Convectron Guage |
1228 | Granville Phillips | 303001 | Granville Phillips 303 Vacuum Process Controller 303001 |
1229 | Granville Phillips | 303001 | Granville Phillips 303 Vacuum Process Controller 303001, 2130,GP |
1230 | Granville phillips | 307109 | Granville Phillips 307109 Thermocouple Gauge Controller DUAL ION / FIVE THERMOCOUPLE GAUGE CONTROLLER |
1231 | Granville Phillips | 316 | Granville Phillips 316 Vacuum Gauge Controller |
1232 | Granville Phillips | 332134 | GRANVILLE PHILLIPS 332134 IGC PCB BOARD CARD 012313-101, 12314-100-001 C8 |
1233 | Granville Phillips | 343004 | Granville Phillips 343004 Mini ION gauge controller |
1234 | Granville Phillips | 356008-YG-T | Granville phillips 356008-YG-T Micro Ion Plus module |
1235 | Granville Phillips | 20347057 | Granville-Phillips 20347057, Helix Technology Corp Stabil-Ion Module 339738 |
1236 | Granville Phillips | 20347057 | Granville-Phillips 20347057, Helix Technology Corp Stabil-Ion Module 339739 |
1237 | Granville Phillips | 275 | Granville-Phillips 275 MINI-convectron lots of 3 used |
1238 | GRANVILLE PHILLIPS | 275 | GRANVILLE-PHILLIPS 275 MINI-CONVECTRON MODULE GAUGE 20-275-6956 |
1239 | GRANVILLE PHILLIPS | 275 | GRANVILLE-PHILLIPS 275 MINI-CONVECTRON MODULE GAUGE 20-275-6956, 20275-956 |
1240 | Granville Phillips | 275-615-07 | Granville-Phillips 275-615-07, Mini-Convectron, Analog |
1241 | Granville Phillips | 275-807-EU | Granville-Phillips 275-807-EU, Mini-Convectron |
1242 | Granville Phillips | 0096006-108 | Granville-Phillips 307, 0096006-108, Process Control Board, PCB |
1243 | Granville Phillips | 342040 | GRANVILLE-PHILLIPS 342040 MINI-ION GAUGE |
1244 | Granville Phillips | 350504-G-T2 | Granville-Phillips 350 Vacuum Gauge Controller 350504-G-T2 |
1245 | Granville Phillips | 354075-TE-T | Granville-Phillips 354075-TE-T micro Ion gauge module BROOKS automation |
1246 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 20352001 |
1247 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320629 |
1248 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320630 |
1249 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320631 |
1250 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320632 |
1251 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320633 |
1252 | Granville Phillips | 352 | Granville-Phillips Helix Technology Corp, 352 Gauge Controller, 352001. 320634 |
1253 | Granville Phillips | 274 028 | Granville-Phillips, GP, 274 Series 028 Ionization Gauge, |
1254 | Granville Phillips | 247 028 | Granville-Phillips, GP, 274 Series 274 028, 9384, Ionization Gauge, |
1255 | Granville Phillips | 343-004 | Model 343 mini ION Gauge controller 343004 |
1256 | GRANVILLEPHILIPS | 332108 | GRANVILLE PHILIPS 332108 HELIX TECHNOLOGY, Ion Gauge Controller Cable, Interface |
1257 | Granville-Phillips | 275196 | 2 Granville-Phillips 275196 Gauge Convection Tube, R41412 |
1258 | Granville-Phillips | 274031 | 274031 Tube, Sealed, HCIG, Implant, Bayard-Alpert Type Ionization Gauge |
1259 | Granville-Phillips | 275 | GP Granville-Phillips 275 Mini-Convectron |
1260 | Granville-Phillips | 307001 | Granville Phillips 307001 Vacuum Gauge Controller 307 |
1261 | Granville-Phillips | 122077-G2 | Granville-Phillips 122077-G2 216 Servo Driven Valve Assy, MKS 245-15121, |
1262 | Granville-Phillips | 20275-500 | Granville-Phillips 20275-500 Type 275 Mini-Convectron |
1263 | Granville-Phillips | N/A | Granville-Phillips 275 Convection Gauge |
1264 | Granville-phillips | 275 | GRANVILLE-PHILLIPS 275 MINI-CONVECTRON MODULE GAUGE 20-275-956 |
1265 | Granville-Phillips | 275071 | Granville-Phillips 275071 Convectron Gauge, Type 275 |
1266 | Granville-Phillips | 275071 | Granville-Phillips 275071 Convectron, Type 275, Brooks, Thermocouple |
1267 | Granville-Phillips | 275801-E4 | Granville-Phillips 275801-E4 Gauge, 275 Mini-Convection, |
1268 | Granville-Phillips | 307 | Granville-Phillips 307 Vacuum Gauge Controller, 307502-A10-T1 |
1269 | Granville-Phillips | 332102 | GRANVILLE-PHILLIPS 332102 AXCELIS 577242/1/1 IONIZATION GAUGE POWER SUPPLY |
1270 | Granville-Phillips | 352 | Granville-Phillips 352 Gauge Controller, 20352001 |
1271 | Graphic Control Recorder Supplies | 82-09-1032-05C | Graphic Control Recorder Supplies 82-09-0132-05C Red MKR |
1272 | Graphic Controls | 10548312 | 4 Graphic Controls 10548312 Linear Instruments |
1273 | Graphic Strategies | 0202-00001 C | Graphic Strategies 0202-00001 C Video Processor PCB Card, VGME512 |
1274 | Graphics card | 54-23184-01 | GRAPHICS CARD OEC DIGITAL EQUIPMENT 54-23184-01, KA705TRMRS, 50-23183-01 |
1275 | Graphite | 33322-001 | CARBOGRAF-400 Pyrolytic Graphite Susceptor 33322-001, 33322-02, 019830-025 |
1276 | Graphite | 33322-001 | Pyrolytic Graphite Susceptor 33322-00-1, 33322-02 |
1277 | Graphite Die Mold | 9405-001 | Graphite Die Mold 9405-001 Showerhead |
1278 | GRAPHTEC | SP-310 | GRAPHTEC SP-310 fold chart printer graph paper, Graphtec, V-tek |
1279 | Green Heat Technology | Type 4X | Green Heat Nema Type 4X Watertight Digital Thermostatic Controller, RS1259 |
1280 | Green Power | BMF6-10 | Matsushita Green Power Panasonic BMF6-10-3-1 Contactor FC-10 Coil 220V |
1281 | Griswold | 4902H | Griswold 4902H Flow Controller |
1282 | Griswold Controls | 4902H | Griswold Controls 4902H Flow Controller, Water, 12 GPM, 1300 PSI |
1283 | Griswold Controls | 304 | Griswold Controls Flow Controller, 304, 150, 3/4″ x 1/2″ |
1284 | Grundfos | CRN5-8 | Grundfos CRN5-8 Pump End w/ Baldor 84Z04053 Super-E Motor, ANSI / NSF61 |
1285 | GRUNDFOS | DME150-4B | GRUNDFOS DME150-4B, Digital Dosing Pump 4B-PP/E/C-F-31A1A1F,A96641846P106460121 |
1286 | GSI Lumonics | 176-0055-001 | GSI Lumonics 176-0055-001 PCBA;BACKPLANE PASSIVE |
1287 | GSI LUMONICS | 6550174 | GSI LUMONICS 65501747 C 20084 F34131180R.0121 |
1288 | GSI Lumonics | 6050066 | GSI Lumonics Assy 6050066, CW50 Laser Controller, PCB, |
1289 | GTK | Glentek | GTK Glentek Motion Controller, SMA8315-1a-1, Motion Control Solutions, |
1290 | Guardian Electric | MER-21-120A | Guardian Electric A430-056563-06, MER-21-120A Stepping Relay T32224 |
1291 | Guardian Electric | MER-120A | Guardian Electric MER-120A Electrical Reset Stepper Relay Switch |
1292 | Guardian Electric | MER-120A | Guardian Electric, MER-120A, Electrical Reset Stepper Relay Switch |
1293 | GW INSTEK | GPS-2303 | GW Instek GPS-2303 Dual Output Linear DC Power Supply, 2 Channels |
1294 | GWI Engineering | LMF 2000 | GWI Engineering LMF 2000 Web Laser Marking System, Miyachi Uniteck, Fumex |
1295 | H+S Astrolab | Minibend-14HT | 3 H+S Astrolab Minibend-14HT Minibend Cable Assembly |
1296 | Hager | L 116 | 3 Hager L 116 Circuit Breaker, L116 |
1297 | Hager | CE 440B | Hager CE 440B Circuit Breaker |
1298 | Hager | MU 332A | Hager MU 332A Circuit Breaker |
1299 | Hakko | 191 | Solder Tip Thermometer Hakko 191, Thermocouple; Type K, 191B |
1300 | Hakko Electronics Co, LTD | V606eM10 | Hakko Electronics Co, LTD, V606eM10, FX2N 64DNET, FX2N-2LC, FX2N-4AD. 326711 |
1301 | HAMA | WX-43PI | HAMA WX-43PI END EFFECTOR ASSEMBLY, LASER, 06-39112-99 |
1302 | HAMA Laboratories | DD-50 | HAMA LABORATORIES DD-50 LASER SENSOR WATER MAPPING |
1303 | Hamamatsu | 2976 | Hamamatsu 2976 Compact Fiber Light Source Replacement Lamp, L10296 |
1304 | Hamamatsu | C4251 | Hamamatsu C4251 Starter Unit For Continuous Mode Xenon Lamp, 292-0059 |
1305 | Hamamatsu | C5510 | Hamamatsu C5510 Argus Image Processor |
1306 | HAMAMATSU | 29-018048 | HAMAMATSU Deuterium Lamp L2D2 Lamp 29-018048 Type L7477 |
1307 | HAMAMATSU | 29-049177 | HAMAMATSU Deuterium Lamp L2D2 Lamp 29-049177 Type L9519 |
1308 | Hamamatsu | L2D2 | Hamamatsu L2D2 Deuterium Lamp, Type L729 |
1309 | Hamamatsu | L8488-248 | Hamamatsu L8488-248 Lightning Cure LC5, |
1310 | Hamamatsu | L5662 | HAMAMATSU UV SPOT LIGHT SOURCE L5662 |
1311 | Hamamatsu | L6722 | NEW Hamamatsu Mercury Xenon lamp L6722 |
1312 | Hamamatsu | L7212-01 | Working Hamamatsu UV lamp source Lightning Cure 200 L7212-01 w new L6722 lamp |
1313 | Hamamatsu Photonics K.K. | C2741 | Hamamatsu C2741 IR Vidicon Camera Head |
1314 | HAMAMATSU PHOTONICS K.K. | R550 | HAMAMATSU TYPE R550 PHOTOMULTIPLIER TUBE, VARIAN D4029144-1 |
1315 | Hamamtsu | L10804 | Hamamatsu L10804 Deuterium Lamp |
1316 | Hamilton | 7858-04-GPI | Hamilton 7858-04-GPI Motorized Syringe Pump09D-0451D-07 |
1317 | Hamilton | 81520 | Hamilton 81520 SyringeL, 5.0 mL rev E |
1318 | Hamilton | 81620 | Hamilton 81620 SyringeL, 10.0 mL rev E |
1319 | HAM-LET | EV80-V-EP | 2 Ham-Let EV80-V-EP Ultra Clean Diaphragm Valves |
1320 | HAM-LET | EV8C-FV-L83-EP | 2 Ham-Let EV8C-FV-L83-EP Diaphragm Valve, UCV Eco Series |
1321 | HAM-LET | 7108L SS Tube | 2 Ham-Let SS Tube Caps, 3/8″, 7108L, SS 3/8 |
1322 | HAM-LET | UCV 2LDS8Q-57358 | 2 Ham-LET UCV 2LDS8Q-57358 Shutoff Valve, 1/2 inch VCR Conn |
1323 | HAM-LET | EV80-V-EP | 2 Ham-Let UCV EV80-V-EP Diaphragm Valve |
1324 | HAM-LET | HM20-4VKLC-GF4 | 2 NEW HAM-LET HM20-4VKLC-GF4 UCV HM SERIES DIAPHRAGM VALVE |
1325 | HAM-LET | 7108L SS Tube | 3 Ham-Let SS Tube Caps, 3/8″, 7108L, SS 3/8 |
1326 | HAM-LET | UCV HM20 4VKLQ GF4 ISLT | 3 HAM-Let UCV HM20 4VKLQ GF4 ISLT, 1/4 inch VCR connection |
1327 | HAM-LET | EV80-V-EP | 4 Ham-Let UCV EV80-V-EP Diaphragm Valve |
1328 | HAM-LET | FS3-E HTC316L | 6 Ham-let FS3-E HTC316L VCR Face Seal Fitting, Plug |
1329 | HAM-LET | VD4-E HTC316L | 9 Ham-let VD4-E HTC316L VCR Face Seal Fitting, Plug |
1330 | HAM-LET | 2LD8Q-57358 | Ham-let 2LD8Q-57358 Shut Off Valve, 1/2″ VCR, |
1331 | HAM-LET | 2LDS8Q-57358 | Ham-Let 2LDS8Q-57358 Manual Valve |
1332 | Ham-Let | 2LNS4C-CM2-HB | Ham-Let 2LNS4C-CM2-HB Hybrid Diaphragm Valve |
1333 | HAM-LET | EV8C-FV-L83-EP | HAM-LET EV8C-FV-L83-EP Diaphragm Valve w/ NASclean N-400 PTFE Gas Filter |
1334 | HAM-LET | EV8C-FV-L83-EP | Ham-let EV8C-FV-L83-EP Pneumatic Diaphragm Valve, UCV ECO, 1/2-1MPA EP, |
1335 | HAM-LET | EV8C-V-EP | Ham-Let EV8C-V-EP Diaphragm Valve |
1336 | Ham-Let | H6800SSL1/4CSS | Ham-Let H6800SSL1/4CSS Ball Shutoff Valve, Isolation, P6000 PSIR |
1337 | HAM-LET | H6800SSL1/4PSS | Ham-Let H6800SSL1/4PSS, Ball Valve, |
1338 | Ham-Let | H6800SSL3/8ICSS | Ham-Let H6800SSL3/8ICSS Ball Valve, 3/8″, 6000 PSIG, RS1323 |
1339 | Ham-Let | H6800SSL3/8IPSS | Ham-Let H6800SSL3/8IPSS 3/8″ Tube 316SS Ball Valve, 3000 PSIG |
1340 | HAM-LET | H-700-99-L-1″ | Ham-Let H-700-99-L-1″ 701519 AM2 Superlok 316 1/2 Water Manifold w Valve + Gauge |
1341 | HAM-LET | H-700-SS-L-1″-T-LD | Ham-Let H-700-SS-L-1″-T-LD Manual Lever Valve Assembly, Griswold Controls 420661 |
1342 | HAM-LET | HM20 4VKLC GF4 150 PSI | Ham-let HM20 4VKLC GF4 150 PSI Shut Off Valve, |
1343 | HAM-LET | HM20 4VKLO GF4 150 PSI | Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, |
1344 | HAM-LET | HM20-4VKLC-GF4 | Ham-Let HM20-4VKLC-GF4 Diaphragm Valve |
1345 | HAM-LET | HMB1-4VKLC-GM4GF4GF4-235 | Ham-let HMB1-4VKLC-GM4GF4GF4-235 Diaphragm Valve, N/C, 60-90 PSI, |
1346 | Ham-Let | HMSC20-4VKC | Ham-Let HMSC20-4VKC Metal Diaphragm Valve |
1347 | HAM-LET | EV8C-FV-L83-EP | Ham-Let UCV EV8C-FV-L83-EP Diaphragm Valve |
1348 | HAM-LET | EV8C-FV-L83-EP | Ham-Let UCV EV8C-FV-L83-EP Isolation Valve, Filter, Tee Connection 1/2 inch VCR |
1349 | HAM-LET | UCV HM20 4VKLC GF4 | Ham-LET UCV HM20 4VKLC GF4 150 PSI Shut off Valve |
1350 | HAM-LET | UCV HM20 4VKLQ GF4 ISLT | Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4″ VCR300 PSI, |
1351 | HAM-LET | HMB1-4VKLC-GM4GF4GF4-2.35 | Ham-Let UCV Series HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, 2709156 |
1352 | Hamlin | 7739001 | 07739001 hamlin PCB board |
1353 | Hamlin / Littelfuse | 30KPA72A | 1 Lot of 800 Hamlin / Littelfuse 30KPA72A TVS DIODE 72V 114V P600 |
1354 | Hammond | 7500-4092-01 | Hammond 7500-4092-01 Cable Mount Ring 1U |
1355 | Hammond Manufacturing | 166L20 | 2 Hammond Man. 166L20, JS116340VA, 80Hz, Rectifier,Low-Voltage |
1356 | Hammond Manufacturing | RMZ095L | Hammond Manufacturing, RMZ095L Enclosure, Box, Abs, 9.84″ x 7.09 x 3.94″ |
1357 | Handle | Locking Tee Handles, Chrome CH751 | |
1358 | Handler Communication | 247265-001 | Handler Communication 247265-001 Electroglas Rev.D PLC Board |
1359 | HARMONIC DRIVE | HS-330-05 | HARMONIC DRIVE HS-330-05, DC SERVO MOTOR, RH-5-5502-E030AO |
1360 | HARMONIC DRIVE | HS-350-2 | HARMONIC DRIVE HS-350-2, DC SERVO MOTOR RH-8-6006-E050DO |
1361 | Harmonic Drive Systems | 50090060 | 050090060 Harmonic Drive Component Set, Motor, HDE-20-60-2AG, |
1362 | Harris Calorific Co. | 425-50 | Harris 425-50 Pressure Regulator, 60,SI,8000 kPa |
1363 | Harris Products Group | 25-100C | Harris 25-100C Pressure Regulator, 150,SI28000 kPa, 650L |
1364 | Harris Products Group | 425-125 | Harris 425-125 Pressure Regulator, 200,SI, 1400, 28000 kPa |
1365 | Harris Specialty Gas | NA | Harris Specialty Gas Brass Isolation Valve, 3500 PSI Max |
1366 | Harting | HAN 10B-GG-R-21 | Lot of 10 New Power connector housing |
1367 | Harting | HAN 10B-GG-R-21 | Lot of 15 New Power connector housing |
1368 | Harting | HAN 10B-GG-R-21 | New Power connector housing |
1369 | Haskel | 26266 | HASKEL 26266 PNEUMATIC DRIVEN OXYGEN BOOSTER PUMP, With Manual |
1370 | Haskel | DSF-60 | Haskel DSF-60 Air Driven Fluid Pump, 60:1, 150 PSI |
1371 | Haskel | R26266 | HASKEL R26266 PNEUMATIC AIR DRIVEN NON-LUBRICATED GAS BOOSTER PUMP |
1372 | Haskris | Haskris Chiller | |
1373 | Haskris | R050 | Haskris R050 Recirculating Chiller, R050-BH0-60Hz |
1374 | HASTINGS | DV-6M | HASTINGS DV-6M Vacuum Gauge Tube, THERMOCOUPLE GAUGE E-BEAM EVAPORATOR |
1375 | Hastings | AFC-303 | Hastings teledyne MFC, AFC-303, Propane 34 SLPM, 175 PSIG up stream |
1376 | Hathaway | BH03403A05HBE | HATHAWAY BH03403A05HBE BRUSHLESS SERVO MTR W/ DELTRAN BRP-19F |
1377 | Hatings | BG-6 | teledyne Hastings BG-6, Vacuum gauge controller |
1378 | Haws | 50A-W5-40WH | Haws 50A-W5-40WH SIgnal Beacon with Audio Alarm |
1379 | Hayward | 2 Hayward PVC/CPVC True Union Solenoid Valve | |
1380 | Hayward | SOC/THD | Hayward Ball Valve Socket Connection Type 21a 2″ SOC/THD ANSI C-PVC FKM |
1381 | Hayward | BF10150TXT | Hayward BF10150TXT PLASTIC VALVES AND FLOW CONTROL, 1-1/2 IN |
1382 | Hayward | Hayward PVC 1.5″ Full-FLO True Union Ball Valve Socket Connection, 3-Way | |
1383 | Hayward | SV10100STV | Hayward SV10100STV True Union Solenoid Valve, PVC/CPVC, 120VAC, 50/60Hz, 19WATTS |
1384 | Hayward | SV10100STV | Hayward SV10100STV True Union Solenoid Valve, PVC/CPVC, 50/60Hz, 19WATTS |
1385 | HBC | HBC-558 | 12 new HBC-558 solid state relay opto 22 480D45-12-NOV120 novellus 61-338317-00 |
1386 | HBC | 824-3 | Lot of 7 new Heater controller assy, with 2 opto 22 480D-12-NOV120 solid state relays mounted on heat sinks |
1387 | HBC | 558 | Lot of 7, new Heater controller assy, with 2 opto 22 480D-12-NOV120 solid state relays mounted on heat sinks |
1388 | HD SYSTEMS | HS-330-05 | HD SYSTEMS HS-330-05 DC SERVO MOTOR DRIVER RH5-5502-E010A0, HS-330-05A |
1389 | HEAT SCAPE | 54-0182-02 | 1 Lot of 4 HEATSCAPE 54-0182-02 HTSP-ASH-259 |
1390 | HEAT SCAPE | 54-0182-02 | 4 HEATSCAPE 54-0182-02 HTSP-ASH-259 |
1391 | HEAT SCAPE | 54-0259-02 | 4 HEATSCAPE 54-0259-02 HTSP-ASH-259 |
1392 | HEATEFLEX | 725762 | HEATEFLEX 725762 SP1996-5458 HEATER TANK INTEGRATED CIRCUIT DEVELOPMENT |
1393 | Heater | SP-GF32 | 2 SP-GF32, Transfer Paddle, Cover, SC11048 |
1394 | Heater | A31-49272 | A31-49272, Circle Heater, 2KW |
1395 | Heatscape | 54-0123-01-05 | 2 Heatscape 54-0123-01-05 |
1396 | HEC | HEC HH58 0945 | 3 HEC HH58 0945 Ceramic Capacitor +/-10%, 7.5 KVDC, X5V |
1397 | HEC | HEC HT50 | HEC HT50 Ceramic Capacitor 50PF+/-5% 9427, 7.5 VDC NPO |
1398 | HEC | HT50T501KA | HEC HT50T501KA COML, CERAMIC CAPACITOR, 500PF+-10% 7.5KVDC |
1399 | HEDLAND | 705014 | HEDLAND 705014 FLOWMETER 0-14 GALLONS PER MINUTE |
1400 | HEDLAND | 705014 | HEDLAND 705014 FLOWMETER 0-14 GALLONS PER MINUTE4 |
1401 | HEDLAND | 705014 | Hedland 705014 Liquid Flow Meter |
1402 | HEDLAND | H213A | HEDLAND H213A-010 FLOW METER, 0- 1.0 GPM, AMAT Flow Meter |
1403 | HEDLAND | H605S-010 | HEDLAND H605S-010 Badger Meter, 6000PSI, Flow Meter, M4A-069221s Max |
1404 | Heidenhain | EXE 610 C | 2 Heidenhain EXE 610 C Interpolation Digitizing Box |
1405 | Heidenhain | MT12B | Heidenhain MT12B Incremental Length Gauge |
1406 | Heidenhain | VRZ 720 B | Heidenhain VRZ 720 B XY Axis Controller |
1407 | Heinemann | AM3A8AB0025-10G | 2 Heinemann AM3A8AB0025-10G Breaker-Curcuit 25A 480V |
1408 | Heinemann | AM1-A8-A | 4 Heinemann AM1-A8-A Circuit Breaker 1 Pole, 12.5 Amps |
1409 | Heinemann | AM2-A8-A | Heinemann AM2-A8-A Circuit Breaker, Gasonics 73185-53, 15A, 50/50Hz, 250V |
1410 | Heinemann | AM3-A8-A | Heinemann AM3-A8-A Circuit Breaker, 0680-01018, AMR 2, 250 VOLT |
1411 | HEKEDA | TY 250 | HEKEDA TY 250 Glass Cleaner |
1412 | Helical | 3005M-10M-10MM | Helical 3005M-10M-10MM Miki Pulley Coupling, 10mm x 10mm, 3609-10mm-10mm |
1413 | Helical | 3005M-10MM-10MM | Helical 3005M-10MM-10MM Miki Pulley Coupling, 3609-10MM-10MM |
1414 | Helical | 507704-00 | Helical Flexible Shaft Coupling 507704-00 110-24 |
1415 | Helical | HCR 100-8-5mm | Helical HCR 100-8-5mm couplings |
1416 | Helix | Helix CTI-Crygenics, Weldment VCR Connection, KF-25, Vacuum, | |
1417 | Hengfu | HF150W-SF-7.5 | Hengfu HF150W-SF-7.5 Power Supply0VAC, 3.2A, 200/240VAC, 1.6A |
1418 | Henry Electronics | 2000D | 2 Henry Electronics 2000 D Sampling Box, Mounted, |
1419 | Henry Electronics | 9600-0200 | Henry Electronics 9600-0200, Linear Amplifier |
1420 | Heraeus | 31705 | Heraeus 31705 PROCACC, TORCH, 27/30-16.12(in) OAL |
1421 | Heraeus | 35147 | Heraeus 35147 Pedestal Cover, 6BZZ-220-09-01 |
1422 | Heraeus | 61780 | Heraeus 61780 Quartz SRD Dispersion Plate |
1423 | Heraeus | 90149039 | Heraeus 90149039 Quartzglass Wafer Boat Furance Loader |
1424 | Heraeus | REPA04 | Heraeus REPA04 Quartz SRD Dispersion Plate |
1425 | Heraeus | 32937 | HeraeusQuartz Oven Liner, 2TCS-128-27-01/A 116001 |
1426 | Hermos | TLG-I1-AMAT-R1 | Hermos TLG-I1-AMAT-R1 Transponder Reader ASC-I1 |
1427 | Hersteller | Unknown | 2 Heated solvent tanks Hersteller Thielmann container systems |
1428 | Hewlett Packard HP | 54300A | Agilent HP Keysight 54300A Probe Multiplexer, Hewlett Packard |
1429 | Hewlett Packard HP | 37203A | Hewellet Packard 37203A HP-IB Extender |
1430 | Hewlett Packard HP | 10701A | Hewlett Packard 10701A 50% Beam Splitter |
1431 | Hewlett Packard HP | 11759C | Hewlett Packard 11759C RF Channel Simulator |
1432 | Hewlett Packard HP | 16320-66551 | Hewlett Packard 16320-66551 PCB Pin Board |
1433 | Hewlett Packard HP | 3852A | Hewlett Packard 3852A, HP DAQ with 5 44708A 20 Channel relay multiplex modules |
1434 | Hewlett Packard HP | 54504A | HEWLETT PACKARD 54504A DIGITIZING OSCILLOSCOPE |
1435 | Hewlett Packard HP | 6286A | Hewlett Packard 6286A DC Power Supply, 0-20V, 0-10A |
1436 | Hewlett Packard HP | 04084-66531 | Hewlett Packard HP 04084-66531 PCB ASSY , MPU Board Assembly |
1437 | Hewlett Packard HP | 04085-26566 | Hewlett Packard HP 04085-26566 YHP, PCB CONNECTOR BOARD ASSY |
1438 | Hewlett Packard HP | 04085-6655 | Hewlett Packard HP 04085-6655 PCB, ASSY, A-2315 |
1439 | Hewlett Packard HP | 08350-60053 | Hewlett Packard HP 08350-60053, Sweep Oscillator Board, PCB |
1440 | Hewlett Packard HP | 10433A | Hewlett Packard HP 10433A 10:1 Probe Head, 10 MOhm, 10pF |
1441 | Hewlett Packard HP | 28696-60001 | Hewlett Packard HP 28696-60001 Board, PCBHNBR |
1442 | Hewlett Packard HP | 54720A | HEWLETT PACKARD HP 54720A oscilloscope mainframe with no modules |
1443 | Hewlett Packard HP | 5517C | Hewlett Packard HP 5517C Laser Head, M8102 |
1444 | Hewlett Packard HP | 6227B | Hewlett Packard HP 6227B Dual DC Power Supply, 0-25V, 0-2A |
1445 | Hewlett Packard HP | 6440600-50 | Hewlett Packard HP 6440600-50, IM/NSR Library Card Cage Assy, ESL-E |
1446 | Hewlett Packard HP | 98624-66501 | Hewlett Packard HP 98624-66501 PCB, HP-IB, 98624A |
1447 | Hewlett Packard HP | SG4-6212-08 | Hewlett Packard HP SG4-6212 Main S2 Board, PCB |
1448 | Hewlett Packard HP | 04085-66561 | Hewlett Packard HP YHP 04085-66561 ASSY TEST ADC BD, PCB |
1449 | Hewlett Packard HP | 10432A | Hewlett Packard, HP 10:1 Miniature Passive Probe |
1450 | Hewlett Packard HP | 41420-61601 | Hewlett Packard61601 Quadrax Cable (3m) |
1451 | Hewlett Packard HP | 0950-3807 | Hewlett-Packard HP 0950-3807 AC/DC Adapter |
1452 | Hewlett Packard HP | 08360-60010 | HP 08360-60010 Fractional PCB Board B-2927-45 |
1453 | Hewlett Packard HP | 208A | HP 208A Test Oscillator |
1454 | Hewlett Packard HP | 268496-002 | HP 268496-002 ProLiant NC7771 PCI-X Gigabit server Adapter |
1455 | Hewlett Packard HP | 317453-001 | HP 317453-001 317459-001 2 Port Network Interface Card |
1456 | Hewlett Packard HP | 3488A | HP 3488A Switch/Control Unit, 4476A Microwave Switch, 44470A Relay Multiplexer |
1457 | Hewlett Packard HP | 3763A | HP 3763A Error Detector, Agilent |
1458 | Hewlett Packard HP | 4396B | HP 4396B Network Spectrum Impedance Analyzer, |
1459 | Hewlett Packard HP | 6110A | HP 6110A High Voltage DC Power Supply, 0-3000V, 0-6MA |
1460 | Hewlett Packard HP | 6672A | HP 6672A DC Power Supply, 0-20V/0-100A. 453584 |
1461 | Hewlett Packard HP | 8140 | HP 8140A Loss Test Set |
1462 | Hewlett Packard HP | 8712B | HP 8712B RF Network Analyzer |
1463 | Hewlett Packard HP | 9876A | HP 9876A Fan-Fold Thermal Printer Plotter, 5×7 Dot Cell Matrix 480LPM |
1464 | Hewlett Packard HP | A3495-60001 | HP A3495-60001, HP-PB 10/100Base-TX, HP Hewlett Packard, H04525-007, REV C02 |
1465 | Hewlett Packard HP | A6685-6200 | HP A6685A HP-HSC Fibre channel, 1 Gbps, A6685-6200 Assembly PCB board |
1466 | Hewlett Packard HP | AD90367 | HP AD90367, Quantum Controller Server Module, 5 Crossroads Systems, PCB |
1467 | Hewlett Packard HP | 10833C | HP Agilent 10833C GPIB Male/Female Interface Cable, 4M, 4 Meter |
1468 | Hewlett Packard HP | 10746-60001 | HP Binary Interface PCB BOARD Interferometer 10746-60001 |
1469 | Hewlett Packard HP | 05372-60006 | HP DESC BOARD 05372-60006 |
1470 | Hewlett Packard HP | E6996-66502 | HP E6996-66502 Relay Module, PCB, A3748-00612, Verigy; we are selling as used |
1471 | Hewlett Packard HP | 09826-66562 | HP HEWLETT PACKARD 09826-66562 REV B, PCB BOARD |
1472 | Hewlett Packard HP | 6651A | HP Hewlett Packard 6651A System DC power Supply system 0-8V, 0-50A |
1473 | Hewlett Packard HP | 6651A | HP Hewlett Packard 6651A System DC power Supply system, 220V |
1474 | Hewlett Packard HP | 70004A | HP Hewlett Packard 70004A Mainframe Display Unit + 70842B Error Detector |
1475 | Hewlett Packard HP | 0503-300700 | HP Hewlett-Packard 0503-30070060001 Comparator PCB Card Ultratech |
1476 | Hewlett Packard HP | 16072-26561 | HP Impedence Analyzer 16072-26561, A-3030, 16072A, OPT.001 |
1477 | Hewlett Packard HP | JG926A | HP JG926A 1920-24G-PoE+ 370W Gigabit Ethernet Switch Regulatory Model |
1478 | Hewlett Packard HP | D135 | HP Officejet D135 All-In-One Multi-function Printer |
1479 | Hewlett Packard HP | FCLSD-0501 | HP Scanjet 7650, Regulatory Model FCLSD-0501, Product L1940A |
1480 | Hewlett Packard HP | 412799-001 | HP-001 012891-001 Smart Array 8 channel E200/64 SAS RAID CONTROLLER and-000 |
1481 | Hewlett Packard HP | 454319-001 | HP-Compaq 454319-001 Multimedia Graphics Card 256MB |
1482 | Hewlett Packard HP | 4140B | HPpA METER / DC VOLTAGE SOURCE HEWLETT PACKARD |
1483 | Hewlett Packard HP | 15464-69601 | LOT OF 8 NEW Hewlett Packard TTL POD 15464-69601 PRICE IS FOR 8 |
1484 | Hewlett Packard HP | 6115A | Precision power supply 0-50V @ 8A, 50-100V @ 4A |
1485 | Hewlett Packard HP | 16076A | Hewlett Packard HP 16076A System Test Module |
1486 | Hewlett-Packard HP | 6286A | Hewlett Packard HP 6286A DC Power Supply, 0-20V, 0-10A |
1487 | Hewlett-Packard HP | 6671A | HP Agilent 6671A Rack Mount 08V/0-220A DC System Power Supply, Keysight |
1488 | HGS | HGS – 101 | Temp Control and EMO Box, HGS – 101, HGS9911-0100F-M |
1489 | High Temperature Engineering | 1700462 | High Temperature Engineering 1700462 Robot Int PCB, H1500003 |
1490 | High Temperature Engineering | 1700462 | High Temperature Engineering 1700462 Robot Int PCB, H1500003, TCQC-1 |
1491 | HIGH VACUUM APPARATUS | 122-0601 | HIGH VACUUM APPARATUS 122-0601 |
1492 | High Vacuum Apparatus | 125-0250 | High Vacuum Apparatus 125-0250 Gate Valve, HVA |
1493 | High Vacuum Apparatus | 161-0150-2 | HIGH VACUUM APPARATUS MFG INC 161-0150-2 Valve |
1494 | High Vacuum Pparatus MFG | 101-6000MSB | High Vacuum Pparatus MFG, HVA 101-6000MSB, Gate Valve |
1495 | High Yield Technology | 233-3019-30 | High Yield Technology 233-3019-30, On-Board Controller, PM-200 HYT PM200 |
1496 | HINE DESIGN | 94-1119 | Asyst 04290-101 Hine Design 94-1119 Load Lock CHAMBER Elevator GaSonics |
1497 | HINE DESIGN | 00108-001 | Hine Design 00108-001 PCB, PWB 000-252, ABX-82965-32-00 |
1498 | HINE DESIGN | 06764-001 | Hine Design 02423-001 Arm Control Board PCB 06764-001 GaSonics |
1499 | HINE DESIGN | 07100-015 | Hine Design 07100-015 Send, Indexer, PCB(IFO) |
1500 | HINE DESIGN | 07100-815 | Hine Design 07100-815 Send, Indexer, PCB(IFO) |
1501 | HINE DESIGN | 810-2140-005 | Hine Design 810-2140-005 Control Lift Board, PCB |
1502 | HINE DESIGN | 853-4290-001 | Hine Design 853-4290-001 Load Lock Elevator GaSonics 94-1119 06763-005 |
1503 | HINE DESIGN | 94-1119 | Hine Design 94-1119, LAM 853-4290-001 Load Lock Elevator GaSonics |
1504 | HINE DESIGN | 778-11 | HINE DESIGN BOARD ASSY 778-11 |
1505 | HINE DESIGN | 778-11 | HINE DESIGN BOARD ASSY 778-11, FAB 777, REV H |
1506 | HINE DESIGN | N/A | Hine Design Cassette Loader |
1507 | HINE DESIGN | N/A | HINE DESIGN IMR, VACUUM ARM ASSEMBLY, A94-060-01 |
1508 | HINE DESIGN | 94-1118 | Hine Design Process Chamber Elevator P/N 94-1118 |
1509 | Hine Design | 94-1119 | Hine Design 94-1119 LAM 853-4290-001 Load Lock Elevator GaSonics |
1510 | Hirschmann | Stak 3 | Hirschmann Stake 3 Rectangular Receptacle Cable, Connector |
1511 | Hitachi | 545-1114 | 2 Hitachi 545-1114 Aperture Plate, Objective Lens |
1512 | Hitachi | J017818 | 2 Hitachi J017818 O-Ring G200, M308ATE |
1513 | Hitachi | J032484 | 2 Hitachi J032484 O-Ring P85, M308ATE |
1514 | Hitachi | 3-830027-A | 2 New Hitachi 3-830027-A, Asher Reflector- Legacy |
1515 | Hitachi | J017006 | 4 Hitachi J017006 O-Ring P60, M-308ATE |
1516 | Hitachi | J032572 | 4 Hitachi J032572 O-Ring V225, M308ATE |
1517 | Hitachi | DK221A-34 | A3K-210A232 PCB, FD Control HDD Board, Hitachi DK221A-34, Hard Disk Drive. |
1518 | Hitachi | RYX-1 | Hitachi RYX-1 PCB ASSY, Time Delay Relay PCB Circuit Board |
1519 | Hitachi | 02-822713-A | Hitachi 02-822713-A Ash Base Chamber |
1520 | Hitachi | 02-822713-A | Hitachi 02-822713-A Ash Base Chamber, BASE W/PIPE HELISERT |
1521 | Hitachi | 1-809778-C | HITACHI 1-809778-C VARIABLE VALVE ASSEMBLY |
1522 | Hitachi | 2-814363 | Hitachi 2-814363 GV1, Lower Shield, Cover, 2-814363-C, 233572212 |
1523 | Hitachi | 2-814363 | HITACHI 2-814363, 2-814363-C, GV1, LWR, SHIELD, 233572212, 0508030-414, 233572212W |
1524 | Hitachi | 2-816006-A | Hitachi 2-816006-A Heater, M-308ATE |
1525 | Hitachi | 2-816006-B | Hitachi 2-816006-B Heater, Sensor, Thermocouple, M-308ATE |
1526 | Hitachi | 2-816733-A | HITACHI 2-816733-A GROUNDED ELECTRODE |
1527 | Hitachi | 2-819046-A | Hitachi 2-819046-A Electrode |
1528 | Hitachi | 2-819046-A | Hitachi 2-819046-A Electrode, DAJ-4900, XY144 |
1529 | Hitachi | 2SK221 | Hitachi 2SK221 , Silicon N V-MOSFET, E501-42, Transistor |
1530 | Hitachi | 3-819580-A | Hitachi 3-819580-A Magnetron, M-308ATE |
1531 | Hitachi | 3-822287-A | Hitachi 3-822287-A L-Type Valve |
1532 | Hitachi | 3-823146-L | Hitachi 3-823146-L O-Ring V175, M308ATE |
1533 | Hitachi | 3-823842-C | HITACHI 3-823842-C AIR OPERATED VALVE |
1534 | Hitachi | 3-824791 | Hitachi 3-824791 GV1 Cover, UPPER, SHIELD, GV1 UPPER SHIELD, F14-06-0254 |
1535 | Hitachi | 3-824791 | Hitachi 3-824791 GV1, Upper Shield, Cover, 3-824791-C, 233572213 |
1536 | Hitachi | 3-829078-A | Hitachi 3-829078-A L-Type Valve |
1537 | Hitachi | 3-829223-H | Hitachi 3-829223-H O-Ring AS568-456, M308ATE |
1538 | Hitachi | 3-833469-01 | Hitachi 3-833469-01 Cover Lower |
1539 | Hitachi | 3-834423-01 | HITACHI 3-834423-01 EARTH SHIELD |
1540 | Hitachi | 3-834876-02 | Hitachi 3-834876-02 Quartz Plate |
1541 | Hitachi | 3-837424-D | Hitachi 3-837424-D O-Ring AS568-382, M308ATE |
1542 | Hitachi | 4-817835-A | Hitachi 4-817835-A Reflector, M-308ATE |
1543 | Hitachi | 567-8709 | HITACHI 567-8709 9″ INCH B/W ALIGNMENT MONITOR |
1544 | Hitachi | 830-1583 | Hitachi 830-1583 Aperture Plate 0.4-0.4-0.3-0.3mm |
1545 | Hitachi | HDS25050KLA360 | Hitachi Deckstar HDS725050KLA360 Hard Drive, 500GB, 0A32993, 7200 RPM |
1546 | Hitachi | ET-30E 2P | Hitachi ET-30E 2P, Earth-Leakage Breaker0/200-200 V, 15A |
1547 | Hitachi | H3862 | Hitachi H3862 Low Frequency Magnetron |
1548 | Hitachi | J024225 | Hitachi J024225 O-Ring V1055, M308ATE |
1549 | Hitachi | KP-113 | HITACHI KP-113, ALL SOLID STATE CAMERA |
1550 | Hitachi | M-308ATE | Hitachi M-308ATE Metal Etch System w/ Power Rack, Delatech Scrubber Gas Cabinet |
1551 | Hitachi | MES-30C | Hitachi MES-30C Circuit Breaker |
1552 | Hitachi | PTPA-01 | HITACHI PTPA-01 Photo Board PCB, IFB530 |
1553 | Hitachi | M-712E | Hitachi Wafer Alignment Unit M-712E 200mm Shallow Trench Etcher |
1554 | Hitachi | W-SS50 | Hitachi W-SS50 Color Video Printer, Video Printer Kit, |
1555 | Hitachi | 3-838183-01 | HITACHI-KOKUSAI 3-838183-01 GAS RING STAINLESS STEEL |
1556 | Hitachi | HM62256BLP-7 | HM62256BLP-7 HM62256 62256 256KBIT CMOS SRAM – |
1557 | Hitachi | KP-113U | NEW HITACHI DENSHI KP-113U CAMERA ALL SOLID STATE, AC117V 60Hz, 5.5W |
1558 | HITACHI Deskstar | 07N9212 | 2 HITACHI Deskstar 07N9212 IC35L060AVV207-10, TEL 050-002310-1, 61.4GB |
1559 | HITACHI Deskstar | 07N9212 | 2 HITACHI Deskstar 07N9212 IC35L060AVV207-10, TEL 1D88-000023-14, 61.4GB |
1560 | HITACHI Deskstar | 07N9212 | HITACHI Deskstar 07N9212 IC35L060AVV207-10 TEL 050-002310-1 61.4GB |
1561 | Hitachi High Technologies America Inc. | 2-A03352-02 | Hitachi 2-A03352-02 Lid, Exhaust Duct, 500087860 |
1562 | Hitachi High Technologies America Inc. | 3-835085-A | Hitachi High Technologies 3-835085-A Cylinder, Air, with Terminal |
1563 | Hitachi High Technologies America, Inc. | 1-A04147-01 | Hitachi 1-A04147-01 Cassette, M-712E #15 |
1564 | Hitachi High Technologies America, Inc. | 1-A08892-02 | Hitachi 1-A08892-02 Wafer Cover, Box, M-712E #15 |
1565 | Hitachi High Technologies America, Inc. | 2-816737-A | HITACHI 2-816737-A HOLDER, CLAMP,HICON |
1566 | Hitachi High Technologies America, Inc. | 2-A02945-^B | Hitachi 2-A02945-^B ETCHER PARTS, DIGITAL PROCESSING UNIT, DPU MAIN PC |
1567 | Hitachi High Technologies America, Inc. | 1-819995-01 | Hitachi High Technologies 1-819995-01 WAND, BUFFER, 300MM |
1568 | Hitachi High Technologies America, Inc. | 2-812828-E | Hitachi High Technologies 2-812828-E GAUGE, SENSOR HEAD, PIRANI, WP |
1569 | Hitachi High Technologies America, Inc. | 3-830027-A | HITACHI HIGH TECNOLOGIES 3-830027-A , ASHER REFLECTOR- LEGACY |
1570 | Hitachi-Kokusai | 5UOT041544 | Hitachi-Kokusai 5UOT041544 Interface PCB |
1571 | HiTech | E89-1209 | 4 HiTech Welding E89-1209 Metal Hose, HP, 3/4″ Inch |
1572 | Hivertec | PPD2A | Hivertec PPD2A PMC Board, PCB, PPD2A-2X |
1573 | HLM | 2004A-163 | HLM MODULE UPPER, S/N: 2004A-163, ELECTRIC CYLINDER MODULE, APPLIED MOTION 23 PARAGON, 22004-019 |
1574 | HNL | 0190-01132 | HNL 0190-01132 Weldment, Alum Flange, AMAT |
1575 | Hoffman | HDP300 | Hoffman 84″ Generator rack for HDP300, modified |
1576 | Hoke | 6CP316 | 1 lot of 10 Hoke 6CP316 Gyrolok Flareless Tube Fittings |
1577 | Hoke | 6CP316 | 1 lot of 12 Hoke 6CP316 Gyrolok Flareless Tube Fittings |
1578 | Hoke | 6CP316 | 1 lot of 18 Hoke 6CP316 Gyrolok Flareless Tube Fittings |
1579 | Hoke | 7387004R | Hoke 7387004R Valve 100 PSIGT / EPDM 420429 |
1580 | Hoke | 7387004R | Hoke 7387004R ValveIGT/EPDM |
1581 | Hoke | 7387004R | Hoke 7387004R, Valve 100 PSIGT/ EPDM. |
1582 | Hoke | 7387004S | Hoke 7387004S ValveIGT/ EPDM |
1583 | Hoke | 7387004S | Hoke 7387004S ValveIGT/EPDM |
1584 | Hokuyo | BRC-G2BR | Hokuyo Automation BRC-G2BR Sensor module |
1585 | Hokuyo | DMS-HB1-Z1 | Hokuyo DMS-HB1-Z1 OMRON XM2S-25 |
1586 | HOKUYO | DM-HB1 | HOKUYO AUTOMATIC TYPE DM-HB1 TRANSDUCER WATKINS JOHNSON 99-55301-02 |
1587 | Hokuyo Automatic Co | PB9-10 | Hokuyo Automatic Co PB9-10 Obstruction Sensor Assy, RPB9007, DC24V |
1588 | Honda | 38920-PR4-A01 | 3 Honda V-Belt 38920-PR4-A01 |
1589 | Honda | 12341-PR4-A00 | Honda 12341-PR4-A00 Gasket |
1590 | Honeywell | V5F62960 | HONEYWELL V5F62960 SKINNER VALVE, 120/60 HZ, 16 WATTS |
1591 | Honeywell | V5F62960 | 4 HONEYWELL V5F62960 SKINNER VALVE, 120/60 HZ, 16 WATTS |
1592 | Honeywell | V5F62960 | 5 HONEYWELL V5F62960 SKINNER VALVE, 120/60 HZ, 16 WATTS |
1593 | Honeywell | 142PC01D | HONEYWELL 142PC01D Micro Switch, SENSOR, DIFFERENTIAL PRESSURE, SQA7601 |
1594 | Honeywell | M4185B1058 | Honeywell M4185B1058, 24/120/230V, 50/60 Hz, 20VA, 60 LB-IN |
1595 | Honeywell | 089645 | Honeywell 089645 Target, 0.350 X 12.401 X 16.575″, 49.5 Ti, 206480, GL-FLM-0018/01 |
1596 | Honeywell | 091884 | Honeywell 091884 Target, 15.620 X 12.846 X 0.250″ BTL, 07-613, 5N TI |
1597 | Honeywell | 233426080 | HONEYWELL 233426080 ANODE, C4, 93PB, 7SN, 22.2MM, LC2PB7SN, PB3 |
1598 | Honeywell | 4042181-1002 | HONEYWELL 4042181-1002 TARGET 1.95X11.279X14.495″, 59.5 {LC5}AL/1SI/.5CU |
1599 | Honeywell | 702N11A2BN | Honeywell 702N11A2BN Skinner Valve, 24VDC |
1600 | Honeywell | 702N11A2BN | Honeywell 702N11A2BN Skinner Valve, 702N11A2B, 24VDC, 22W |
1601 | Honeywell | 718N4 | Honeywell 718N4 5/8″ skinner valve, looks new |
1602 | Honeywell | BZ-2RQ-A2 | HONEYWELL BZ-2RQ-A2 MICRO SWITCH |
1603 | Honeywell | DC5061-1-2000-110-00000-000-0 | Honeywell DC5061-1-2000-110-00000-000-0 UDC5000 Temperature Controller |
1604 | Honeywell | DC5061-1-2D00-110-00000-000-0 | Honeywell DC5061-1-2D00-110-00000-000-0 Temperature Controller |
1605 | Honeywell | DCP711 | Honeywell DCP711 Digital Control Programmer, DCP700, 8KTF15000HO |
1606 | Honeywell | LSXA3K | Honeywell LSXA3K Micro Precision Limit Switch Explosion Proof NonPlgIn |
1607 | Honeywell | FE-LS1C | HONEYWELL MICRO SWITCH FE-LS1C SR5000528 8719 |
1608 | Honeywell | MS16106-1 | Honeywell MS16106-1 Basic Switch, 2AC59 |
1609 | Honeywell | B60-S023 | Honeywell Skinner Valve B60-S023 Valve Repair Kit |
1610 | Honeywell | V52DA1100 | HONEYWELL SKINNER VALVE DIVISION V52DA1100 2-WAY SOLENOID VALVEI |
1611 | Honeywell | V5F62960 | HONEYWELL SKINNER VALVE V5F62960 120V 60HZ 16 WATTS |
1612 | Honeywell | 089645 | Honeywell TARGET 089645 0.350X12.401X16.575 49.5 Ti, GL-FLM-0018/01 |
1613 | Honeywell | TSQUTIDBX-05377 | Honeywell target TSQUTIDBX-05377, .500X4.460X13.050″ 0X14 |
1614 | Honeywell | TSQUTIDBX-05377 | Honeywell target TSQUTIDBX-05377, .500X4.460X13.050″ 3X05 |
1615 | Honeywell | TSQUTIDBX-05377 | Honeywell target TSQUTIDBX-05377, .500X4.460X13.050″ 3X06 |
1616 | Honeywell | TSENALMOX-08287 | Honeywell TSENALMOX-08287 Target, 1.900 X 12.733 X 15.620″, 037-173-53 |
1617 | Honeywell | TSENCOBTM-07889 | Honeywell TSENCOBTM-07889 125X12.913X15.620 MPS-5-002/M TARGET |
1618 | Honeywell | TSENCOBTM-07889 | Honeywell TSENCOBTM-07889 15.620X12.913X0.125 MPS-5-002/M TARGET |
1619 | Honeywell | TSVCTIDBX-07935 | Honeywell TSVCTIDBX-07935 Target, 0.250 X 0.680 X 13.555 X 16.575″, 037-0173-56, 59 Ti, 037017356, MIC, ADH, IMP |
1620 | Honeywell | UDC3300 | Honeywell UDC3300 Temperature Controller |
1621 | Honeywell | UDC5000 | HONEYWELL UDC5000 DC5061-1-2D00-110-000C0-000-0 ULTRA PRO 120 VAC 60 HZ |
1622 | Honeywell | V3L-139 | Honeywell V3L-139 Switch, Micro Switch |
1623 | Honeywell | LD2P4LVV100M | Lot of 2 Honeywell LD2P4LVV100M, Ai6BiP3l, Display Transducer |
1624 | Honeywell | 7321KBN3SN00A0J322C2 | Skinner valve |
1625 | Honle UV Technology | EVG EPS SOSI HQI | Honle EVG EPS SOSI HQI Lamp Power Supply, 2000W, 16A, RS1162 |
1626 | Horba STEC | LV-F50PO | 2 Horiba Stec LV-50PO LFC 1@25 ccm, and 1@ 20 ccm TEOS |
1627 | Horba STEC | 507B | Avaya Communication 507B 507 B Sneak Current Protector |
1628 | Horba STEC | CM-100 | HORIBA CM-100 Digital Control Unit, Surplus |
1629 | Horba STEC | MA-10 | Horiba MA-10 Micro Amp Meter |
1630 | Horba STEC | 331074 | Horiba Stec 331074 Flow Sensor, 8517930105 |
1631 | Horba STEC | 331074 | Horiba Stec 331074 Flow Sensor, 960525, 8905290306 |
1632 | Horba STEC | SEC-4400MC | Horiba STEC 4400 MFC Mass Flow Controller, 500 SCCM SiH4, STEC SEC-4400MC |
1633 | Horba STEC | IV-2410AV-03 | HORIBA STEC injection valve IV-2410AV-03 used |
1634 | Horba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, Ta(OC2H5)5, 0.05 g/min, Extra connector |
1635 | Horba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, Ta(OC2H5)5, 0.05 g/min, Mass Flow Controller |
1636 | Horba STEC | LF-210A-EVD | Horiba Stec LF-210A-EVD Liquid MFC, TDEAHf, 0.05 g/min, Mass Flow Controller |
1637 | Horba STEC | LF-310 | Horiba Stec LF-310, Mass Flow Controller, LF-310, TA(OC2H5)5 0.2 CCM |
1638 | Horba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid Flow Controller LFC, TEPO AMAT 3030-08009 |
1639 | Horba STEC | LF-310A-EVD | Horiba Stec LF-310A-EVD Liquid MFC, TEB, 0.2 g/min, mass flow control |
1640 | Horba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 4g/min |
1641 | Horba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD LFC, Liquid Flow Controller, TEOS, 7g/min |
1642 | Horba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid Flow Controller, LFC, TEOS, 7g/min |
1643 | Horba STEC | LF-410A-EVD | Horiba STEC LF-410A-EVD Liquid Flow Controller, TEOS, 4g/min, 3030-07663 |
1644 | Horba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid Mass Flow Controller, LFC, TEOS, 7.-g/min, LF410A, AMAT 3030-08008 |
1645 | Horba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, LFC, TEOS, 7.0G/min, LF-410A |
1646 | Horba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEB, 3.0 g/min, 9711182, mass flow control |
1647 | Horba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEB, 3.0g/min |
1648 | Horba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, TEOS, 10 g/min, Mass Flow Control |
1649 | Horba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD Liquid MFC, Unknown gas |
1650 | Horba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD, Liquid Flow Meter, TiCI4 2.0g/min |
1651 | Horba STEC | LF-410A-EVD | Horiba Stec LF-410A-EVD, MFC, TEOS, 7g/min, LF-410A |
1652 | Horba STEC | LF-410A-EVD | Horiba Stec LF-510 Liquid MFC, H2O, 20 CCM, Mass Flow Controller, X199303 |
1653 | Horba STEC | LF-510A | Horiba Stec LF-510A Liquid MFC, TEOS, 10 g/min, Mass Flow Controller, 2385 |
1654 | Horba STEC | LF-A40-A-EVD | Horiba Stec LF-A40M-A-EVD Liquid Flow Controller LFC, BTBAS, 1g/min |
1655 | Horba STEC | LF-A40M-A-EVD | Horiba STEC LF-A40M-A-EVD Liquid Mass Flow Meter, LFC, BTBAS, 1g/min |
1656 | Horba STEC | LF-F40-A-EVD | Horiba STEC LF-F40-A-EVD Liquid Flow Controller, LFC, mDEOS, 3/min, |
1657 | Horba STEC | STEC LF-F40M-A-EVD | Horiba STEC LF-F40M-A-EVD LFC Liquid Flow Controller, Ethanol, 3.0 g/min |
1658 | Horba STEC | LF-310A-EVD | Horiba Stec LV-310 Liquid MFC Mass Flow Controller, TEPO, 0.25 g/min |
1659 | Horba STEC | LF-310A-EVD | Horiba Stec LV-310 Liquid MFC, BUTYL ACETATE, 0.2 g/min, Mass Flow Controller |
1660 | Horba STEC | LF-310A-EVD | Horiba Stec LV-310 Liquid MFC, OCTANE, 0.2 g/min, Mass Flow Controller, 210439 |
1661 | Horba STEC | LV-F50PO | Horiba STEC LV-F50PO Liquid Mass Flow Controller LFC, IPA, 20g/Min, LV-F |
1662 | Horba STEC | LV-F50PO | Horiba STEC LV-F50PO Liquid Mass Flow Controller LFC, TEOS 25 CCM, LV-F |
1663 | Horba STEC | SEC-400MC | HORIBA STEC Mass Flow Controller SEC-400MC, MFC, 300 SCCM, AR |
1664 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 10 SCCM, O2 |
1665 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 20 CCM, SF6 |
1666 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 20 SCCM, N2 |
1667 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 20 SCCM, SF6 |
1668 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 20 SCCM, SIH4 |
1669 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 200 SCCM, SiH4 |
1670 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 300 CCM, Ar |
1671 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 300 CCM, CI2 |
1672 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 300 CCM, N2 |
1673 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 300 SCCM, Ar |
1674 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 300 SCCM, BCL3 |
1675 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 300 SCCM, CF4 |
1676 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC, 500 SCCM, N2 |
1677 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFC,CM, O2 |
1678 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFCCM, SI2 |
1679 | Horba STEC | SEC-4400M | HORIBA STEC Mass Flow Controller SEC-4400M, MFCCM, SiF4 |
1680 | Horba STEC | SEC-4400MC | HORIBA STEC Mass Flow Controller SEC-4400MC, MFCCM, N2 |
1681 | Horba STEC | SEC-4400MC-UC | HORIBA STEC Mass Flow Controller SEC-4400MC-UC, MFC, 30 CCM, HE |
1682 | Horba STEC | SEC-4400MC-UC | HORIBA STEC Mass Flow Controller SEC-4400MC-UC, MFC, 300 SCCM, SF6 |
1683 | Horba STEC | SEC-4400MO-SUC | HORIBA STEC Mass Flow Controller SEC-4400MO-SUC, MFC, 2 SLM, N2 |
1684 | Horba STEC | SEC-4400RC | HORIBA STEC Mass Flow Controller SEC-4400RC, MFCCM, N2 |
1685 | Horba STEC | SEC-7330M | HORIBA STEC Mass Flow Controller SEC-7330M, MFC, 20 CCM, HE |
1686 | Horba STEC | SEC-7330M | HORIBA STEC Mass Flow Controller SEC-7330M, MFC, 200 SCCM, HBr |
1687 | Horba STEC | SEC-7330M | HORIBA STEC Mass Flow Controller SEC-7330M, MFC, 200 SCCM, SF6 |
1688 | Horba STEC | SEC-7330M | HORIBA STEC Mass Flow Controller SEC-7330M, MFC, 30 CCM, O2 |
1689 | Horba STEC | SEC-7330M | HORIBA STEC Mass Flow Controller SEC-7330M, MFC, 300 SCCM, Ar |
1690 | Horba STEC | SEC-7330M | HORIBA STEC Mass Flow Controller SEC-7330M, MFC, 500 SCCM, Ar |
1691 | Horba STEC | SEF-1N | HORIBA STEC Mass Flow Controller SEF-1N, MFC, 1 SLMCCM, O2 |
1692 | Horba STEC | SEF-1N | HORIBA STEC MFC Mass Flow Controller SEF-1N, 20 SLM, 20000 SCCM, N2 |
1693 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M (CH3)SiH3 gas, 300 SCCM, S271302878 |
1694 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M Ar gas, 300 SCCM range, AMAT 3030-06264 |
1695 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M Si(CH3)H3 gas, 150 SCCM, AMAT 3030-08516 |
1696 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M, C4F8 gas, 50 SCCM, S282406823 |
1697 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M, CF4 gas, 50 SCCM, S271403549 |
1698 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M, SiF4 gasCM, AMAT 3030-06074 |
1699 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M, SiF4 gasCM, AMAT 3030-06074, S271304850 |
1700 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M, SiH4 gas, 200 SCCM, S2517983 |
1701 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC SiF4 gas, 50 SCCM range, S520344 |
1702 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC, C3H2F6 gas, 50 SCCM, S651026 |
1703 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC, CF4 gas, 200 SCCM, S2245097 |
1704 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC, He gas, 300 SCCM, S272101012 |
1705 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC-186 SiH2Cl2 gas,CM, S730718 |
1706 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC-RUC, Ar gas, 200 SCCM, S281504299 |
1707 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC-UC, C4F8 gas, 20 SCCM, S251603236 |
1708 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MC-UC, CH3F gasCM, S2362084 |
1709 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400MO, SiH2Cl2 gas, 300 SCCM, S2344191 |
1710 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400M-SUC, SiF4 gasCM, S610746 |
1711 | Horba STEC | SEC-4400 | Horiba Stec MFC SEC-4400, SEC-4400RC, C3F8 gas, 50 SCCM, S2263363 |
1712 | Horba STEC | MI-1000 | Horiba Stec MI-1000 LIQUID VAPORIZER MI-1251-PV, LIQYUID H2O, 0.5g/min |
1713 | Horba STEC | MV-2000 | Horiba Stec MV-2000 MIXING VAPORIZER MV-2272-PVF, LIQUID IPA, 2.5g/min |
1714 | Horba STEC | PAC-3F | Horiba Stec PAC-3F Floline |
1715 | Horba STEC | SEC-4400 | Horiba STEC SEC-4400 Mass Flow Controller MFC, CH3OH 200 SCCM, SEC-4400M |
1716 | Horba STEC | SEC-4400 | Horiba Stec SEC-4400 Mass Flow Controller, MFC |
1717 | Horba STEC | SEC-4400 | Horiba STEC SEC-4400 Mass Flow Controller, MFC, SiH2Ci2, 200 SCCM, SEC-4400MC |
1718 | Horba STEC | SEC-4400 | Horiba Stec SEC-4400 MFC, SEC-4400M, N2, 1 SLM |
1719 | Horba STEC | SEC-4400 | Horiba Stec SEC-4400 MFC, SEC-4400M, SiH4, 30 SCCM, AMAT 2030-06956 |
1720 | Horba STEC | SEC-4400 | Horiba Stec SEC-4400 MFC, SEC-4400M, SiH4, 30 SCCM, AMAT 3030-06956, S2814032 |
1721 | Horba STEC | SEC-4400 | Horiba Stec SEC-4400 MFC, SEC-4400MC, SiH4, 30 SCCM, S460318 |
1722 | Horba STEC | SEC-4400 | Horiba Stec SEC-4400 MFC, SEC-4400MC, SiH4, 50 SCCM, S35013 |
1723 | Horba STEC | SEC-4400RC | Horiba Stec SEC-4400 MFC, SEC-4400RC, Ar Gas, 10 SCCM, S740252 |
1724 | Horba STEC | SEC-4400 | Horiba Stec SEC-4400 MFC, SiH4, 30 SCCM, S282305545 |
1725 | Horba STEC | SEC-4400M | Horiba Stec Sec-4400, SEC-4400M, BF3 5 SCCM |
1726 | Horba STEC | SEC-4400M | Horiba Stec Sec-4400, SEC-4400M, SiH4 200 SCCM |
1727 | Horba STEC | SEC-4400M | Horiba Stec Sec-4400, SEC-4400M, SiH4 200 SCCM, AMAT 3030-04789 |
1728 | Horba STEC | SEC-4400MC | Horiba Stec Sec-4400, SEC-4400MC, C2F6, 50 SCCM |
1729 | Horba STEC | SEC-4400MC | Horiba Stec Sec-4400, SEC-4400MC, SiH4 200 SCCM |
1730 | Horba STEC | SEC-4400MC-660 | Horiba Stec Sec-4400, SEC-4400MC-660, C2F6, 50 SCCM |
1731 | Horba STEC | SEC-4400M | Horiba Stec Sec-4400, SFC-4400M, SiH4 200 SCCM, AMAT 3030-04789 |
1732 | Horba STEC | SEC-4400M | HORIBA STEC SEC-4400M AMAT 3030-01128 MFC Mass Flow ControllerCM |
1733 | Horba STEC | SEC-4400M | HORIBA STEC SEC-4400M Laminar AMAT 3030-02661W MFC Mass Flow Controller, 30 SCCM, WF6 |
1734 | Horba STEC | SEC-4400M | Horiba Stec SEC-4400M Mass Flow Controller, 272603893, MFC, Ar, 300 SCCM,324238 |
1735 | Horba STEC | SEC-4400M | Horiba Stec SEC-4400M Mass Flow Controller, MFC, Ar, 2000 SCCM |
1736 | Horba STEC | SEC-4400M | Horiba Stec SEC-4400M Mass Flow Controller, MFC, He, 3 SLM |
1737 | Horba STEC | SEC-4400M | HORIBA STEC SEC-4400M Mass Flow Controller, MFC, N2, 20 SCCM, 3030-12516 |
1738 | Horba STEC | SEC-4400M | Horiba Stec SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, w/ Cable |
1739 | Horba STEC | SEC-4400M | Horiba Stec SEC-4400M Mass Flow Controller, MFC, SiH4, 20 SCCM, AMAT 3030-06075 |
1740 | Horba STEC | SEC-4400M | Horiba Stec SEC-4400M Mass Flow Controller, MFC, WF6, 10 SCCM, Micron 810-03990R |
1741 | Horba STEC | SEC-4400M | HORIBA STEC SEC-4400M MFC Mass Flow Controller, 500 SCCM, He |
1742 | Horba STEC | SEC-4400M | Horiba Stec SEC-4400M, 281500972, 810-18314R, 2 SLM, Ar. |
1743 | Horba STEC | SEC-4400MC | Horiba Stec SEC-4400MC Mass Flow Controller, MFC, O2, 500 SCCM |
1744 | Horba STEC | SEC-4500 | Horiba STEC SEC-4500 Mass Flow Controller MFC, N2 20 SLM, SEC-4500MC-197 |
1745 | Horba STEC | SEC-7330M | Horiba STEC SEC-7330 Mass Flow Controller, MFC, HE, 500 SCCM, SEC-7330M |
1746 | Horba STEC | SEC-7330M | Horiba STEC SEC-7330 Mass Flow Controller, SIH4, 1 SLM, SEC-7330M |
1747 | Horba STEC | SEC-7340M | Horiba STEC SEC-7340M, MASS FLOW CONTROLLER, H2,10 SLM, 273700400 |
1748 | Horba STEC | SEC-7340M | Horiba STEC SEC-7340M, MASS FLOW CONTROLLER, H2,10 SLM, 282202815 |
1749 | Horba STEC | SEC-7340M | Horiba STEC SEC-7340M, MASS FLOW CONTROLLER, H2,10 SLM, 3030-05089 |
1750 | Horba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, C2F6, 5 SLM |
1751 | Horba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, C2F6, 5 SLM, 22-023873-00 |
1752 | Horba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, N2, 20 SLM |
1753 | Horba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, N2, 20 SLM, 01-08311 |
1754 | Horba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, NH3 10 SLM |
1755 | Horba STEC | SEC-7350M | Horiba Stec Sec-7350, SEC-7350M, O2, 20 SLM |
1756 | Horba STEC | SEC-7350MC | Horiba Stec Sec-7350, SEC-7350MC, C2F6, 5 SLM, 22-023873-00 |
1757 | Horba STEC | SEC-E60 | Horiba STEC SEC-E60 Mass Flow Controller, MFC, N2, 50 SLM |
1758 | Horba STEC | SEC-F730M | Horiba STEC SEC-F730M Mass Flow Controller, MFC, N2, 20 SCCM |
1759 | Horba STEC | SEF-1N | HORIBA STEC SEF-1N Floline Flow Meter, O2, 1 SLMCCM |
1760 | Horba STEC | SV-P1102 | Horiba Stec SV-P1102 Pneumatic Mass Flow Controller, MFC |
1761 | Horba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, LFC, Ta(OC2H5)5, 0.2g/min, |
1762 | Horba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, LFC, Ta(OC2H5)5, 02g/min, |
1763 | Horba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, Liquid Flow Controller, TiCl4, 0.5g/min |
1764 | Horba STEC | LF-310A-EVD | Horiba Stec, LF-310A-EVD, Liquid Mass Flow Controller, TiCl4, 0.2g/min |
1765 | Horba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, LFC, LFM, TEOS, 4g/min, AMAT 3030-07663W |
1766 | Horba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, LFC, TEOS, 4.0g/min, AMAT 3030-07663 |
1767 | Horba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, LFC, TEOS, 7g/min, AMAT 3030-08008 |
1768 | Horba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, LFC, TEOS, 7g/min, AMAT 3030-08008, |
1769 | Horba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, Liquid Mass Flow Controller, LFC, TEOS, 4g/min, |
1770 | Horba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, Liquid Mass Flow Controller, TEB, 2g/min |
1771 | Horba STEC | LF-410A-EVD | Horiba Stec, LF-410A-EVD, Liquid Mass Flow Controller, TEOS, 7g/min |
1772 | Horba STEC | SEC-3400S | Horiba Stec, SEC-3400S MFC, SEC-3400SL, WF6 gas, 10 SCCM Range, S1750 |
1773 | Horba STEC | SEC-4400 | Horiba Stec, SEC-4400, MFC, AR 500 SCCM |
1774 | Horba STEC | SEC-4400M | Horiba Stec, SEC-4400, SEC-4400M, MFC, AR 500 SCCM |
1775 | Horba STEC | SEC-4400M | Horiba Stec, SEC-4400M, Mass Flow Controller, AR 5 SLM |
1776 | Horba STEC | SEC-4400M | Horiba Stec, SEC-4400M, Mass Flow Controller, N2 5 SLM |
1777 | Horba STEC | SEC-4400 | Horiba Stec, SEC-4400M, Mass Flow Controller, SIH4 300 SCCM |
1778 | Horba STEC | SEC4400MC-UC | Horiba Stec, SEC-4400MC-UC, Mass Flow Controller, BCI3 100 SCCM |
1779 | Horba STEC | SEC-4500 | Horiba Stec, SEC-4500, SEC-4500MO-UC MFC, O2 gas, 10 SLM range, S24261 |
1780 | Horba STEC | SEC-4500M | Horiba Stec, SEC-4500M, Mass Flow Controller, 20 SLM H2 |
1781 | Horba STEC | SEC-7330 | Horiba Stec, SEC-7330 MFC, SEC-7330M, SiH2Cl2 Gas, 200 SCCM Range, S2821011 |
1782 | Horba STEC | SEC-7330 | Horiba Stec, SEC-7330 MFC, SEC-7330M, SiH4 Gas, 300 SCCM Range, S1312402 |
1783 | Horba STEC | SEC-7330M | Horiba Stec, SEC-7330M, Mass Flow Controller, SIH4 200 SCCM |
1784 | Horba STEC | SEC-7340 | Horiba Stec, SEC-7340, Mass Flow Controller, 10 SLM HE, 22-132267-00 |
1785 | Horba STEC | SEC-7340M | Horiba Stec, SEC-7340, Mass Flow Controller, 5 SLM N2, 22-186058-00 |
1786 | Horba STEC | SEC-7340 | Horiba Stec, SEC-7340, Mass Flow Controller, AR 2 SLM |
1787 | Horba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller, 10 SLM HE |
1788 | Horba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller, 5 SLM N2 |
1789 | Horba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller, 5 SLM N2, 22-186058-00 |
1790 | Horba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller, N2 10 SLM, 22-186060-00 |
1791 | Horba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller, N2 2SLM |
1792 | Horba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller, SIF4 2SLM |
1793 | Horba STEC | SEC-7340M | Horiba Stec, SEC-7340M, Mass Flow Controller, SIF4 2SLM, 22-120356-00 |
1794 | Horba STEC | SEC-7440 | Horiba Stec, SEC-7440 MFC, SEC-7440MC, He Gas, 200 SCCM Range, S234237 |
1795 | Horba STEC | SEC-F730M | Horiba Stec, SEC-F730M A1 MFC, SiH4 Gas, 20 SCCM Range, S 281301 |
1796 | Horba STEC | LF-410A-EVD-LG | Horiba StecVD-LG, Liquid Mass Flow Controller, TEOS, 7g/min |
1797 | Horba STEC | SEC V110DM | Horibas Stec, SEC V110DM, MFC, 500 sccm, gas Ar, minor dent |
1798 | Horba STEC | LF-F404M-A-EVD | HORIBASTEC LF-F404M-A-EVD DIGITAL LIQUID MASS FLOW METER, LIQUID ATRP |
1799 | Horba STEC | 3870-02238 | METAL SEAT UCV SUP 0.39~0.58MPa 4~6K INJECTION VALVE IV-2410AV 1082080522 |
1800 | Horba STEC | LF-A4OM-A-EVD | MFC LF-A4OM-A-EVD, Liquid: TEB, Flow Rate: 2.0g/min |
1801 | Horba STEC | LF-F20M-A-EVD | MFC LF-F20M-A-EVD, Liquid TDEAHf, flow rate .002g/min |
1802 | Horba STEC | SEC-3400SL-UC | NEW STEC MFC SEC-3400SL-UC, N2M, In the box. |
1803 | Horba STEC | SEC-4400M | NEW Stec MFC sec-4400, SEC-4400M, SiH4, 500 SCCM, A4589 |
1804 | Horba STEC | SEC-4400M | NEW Stec SEC-4400 MFC, SEC-4400M, WF6 gas, 10 SCCM flow rate, S0219 |
1805 | Horba STEC | SEC-4600R | NEW Stec SEC-4600 MFC, SEC-4600R, N2, S2797 |
1806 | Horba STEC | 2811809 | STEC 2811809 Type CA-H Cable Assembly |
1807 | Horba STEC | LF-410A-EVD | STEC 4.0g/min TEOS LFC LF-410A-EVD |
1808 | Horba STEC | 3870-02238 | STEC AMAT 3870-02238 INJECTION VALVE IV2410AV 1069260821 |
1809 | Horba STEC | IV-2410AV | STEC IV-2410AV Injection Valve |
1810 | Horba STEC | 2410AV | STEC IV-2410AV, 2101625-10, liquid, Injection Valve. |
1811 | Horba STEC | IV-2410AV | STEC IV-2410AV, Injection Valve |
1812 | Horba STEC | IV-2410AV | STEC IV-2410AV, Injection Valve, AMAT 3870-02238 |
1813 | Horba STEC | IV-2410AV-02HW | STEC IV-2410AV-02HW, Injection Valve. |
1814 | Horba STEC | LF-210A-EVD | Stec LF-210A-EVD Liquid Mass Flow Controller TDEAHf, 0.02g/min, Horiba Stec |
1815 | Horba STEC | LF-310A-EVD | STEC LF-310A LFC, LF-310A-EVD, TEPO, 0.5g/min, AMAT 3030-08009 |
1816 | Horba STEC | LF-410A | STEC LF-410A LFC, LF-410A-EVD, TEOS, 4g/min, AMAT 3030-07663 |
1817 | Horba STEC | LF-410A-EVD | Stec LF-410A Liquid Mass Flow Controller TEOS 8.0 CCM, S0112 |
1818 | Horba STEC | LF-410A-EVD | STEC LF-410A-EVD Liquid Flow Controller LFC TEOS 3.0 g/min VJ114-6LS-M3 |
1819 | Horba STEC | LF-410A-EVD | Stec LF-410A-EVD Liquid Mass Flow Controller TEB 3g/min, S0101 |
1820 | Horba STEC | LF-410A-EVD | Stec LF-410A-EVD Liquid Mass Flow Controller TEOS 3g/min, S3814 |
1821 | Horba STEC | LF-410A-EVD | Stec LF-410A-EVD Liquid Mass Flow Controller TEOS 5g/min, S0216 |
1822 | Horba STEC | LF-A30M-A-EVD | STEC LF-A30M-A-EVD, TEB .2g/Min, 3312600229 |
1823 | Horba STEC | LF-A30M-A-EVD | STEC LF-A30M-A-EVD, TEPO .2g/Min1000 |
1824 | Horba STEC | SEF4400M | STEC Mass flow meter SEF4400M N2 100sccm with cal sheet |
1825 | Horba STEC | SEC-7440MC | Stec MFC ,mass flow controller, SEC-7440MC, O2 100 SCCM, AMAT 3030-02384 |
1826 | Horba STEC | SEC-4400 | STEC MFC MASS FLOW CONTROLLER SEC-4400 AR GAS 300 SCCM 740548 |
1827 | Horba STEC | SEC-400 | STEC MFC MASS FLOW CONTROLLER SEC-4400 SEC-4400M N2 100 SCCM |
1828 | Horba STEC | SEC-400 | STEC MFC MASS FLOW CONTROLLER SEC-4400M SEC-4400MC N2 100 SCCM 50 SCCM |
1829 | Horba STEC | SEC-4400MC | Stec MFC Mass Flow Controller SEC-4400MC CH3F gas 20 SCCM range |
1830 | Horba STEC | SEC-400 | STEC MFC MASS FLOW CONTROLLER SEC-4400MC N2 100 SCCM |
1831 | Horba STEC | SEC-4400 | STEC MFC MASS FLOW CONTROLLER SEC-4400MC-UG-G2 AR GAS 300 SCCM 631208 |
1832 | Horba STEC | SEC-7340 | STEC MFC Mass Flow Controller SEC-7340 10SLM Gas N2 |
1833 | Horba STEC | SEC-7340M | STEC MFC Mass Flow Controller SEC-7340M 3SLM Gas NF3 |
1834 | Horba STEC | SEC-7340 | STEC MFC Mass Flow Controller SEC-7350 15SLM Gas N2 |
1835 | Horba STEC | SEC-4400 | STEC MFC SEC-4400, Ar, 1 SLM WITH CALABRATION. |
1836 | Horba STEC | SEC-4400MC | Stec MFC SEC-4400, SEC-4400MC, N2, 500 SCCM, S0482 |
1837 | Horba STEC | SEC-4400M | STEC MFC SEC-4400M NH3, 1 SLM, With CALABRATION SHEET |
1838 | Horba STEC | SEC-4400MC | STEC MFC SEC-4400MC-UC, O2 100 SCCM |
1839 | Horba STEC | SEC-F740M | Stec MFC SEC-F740M A1, H2, 10 SLM looks clean |
1840 | Horba STEC | SV-P1000 | STEC MFC SV-P1000, TYPE SV-P1101 looks clean |
1841 | Horba STEC | STEC MFC, Mass Flow Controller, SEC-4400, N2CM | |
1842 | Horba STEC | SEC-4400M | STEC MFC, Mass Flow Controller, SEC-4400, SiH4CM |
1843 | Horba STEC | PAC-3H | STEC PAC-3H FLOLINE INTERFACE MODULE AC100/115V 50/60HZ 780701 |
1844 | Horba STEC | PAC-S5 | STEC PAC-S5 Massflow Meter Controller |
1845 | Horba STEC | SEC-3400S | STEC SEC-3400S Mass Flow Controller, MFC, SEC-3400SL-SP, AR, 20 SCCM |
1846 | Horba STEC | SEC-3400SL-UC | STEC SEC-3400SL-UC Mass Flow Controller, MFC, N2CM, AMAT 3030-01614 |
1847 | Horba STEC | SEC-3400SL-UC | Stec SEC-3400SL-UC, Mass Flow Controller, MFC, N2CM |
1848 | Horba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller MFC Cl2 500 SCCM SEC-4400M SEC-4400MC |
1849 | Horba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, Ar, 3 SLM, SEC-4400MC-SUC |
1850 | Horba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, Ar, 50 SCCM, SEC-4400MC-UC-G2, SEC-4400MC |
1851 | Horba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, Cl2, 200 SCCM, SEC-4400MC |
1852 | Horba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, HE, 10 SCCM, SEC-4400MC |
1853 | Horba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, N2, 500 SCCM, SEC-4400MC |
1854 | Horba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, O2, 2 SLM, SEC-4400MC-UC |
1855 | Horba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, O2, 3000 SCCM, SEC-4400MC-G2 |
1856 | Horba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, O2,CM, AMAT 3030-08488 |
1857 | Horba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, O2,CM, Micron |
1858 | Horba STEC | SEC-4400 | STEC SEC-4400 Mass Flow Controller, MFC, O2,CM, Micron 810-25410 |
1859 | Horba STEC | SEC-4400M | Stec SEC-4400 MFC Mass Flow Controller, SEC-4400M SF6 Gas, 300 CCM Range |
1860 | Horba STEC | SEC-4400 | Stec SEC-4400 MFC, SEC-4400 O2,CM range S8951 |
1861 | Horba STEC | SEC-4400BC | Stec SEC-4400 MFC, SEC-4400BC, CF4 20 SCCM |
1862 | Horba STEC | SEC-4400M | Stec SEC-4400 MFC, SEC-4400M NF3 Gas, 500 SCCM Range, S4879 |
1863 | Horba STEC | SEC-4400M | Stec SEC-4400 MFC, SEC-4400M SF6 300 SCCM with calibration sheet |
1864 | Horba STEC | SEC-4400M | Stec SEC-4400 MFC, SEC-4400M SiH4 Gas, 300 SCCM Range, S3911 |
1865 | Horba STEC | SEC-4400-MO | Stec SEC-4400 MFC, SEC-4400-MO HF GasCM Range, S1423 |
1866 | Horba STEC | SEC-4400MO-SUC | Stec SEC-4400 MFC, SEC-4400MO-SUC CF4 Gas, 3 SLM Range |
1867 | Horba STEC | SEC-4400RC-291 | Stec SEC-4400 MFC, SEC-4400RC-291 SiH4 Gas, 500 SCCM Range, S520011 |
1868 | Horba STEC | SEC-4400MC-RVC | Stec SEC-4400, MFC Mass Flow Controller, SEC-4400MC-RVC SiF4 20SCCM N2 |
1869 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller MFC Cl2, 500 SCCM |
1870 | Horba STEC | SEC-4400MC-RUG-G2 | STEC SEC-4400M Mass Flow Controller MFC He, 10 SCCM |
1871 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller MFC He, 500 SCCM |
1872 | Horba STEC | SEC-4400m | STEC SEC-4400M Mass Flow Controller MFC O2,CM |
1873 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller MFC WF6CM |
1874 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, He, 10 CCM, SEC-4400 ; |
1875 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, He, 10 CCM, SEC-4400; |
1876 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, 20 SCCM, SiF4 |
1877 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, 500 SCCM, SEC-4400 |
1878 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, Ar, 300 SCCM, SEC-4400 |
1879 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, AR, 500 SCCM, Calibrated |
1880 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, BCl3CM, SEC-4400 |
1881 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, Cl2, 200 SCCM, SEC-4400 |
1882 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, Cl2CM, Calibrated |
1883 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, HCl, 200 SCCM, SEC-4400 |
1884 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, HCl, 500 SCCM, SEC-4400 |
1885 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, He, 10 SCCM, SEC-4400 |
1886 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, He, 300 SCCM, AMAT 0226-44706 |
1887 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, He, 300 SCCM, SEC-4400; we are selling as seller refurbished |
1888 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, He, 500 SCCM, SEC-4400; |
1889 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 1 SLM, AMAT 3030-04222 |
1890 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 10 SCCM, AMAT 3030-12515 |
1891 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 20 SCCM, AMAT 3030-01138 |
1892 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 20 SCCM, AMAT 3030-12516 |
1893 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 20 SCCM, SEC-4400; |
1894 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM |
1895 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, AMAT 3030-12519 |
1896 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400 |
1897 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400; |
1898 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2CM |
1899 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, N2CM, Calibrated |
1900 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, NH3, 300 SCCM, SEC-4400 |
1901 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, O2, 500 CCM, Calibrated |
1902 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, O2,CM, AMAT 3030-06426 |
1903 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, O2,CM, Calibrated |
1904 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, PH3, 500 SCCM, SEC-4400; |
1905 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiF4CM, SEC-4400 |
1906 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH2Cl2, 200 SCCM, SEC-4400 |
1907 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 |
1908 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 300 SCCM, SEC-4400 |
1909 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4, 500 SCCM, SEC-4400 |
1910 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, SiH4CM, SEC-4400 |
1911 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, WF6, 200 SCCM, SEC-4400 |
1912 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, WF6, 300 Sccm |
1913 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, WF6, 5 SCCM, SEC-4400 |
1914 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, WF6CM, SEC-4400 |
1915 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFC, XeCM, SEC-4400 |
1916 | Horba STEC | SEC-4400M | STEC SEC-4400M Mass Flow Controller, MFCCM, SiF4 |
1917 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, 200 sccm SiF4 |
1918 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, Cl2, 200 SCCM |
1919 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, CO2, 200 SCCM, SEC-4400 |
1920 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, O2, 500 SCCM, SEC-4400 |
1921 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, Mass Flow Controller, SiH4, 200 SCCM, SEC-4400 |
1922 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, NH3, 300 SCCM, SEC-4400, AMAT 3030-04780 |
1923 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, SiF4, 200 SCCM, SEC-4400, AMAT 3030-04784 |
1924 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, SiF4CM, SEC-4400, AMAT 3030-06074 |
1925 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, SiH4, 500 SCCM, SEC-4400, AMAT 3030-04804 |
1926 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, SiH4CM, SEC-4400, AMAT 3030-04798 |
1927 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, WF6, 200 SCCM, SEC-4400, AMAT 3030-02663 |
1928 | Horba STEC | SEC-4400M | STEC SEC-4400M MFC, WF6CM, SEC-4400, 810-03990R |
1929 | Horba STEC | SEC-4400M | STEC SEC-4400M, Mass Flow Controller, MFC, Ar, 300 SCCM |
1930 | Horba STEC | SEC-4400M | STEC SEC-4400M, Mass Flow Controller, MFC, CHF3CM |
1931 | Horba STEC | SEC-4400M | STEC SEC-4400M, Mass Flow Controller, MFC, N2, 200 SCCM |
1932 | Horba STEC | SEC 4400M | STEC SEC4400M, MFC, 500 sccm, SiH4, label also says 1.5.L. |
1933 | Horba STEC | SEC-4400M | Stec SEC-4400M, MFCM, 02,AR, AMAT 3030-09771, VCR 1/4 Gasket 2 Pieces |
1934 | Horba STEC | SEC-4400M | Stec SEC-4400M, MFCM, 02/AR, AMAT 3030-09771, VCR 1/4 Gasket Quantity 2 |
1935 | Horba STEC | SEC-4400M | STEC SEC-4400MC Mass Flow Controller MFC N2, 200 SCCM |
1936 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, AR, 50 SCCM, SEC-4400 |
1937 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, AR, 500 SCCM, Calibrated |
1938 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, AR, 500 SCCM, SEC-4400 |
1939 | Horba STEC | SEC-4400-MC | STEC SEC-4400-MC Mass Flow Controller, MFC, BCl3CM, SEC-4400 |
1940 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, CF4, 50 SCCM, SEC-4400 |
1941 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, CF4, 500 SCCM, SEC-4400 |
1942 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, CF4CM, SEC-4400 |
1943 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, Cl2CM |
1944 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, H2CM |
1945 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, HClCM, SEC-4400 |
1946 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, HE, 200 SCCM, SEC-4400 |
1947 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, N2, 200 SCCM, Calibrated |
1948 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, N2, 500 SCCM |
1949 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, N2, 500 SCCM, Calibrated |
1950 | Horba STEC | SEC-4400-MC | STEC SEC-4400-MC Mass Flow Controller, MFC, NH3, 300 SCCM, SEC-4400 |
1951 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, NH3CM, SEC-4400 |
1952 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SF6, 50 SCCM, SEC-4400 |
1953 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiF4, 20 SCCM, SEC-4400 |
1954 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiF4cm |
1955 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiF4CM, SEC-4400 |
1956 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 |
1957 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiH4, 300 SCCM, SEC-4400 |
1958 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, SiH4, 500 SCCM, SEC-4400 |
1959 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, WF6, 200 SCCM |
1960 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, WF6, 200 SCCM, SEC-4400 |
1961 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, WF6, 300 SCCM, SEC-4400 |
1962 | Horba STEC | SEC-4400MC | STEC SEC-4400MC Mass Flow Controller, MFC, WF6CM, SEC-4400 |
1963 | Horba STEC | SEC-4400MC | STEC SEC-4400MC MFC, Mass Flow Controller, AR, 500 SCCM, Calibrated |
1964 | Horba STEC | SEC-4400MC | Stec SEC-4400MC, 0224-00767, 560242, AR, 2 SLM, AR C.F. 1.000. |
1965 | Horba STEC | SEC-4400MC | Stec SEC-4400MC, Mass Flow Controller, MFC, AR, 500 SCCM |
1966 | Horba STEC | SEC-4400MC | STEC SEC-4400MC, MFC, SiH4, 500 SCCM, SEC-4400, AMAT 3030-01062 |
1967 | Horba STEC | SEC-4400MC-146 | STEC SEC-4400MC-146 Mass Flow Controller, MFC, SiH2Cl2,CM, SEC-4400 |
1968 | Horba STEC | SEC-4400MC-UC-G1 | STEC SEC-4400MC-G1 Mass Flow Controller, MFC, N2, 300 SCCM, SEC-4400 |
1969 | Horba STEC | SEC-4400MC-G2 | STEC SEC-4400MC-G2 Mass Flow Controller, MFC, N2, 300 SCCM, SEC-4400 |
1970 | Horba STEC | SEC-4400MC-RUC | STEC SEC-4400MC-RUC Mass Flow Controller, MFC, Ar, 200 SCCM, SEC-4400 |
1971 | Horba STEC | SEC-4400MC-RUC | STEC SEC-4400MC-RUC Mass Flow Controller, MFC, SiH4, 200 SCCM, SEC-4400 |
1972 | Horba STEC | SEC-4400MC-RUC | STEC SEC-4400MC-RUC MFC, Mass Flow Controller, O2,CM, SEC-4400 |
1973 | Horba STEC | SEC-4400MC-RUC | STEC SEC-4400MC-RUC MFC, WF6, 200 SCCM, SEC-4400, AMAT 3030-04784 |
1974 | Horba STEC | SEC-4400MC-RUC-G | STEC SEC-4400MC-RUC-G Mass Flow Controller, MFC, O2,CM, SEC-4400 |
1975 | Horba STEC | SEC-4400MC-RUC-G1 | Stec SEC-4400MC-RUC-G1, N2, 200 SCCM, Mass Flow Controller (Calibrated). 330206 |
1976 | Horba STEC | SEC-4400MC-RUC-G2 | STEC SEC-4400MC-RUC-G2 Mass Flow Controller, MFC, N2CCM, SEC-4400 |
1977 | Horba STEC | SEC-4400MC-RUG-G2 | STEC SEC-4400MC-RUG-G2 Mass Flow Controller MFC N2O, 500 SCCM |
1978 | Horba STEC | SEC-4400MC-SUC | STEC SEC-4400MC-SUC Mass Flow Controller, MFC, HBR, 200 SCCM, SEC-4400 |
1979 | Horba STEC | SEC-4400MC-SUC | STEC SEC-4400MC-SUC, Mass Flow Controller, MFC, CHF3, 300 SCCM |
1980 | Horba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC Mass Flow Controller, MFC, BCl3CM, SEC-4400 |
1981 | Horba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC Mass Flow Controller, MFC, C2F6, 50 SCCM, SEC-4400 |
1982 | Horba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC Mass Flow Controller, MFC, CF4CM, SEC-4400 |
1983 | Horba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC Mass Flow Controller, MFC, SF6, 50 SCCM, SEC-4400 |
1984 | Horba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC MFC, Mass Flow Controller, CL2, 500 SCCM, SEC-4400 |
1985 | Horba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC MFC, Mass Flow Controller, N2, 200 SCCM, SEC-4400M |
1986 | Horba STEC | SEC-4400MC-UC | STEC SEC-4400MC-UC, Mass Flow Controller, MFC, CH3FCM |
1987 | Horba STEC | SEC-4400MC-UC | Stec SEC-4400MC-UC, NF3, 500 SCCM, MFC, Mass Flow Controller (Calibrated).422511 |
1988 | Horba STEC | SEC-4400MC-UC-G2 | STEC SEC-4400MC-UC-G2 Mass Flow Controller MFC N2, 200 SCCM, 3030-05388 |
1989 | Horba STEC | SEC-4400MC-UC-G2 | STEC SEC-4400MC-UC-G2 Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400 |
1990 | Horba STEC | SEC-4400MO | STEC SEC-4400MO Mass Flow Controller, MFC, BF3, 2 SCCM |
1991 | Horba STEC | SEC-4400MSR-MO | STEC SEC-4400MSR-MO Mass Flow Controller, MFC, WF6CM, SEC-4400 |
1992 | Horba STEC | SEC-4400R | STEC SEC-4400R Mass Flow Controller, MFC, SiH4, 500 SCCM, SEC-4400 |
1993 | Horba STEC | SEC-4400RC | STEC SEC-4400RC Mass Flow Controller, C3F8, 50 SCCM, SEC-4400; |
1994 | Horba STEC | SEC-4400RC | STEC SEC-4400RC Mass Flow Controller, MFC, C3F8, 50 SCCM, SEC-4400 |
1995 | Horba STEC | SEC-4400RC | STEC SEC-4400RC Mass Flow Controller, MFC, HBR, 200 SCCM, SEC-4400 |
1996 | Horba STEC | SEC-4400RO | STEC SEC-4400RO Mass Flow Controller, MFC, AR, 5 SCCM, SEC-4400; |
1997 | Horba STEC | SEC-4550 | STEC SEC-4550 MFC, N2, 30 SLM, used |
1998 | Horba STEC | SEC-4550 | STEC SEC-4550 MFC, N2, 40 SLM, used |
1999 | Horba STEC | SEC-4550 | STEC SEC-4550 MFC, O2, 50 SLM, used |
2000 | Horba STEC | SEC-4550 | STEC SEC-4550MC Mass Flow Controller, MFC, O2, 50 SLM; we are selling as used |
2001 | Horba STEC | SEC-4600R | STEC SEC-4600R Mass Flow Controller, MFC, N2 |
2002 | Horba STEC | SEC-7340M | STEC SEC-7340M Mass Flow Controller, MFC, Ar, 3 SLM, AMAT, 3030-10980 |
2003 | Horba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC CF4, 5 SLM, SEC-7350MC, SEC-7350M |
2004 | Horba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC N2O 20 SLM SEC-7350M C, SEC-7350M |
2005 | Horba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC NH3 20 SLM SEC-7350M C, SEC-7350M |
2006 | Horba STEC | SEC-7350 | STEC SEC-7350 Mass Flow Controller MFC, O2 20 SLM, SEC-7350MC, SEC-7350M |
2007 | Horba STEC | SEC-7440MC | Stec SEC-7440 MFC, SEC-7440MC, He, 20 SCCM gas range, S3754 |
2008 | Horba STEC | SEC-7440MC | Stec SEC-7440 MFC, SEC-7440MC, He, 500 SCCM gas range, S 8471 |
2009 | Horba STEC | SEC-7440M | STEC SEC-7440M Mas Flow Controller, MFC, O2, 5 SLM, AMAT 3030-02717 |
2010 | Horba STEC | SEC-F730M | STEC SEC-F730M Mass Flow Controller, MFC, N2, 20 SCCM |
2011 | Horba STEC | SEC-V100 | Stec SEC-V100 MFC Ar 50 SLM, SEC-V121DM Model, Clean |
2012 | Horba STEC | SEF-1R | Stec SEF-1R Floline, N2, 20 SLM, 2422032, Flow, Meter. |
2013 | Horba STEC | SEF-7350M | STEC SEF-7350M Mass Flow Meter, N2, 20 SLM, SEF-7350; |
2014 | Horba STEC | PAC-3E | STEC, Horiba PAC-3E Power Supply, MFC |
2015 | Horba STEC | SEC-4400MC | |
2016 | Horner Electric, Inc. | HE693THM406A | HORNER ELECTRIC HE693THM406A PCB INPUT THERMOCOUPLE MODULE |
2017 | Horner Electric, Inc. | HE693THM406C | HORNER ELECTRIC HE693THM406C PCB INPUT THERMOCOUPLE MODULE |
2018 | Horner Electric, Inc. | HE693THM406D | HORNER ELECTRIC HE693THM406D PCB INPUT THERMOCOUPLE MODULE |
2019 | HP | E7084-66555 | HP/Versatest E7084-66555 VL16 Site Motherboard |
2020 | HP | 40210-80007 | HP80007 RS232 C BOARD |
2021 | HP | IEEE-488 | Type IEEE-488 GPIB Interface Cable, Male/Female |
2022 | HQ Power | PS1503SBU | HQ Power PS1503SBU DC Power Supply, RS1135 |
2023 | HQ Power | PS3003U | HQ Power PS3003U Power Supply, 0-30V, 0-3A |
2024 | Hroiba Stec | SEC-4400RC-291 | Stec SEC-4400RC-291 Mass Flow Controller, MFC, SiH4, 500 SCCM |
2025 | HTC | 1000WOG | HTC Ball ValveG, CF8M, 7660, 2316 |
2026 | HTS | AWH-052-020D | HTS Amptek AWH-052-020D 2FT x 0.5IN, 156 Watts, 240 Volts |
2027 | Hubbell | HBL4720C | 10 New Vari-Size Cord Grip HUBBELL HBL4720C, 15 Amp Plug |
2028 | Hubbell | GF5252GY | Hubbell GF5252GY, GFCI, Ground Fault Circuit Interrupter |
2029 | Hubbell | GF5252IA | Hubbell GF5252IA Ground Fault Device, 20A, 125VAC |
2030 | Hubbell | HBL2715 | Hubbell HBL2715, Flanged Inlet, Twist-Lock, Nylon, Three Pole |
2031 | Hubbell | HBL5279C | Hubbell HBL5279C Flanged Nylon Receptacle, Replaces 5279C |
2032 | Hubbell | SHC1041CRNR | Hubbell SHC1041CRNR Cord Connector, Nylon, N.P.T |
2033 | Hubbell | HBL5266C | Lot of 3 Vari-Size Cord Grip HUBBELL HBL5266C |
2034 | Hubble | 3D209 | 5 Graingers 3D209 Inlet, 20A 125V 5-20P Flanged, Hubbel Plug,HBL5378C |
2035 | Huber | 125-Cc-NR | Huber Ministat Refrigerated/Heating Circulating Bath Ministat 125-Cc-NR |
2036 | Humphery | CT-8 | New Humphery CT-8 Pneumatic cylinder Semitool 72068-185 8DPI .5m / pb84 ct8 rs3 |
2037 | Humphrey | 062 4E1 36 | 2 Humphrey 062 4E1 36 120V, 50 / 60HZ, SOLENOID VALVE, 0-125 PSI, 8.2W |
2038 | Humphrey | CT-8 | 2 Humphrey CT-8 Cylinder Pneumatic .50 Bore Kit, Semitool 72068-185 |
2039 | Humphrey | 062 4E1 36 | Humphrey 062 4E1 36,120V, 50 / 60HZ, SOLENOID VALVE, 0-125 PSI, 8.2W |
2040 | Humphrey | 062 4e1 | Humphrey 062 4e1 Solenoid Valve, General Purpose, 24 VAC |
2041 | Humphrey | 062E1-2-10-20-35 | HUMPHREY 062E1-2-10-20-35 SOLENOID VALVE , 24 DC, 0-125 PSI, 6.7 WATTS |
2042 | Humphrey | 062E2 3 21 | HUMPHREY 062E2 3 21 General Purpose Valve 30-125 PSI, 7.6 W, 12DC |
2043 | HUMPHREY | 52-0334 | HUMPHREY 52-0334 SOLENOID VALVE 24V DC, 125PSI, 4.5 WATTS, V310 VAI |
2044 | Humphrey | CT-8 | Humphrey CT-8 Cylinder Pneumatic .50 Bore Kit, Semitool 72068-185 |
2045 | Humphrey | H040-4E1 | Humphrey H040-4E1 Air Valve |
2046 | Humphrey | H040M164 | Humphrey H040M164, Sun-X SL-MP16, BES-6771-001 RevC, 16 Station Manifold |
2047 | Humphrey | H110M2A | Humphrey H110M2A, Solenoid Valve Mainfold, 2 HA110-4E2-PSL |
2048 | Humphrey | H110M2A | Humphrey H110M2A, Solenoid Valve, Manifold w/ Air Logic R-7100 Regulator |
2049 | Humphrey | HA040-4E1 | Humphrey HA040-4E1 Air Valve |
2050 | Humphrey | HG10T3-80-PS3 | Humphrey HG10T3-80-PS3, Norgren Ro7-100-RGKA, Manifold Assembly |
2051 | Humphrey | HRAPS5-90-S | Humphrey HRAPS5-90-S, Rotary Actuator |
2052 | Humphrey | 062E1-3-10-20-35 | HUMPHREY PRODUCTS 062E1-3-10-20-35 SOLENOID VALVE , 24 DC, 0-125 PSI, 6.6 WATTS |
2053 | Humphrey | 062E1-3-11-20-35 | HUMPHREY PRODUCTS 062E1-3-11-20-35 SOLENOID VALVE , 24 DC, 0-125 PSI, 6.6 WATTS |
2054 | Humphrey | 7-DP-4 | HUMPHREY PRODUCTS 7-DP-4 Pneumatic Air Cylinder |
2055 | Humphrey | S42E1 | Humphrey S42E1- P0539 Solenoid Valve |
2056 | Humphrey | D0006-13A | Humphrey Solenoid Valve D0006-13A |
2057 | Humphrey | T062-4E2 | Humphrey T062-4E2 Solenoid Valve 30-125PSI 12VDC 7.6W |
2058 | Huntington Labs | G.450 | 10 Hunnington Conflank flange G.450, Copper Ring, 3.8″ inches. |
2059 | Huntington Labs | Unknown | Huntington 4 Way Valve |
2060 | Huntington Labs | CT-103 | Huntington CT-103 Coaxial Foreline Trap Sealed Body 1″ Hose w/ Tee Line |
2061 | Huntington Labs | 29-12227-01 | Huntington Labs 29-12227-01 Linear Motion Feedthrough, High Vacuum |
2062 | Huntington Labs | PV-150-SF | USED HUNTINGTION ISOLATION VALVE PV-150-SF |
2063 | HVA | 11211-0400X-001 | HVA 11211-0400X-001 Gate Valve |
2064 | HVA | 14512-0150 | HVA 14512-0150 Vacuum Valve |
2065 | HVA | 21241-0709R-001 | HVA 21241-0709R-001, VK3240L, Gate Valve |
2066 | HVA | 1-1210-0601 | HVA Gate Valve, AMAT, 1-1210-060, 93-27790 |
2067 | HVA | 21210-02562-002 | New HVA gate valve 21210-02562-002 |
2068 | HVCO | DC8G501KA | HVCO DC8G501KA Ceramic Capacitor 500PF, +/-10%, 7.5KVDC, X5T |
2069 | HYBRICON | 025-032 | HYBRICON CORP 025-032 PCB BACKPLANE RACK |
2070 | HydePark | SM300A-460-00FP | HydePark SM300A-460-00FP Ultrasonic Sensor, 12-24 VDC, 25mA |
2071 | Hyprez | 15LM115V | Hyprez Enigis 15LM115V Wafer Polishing Lapping Machine,115v, 5A, AMT |
2072 | HYTREX | GX30-10 | 6 Hytrex II 30 Micron Cartridge Filter GX30-10 |
2073 | Hytrex II | GX30-10 | 2 Hytrex II GX30-10 30 Micron Cartridge Filter |
2074 | I.S.I. | 350020-10 | I.S.I. 350020-10 PCA Board, PCB PW 350025 |
2075 | I.S.I. | 350050-02 | I.S.I. 350050-02 PCA Board, 350050, PCB 350055 |
2076 | I.S.I. | 350330-05 | I.S.I. 350330-05 Board, PCB 350335-01 |
2077 | I.S.I. | 350400-02 | I.S.I. 350400-02 Board, PCB, 350405-01 |
2078 | I.S.I. | 350400-03 | I.S.I. 350400-03 Board, PCB, 350405-01 |
2079 | I.T.E. | PW125RA2400F02 | I.T.E. PW125RA2400F02 Wide Range L.T.E. Power Supply |
2080 | IAI | RCP-C-RSAI | Robocylinder RSAIL-100 |
2081 | Ibiden, Co., LTD. | PGA-303U-FJC | 49 Ibiden, Co., LTD. PGA-303U-FJC Chips, Fujitsu |
2082 | IBM | 02R2373 | IBM 02R2373 PCB Board, |
2083 | IBM | 77711801 | IBM 77711801 5.25 INCH FLOPPY DRIVE |
2084 | IBM | 03L3051 | IBM CD Driver 1969-010, 1S1969010970004295, P/N 03L3051 |
2085 | IBM | AP5200IF | IBM single board computer, AP5200IF, V1.2 |
2086 | IBM | 287810U | IBM ThinkPad Mini-Dock 287810U |
2087 | IBM | X345 | IBM X345 FRU 48P9029 Operator Information Card |
2088 | IBM | X345 | IBM X345 FRU 48P9029 Operator Information Card, PCB |
2089 | IC sensors | 3145-050 | IC Sensors 3145-050 lot’s of 2 |
2090 | ICE | MIO-A-2-608 | Analog voltage 2 point output module looks new |
2091 | ICE | MIO-IDC-32-300 | ICE MIO-IDC-32-300, 24VDC 32 Point Input Modules. 323096 |
2092 | ICE | MIO-IDC-32-300 | ICE MIO-IDC-32-300, 24VDC 32 Point Input Modules040024, SC14026, SP-GT23 |
2093 | ICPCON | 80188-40 | ICPCON CPU 80188-40 ETHENET 10 BASE T, C-7188EX CONTROLLER |
2094 | ICSensors | 3145-100 | ICSensors 3145-100 Sensor Cable Assy w/ Calibration Data Sheet |
2095 | IDC Industrial Devices Corp | WES07M | IDC Industrial Devices Corp Electric Cylinder Model WES07M |
2096 | Ideal Vacum Products | GL4303 | 2 Ideal Vacum Products GL4303, Adapt, NW16 – 1/2″ VCR, F, |
2097 | IDEC | RH2B-ULC | 1 Lot of 3 Idec RH2B-ULC Cube Relay w/ SH2B-05C Relay Socket |
2098 | IDEC | DC24V | 1 Lot of 6 Idec DC24V Relay w/ SY2S-05 Base |
2099 | IDEC | RH1B-4 | 10 IDEC RH1B-4, Relay, AC24V, 67Y19 |
2100 | IDEC | RTE-B2AD24 | 2 IDEC RTE-B2AD24 Electronic Timer |
2101 | IDEC | SR3B-05 | 2 IDEC SR3B-05 10A 300V Relay Socket Base |
2102 | IDEC | SY4S-05 | 2 IDEC SY4S-05 Cube Relay 94X01 Relay Socket |
2103 | IDEC | RH2B-ULC | 3 Idec RH2B-ULC Cube Relay w/ SH2B-05C Relay Socket |
2104 | IDEC | 4H-23-NE | 9 IDEC 4H-23-NE Lamp Pilot Leo Square |
2105 | IDEC | ABD111N | IDEC ABD111N Industrial push button switch with black, green, and red covers |
2106 | IDEC | NRBM3111 | IDEC Circuit Protector NRBM3111, Metron 3104600, 15A, 3 Phase, VDE |
2107 | IDEC | HWIB-V4F02-R | Idec Corporation HWIB-V4F02-R Pushbutton, Lot of two |
2108 | IDEC | GT3A-6AD24 | IDEC GT3A-6AD24, Electronic Timer, DPDT, 24VAC/DC |
2109 | IDEC | HW4B-V401-R EMO-2-NVLS | IDEC HW4B-V401-R EMO-2-NVLS Switch |
2110 | IDEC | PS5R-D24 | IDEC PS5R-D24 Din Rail Mount Power Supply, WITH POWER CORD |
2111 | IDEC | PS5R-SF24 | IDEC PS5R-SF24 Power Supply, 120W |
2112 | IDEC | PS5R-SF24 | IDEC PS5R-SF24 Power Supply, 120W, 50/60Hz0VAC, 1.8A, RS1262 |
2113 | IDEC | RTE-B1AD24 | idec RTE-B1AD24 Electronic Timer |
2114 | IDEC | 3104600 | IDEC Type NRBM 3111 Circuit Protect, Metron 3104600, CB, 15A, 3P, VDE |
2115 | IDEC | XW1E-BV413MR | IEDEC Type XW1E-BV413MR Emergency Stop Button |
2116 | IDI | 301-M6L1 | 301-M6L1-S IDI Pumpless Pump ADS Unit To Switch Between Two Bottles |
2117 | IDI | 1-140-146 | IDI 1-140-146, (IDI PUMP), Block, Bottom, 1 Output-M300. |
2118 | IDI | 550 | IDI CYBOR 550 PUMP, 4-550-011-10, 550 SOG 1/4 X 1/4 Pressure on Demand |
2119 | IDI | 221-962-116-000 | IDI Resist Pump Typeransducer 221-962-116-000 Integrated Design 1-130-018 |
2120 | IDK | VAC-2000ES | IDK Corp VAC-2000ES, RGB VIdeo Distribution Amplifier, input, output cables. |
2121 | IFC | SE10-104 | IFC10 SE10-104 PCB BOARD AP-401A HI03298A |
2122 | IFC10 | SE10-104 | IFC10, SE10-104 PCB, BOARD CONTROLLER |
2123 | IFM | 0G5119 0GH-FPKG/V4A/US | 2 IFM 0G5119 0GH-FPKG/V4A/US Photo Electric Sensor Assy |
2124 | IFM | SM6001 | IFM Efector SM6001 Magnetic Inductive Flow Meter, RS1133 |
2125 | IFM | SM6001 | IFM Efector SM6001 Magnetic Inductive Flow Meter, RS1134 |
2126 | IFM | KA-2010-FRKG/KA5017 | ifm electronic KA-2010-FRKG/KA5017 Capacitive Proximity Switches |
2127 | IFM | IFC259 | IFM IFC259 Proximity Switch, Cable |
2128 | IGC | FI-5A | IGC FI-5A Polycold Cryogenic Refrigeration Unit, R2000 Series, 940068-12 |
2129 | IGC Polycold | 11102-05 | IGC Polycold Cryotiger water pump 11102-05 |
2130 | IKK | SCS316L | IKK SCS316L, Hose, NW 50/10″, Vacuum Bellow, pipe 10″. |
2131 | IKO | NAX1223 | 6 IKO NAX1223 Z Needle Bearing, Nippon Thompson |
2132 | IKO | NART5VR | 96 IKO NART5VR Needle Roller Follower Bearing, Outer Ring 16x5x12mm |
2133 | IKO | LWL12C1R200H | IKO LWL12C1R200H Linear Guide, LM, Elevator |
2134 | IKO | LWL12C1R270H | IKO LWL12C1R270H Linear Guide, LM TT, Nippon Thompson |
2135 | IKO | E75 | IKO NIPON THOMPSON LWM 2 E75 LINEAR RAIL 1.5X19 |
2136 | ILC Technology | HSH 1002 NEO | ILC Technology HSH 1002 NEO Super High Pressure Mercury Short Arc Lamp |
2137 | ILC Technology | HSH 1002 NL | ILC Technology HSH 1002 NL Super High Pressure Mercury Short Arc Lamp |
2138 | ILLINOIS INSTRUMENTS | 3000 | ILLINOIS INSTRUMENTS 3000 RTP RAPET THERMAL PROCESSOR0 VAC |
2139 | Illumina | 250878 | ILLUMINA ASSY 250878 CCA, COMM, ACHIMEDES, 250659, DCDC converter CPD250-4530G, PCU-201 |
2140 | ILX Lightwave | LDC-3722B | ILX Lightwave LDC-3722B LASER DIODE CONTROLLER |
2141 | Imation | NS20 | Imation Travan NS 20 GB Tape |
2142 | IMS | Vanguard | IMS Vanguard w/ 2 AT8000B Programmable DC System 2 Agilent 6651A DC Power Supply |
2143 | IMS Nanofabrication | FX40K100-316 | IMS FX40K100-316Vaccum Below KF_DN40_S100_316 Vacom |
2144 | IMT | SYO-200 | IMT SYO-200 Wafer Edge Grinder System |
2145 | IMTEC | 10-000-1139 | IMTEC 10-000-1139 QZ-C1502 SOLVENT WETBENCH CHAMBER TANK |
2146 | IMTEC | 10-000-0511 | IMTEC ACCUBATH QZ A1502-18 10-000-0511 TANK QTZ HTD NON-MOLDED |
2147 | Imtec Acculine | 10-000-0126 | QZ series accubath, Constant temperature, Quartz process bath system, QZ-A1252 00R |
2148 | IN USA | HAMAT-4 | IN USA HAMAT-4 Ozone Monitor IN-USA, CHANNEL CONTROL, AMAT |
2149 | Industrial | 790-054242-007 | Industrial gas springs 790-054242-007 |
2150 | Industrial Control Equipment | MIO-A-2-608 | Industrial Control Equip. MIO-A-2-608 Analog Voltage, 2 Pt Output Module |
2151 | Industrial Control Equipment | MIO-A-2-608 | Industrial Control MIO-A-2-608 Analog Voltage, Output Module 119-101-400 |
2152 | Industrial control equipment / Berkeley Process, | MIO-A-2-608 | analog voltage 2 point output I/O module |
2153 | Industrial control equipment / Berkeley Process, | MIO-EXP10 | Industrial control equipment BP693CHS392J, MIO-EXP10, expansion board, 10 slots |
2154 | Industrial control equipment / Berkeley Process, | MIO-A-4-600 | Lot of 4, analog voltage 4 point input modules |
2155 | Industrial control equipment / Berkeley Process, | MIO-IDC-32-300 | Lot of 4nt input modules 24VDC |
2156 | Industrial Scientific | 1810-0859 | Industrial Scientific 1810-0859 Calibration Gas Cylinder |
2157 | Inertia | 1704-0041 | Harmonic, Assy, Roller Drive, Inertia Dynamics 1704-0041, AMAT 0010-03419 |
2158 | Inertial Dynamics | 9700-9534-01 | Intertia Dynamics 9700-9534-01 Rev B |
2159 | INES UNLIMITED | A 21-96 | 2 INES UNLIMITED A 21-96 COMPUTER DYNAMICS VAMP FRAME BUFFER KM68257CJ-15 |
2160 | INFICON | SPS-696-16-P50 | Lot of 10 INFICON SPS-696-16-P50 CABLE |
2161 | INFICON | SPS-696-16-P50 | Lot of 9 INFICON SPS-696-16-P50 CABLE |
2162 | Inficon AG Balzers | Inficon 12201 Ecotec II Helium leak detector | |
2163 | Inficon AG Balzers | 600-1008-P15 | Inficon 60-1008-P15 Power Supply Extension Cable |
2164 | Inficon AG Balzers | 3CD1-C5E-2300 | Inficon AG 3CD1-C5E-2300 Capacitance Diaphragm Gaugerr, CDG100D |
2165 | Inficon AG Balzers | CDG100D | INFICON AG LI-9496 Balzers CDG100D CAPACITANCE MANOMETER 10 TORR |
2166 | Inficon AG Balzers | CDG100A | INFICON AG, LI-9496 Balzers, CDG100ARR |
2167 | Inficon AG Balzers | 353-500 | Inficon Balzers 353-500 Pressure Gauge, BPG400, Sensor, 354-490, RS1256 |
2168 | Inficon AG Balzers | 354-490 | Inficon Balzers 354-490 Pressure Gauge Sensor, BPG400 |
2169 | Inficon AG Balzers | 354-490 | Inficon Balzers 354-490 Pressure Gauge, BPG400, Sensor, RS1253 |
2170 | Inficon AG Balzers | 354-490 | Inficon Balzers 354-490 Pressure Gauge, BPG400, Sensor, RS1254 |
2171 | Inficon AG Balzers | 354-490 | Inficon Balzers 354-490 Pressure Gauge, BPG400, Sensor, RS1255 |
2172 | Inficon AG Balzers | 354-492 | Inficon Balzers 354-492 Spare Sensor Head, BCG450, 353-557 |
2173 | Inficon AG Balzers | 354-492 | Inficon Balzers 354-492 Spare Sensor Head, BCG450-SD, 353-557 |
2174 | Inficon AG Balzers | BCG450-SD | Inficon Balzers BCG450-SD TripleGauge Vacuum Gauge, 353-557, 354-492 |
2175 | Inficon AG Balzers | BCG450-SD | Inficon Balzers BCG450-SD TripleGauge Vacuum Gauge, 353-557, RS1209 |
2176 | Inficon AG Balzers | BCG450-SD | Inficon Balzers BCG450-SD TripleGauge Vacuum Gauge, 353-557, RS1210 |
2177 | Inficon AG Balzers | BCG450-SD | Inficon Balzers BCG450-SD TripleGauge Vacuum Gauge, 353-558, 353-557 |
2178 | Inficon AG Balzers | CDG100A | Inficon Capacitance Diaphragm Gauge CDG100A , 1333.22Pa |
2179 | Inficon AG Balzers | CR090 | Inficon CR090 Capacitance Diaphram Gauge |
2180 | Inficon AG Balzers | IPC400 | INFICON IPC400 Model # 922-602-G1 |
2181 | Inficon AG Balzers | Modul1000 | Inficon Modul1000 Leak Detector w/ BOC Edwards XDS5 Dry Scroll Dry Pump, RS1067 |
2182 | Inficon AG Balzers | PCG550 | INFICON PCG550, 3PC6-001-2110, Vacuum gauge, 15…30V, 2.5W; LPS |
2183 | Inficon AG Balzers | SKY | Inficon Sky Capacitance Diaphragm Gauge |
2184 | Inficon AG Balzers | DN 40 ISO-KF | Inficon Thick Wall Vacuum Bellow, Metal hose DN 40 ISO-KF 250m |
2185 | Inficon AG Balzers | CPM200 | Inficon transceptor CPM200 Residual gas analyzer RGA |
2186 | Inficon AG Balzers | VSA100A | Inficon VSA100A Vacuum Switch w/ 3m Cable1 |
2187 | Inficon AG Balzers | 350140 | Infinicon AG 350-140 Pirani Gauge AF LI-9496 Balzers, |
2188 | Inficon AG Balzers | PSG500-S | Infinicon Balzers PSG500-S Pirani Gauge, 350-080, |
2189 | Inficon AG Balzers | BPG400 | Infinicon BPG400 |
2190 | Inficon AG Balzers | CDG045-SD | Infinicon CDG045-SD Sky Capacitance Diaphragm Gaugeorr, 365-301 |
2191 | Inficon AG Balzers | CDG100A | Infinicon CDG100A Capacitance Diaphragm Gauge, PG62, 10 Torr, FL-9496 Balzers |
2192 | Inficon AG Balzers | XTM | Infinicon XTM Thickness monitor / Process controller |
2193 | Inficon Leybold-Heraeus | 017-604 | Inficon Leybold-Heraeus4 Probe, QF210 155026, 440035 |
2194 | Infineon | BSZ110N06NS3 | 1 Lot of 5000 Infineon Technologies BSZ110N06NS3 G MOSFET N-Ch 60V 20A |
2195 | Infineon | IRFP4368PBF | 10 Infineon IRFP4368PBF Power mosfet, N channel, 75V, 350 A |
2196 | Infineon | DDR2 | 19 memory 1 GB, Crucial , Infineon, 1GB 240-PIN DIMM 128Mx64 DDR2 |
2197 | Infineon | IRFP4368PBF | 25 Infineon IRFP4368PBF Power mosfet, N channel, 75V, 350 A |
2198 | Infineon | V23818-H18-L37 | 5 Infineon V23818-H18-L37, Laser Transciever, 95/004307 |
2199 | Infineon | HYS72V16301GR-7.5-C2 | Infineon HYS72V16301GR-7.5-C2, 128 MB SYNCH, 133MHz, SDRAM |
2200 | Infotrend | 9270CmSASCab3 | Infotrend 9270CmSASCab3 Cable for Raid System, |
2201 | Infranor | BAA-220T20A | Infranor BAA-220T20A Servo Controller, 220VAC, 3 Phase |
2202 | Infranor | SMTAS 220/17 | Infranor SMTAS 220/17 Servo Controller, Amplifier, 957170 |
2203 | Infranor | SMTAS 220/17 | Infranor SMTAS 220/17 Servo Controller, Amplifier, 957170, RS1290 |
2204 | Infranor | SMTAS-220/10 | Infranor SMTAS Brushless Servo Controllers, 3 SMTAS-220/10, SMTAS-220/17 |
2205 | Infranor | BAA-220T20A | Lot of 4, Servo controller. Factory surplus. Looks new |
2206 | Ingersoll Rand Industrial Technologies | PD01P-HPS-PAA-A | Ingersoll Rand PD01P-HPS-PAA-A ARO Double Diaphragm Pump LTV-TP Air Valve,117033 |
2207 | Initia/Madge | IAP-20A | Initia/Madge IAP-20A AccessSwitch 20 Network Switch, |
2208 | Initia/Madge | IAP-20A | Initia/Madge IAP-20A Model 20 Network Switch, |
2209 | Initia/Madge | IAP-20B | Initia/Madge IAP-20B Model 20 Network Switch, |
2210 | Initia/Teleos | IAP-20A | Initia/Teleos IAP-20A Model 20 Network Switch, |
2211 | Inmac | 385B | Inmac 385B Auto-T-SwitchC, 60 Hz, |
2212 | Insert | PK73261-H01 | PK73261-H01 Insert, Lower, E (28 PON) |
2213 | instrument | 0P76003 | 0P76003 JITTER GENERATOR |
2214 | instrument | HT23-397 | 2 Linear stage X axis, DS4-200-C-5G-X23-OE4-LP2-HP1-BS-EO-CLN and HT23-397 |
2215 | Instrument Laboratory, Inc. | R-481-24 | Instrument Laboratory R-481-24 Clippard Minimatic Electronics |
2216 | Instrument Meter Specialties | S3ILAAAD | IMS S3ILAAAD S3PLMV A-C AMPERES 3.5″120AAC, 0-5A AC |
2217 | INSULATOR | LFTIM87-3479-02 | 1 Lot of 100 AC INSULATOR BASE PEQ OEM |
2218 | INSULATOR | 87-2605-02 | 1 Lot of 120 AC INSULATOR BASE PEQ OEM 87-2605-02 |
2219 | INSULATOR | 87-3232-02 | 1 Lot of 130 AC INSULATOR BASE PEQ OEM87-3232-02 |
2220 | INSULATOR | 601353 | INSULATOR LOWER ELECTORDE 384T, ETCH |
2221 | Insulator | E393-0714T | Ring E393-0714T, Middle |
2222 | Insulator | 100-09040214 | SG04188 Guide, Upper Unloader, 200mm. 100-09040214 |
2223 | Insulators | 507808-00 | Lot of 2 Insulators, 507808-00, Teflon or Nylon |
2224 | Integrated | INT1-APM1 | Integrated INT1-APM1 300mm Wafer Cleaner Spin Rinse Dry Module H9/10 SRDi |
2225 | Integrated Circuit Support | ICS-00-674782-00 | Integrated Circuit Support ICS-00-674782-00 Ceramic Ring, 5″ |
2226 | Integrated Circuit Support | ICS-40-297-002 | Integrated Circuit Support ICS-40-297-002 Quartz Pin, Tegal 40-297-002 |
2227 | Integrated Circuit Support | ICS-40-395-001 | Integrated Circuit Support ICS-40-395-001 Ceramic Ring, Flat 6″ |
2228 | Integrated Measurement Systems, IMS | 100-0410-000 | IMS 100-0410-000 Loopback Fixture PCB |
2229 | Integrated Measurement Systems, IMS | 110-0410-000 | IMS 110-0410-000 Loopback Fixture PCB |
2230 | Integrated Measurement Systems, IMS | 110-0410-001 | IMS 110-0410-001 Loopback Fixture PCB |
2231 | Integrated Measurement Systems, Inc. IMS | 100-0352-001 | IMS 100-0352-001 CLK And Enable Distribution Slave Board, PCB |
2232 | Integrated Measurement Systems, Inc. IMS | 100-0353-001 | IMS 100-0353-001 CLK And Enable Distribution Slave Board, PCB |
2233 | Integrated Measurement Systems, Inc. IMS | 110-0351-001 | IMS 110-0351-001 Bus Interface Module w/ SBS Backplane Controller Board |
2234 | Integrated Measurement Systems, Inc. IMS | 110-0352-001 | IMS 110-0352-001 CLK And Enable Distribution Slave Board, PCB |
2235 | Integrated Measurement Systems, Inc. IMS | 110-0352-101 | IMS 110-0352-101 CLK And Enable Distribution Slave Board, PCB |
2236 | Integrated Measurement Systems, Inc. IMS | 110-0353-001 | IMS 110-0353-001 CLK And Enable Distribution Slave Board, PCB |
2237 | Integrated Measurement Systems, Inc. IMS | 110-0353-101 | IMS 110-0353-101 CLK And Enable Distribution Slave Board, PCB |
2238 | Integrated Measurement Systems, Inc. IMS | 121-0357-104 | IMS 121-0357-104 PCB57-004 |
2239 | Integrated Power Designs | SRW-45-4005 | Integrated Power Designs SRW-45-4005 Quad Output Power Suppy |
2240 | Integrated Power Designs | SRW-200-4006 | INTEGRATED POWER SRW-200-4006-6 POWER SUPPLY, AC, 12-24V, 0019979-00 |
2241 | integrated system | Unknown | Integrated Flow System, 2 position Auto Puge GAS bottle station, Micro TORR PS11 |
2242 | INTEL | 862725 | 1 Lot of 10 Intel 862725 TXN31115D000000 4GB Fiber Optic Transceiver |
2243 | INTEL | 862725 | 1 Lot of 7 Intel 862725 TXN31115D000000 4GB Fiber Optic Transceiver 850nm 112022 |
2244 | INTEL | E46970-101 | 16 Intel E46970-101 Server Front I/O Panel Board, PCB |
2245 | INTEL | 233100000 | 233100000 sensor level optical 3 |
2246 | INTEL | 233553093 | 233553093 Lamp connector XENON |
2247 | INTEL | 250128-001 | 3 Intel 250128-001, P8255A-5, L4182052, E-PROM Chip |
2248 | INTEL | S-1100ADU00-201 | 5 Intel S-1100ADU00-201 1100W Server Switching Power Supply |
2249 | INTEL | 500-0271-29 | AMAT, INTEL 500-0271-29 Heater chuck, 200mm, TXZ |
2250 | INTEL | 146040-001 | control board, Daughter board for Westinghouse 8MSP6 |
2251 | INTEL | 10/ 100BASE-TX | INTEL 10/ 100BASE-TX Ethernet Controller |
2252 | INTEL | 100HFA016LS | Intel 100HFA016LS Omni-Path Host Fabric Interface Card, PC, 948159 |
2253 | INTEL | 143098-001 | Intel 143098-001 Processor Board |
2254 | INTEL | 2CL520A013600 | Intel 2CL520A013600 Assy, Belt B |
2255 | INTEL | 454815-002 | INTEL 454815-002 PCB SND152991, 8024A, 145174-001 |
2256 | INTEL | 306451-013 | Intel 8/16 LAN Adapter 306451-013 PCLAB8100,8105,8110,8120 |
2257 | INTEL | A46654-X01 | Intel A46654-X01, Englewood Interim Board, PCB, A46654-X01 Rev. 1.1 |
2258 | INTEL | AA 663941-506 | INTEL AA 663941-506 VS440FX MOTHERBOARD & INTEL PENTIUM PRO SY013 200MHZ |
2259 | INTEL | AXX10GBNIAIOM | Intel AXX10GBNIAIOM 10 Gigabit Dual Port I/O Module, PCB |
2260 | INTEL | CCMII V1.2.1 | Intel CCMII V1.2.1 #6, 478679-004, PCB, ISG2000 DS |
2261 | INTEL | D865GBF | INTEL D865GBF/D865PERC DESKTOP BOARD, E210882 |
2262 | INTEL | DA0S6CTB4C0 | Intel DA0S6CTB4C0 Backplane Board, PCB, PBA G15585-301 |
2263 | INTEL | DPS-750XB A | Intel DPS-750XB A 750W Switching Power Supply, E98791-004 |
2264 | INTEL | DPS-750XB A | Intel DPS-750XB A 750W Switching Power Supply, E98791-005 |
2265 | INTEL | E36065-03 | Intel E36065-03 PCI, PCI-E Dual port network server |
2266 | INTEL | ELFRIDA 70ETBX | Intel ELFRIDA 70ETBX PCB, PB G20912-002 |
2267 | INTEL | Intel GS-021/H2BVRGF-702 Gas stick | |
2268 | INTEL | INNS04-4200 | Intel INNS04-4200, SS4000-E, Entry Storage System, TA:D39155-011 |
2269 | INTEL | D16 M2AI16 | Intel Master D16 M2AI16 440005-005 ON GE ELICINT ACR MILLENNIUM |
2270 | Intel | PB146298 | Intel PB146298 Remote Controller PCB |
2271 | INTEL | 451548-004 | Intel PBA-004 PCB, 02-10002, 44/10, PB 146298 |
2272 | INTEL | PB D54166-002 | Intel PBD502 SKU1-256MB |
2273 | INTEL | 146840-001 | INTEL PBn 146840-001 BOARD |
2274 | Intel | Pentium III 500 | Intel Pentium III 500/512/100/2.0V S1 Processor (Phillipines) |
2275 | INTEL | PRO/100+ | Intel PRO/100+, MIC D33025, E-G021-01-1539, P315030-BR1, PCB, Adapter |
2276 | INTEL | PRO/1000 | Intel PRO/1000 GT Dual Port Server Adopter 73P5119, D12974-003 |
2277 | INTEL | PRO/1000 GT | Intel Pro/1000 GT, P322547-AR1, Single Port Server Desktop Adapter |
2278 | INTEL | Pro/1000 | INTEL Pro/1000 MT Server Adapter |
2279 | INTEL | PRO/1000MT | Intel PRO/1000MT Server Adapter Card, PCB, D33025 |
2280 | INTEL | RMS3CC040 | Intel RMS3CC040 4-Port Integrated RAID Module, SAS, PCI Express |
2281 | INTEL | RMS3CC080 | Intel RMS3CC080 4-Port Integrated RAID Module, SAS, PCI Express |
2282 | INTEL | RMS3JC080 | Intel RMS3JC080 Integrated RAID Module, PCB |
2283 | INTEL | S-1100ADU00-201 | Intel S-1100ADU00-201 1100W Dual Switching Power Supply Assy, G84027-009 |
2284 | INTEL | SPRB23700062 | Intel SPRB23700062 Desktop Board Legacy Daughter Card 2, PCB |
2285 | INTEL | SPRB23700112 | Intel SPRB23700112 Desktop Board Legacy Daughter Card 2, PCB |
2286 | INTEL | SPRB23700210 | Intel SPRB23700210 Desktop Board Legacy Daughter Card 2, PCB |
2287 | INTEL | SPRB23700329 | Intel SPRB23700329 Desktop Board Legacy Daughter Card 2, PCB |
2288 | INTEL | SPRB23700330 | Intel SPRB23700330 Desktop Board Legacy Daughter Card 2, PCB |
2289 | INTEL | SPRB23700331 | Intel SPRB23700331 Desktop Board Legacy Daughter Card 2, PCB |
2290 | INTEL | SPRB23700334 | Intel SPRB23700334 Desktop Board Legacy Daughter Card 2, PCB |
2291 | INTEL | SPRB23700338 | Intel SPRB23700338 Desktop Board Legacy Daughter Card 2, PCB |
2292 | INTEL | 451548-002 | Intel-002 Multi-Bus Board, PCB, PB 146298 |
2293 | INTEL | 451548-003 | Intel-003 Multi-Bus Board, PCB, PB 146298 |
2294 | INTEL | 869477 | Lot of 10 |
2295 | INTEL | E7400 | Qty of 6 Intel Core E7200 2 Duo 2.80GHz / 3M / 1066 / 06 chip |
2296 | INTEL | 862100 | TXN174312013F06 |
2297 | Intel | INNS04-4200 | Intel INNS04-4200 SS4000-E Entry Storage System, TA:D39155-011 |
2298 | INTELLIGENT INSTRUMENTATION | PCI-20002M-1 | INTELLIGENT INSTRUMENTATION PCI-20002M-1 12 BIT ANALOG INPUT MODULE ASSY |
2299 | Interface Masters | 2264L | Interface Masters Niagara 2264L Quad Port Gigabit Ethernet PCB |
2300 | Interface PCA | 62-505780-00 | Interface PCA, 62-505780-00 Rev A01, 72-505780-00 Rev A03 RIN 845 0P3894A 395628 |
2301 | Interface PCB | 62-505780-00 | Interface PCB, 62-505780-00 Rev. A02 |
2302 | InterlinkBT | WSC WKC 572-0.4M | InterlinkBT WSC WKC 572-0.4M Bus Stop Connector Cable |
2303 | Intermec | 068486-003 | (Set of Two) Intermec 068486-003, Centronics Interface PCB Board . |
2304 | Intermec | 068487-003 | Intermec 068487-003 Centronics Parallel Interface Card PCB 056830 052713 |
2305 | Intermec | 3400 | Intermec EasyCoder 3400 |
2306 | International Fiber Systems IFS | 203-426-1180 | IFS 203-426-1180 VR4010 FM Video Receiver, General Electric |
2307 | INTERNATIONAL POWER | IHB24-1.2 | INTERNATIONAL POWER IHB24-1.2 dc Power Supply, 24VDC, 1.2 AMPS |
2308 | International power devices | QWS2412HS-Q | Lot of 4, DC-DC converter |
2309 | International power services | PUP30-10 | International power services PUP30-10 adapter power supply |
2310 | International Rectifier Infineon | IP2005CTRPBF | 1 Lot of 1606 International Rectifier Infineon IC,IP20005C,SYNC, PWR BLK, DRVR + FETS |
2311 | International Rectifier Infineon | IP2005CTRPBF | 1 Lot of 1912 1 pcs International Rectifier Infineon IC,IP20005C,SYNC, PWR BLK, DRVR + FETS |
2312 | International Rectifier Infineon | IP2005CTRPBF | 1 lot of 2000 pcs IP2005CTRPBF, IC,IP20005C,SYNC, PWR BLK, DRVR + FETS |
2313 | International Rectifier Infineon | IR3623MTRPBF-IR | 1 Lot of 3000 International Rectifier IR3623MTRPBF-IR HIGH FREQUENCY |
2314 | International Rectifier Infineon | IRF8714TRPBF | 1 Lot ofnternational Rectifier IRF8714TRPBF MOSFET N-CH 30V 8-SOIC |
2315 | International Rectifier Infineon | IRF8915TRPBF | 1 Lot ofnternational Rectifier IRF8915TRPBF MOSFET 2N-CH 20V |
2316 | Intersil | HIP1020CK-T | Intersil HIP1020CK-T Hot Plug Controller |
2317 | Intuitive Surgical Inc. ISI | 350470-01 | I.S.I. 350470-01 Board, PCB, 350475-02, Intuitive Surgical Inc. ISI |
2318 | invax | esc-5142 | Invax Tech esc-5142, 594, ESC, TZ-8DB, part # 01420-011 electrostatic chuck |
2319 | Invensys Eurotherm | Mini8 | Invensys Eurotherm MODBUS Mini8 Multi-Loop PID Controller |
2320 | IO | 1419350 Rev-C | IO Vacuum Controller Print Circuit Board Eaton Model#- 1519350 REV c |
2321 | IOGEAR | IOGEAR MiniLink 6′ PS2 KVM Switch Cable | |
2322 | IOMEGA | 3201-0085-01 | IOMEGA ABP-960U, assy # 3201-0085-01 |
2323 | Ion Systems | 6115 | Ion Systems 6115 Airforce Ionizing Blow-off Gun |
2324 | ION SYSTEMS | Model 775PVS | ION Systems Model 775PVS Periodic Verification System |
2325 | IOR | 2N1914 | IOR 2N1914 Rectifier, 35-3003-01 |
2326 | IOR | 2N1914 | IOR 2N1914 Rectifier, 35-3003-03 |
2327 | IPEC | 0760-727388 | IPEC Speedfam Novellus 0760-727388 Pneumatic end effector housing |
2328 | Ipitek | LT2000-110-8 | Ipitek Lumitherm LT2000-110-8, 03-3077-0001, AMAT 0190-35780 Control FBR-OPT |
2329 | Irie | SLS-5 | Irie SLS-5 Spectral Lamp Starter, |
2330 | IRIE KOKEN | IFSV40-C-3 | 2 Inline vacuum isolation valves KF50. metal bellows |
2331 | Irvine Optical | 75-005-9202 | Irvine Optical 75-005-9202 Lamp, Helimum, Tube |
2332 | Irvine Optical | Bl200 | Irvine Optical BL200 Inspection Station Mainframe Brooks Automation Macro |
2333 | Irvine Optical | U22BPL1 | Irvine Optical Corp. U22BPL1 PCB |
2334 | ISEL | 10-21-0027-70000-T002 | iselRobotik vacuum robot, isel Robotik 10-21-0027-70000-T002, |
2335 | Isolation | BD-0024286 | 2 DAE BD-0024286 SiC/SiC SUS316 |
2336 | ITE | BQ3B030 | ITE BQ3B030 Circuit Breaker, Type BQ, 240 VAC, 30A, 3 Pole, |
2337 | ITS | 800-0416 | Intergrated Time Systems 800-0croprocessor Control Center |
2338 | ITS | None | Intergrated Time Systems Microprocessor Control Center |
2339 | ITT Industries | GH41XT2974-2 | 2 ITT GH41XT2974-2 Conoflow Differential Pressure Regulator |
2340 | ITT Pomona | 4283 | 2 ITT Pomona 4283 Coaxial Adapter, 9438 PJ |
2341 | ITT Pomona | 4286 | ITT Pomona 4286 Coaxial Adapter |
2342 | ITT Pomona Electronics | 3581-CC-36 | 4 ITTC-36 Cable, PCB, Blue Tube |
2343 | ITT Standard | BY540900072700 | ITT BY540900072700 Plate Heat Exchanger, Bell & Gosset P21, RS1313 |
2344 | IVEK | MAINT-165-B | Ivek Maintainer Metering System Controller Rotary Liquid Maint-165-B |
2345 | IVS | 0001-00060 | IVS 0001-00060 Control module for SEM |
2346 | IVS | 72-21035-00 | IVS FOCUS CONTROL 72-21035-00, 60-21035-00, REV C |
2347 | IWAKI | FD-1 | IWAKI FD-1 PUMP DRIVER, FCA 1.23 |
2348 | IWAKI | FF-20BT2 | Iwaki FF-20BT2 Pneumatic Drive Bellows Pump |
2349 | IWAKI | FF-20HT | IWAKI FF-20HT Pneumatic Drive Bellows Pump |
2350 | IWAKI | FF-20HT | IWAKI FF-20HT Pneumatic Drive Bellows Pump, F SERIES PUMP AIR |
2351 | Iwaki | MD-40RM-200N | Iwaki Magnet Pump MD-40RM-200N, 91-54903, M1020645 |
2352 | IWAKI | MD-40RM-200N | Iwaki MD-40RM-200N Magnet Pump, M1020645 |
2353 | IWAKI | MD-55LFZ-115 | Iwaki MD-55LFZ-115 Magnetic Pump, MD-55R, 13 GALS/MIN, 19 FT, RS1264 |
2354 | IWAKI | MDG-H2RA100 | Iwaki MDG-H2RA100 Magnet Gear Pump |
2355 | Iwaki | MX-251AE6 | Iwaki MX-251AE6 Mag-Drive, Pump, 3450 RPM, Baldor CC546712 Motor, RS1277 |
2356 | IWAKI | PD-20R | Iwaki PD-20R, Pulse Dampener, Pump, Air Driver, Bellow, Pump |
2357 | IWAKI | PDS-1600 | IWAKI PDS-1600 Controller, DS774 |
2358 | Iwashita Engineering | AD3000 | Iwashita Engineering, IEI, AD3000 Pressure Regulator Automatic Dispenser |
2359 | IXIA | 850-0102-02-01 | IXIA 850-0102-02-01 REV A, PCB, OC30C12PHY, PM5357-BI, CCD43030E, M0042, HFBR 5208AM |
2360 | IXIA | 850-0102-04-02 | IXIA 850-0102-04-02 REV C, PCB, OC30C12PHY-SFP, EEC-M94V-0 1605, CIS-38452, CCD20550E M0047, PM5357-BI |
2361 | IXIA | 850-0102-04-02 | IXIA 850-0102-04-02 REV C, PCB, OC30C12PHY-SFP, EEC-M94V-0 1605, CIS-38455, CCD20550E M0046, PM5357-BI |
2362 | Ixia Communications | LM100TX | Ixia Communications LM100TX 4 Port 10/100 Base-T Ethernet Load Module |
2363 | J&S Plastics Inc. | 21319-A | J & S Plastics 21319-A Chemical Cart |
2364 | J&S Plastics Inc. | 21586 | J & S Plastics 21586 Chemical Cart |
2365 | J.A. Woollam | J.A. Woollam Co Inc – AccuMap-SE UI-1500 Spectroscopic Ellipsometer | |
2366 | J.A. Woollam | SSB-600.07.03 | J.A. Woollam SSB-600.07.03 PCB Board W/ Cosel YAW1012E Power Supply |
2367 | J.A. Woollam | SSB-600-06.03 | J.A. Woollam SSB-600-06.03 PCB Board |
2368 | JA Relay | 1B-TM-DC24V-P | JA-RELAY 1B-TM-DC24V-P 250 VAC 20 Pieces, New |
2369 | Jabsco | 31801-0115 | Jabsco 31801-0115 Industrial Diaphragm Pump, 30649-1004, 20132-046, RS1526 |
2370 | Japan Servo | MXE252C-100V01 | Japan Servo MXE252C-100V01, Rotary Encoder, Servo. |
2371 | JB | n/a | JB Variable Capacitor 9″ |
2372 | JCS | 1730-2115 | JCS 1730-2115 TEMP. CONTROL BOARD, PCB |
2373 | JCS | 1730-2110 | JCS 1730-2110 POWER SUPPLY BOARD |
2374 | JDS Uniphase JDSU | 2211-20SLAT | JDS Uniphase 2214-30SLQRT Argon Laser Head, 30 MW, |
2375 | JDS Uniphase JDSU | 2214-30SLQT | JDS UNIPHASE JDSU 2214-30SLQT Laser Head Beam |
2376 | JDS Uniphase JDSU | 2214-30SLT | JDS UNIPHASE JDSU 2214-30SLT Laser Head Beam |
2377 | JDS Uniphase JDSU | 2214-25MLUP | JDS Uniphase Laser 2214-25MLUP |
2378 | JDS Uniphase JDSU | 2112A-4SLBK | JDS Uniphase Laser power supply 2112A-4SLBK and cyonics JDS uniphase 2212B-4SLBK |
2379 | JDS Uniphase JDSU | 2112A-4SLBK | JDS Uniphase Laser power supply 2112A-4SLBK and Laser JDS uniphase 2212-4SLBK |
2380 | JDS Uniphase JDSU | OAB1552+1FA2 | JDS Uniphase OAB1552+1FA2 Erbium-Doped Fiber Amplifier, OAB, Optical |
2381 | JDS Uniphase JDSU | OWB10002 | JDS Uniphase OWB10002 Optical Workbench Receiver, SWS15106, SWS15107 |
2382 | JDS Uniphase JDSU | SWS16103 | JDS Uniphase SWS16103 Dual Band Switch, |
2383 | JDS Uniphase JDSU | 2214-25MLVP | JDS Uniphase, JDSU, 2214-25MLVP Laser |
2384 | JDS Uniphase JDSU | 1205-1 | JDSU 1205-1 Laser Power Supply |
2385 | JDS Uniphase JDSU | 2111P-25MLHCH | JDSU 2111P-25MLHCH Laser Power Supply, AC 100-120/200-240 V, |
2386 | JDS Uniphase JDSU | 2111P-25MLHCH | JDSU 2111P-25MLHCH Laser Power Supply, AC, 200-240 V ~ 15A 50/60 Hz, |
2387 | JDS Uniphase JDSU | 2214-20SLUP | JDSU 2214-20SLUP Laser and Power supply 2114P-20SLUP |
2388 | JDS Uniphase JDSU | 2214-25MLUP | JDSU 2214-25MLUP Laser and Power supply 2114-25MLUP |
2389 | JDS Uniphase JDSU | 2214-30SLQT | JDSU 2214-30SLQT Laser Head Beam with Spectra Physics |
2390 | JDS Uniphase JDSU | 1101P | Uniphase 1101P, V23-D01, W93100210, Laser Tube. |
2391 | Jefferson | 2036BA03T | Jefferson 2036BA03T, G34C087U, Solenoid Valve, 3/8″ N |
2392 | JEI | 1040012 | 2 JEI 1040012 Motors |
2393 | JEL | C4000 | JEL C4000, 4 Axes Control Unit |
2394 | Jennings | CVDD-60-0015 | Jennings CVDD-60-0015 Vacuum Variable Capacitor, 9-60pF, 15/9kVp452577 |
2395 | Jennings | UCS-300-7.5S | Jennings UCS-300-7.5S Vacuum Varibe Capacitor 10-300PF |
2396 | Jennings | UCS-300-7.5S | Jennings UCS-300-7.5S Vacuum Varible Capacitor 10-300PF |
2397 | Jennings | UCS-300-7.5S | Jennings UCS-300-7.5S, Vacuum Variable Capacitor, 7.500 VOLTS |
2398 | Jennings | UCS-300-7.5S | Jennings UCS-300-7.5S, Variable Vacuum Capacitor, 10-300PF, 7.500 VOLTS |
2399 | Jennings Technology | CMV1-1000-0303 | Jennings Technology CMV1-1000-0303 8-1000PF 3KV Vacuum Variable Capacitor, 601888 |
2400 | Jeol | JST-10F | Jeol Controller Cabinet Assembly, JST-10F, 880-9901-1, 307702-A1B-T2 |
2401 | JEOL | JSM-6400 F | JEOL JSM-6400 F SEM, scanning electron microscope |
2402 | Jeol | JST-10F | Jeol JST-10F EB Source Power Supply |
2403 | JET | JSG-96 | JET JSG-96 Benchtop Belt Sander/Disc Grinder, 3/4HP, 1 PH4P |
2404 | Jingkong | HKT3005-301C-100B-5E | Motor Rotary Encoder HKT3005-301C-100B-5E Jingkong, 42PA100G/42BLF47-2430/HK100 |
2405 | JIT | JKRT-90003 | JIT JKRT-90003 Ceramic, Top Ring, Big Hole |
2406 | J-MAR | 3012-05 | J-MAR Precision Systems 3012-05 Automated Microscope w/ Large XY Stage; selling as used |
2407 | JMAR PRECISION SYSTEMS INC. | 910-4914-001 | JMAR 910-4914-001 CONSOLE CONTROL REV 1 |
2408 | J-Mar Precision Systems Inc. | 010-0892 | J-Mar 010-0892 XYZ Controller |
2409 | JMC products | 4429711CR-4 | Lot of 10 mini box fans, 24vdc |
2410 | JOBIN YVON | H10 VIS | JOBIN YVON MONOCHROMATOR DA-40, H10 VIS |
2411 | JOHN FLUKE MFG CO, INC. | 611947 | JOHN FLUKE 611947 PARALLEL INTERFACE ASSY REV E |
2412 | John Fluke MFG CO. | 705285 | JOHN FLUKE 705285 SINGLE BOARD COMPUTER ASSY |
2413 | John Fluke MFG CO. | 705285 | JOHN FLUKE 705285 SINGLE BOARD COMPUTER ASSY REV T |
2414 | John Fluke MFG CO. | 718734 | JOHN FLUKE 718734 DUAL SERIAL INTERFACE BOARD |
2415 | Johnson Controls | A25AN-1E | 2 Johnson Controls A25AN-1E, Warm Air Control w/ Manuel Reset |
2416 | Johnson Controls | C500ECC-701 | Johnson Controls Digital Reset Controller C500ECC-701 |
2417 | Johnson Matthey | 037-0173-23 | New Johnson Matthey Sputtering Target 59 Al, 2 Si, PN 037-0173-23 |
2418 | Jonaco Machine | 416442-160 | Jonaco Machine -160 Orifice, Flow Pick-up, FSI |
2419 | JST | DT921T | JST DT921T TIMER |
2420 | K TECH | 0140-77433 | K TECH ELECTRONICS 0140-77433, CBL,RMT STAR/STOP CONT. |
2421 | K.A. Schmersal | 50137-12 | K.A. Schmersal 50137-12 Switch Assembly |
2422 | Kachina | 233-5052-91 | 3 new kachina 233-5052-91 block shield |
2423 | Kachina | 233-5052-90, | 5 new kachina block shield 233-5052-90, API-707, AP1-707 |
2424 | Kachina | 233-5052-90 | 6 Cleaned shields 233-3056-07, CC, LG clamp API-492 |
2425 | Kachina | 233-5052-91 | Kachina 233-5052-91 Block Shield |
2426 | KACHINA SEMICONDUCTOR SERVICES | 050-1005-01 | KACHINA SEMICONDUCTOR SERVICES 050-1005-01 UPPER ELECTRODE,8” |
2427 | Kaiser Systems | S1-1-1000 | Kaiser Systems S1-1-1000 1100595 AMAT 1140-01098 Power Supply |
2428 | Kalex | K668 | Kalex K668 Network Card PCB 94V-01500-0, E139761, E139761, 711269-004 |
2429 | KALREZ | AS-568 | KALREZ AS-568 O-RING K#253, COMPOUND 2037 |
2430 | Kalrez | AS-568A | Kalrez AS-568A O-RING K#278, COMPOUND 1050LF |
2431 | Kalrez | AS-568A | Kalrez AS-568A O-RING K#282, COMPOUND 4079 |
2432 | Kalrez | AS-568A | Kalrez AS-568A O-RING 2-110K#110 |
2433 | Kalrez | AS-568A | Kalrez AS-568A O-RING 2-118K# 118 |
2434 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 000011, COMPOUND 1050LF |
2435 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 000012, COMPOUND 4079 |
2436 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 008, COMPOUND 4079 |
2437 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 011, COMPOUND 4079 |
2438 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 012, COMPOUND 1045 |
2439 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 012, COMPOUND 2037 |
2440 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 012, COMPOUND 4079 |
2441 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 012, COMPOUND 8201 |
2442 | Kalrez | AS-568A | Kalrez AS-568A Oring K# 018, Compound: 4079 |
2443 | Kalrez | AS-568A | Kalrez AS-568A ORING K# 033, COMPOUND 4079 |
2444 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 039, COMPOUND 4079 |
2445 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 110, COMPOUND 1050 |
2446 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 110, COMPOUND 2037 |
2447 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 110, COMPOUND 4079 |
2448 | Kalrez | AS-568A | Kalrez AS-568A ORING K# 114, COMPOUND 8101 |
2449 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 122, COMPOUND 8201 |
2450 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 126, COMPOUND 2037 |
2451 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 129, COMPOUND 4079 |
2452 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 15, COMPOUND 4079 |
2453 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 153, COMPOUND 8201 |
2454 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 211, COMPOUND 4079 |
2455 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 226, COMPOUND 4079 |
2456 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 239, COMPOUND 4079 |
2457 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 244, COMPOUND 4079 |
2458 | Kalrez | AS-568A | Kalrez AS-568A O-RING K# 314, COMPOUND 8101 |
2459 | Kalrez | AS-568A | Kalrez AS-568A ORING K# 326, COMPOUND 8101 |
2460 | KALREZ | AS-568A | KALREZ AS-568A O-RING K#000260, COMPOUND:KLR-8101 |
2461 | KALREZ | AS-568A | KALREZ AS-568A O-RING K#014, COMPOUND 2037 |
2462 | KALREZ | AS-568A | KALREZ AS-568A O-RING K#111, COMPOUND 4079 |
2463 | KALREZ | AS-568A | KALREZ AS-568A O-RING K#111, COMPOUND3700-01796 |
2464 | Kalrez | AS-568A | Kalrez AS-568A O-RING K#120, COMPOUND:2-120 |
2465 | KALREZ | AS-568A | KALREZ AS-568A O-RING K#122, COMPOUND 4079 |
2466 | Kalrez | AS-568A | Kalrez AS-568A O-RING K#202, COMPOUND:4079, AMAT 3700-01441 |
2467 | KALREZ | AS-568A | KALREZ AS-568A O-RING K#226, COMPOUND: 8475, 2-226, 78-2837 |
2468 | KALREZ | AS-568A | KALREZ AS-568A O-RING K#256, COMPOUND:4079 |
2469 | KALREZ | AS-568A | KALREZ AS-568A O-RING K#260, COMPOUND:4079 |
2470 | KALREZ | AS-568A | KALREZ AS-568A O-RING K#262, COMPOUND 1045, FLANGE, 233266102, 333-262K1045 |
2471 | Kalrez | AS-568A | Kalrez AS-568A O-RING K#OMPOUND 8101 |
2472 | KALREZ | AS-568A | KALREZ AS-568A O-RING, K#118, COMPOUND: 8101 |
2473 | KALREZ | AS-568A | KALREZ AS-568A O-RING, K#226, COMPOUND: 8475UP, 2-226 8475, 78-2837 |
2474 | KARL SUS | 260PC116-01 | KARL SUS 260PC116-01 PCB, MANIPOLATOR, CCA, 614C116 |
2475 | Karl Suss | 260PC105-08 | KARL SUSS 260PC105-08 MICROCONTROLLER, CCA 8031 |
2476 | Karl Suss | 300 GL 038 | Karl Suss 300 GL 038 UV400 HP Ellipsoid Mirror Lamp House |
2477 | Karl Suss | PA 200 | Karl Suss PA 200 Semiautomatic Probe Station Assy, 532/266, 210AA0074-02 |
2478 | Kashiyama | SP80266 | Kashiyama Dry Pump Controller PC-026 SP-80266 C6-1282 |
2479 | Kashiyama | LEM 40 MA | KASHIYAMA IND LEM 40 MA LIQUID VACUUM PUMP FUJI ELECTRIC EXCELLENT POWER |
2480 | Kashiyama | MU600X-005 | Kashiyama MU600X-005 Dry Vacuum Pump |
2481 | Kawasaki | 3TT22-A001 | Kawasaki 3TT220-A001 Robot Blade Arm, 00-15-17-27-BA-3C, 70-00171-01 |
2482 | Kawasaki | MT3-028180-B | Kawasaki MT3-028180-B Robot Arm Wafer Handler Fork, End Effector |
2483 | Kawasaki Robot | DSMT3-028180-B | Kawasaki Robot DSMT3-028180-B, Wafer Handler Fork 6″ |
2484 | Kaydon | KA020XP4 | 2 Kaydon KA020XP4 Ball Bearing, AMAT 3060-10168, |
2485 | Kaydon | 15831001 | Kaydon 15831001 Reali Slim Ball Bearing, Microcote 296, AMAT 0190-75080 |
2486 | Kaydon | 53150001 | Kaydon 53150001 Reali Slim Ball Bearing, Microcote 296; we are selling as new |
2487 | Kaydon | KA060XP0 | Kaydon KA060XP0 Reali Slim 4-PT Ball Bearing, 6.0000 Bore; we are selling as new |
2488 | KAYDON CORPORATION | JU090XP0 | KAYDON CORPORATION JU090XP0 0C0, REALI SLIM BALL BEARING |
2489 | KB Electronics (HK) Ltd | KBWC-13K | KB KBWC-13K Solid State Motor Speed Control, 2.5A, 120VAC |
2490 | KCONTROLS | 5299-2501 | KCONTROLS 5299-2501 Liquid Level Controller |
2491 | KD Scientific | 780100 | KD Scientific 780100 KDS100 Infusion Pump |
2492 | KDNS AMERICA INC. | 7-39-25951 | KDNS 7-39-25951 INSTANEOUS Flow Meter, NF20-PTN-4, Dai Nippon Screen DNS |
2493 | Keithley | 2000 | Keithley 2000 Multimeter |
2494 | Keithley | 2100 | Keithley 2100 6 1/2 Digit Multimeter, RS1049 |
2495 | Keithley | 4200-SCS | Keithley 4200 Semiconductor Characterization SystemCS, SUM |
2496 | Keithley | 616 | Keithley 616, Intel E77258, Digital Electrometer |
2497 | Keithley | 619 | Keithley 619 Electrometer/Multimeter w/ 2 6194 Electrometer Module |
2498 | Keithley | 9134-122-02A | Keithley 9134-122-02A PCB |
2499 | Keithley | DAS-50 | Keithley DAS-50, PC7022, DAS-5014, DAS-50 PC7012, Memory board, PCB |
2500 | Keithley | PC-587-2 | KEITHLEY INSTRUMENTS PC-587-2 REV B, I- SOURCE PCB , 39023, 7916-IS-B, 94-98914-RP |
2501 | Keithley | PC-587-2 | KEITHLEY INSTRUMENTS PC-587-2 REV B, I- SOURCE PCB 137359, 7916-IS-B, 94-98914-RP |
2502 | Keithley | CTM-05 | Keithley Instruments CTM-05 PC6122 Rev F Counter/Timer Board 14014 |
2503 | Keithley | PC-587-2 | KEITHLEY INSTRUMENTS PC-587-2 REV B, I- SOURCE PCB , 33266, 7916-IS-B, 94-98914-RP |
2504 | Keithley | PC-587-2 | KEITHLEY INSTRUMENTS PC-587-2 REV B, I- SOURCE PCB , 36625, 7916-IS-B, 94-98914-RP |
2505 | Keithley | PC-587-2 | KEITHLEY INSTRUMENTS PC-587-2 REV C, I- SOURCE PCB , 160607, 7916-IS-B, 94-98914-RP |
2506 | Keithley | PC-587-2 | KEITHLEY INSTRUMENTS PC-587-2 REV D, I- SOURCE PCB , 368287, 7916-IS-B, 94-98914-RP |
2507 | Keithley | 707 | KEITHLEY MODEL 707 SWITCHING MATRIX |
2508 | Keithley | 9720 | KEITHLEY Model 9720 Guard Test Fixture USED |
2509 | Keithley | KPC-TM | KPC-TM COML CARD TRIGGER MASTER, PC8532, Keithley Metrabyte KPC-TM manual |
2510 | Kel | GP-IB | 2 Kel GP-1B to Optical Interface System, KIS-83GP000B-P, O-Shuttle, GPIB |
2511 | KEL | KIS-ADP 1 | 3 KEL KIS-ADP 1 AC Adaptor |
2512 | Kel | GP-IB | Kel GP-1B to Optical Interface System, KIS-83GP000B-P, O-Shuttle, GPIB |
2513 | Keltec | FQ300XE-CB | Keltec FQ300XE-CB GR 6 Coalescing Element, Quicny CPNE00300 |
2514 | KELTRON | MM4081/5/TU-1 | KELTRON CORP MINI PRINTER MM4081/5/TU-1 |
2515 | Kemet | MEZ220041 | Kemet MEZ220041 Reel |
2516 | KEMET Corporation | R46KN3470JPN0M | 1 Lot of 577 KEMET R46KN3470JPN0M Cap Film Suppression X2 0.47uF 275VAC |
2517 | Kennisis | R0596-F0001-00 | Kennisis R0596-F0001-00 Network Switch, 48x 10G RJ45, 4x 40G QSFP+ |
2518 | KENSINGTON | 4000-6010-02 | Kensington010-02 SBC Single Board Computer PCB Card REV L, SBC |
2519 | Kensington Laboratories Inc. | Model 40000 | Kensington Laboratories ModelServo Positioning Controller |
2520 | Kensington Labs | 4000-6002 | 2 Kensington Labs002 Axis Board |
2521 | Kensington Labs | 77-4000-6108-00 | Kensington lab, 77-4000-6108-00 Robot axis multilink Z, PCB, 7-0003-02, Z axis |
2522 | Kensington Labs | 4000D-B | Kensington Laboratories Inc. ModelServo Positioning Controller |
2523 | Kensington Labs | 4610-0000-01 | Kensington Labs 4610-0000-01 SBC Rev K.1 Board |
2524 | Kensington Labs | 4000-6002 | Kensington Labs002 Axis Board PCB |
2525 | Kensington Labs | 4000-6002 | Kensington labs002 AXIS PCB board, REV W.3 |
2526 | Kensington Labs | 4000-6002 | Kensington Labs002 AXIS REV W.3 |
2527 | Kensington Labs | 4000-6002 | Kensington Labs002 PCB, 5-0009-00, Y-Axis |
2528 | Kensington Labs | 4000-6002 Rev. V. | Kensington Labs002 Rev. V., HR9564-05, M3000A, Axis PCB Board. |
2529 | Kensington Labs | 4000-6002 Rev. V.1 | Kensington Labs002 Rev. V.1., HR96088-08, 3000701, Axis PCB Board. |
2530 | Kensington Labs | 4000-6002 Rev. V.1 | Kensington Labs002 Rev. V.1., HR9662629, L3016-53, Axis PCB Board. |
2531 | Kensington Labs | 4000-6002 Rev. W.1 | Kensington Labs002 Rev. W.1, 36-4823-0005-02, Axis PCB Board. |
2532 | Kensington Labs | 4000-6002 Rev. W.1 | Kensington Labs002 Rev. W.1, HR9900353, 5-0009-00, Axis PCB Board. |
2533 | Kensington Labs | 4000-6002 Rev. W.2 | Kensington Labs002 Rev. W.2, HR201882, 5-0010-00, Axis PCB Board. |
2534 | Kensington Labs | 4000-6002 Rev. W.3 | Kensington Labs002 Rev. W.3, HR213422, 5-0010-00, Axis PCB Board. |
2535 | Kensington Labs | 4000-6002 Rev. W.3 | Kensington Labs002 Rev. W.3, HR215906, 5-0010-00, Axis PCB Board. |
2536 | Kensington Labs | WH4 | Kensington Newport WH4, Robot assembly WFH4Cm.TT/LR 04-6288-01-08 EMP |
2537 | Kensington Labs | 4000-60010 | Kensington0010 PCB Board, SBC, 1984.87 |
2538 | Kensington Labs | 4000-6002 | Kensington002 PCB |
2539 | Kensington Labs | 4000-6002 | Kensington002 PCB, 36-4825-0002-03 |
2540 | Kensington Labs | 4000-6002 | Kensington002 PCB, 36-4825-0010-01 |
2541 | Kensington Labs | 4000-6002 | Kensington002 PCB, 36-4825-0021-01 |
2542 | Kensington Labs | 4000-6002 | Kensington002 PCB, 36-4826-0005-02 |
2543 | Kensington Newport | 15-4000-0008-00 | Kensington Newport 15-4000-0008-00 M4000 AMAT 0190-23625 Servo Robot |
2544 | Kensington Newport | 15-4000-0009-00 | Kensington Newport 15-4000-0009-00 M4000 AMAT 0190-23624 Servo Robot |
2545 | Kepco | 24782 | 2 Kepco 0024782 Robot Power Supply 27-053701-00. one unit with cables. |
2546 | Kepco | 26248 | Kepco 26248 PVD Power Supply, Novellus 27-272441-00 |
2547 | Kepco | 24782 | Kepco Flushing 0024782 Power Supply |
2548 | KEPCO | RMT 021-SA | Kepco TDK RMT 021-SA Power Supply |
2549 | Kepco | TMA VXI-27 | Kepco TMA VXI-27 Plug And Play Power Supply Controller |
2550 | Kepco | Goniometer | Kernco Instruments Wafer Contact angle goniometer Tool for Surface Tension |
2551 | Kepco | model 0024782 | Looks new for PECVD system |
2552 | Kepco | 26372 | Programmable Power supply with 9 DC outputs, 208VAC input 9 |
2553 | KEPCO, INC. | ATE 150-7M | KEPCO ATE 150-7M DC POWER SUPPLY, 0-150V, 0-7A |
2554 | Kerrex | G70P-123 | 2 Kerrex G70P-123 Oring, G70P High Temp White Perfluoroelastomer |
2555 | KEY | BA-75 | HEY BA-75 KF16, NW16 Flange, Manual Isolation Valve, Shutoff Valve |
2556 | Key | SL-150-NA113802 | Key SL-150-NA113802, Stainless Steel, 150 Series, High Vacuum Valve |
2557 | Key High Vacuum Products, Inc. | SSBV-50 | Key High Vacuum Products, Inc. SSBV-50 Ball Valve |
2558 | Keyence | 9155926 | 2 KEYENCE 9155926 12-24V DC, OV, 40V 100mA, 40v 50mA |
2559 | keyence | FS-TIP | 2 KEYENCE FIBER OPTIC SENSOR FS-TIP |
2560 | Keyence | FS-V11 | 2 Keyence FS-V11 Fiber Optic Photoelectric Sensor |
2561 | Keyence | FU-5FZ | 2 Keyence FU-5FZ Fiber Optic Sensor Head Cable |
2562 | Keyence | KV10T | 2 Keyence KV10T micro PLC |
2563 | Keyence | LZ-A152 | 7 NEW Keyence LZ-A152 and LZ-A153 AMP sensors, 34-10190-00 |
2564 | Keyence | FS2 | 8 new FS2 sensors repackaged, surplus inventory |
2565 | Keyence | FU35FA | Fiber optic cables |
2566 | Keyence | FU5F | Fiber optic sensor head cable |
2567 | keyence | BL-550H | Keyence BL-550H Bar Code Scanner BL550H |
2568 | Keyence | BL-651HA | Keyence BL-651HA Laser Barcode Scanner, Reader, Sensor |
2569 | keyence | BL-185 | Keyence Corporation BL-185, Class 2, DC 5V ;300mA, Barcode scanner |
2570 | keyence | PZ51L | Keyence Corporation PZ51L (5M), Photoelectric Sensor, Transmitter |
2571 | keyence | PZ-51L | Keyence Corporation PZ-51L (5M), Photoelectric Sensor, Transmitter |
2572 | KEYENCE | DL-RS1A | KEYENCE DL-RS1A , 232C INTERFACE UNIT, 7E410025 |
2573 | Keyence | ES-32DC | Keyence ES-32DC Proximity Sensor Amplifier |
2574 | KEYENCE | EV-108MC | KEYENCE EV-108MC DC TWO-WIRE SELF CONTAINED AMPLIFIER PROXIMITY |
2575 | Keyence | EZ-12M | Keyence EZ-12M Proximity Switch |
2576 | Keyence | EZ-8M | KEYENCE EZ-8M INDUCTIVE PROXIMITY SENSOR SWITCH |
2577 | Keyence | FS-17 | Keyence FS-17, PH7-0517, Fiber Optic Sensor, Amplifier. |
2578 | KEYENCE | FS2-60 | KEYENCE FS2-60 SENSOR WATER TRACK, NM0003-1525, 12-24V |
2579 | Keyence | FS2-62 | Keyence FS2-62 photoelectric sensor |
2580 | Keyence | FS-L71 | Keyence FS-L71 Fiber Optic Laser Sensor, |
2581 | Keyence | FS-N12CP | Keyence FS-N12CP Digital Fiber Sensor, X5W-5265-02 |
2582 | KEYENCE | FS-T2 | KEYENCE FS-T2 Fiber Amplifier Cable Type Expansion |
2583 | KEYENCE | FS-T22 | KEYENCE FS-T22 Photoelectric Sensor , AMAT 0100-100054 |
2584 | Keyence | FS-V11 | Keyence FS-V11 Hybrid Fiberoptic Sensor, 12-24VDC, 40V 6900-1507-01 |
2585 | Keyence | FS-V11 | Keyence FS-V11, Sensor, 2347160, E813 |
2586 | Keyence | FS-V11P | Keyence FS-V11P Optic Sensor, Novellus 02-147639-02 |
2587 | Keyence | FS-V21 & FS-V22 | Keyence FS-V21 and FS-V22 Sensor, Optical Amplifier Assembly |
2588 | Keyence | FS-V21R | Keyence FS-V21R, Sensor, Optical Amplifier |
2589 | KEYENCE | FU-32 | KEYENCE FU-32 PHOTOELETRIC THRUBEAM SENSOR 80MM RANGE |
2590 | KEYENCE | FU-37 | KEYENCE FU-37 DIFFUSE REFLECTIVE FIBER OPTIC CABLE |
2591 | Keyence | FU-38R | Keyence FU-38R Optical Fiber Sensor |
2592 | Keyence | FU-49X | Keyence FU-49X Reflective Photoelectric Digital Fiber Optic Sensor, |
2593 | Keyence | FU-5FZ | Keyence FU-5FZ Fiber Optic Sensor Head Cable |
2594 | Keyence | GA-233 | Keyence GA-233 Amplifier, Sensor |
2595 | KEYENCE | IG-1000 | KEYENCE IG-1000 IG INTELLIGENT-G LASER SENSOR |
2596 | keyence | Keyence LC-2100 Laster displacement meter | |
2597 | Keyence | LV-H300T | Keyence LV-H300T and LV-H300 R Transmitter and Receiver |
2598 | Keyence | N-400 | Keyence N-400 Multi-Drop Controller Assy w/ 2 N-48 Dedicated Communication Units |
2599 | KEYENCE | PS-52 | KEYENCE PS-52 PHOTOELECTRIC SENSOR, PS-52T |
2600 | Keyence | PS-&2P | Keyence PS-T2P Amplifier Sensor Expansion Unit, AMAT 1400-01403 |
2601 | Keyence | PZ-51L | Keyence PZ-51L, Photoelectric Sensor, Amplifier, PZ-51LR, PZ-51LT. |
2602 | Keyence | Keyence Sensor Body, Plate | |
2603 | Keyence | KCC-REM-KEY-0939A | Keyence SR-600, KCC-REM-KEY-0939A, 2D Code Reader |
2604 | Keyence | FS-V12P | Lot of 10, New fiber optic sensors |
2605 | Keyence | FS-V1 | Lot of 2, used Keyence Sensors |
2606 | Keyence | KV-10T | Lot of 4 new in box Micro PLC 0125-105610 |
2607 | Keyence | 106043 | N-48 KEYENCE TERMINATOR 0106043 lots of 3 |
2608 | Keyence | EH 110 | New Proximity switch EH-110 |
2609 | Keysight | E3642A | Keysight Agilent E3642A 50 W Power Supply, 8 V, 5 A or 20 V, 2.5 A |
2610 | Keysight | 35181M | Keysight 35181M Testmobile Drawer, 5.25″H |
2611 | Kidde-Fenwal | 06-123896-001 | Kidde-Fenwal 06-123896-001 High Sensitivity Smoke Detector Head |
2612 | Kikusui | PAS60-18 | Kikusui PAS60-18 Regulated DC Power Supply, 0-60V, 18A |
2613 | Kilovac | KC-12 | Kilovac KC-12 COML, SWITCH, RF, TE Connectivity, 26.5 VDC, 180 ohm |
2614 | Kimmon Electric Co, lTD. | CD6122C | Kimmon Electric CD6122C Laser Head Power Supply |
2615 | Kinetics | 10 Kinetics Gas Line, Tescom 74-24P1KR920-067, Pall SGLFPF6402VMM4, Ametek | |
2616 | Kinetics | 2 Kinetics Fluid System Gas Line, Tescom 250, Millipore C7MM2983C, USG Meter | |
2617 | Kinetics | 2 Kinetics Fluid System Gas Line, Tescom 250, Pall SGLFPF6402VMM4, USG Meter | |
2618 | Kinetics | 2 Kinetics Gas Line, Ham-Let HM20 4VKLQ M4M/VAR, Tescom 60, Ametek, Pall | |
2619 | Kinetics | 2 Kinetics Gas Line, Swagelok 6LV-DAVR4-P-NW, APTech AP1010SM 2PW FV4 FV4 SB | |
2620 | Kinetics | 2 Kinetics Gas Line, Tescom 44-2213-242-010, Ashcroft, USG | |
2621 | Kinetics | 2 Kinetics Gas Line, Tescom 60, Pall SGLFPF6402VMM4, Swagelok 6LV-DAVR4-P | |
2622 | Kinetics | 2 Kinetics Gas Line, Tescom 74-24P1KR920-067, Pall SGLFPF402VMM4, Swagelok | |
2623 | Kinetics | 3 Kinetics Gas Line, Swagelok 6LV-DAVR4-P, 6LV-DAVR4-PX-C, Pall, Ametek Meter | |
2624 | Kinetics | 4 Kinetics Gas Line, Swagelok B-45S8, Tescom 150, Pall SGLFPF6402VMM6/8 | |
2625 | Kinetics | 4 Kinetics Gas Line, Swagelok B-45S8, Tescom 150, USG Meter | |
2626 | Kinetics | 4 Kinetics Gas Line, Tescom 44-2213-242-010, Pall SGLFPF6402VMM4, Swagelok | |
2627 | Kinetics | 7 Kinetics Gas Line, Tescom 250 4-2213-242-010, Pall SGLFPF6402VMM4 | |
2628 | Kinetics | Kinetics Fluid System Gas Line, Pall GLFPF8202VMM8, Tescom 150, USG Meter | |
2629 | Kinetics | Kinetics Fluid System Gas Line, Pall SGLFPF6402VMM4, Tescom 250, USG Meter | |
2630 | Kinetics | Kinetics Fluid System Gas Line, Tescom 150, USG Meter | |
2631 | Kinetics | Kinetics Fluid System Gas Line, Tescom 250, Millipore C9EM7036, USG Meter | |
2632 | Kinetics | GS90-L2AVRG0-1190 | Kinetics Fluid System GS90-L2AVRG0-1190 Gas Line, Gas Stick, Tescom |
2633 | Kinetics | GS90-L2AVRG0-1191 | Kinetics Fluid System GS90-L2AVRG0-1191, Intel Gas Stick Assy |
2634 | Kinetics | Kinetics Fluid System Intel Gas Stick Assy, GS90 | |
2635 | Kinetics | Kinetics Gas Line, APTech AP1006SM 2PW FV4 FV4 SB, Pall, Ametek, Titan 316L SCG | |
2636 | Kinetics | Kinetics Gas Line, APTech AP1010SM 2PW FV4 FV4 SB, Swagelok 6LV-DAVR4-P | |
2637 | Kinetics | Kinetics Gas Line, APtech AP1010SM, Ametek gauge, Pall Filter, bent gas line | |
2638 | Kinetics | Kinetics Gas Line, APTech AP1010SM, Ametek Gauge, Pall Filter, Ham-Let Valve | |
2639 | Kinetics | Kinetics Gas Line, Ashcroft Meter, Pall GLFPF3000VMM4, Tescom 44-2213-242-010 | |
2640 | Kinetics | Kinetics Gas Line, Millipore WG2F01HS1, USG, Tescom 150, Whitey B-45S8 | |
2641 | Kinetics | Kinetics Gas Line, Pall SGLFPF6402VMM68, Tescom 150, Whitey B-45S8 | |
2642 | Kinetics | Kinetics Gas Line, Regulator Tescom 44-2213-242-010 Ashcroft gauge swagelok 690 | |
2643 | Kinetics | Kinetics Gas Line, Regulator Tescom 44-2213-242-010 Ashcroft gauge swagelok, 847 | |
2644 | Kinetics | Kinetics Gas Line, Regulator Tescom 44-2213-242-010, Ashcroft gauge, swagelok | |
2645 | Kinetics | Kinetics Gas Line, Swagelok 6LV-DAVR4-P, 6LV-DAVR4-PX-C, Tescom 74-24P1KR920-067 | |
2646 | Kinetics | Kinetics Gas Line, Swagelok B-45S8, Tescom 150, Pall SGLFPF6402VMM6/8 | |
2647 | Kinetics | Kinetics Gas Line, Tescom 250, Millipore WG2F01HS1, USG Meter | |
2648 | Kinetics | Kinetics Gas Line, Tescom 250, Pall SGLFPF6402VMM4, USG | |
2649 | Kinetics | Kinetics Gas Line, Tescom 250, USG Meter | |
2650 | Kinetics | Kinetics Gas Line, Tescom 250, USG w/ 2 brackets | |
2651 | Kinetics | Kinetics Gas Line, Tescom 250, USG w/ 3 brackets | |
2652 | Kinetics | Kinetics Gas Line, Tescom 44-2213-242-010, Pall SGLFPF6402VMM4, Ashcroft Meter | |
2653 | Kinetics | Kinetics Gas Line, Tescom 60 64-2661KRT20-047, Hamlet HM20 4VKLQ M4, Pall | |
2654 | Kinetics | Kinetics Gas Line, Tescom 60 74-24P1KR920-067, Pall SGLFPF6402VMM4 double ended | |
2655 | Kinetics | Kinetics Gas Line, Tescom 74-2462KRH22, Span Meter, Millipore WG2F02PR1 | |
2656 | Kinetics | Kinetics Gas Line, Tescom 74-24P1KR920-067, Ametek Gauge, Pall Filter, bent line | |
2657 | Kinetics | Kinetics Gas Line, Tescom 74-24P1KR920-067, Ametek Gauge, Pall Filter, swagelok | |
2658 | Kinetics | Kinetics Gas Line, Tescom 74-24P1KR920-067, Ashcroft Gauge, Entegris filter | |
2659 | Kinetics | Kinetics Gas Line, USG Meter, Tescom 250 | |
2660 | Kinetics | Kinetics Gas Line, w 2 Swagelok 6LV-DAVR4-P, Tescom 74-24P1KR920-067, Pall | |
2661 | Kinetics | gas stick | Kinetics high purity gas stick Tescom 60 regulator, Swagelok 6LV-DAVR4-P, gauge |
2662 | Kinetics | gas stick | Kinetics high purity gas stick, APTECH AP1006SM regulator, SPAN gauge, Parker |
2663 | Kinetics | Kinetics high purity gas stick, Tescom 150 regulator, Swagelok B-45S8, myrolis | |
2664 | Kinetics | gas stick | Kinetics high purity gas stick, Tescom 44.2213-242-010 regulator, Ametek gauge |
2665 | Kinetics | gas stick | Kinetics high purity gas stick,TESCOM regulator 44-2213 ,Ashcraft gauge,swagelok |
2666 | KINETICS THERMAL SYSTEMS | FES-088-B-93 | KINETICS THERMAL SYSTEMS FES-088-B-93 POWER SUPPLY BOARD, EB-00570 |
2667 | KING INSTRUMENT COMPANY | n/a | King Flowmeter, SCFM, 0-25 |
2668 | KING INSTRUMENT COMPANY | 75201102C-06 | KING INSTRUMENT 75201102C-06 Meter, Flow 2″ /20 GPH/ BRASS, 50mm |
2669 | KING INSTRUMENT COMPANY | SCFH-AIR-STP | King SCFH-AIR-STP Instrument Flow Meter, 10-60 |
2670 | Kings | KN-79-45-M06 | Kings KN-79-45-M06 RF Coaxial Connector |
2671 | Kings connectors | KN-59-29B | RF Coaxial Connector 90 Degree, Kings 81836, 39012/050101 KN-59-29B |
2672 | Kingston | 3500007-002.A00LF | 1 Lot ofingston Technology 3500007-002.A00LF Micro SD Adapter |
2673 | Kingston | 1Gb | 12 KINGSTON KVR MEMORY 1 GB |
2674 | Kinseki | VCTCXO-203C | Kinseki, 16.8 Mhz oscillator VCTCXO-203C |
2675 | Kinseki | 62 | Lot of 200 Oscillators 61.521186 Mhz Kinseki |
2676 | Kinseki, Limited KSS | HLD-85930DL | 25 Kinseki HLD-85930DL Oscillator Chips, 85.95/0.455MHz743 |
2677 | KINSEKI, LTD. | CX-5F | 1 LOT OFINSEKI CRYSTAL CX-5F 29491.200 Khz |
2678 | Kinseki, LTD. KSS | MSFB0685065GP | 1000 Kinseki KSS MSFB0685065GP Oscillators, 85.380MHz, MSFB06-85-030K3 |
2679 | Kinton | X18-08N-S33 | Kinton X18-08N-S33 Proximity Switch; we are selling as new |
2680 | KIP INC | 2X274 | 5 KIP INC 2X274 SOLENOID VALVE 24VDC 7 WATTS |
2681 | KIP inc | 651165 | Lot of 2 new solenoid valves |
2682 | KLA Tencor Prometrix | 208-600467-2 | 2 KLA Tencor 208-600467-2 Limit Sensor 7 Cable |
2683 | KLA Tencor Prometrix | 0325687-000 | KLA 0325687-000, SC0306-10MM/TQC, SERVOCLASS SC030 |
2684 | KLA Tencor Prometrix | 2020 | KLA 2020, VAC Video Line Isolator, 750-712-2494-00 |
2685 | KLA Tencor Prometrix | 710-028317-10 | KLA 710-028317-10 PCB 710 Assy I.D. B Sensor, 208/219LWD OR 218SWD |
2686 | KLA Tencor Prometrix | 0034693-001 | KLA TENCOR 0034693-001, APS NNC TOWER, AIT3XP, FRU MADE, 504341 |
2687 | KLA Tencor Prometrix | 0042328-001 | KLA TENCOR 0042328-001 R 1924-03 RD 9250 FRU MADE W/PKG |
2688 | KLA Tencor Prometrix | 060-450225-00 | KLA TENCOR 060-450225-00 PACIFIC SCIENTIFIC P21NSXA-LDN-NS-02 POWERMAX II STEP MOTOR 1.8 STEP |
2689 | KLA Tencor Prometrix | 219403 | KLA Tencor 219403 Cable Assembly, Flex Lead, SFS7500 |
2690 | KLA Tencor Prometrix | S7600 | KLA Tencor 264156, Chuck Assembly, Shield, 200mm, S7600, Quartz |
2691 | KLA Tencor Prometrix | 281-500117-1 | KLA TENCOR 281-500117 201-500117-1 RS-86 Board |
2692 | KLA Tencor Prometrix | 281-500119-5 | KLA TENCOR 281-500119-5 208-500119-5 GP-IB I/F BOARD |
2693 | KLA Tencor Prometrix | 532770 | KLA Tencor 367095 AUTOFOCUS RETRO KIT |
2694 | KLA Tencor Prometrix | 50-0002-11 | KLA Tencor 50-0002-11, Type G, 4-Pint Probe Head |
2695 | KLA Tencor Prometrix | 50-1010 | KLA Tencor 50-1010 Prometrix, Precision Light Source; |
2696 | KLA Tencor Prometrix | 52-0282 | KLA Tencor 52-0282 Motor Filter Wheel, UV 1250 |
2697 | KLA Tencor Prometrix | 54-0412 | KLA TENCOR 54-0412 I/O H2 PCB REV A |
2698 | KLA Tencor Prometrix | 710-028317-10 | KLA TENCOR 710-028317-10 710 ASSY I.D.REV B SENSOR, 208/219LWD OR 218SWD |
2699 | KLA Tencor Prometrix | 730-654131-00 | KLA TENCOR 730-654131-00 CABLE REMOTE EPO |
2700 | KLA Tencor Prometrix | 750-370919-001 | KLA Tencor 750-370919-001 300UV Robot Arm Box w/ Plate, 750-059525-000 |
2701 | KLA Tencor Prometrix | 206539 | KLA Tencor Assy 206539 PCB, ADC, SFS75, FAB 206547 |
2702 | KLA Tencor Prometrix | 293571 | KLA Tencor Assy 293571 PCB, ADC, SFS7700, FAB 310484 |
2703 | KLA Tencor Prometrix | FLX-2908 | KLA Tencor FLX-2908 Thin Film Stress Measurement System 230v 15A 1Ph 50Hz 453299 |
2704 | KLA Tencor Prometrix | P11 | KLA Tencor P11 surface profiler, part tool, no PC with glass stage |
2705 | KLA Tencor Prometrix | 54-0220 H2 | KLA Tencor Prometrix 54-0220 H2 Theta Interface PCB, 36-0202, UV 1250 |
2706 | KLA Tencor Prometrix | 54-0276 | KLA Tencor Prometrix 54-0276 PCB Door Interlock 36-0276 |
2707 | KLA Tencor Prometrix | N/A | KLA Tencor Sheet Resistance Gage Calibration Standard Reference Samples |
2708 | KLA Tencor Prometrix | 054364 | KLA-TENCOR 054364 PCB, INDEXER ASSY BOARD |
2709 | KLA Tencor Prometrix | 510491 | KLA-TENCOR PN: 510491, AEROTECH MX50-D/ES13497 SIGNAL MULTIPLIER |
2710 | KLA Tencor Prometrix | PCA 54-0254 | KLA-TENCOR PROMETRIX, PCA 54-0254 REV.B, OPTICS INTERFACE, PCB 36-0254 REV.A |
2711 | KLA Tencor Prometrix | PCA 54-0254 | KLA-TENCOR PROMETRIX, PCA 54-0254 REV.C, OPTICS INTERFACE, PCB 36-0254 REV.A |
2712 | KLA Tencor Prometrix | 36-0127 | PROMETRIX 36-0127 MOTOR DRIVE DC SERVO, PCB CIRPRO-1 11-69 |
2713 | KLA Tencor Prometrix | 36-0290 | PROMETRIX 36-0290 PCB, X MOTOR INTERFACE UV 1250 54-0290 4691-9 |
2714 | KLA Tencor Prometrix | 36-0323 | PROMETRIX 36-0323 REV.2, 54-0323 REV.4, ANALYZER HOME SENSOR |
2715 | KLA Tencor Prometrix | 54-0342 | Prometrix 36-0342, 54-0342 System Status LED UV1250 PCB |
2716 | KLA Tencor Prometrix | 54-0221 | Prometrix 54-0221, PCB36-0203, PCA54-0221, Handler Shuttle Board |
2717 | KLA Tencor Prometrix | 96-0265 | PROMETRIX 96-0265 TURRET INTERFACE STEPPER MOTOR PCB PCR 54-0265 UV 1250 |
2718 | KLA Tencor Prometrix | 54-0288 | Prometrix Corp. PCA 54-0288, PCB 36-0288, Sensor, Z Limit |
2719 | KLA Tencor Prometrix | 54-0148 | Prometrix Flat Aligner Interface – C2C board 54-0148 36-0132 |
2720 | KLA Tencor Prometrix | PCA 54-0147 | Prometrix PCA 54-0147, PCB 36-0104, PCB Edge Sensor FA, UV 1250 |
2721 | KLA Tencor Prometrix | 54-0049 | Prometrix PCB 36-0194 Rev-A 54-0049 REV B KLA TENCOR, PCA PARALLEL I/O |
2722 | KLA Tencor Prometrix | 1819A-8-1012 | SensArray Process Probe Wafer, 8″ 200mm RTD 1819A-8-1012 |
2723 | KLA Tencor Prometrix | 148750 | Tencor 148750 Alpha-Step 300 Profiler, AS-300 |
2724 | KLA Tencor Prometrix | 80-00100 | Tencor 80-00100 Benchtop Profilmeter w/ 80-00110 Printer & 80-00177 Stylus, KLA |
2725 | KLA Tencor Prometrix | 80-00100 | Tencor Instruments 80-00100 Sigmascan Profilometer |
2726 | KLA Tencor Prometrix | TC 480 | Tenor TC 480 48 x 48mm Analog PD Temperature Controller, 161-24-001 |
2727 | KLA Tencor Prometrix | TC480 | Tenor TC480 Analog PD Temperature Controller 480-3-30-64 |
2728 | Klinger Scientific | MC4 | Klinger MC4 Programmable Stepping Motor Controller w/ MD4 Power Driver |
2729 | Klinger Scientific | MD4 | Klinger MD4 Stepping Motor Power Driver and MC4 Programmable Controller |
2730 | Klockner Moeller | K40A | 2 Klockner Moeller K40A, Circuit Breaker |
2731 | Klockner Moeller | FAZNC40-3 | 3 Klockner Moeller FAZNC40-3, Circuit Breaker |
2732 | KLOCKNER MOELLER | 5402754 | KLOCKNER MOELLER 5402754 CIRCUIT INTERRUPTER, SWITCH MAIN 460V, 30KW |
2733 | KLOCKNER MOELLER | 5402760 | KLOCKNER MOELLER 5402760 CIRCUIT INTERRUPTER, SWITCH MAIN 460VDS, 15KW, 2230060 |
2734 | KLOCKNER MOELLER | 5402780 | KLOCKNER MOELLER 5402780 CIRCUIT BREAKER,SWITCH MAIN,NZM 6B-100 |
2735 | Klockner Moeller | FAZN-C6 | Klockner Moeller FAZN-C6 Circuit Breaker 5kA-277VAC, Watkins Johnson WJ |
2736 | KLOCKNER MOELLER | P3-63/EA/SVB | KLOCKNER MOELLER P3-63/EA/SVB CIRCUIT INTERRUPTER, SWITCH MAIN 460VDS |
2737 | KLOCKNER MOELLER | P3-63/V/SVB | KLOCKNER MOELLER P3-63/V/SVB CIRCUIT INTERRUPTER, SWITCH MAIN 460V, 30KW |
2738 | KLOCKNER MOELLER | ZM6a-100-NA | KLOCKNER MOELLER ZM6a-100-NA, NZM6b-100 |
2739 | KLOEHN | 19311 | KLOEHN SYRINGE PUMPS MOTORIZED INJECTOR VALVES 19311 |
2740 | KMET | C0603C102J5RAC7867 | Lot of 100 KMET 50V Capacitors C0603C102J5RAC7867 |
2741 | KNF FLODOS | PL 2729-NDT 300 | KNF FLODOS PL 2729-NDT 300 Mini Pump, CH-6210, w/ MFB MKP Capacitor |
2742 | Knopp | Model K-3 | Knopp Model K-3 Phase Sequence Indicator, 60-600 Volts, 25-60 Hz |
2743 | Koagnei | DAS20X40-W | Koagnei DAS20X40-W Sensor Cylinder, CS5B |
2744 | Kobishi Electric | BZ-34W | Kobishi Electric BZ-34W, Buzzer, AC100V/100V, 3VA, 50-60Hz |
2745 | Kobold | DF-WM | Kobold DF-WM Paddle Wheel Flow Switch, DF-DR1335 |
2746 | Kobold | NEK-3236N20C | Kobold NEK-3236N20C Compact Conductive Level Switch |
2747 | Koflo | 1/2-80-8P-6-2 | Koflo 1/2-80-8P-6-2 Static Mixer |
2748 | Koganei | V030E1 | KOGANEI V030E1 SOLENOID VACUUM VALVE DC24V 0-750MMHG |
2749 | Koganei | DSA20X40-CS5B2-W | (Lot of Three) Koganei DSA20X40-CS5B2-W, Pneumatic air cylinder, Sensor |
2750 | Koganei | 0AS20X102-WWCS5B | (Lot of Three)Koganei 0AS20X102-WWCS5B, Pneumatic Air Cylinder, DIA(KOG)P/N CS5B |
2751 | Koganei | 050-4E1 | 2 Koganei 050-4E1 Solenoid Air Valve |
2752 | Koganei | 5.00E+02 | 2 Koganei 050E1 Solenoid Air Valve |
2753 | Koganei | 200-4E2 | 2 Koganei 200-4E2 Solenoid Valve AC100V |
2754 | Koganei | AFDPG-12 | 2 Koganei AFDPG-12, Air Cylinder, Gripper, Actuator, Pneumatic, 20X150 |
2755 | Koganei | 5BV001039 | 3 Koganei Pneumatic Cylinder 5BV001039,Gas Line Isolation Shut-Off Valve 411475 |
2756 | Koganei | SM03086 | 4 Koganei SM03086 Meter, Pressure Gauge, MPa |
2757 | Koganei | E-30 | 5 Koganei Filter Element E-30 |
2758 | Koganei | E-15 | 5 new Koganei filter element E-15 mm |
2759 | Koganei | A180-4E2 | 7 Koganei A180-4E2 Solenoid Valve |
2760 | Koganei | 050E1-01 | Koganei 050E1-01 Solenoid Air Valve |
2761 | Koganei | 200-4E2 | Koganei 200-4E2 Solenoid Valve AC100V |
2762 | Koganei | 200-4E2 | Koganei 200-4E2 Solenoid Valve, AC100V, 200-4E2-70-100 |
2763 | Koganei | 25×100-2-ZG553A | Koganei 25×100-2-ZG553A Air Cylinder, |
2764 | Koganei | 25×100-8E-I-ZG53 | Koganei 25×100-8E-I-ZG53 Air Cylinder, |
2765 | Koganei | 299-4E2 | Koganei 299-4E2 Solenoid Valve, AC100V, |
2766 | Koganei | A180-4E1 | Koganei A180-4E1 Solenoid Valve |
2767 | Koganei | AFDPG-12 | Koganei AFDPG-12, Air Cylinder, Gripper, Actuator, Pneumatic |
2768 | Koganei | AFDPG-12 | Koganei AFDPG-12, Air Cylinder, Gripper, Actuator, Pneumatic, 20X150 |
2769 | Koganei | CDAS12x5-R | Koganei CDAS 12 x 5-R CDAS12x5-R Jig Air Cylinder |
2770 | Koganei | CS5B2 | Koganei CS5B2 Sensor, Cylinder |
2771 | Koganei | DAS-20X15-W-CS5B | Koganei DAS-20X15-W-CS5B, Pneumatic Air Cylinder |
2772 | Koganei | ETH-BGR-000007-A | Koganei ETH-BGR-000007-A, Solenoid Air Valve Assembly, 200E1, 200-4E1 |
2773 | Koganei | F300 | Koganei F300 Element, Filter |
2774 | Koganei | F300 | Koganei F300 Filter Element |
2775 | Koganei | F-AV250-14W | Koganei F-AV250-14W Air Operated Valve TEL Tokyo Electron CT012-002089-1 |
2776 | Koganei | FR 600 | Koganei FR 600 Filter Regulator |
2777 | Koganei | JDADS16x10 | Koganei JDADS16x10 Air Cylinder JIG |
2778 | Koganei | 050-4E1 | Koganei LTD 050-4E1, Solenoid Air Valve, DC24V, 0~7kgf/cm² |
2779 | Koganei | SP1994-159 | Koganei MEF12 Air Filter, Micro Injector SP1994-1059 |
2780 | Koganei | ORGA40X310-F2-S1 | KOGANEI ORGA40X310-F2-S1 AIR CYLINDER RODLESS |
2781 | Koganei | RAPS20-180-54W | Koganei RAPS20-180-54W Rotary Activator, Disco EAUM-783100 |
2782 | Koganei | RAPS5-100-S | Koganei RAPS5-100-S Rotary Activator |
2783 | Koganei | V030E1 | Koganei V030E1 Solenoid Vacuum Valve |
2784 | Koganei | V050LE1 | Koganei V050LE1 Solenoid Air Valve |
2785 | Koganei | YM4T-030-E1 | Koganei YM4T-030-E1 Solenoid Valve Assembly, 030E1 |
2786 | Koganei | RAPS20-180-54W | Koganie RAPS20-180-54W Cylinder Unit |
2787 | Koganei | A040E1 | Lot of 2, new solenoid valves |
2788 | Kokusai | 3ROT036154 | 2 new gas flex lines |
2789 | Kokusai | CX2001 | HITACHI KOKUSAI ELECTRIC INC CX2001 MAIN CONTROLLER |
2790 | Kokusai | CX2001 | HITACHI KOKUSAI ELECTRIC INC CX2001 MAIN CONTROLLER, 5UDE020126R |
2791 | Kokusai | 3161231V03 | KOKUSAI 3161231V03 PCB ASSY TEMP CONTROL MODULE |
2792 | Kokusai | 5UOT112055 | KOKUSAI 5UOT112055 SERVO MOTOR |
2793 | Kokusai | CQ1500A | Kokusai Electric Accuron CQ1500A CQ-1500A Digital Direct Controller |
2794 | Kokusai | CX1204 | KOKUSAI ELECTRIC CX1204 CX1204 Exhaust Controller |
2795 | Kokusai | DN-130P | Kokusai Electric DN-130P Heater Over Temperature Protection Unit, |
2796 | Kokusai | 3REA059034 | Kokusai Semiconductor 3REA059034 Teflon Female Cnnctor |
2797 | KOLLMORGEN | 00-01202-140 | KOLLMORGEN 00-01202-140 PMI MOTION TECH SERVO DISC DC MOTOR U12M4 SPCL |
2798 | Kollmorgen Servotronix | MT1506B1-E2C1 | 2 Servomotor, Goldline series.Looks new. surplus from major semiconductor fab |
2799 | Kollmorgen Servotronix | MT1506B1-E2C1 | Goldline servo motor |
2800 | Kollmorgen Servotronix | PCB-00471000-00 | Koilmorgen Servoronix PCB-00471000-00 DASA Digital PCB, Asyst |
2801 | Kollmorgen Servotronix | D083M-13-1310 | Kollmorgen D083M-13-1310, Housed Direct Drive Rotary |
2802 | Kollmorgen Servotronix | MT1506B1-E2C1 | Kollmorgen MT1506B1-E2C1 Goldline Servo motor, Novellus 408944 |
2803 | Kollmorgen Servotronix | RBEH-01210-A14 | Kollmorgen RBEH-01210-A14 Brushless Motor, Heidenhain D-83301 |
2804 | Kollmorgen Servotronix | RBEH-01210-E14 | Kollmorgen RBEH-01210-E14 Brushless Motor, Heidenhain D-83301 |
2805 | Kollmorgen Servotronix | RBEHE-01210-E17 | Kollmorgen RBEHE-01210-E17 Brushless Motor, Heidenhain D-83301 |
2806 | Kollmorgen Servotronix | SR03000-Y015 | Kollmorgen SR03000-Y015 ServoStar Amplifier Drive, 140/310 VDC |
2807 | Kollmorgen Servotronix | 1000-0110-03 | Kollmorgen110-03 Magnedyne Brushless Servo Amplifier |
2808 | Komatsu | FR-531-B | Komatsu FR-531-B Thermostat Control, AC200V, 6A, 50/60Hz |
2809 | Kontes | 885303-0100 | Kontes tissue grind tube sz 100 ml 885303-0100 |
2810 | Kontron | KIC-2 | Kontron KIC-2 Lam Controller, 2-D1C9-0001 |
2811 | Kooltronic | KBB 36-XX09-1 | Kooltronic KBB 36-XX09-1 Novellus A95-125-02 Blower Assy, Load lock |
2812 | KOOLTRONIC INC. | 253852-001 | KOOLTRONIC 253852-001 EXHAUST MOTOR ASSEMBLY, KOOLTRONIC 950-005-52 |
2813 | Koyo | Direct Logic 405EX | Koyo Direct Logic D4-EX, 8 Pt Thermocouple Input |
2814 | Koyo PLC Direct | D3-422-DCU | Koyo Automation Direct Logic 305 RS422 D3-422-DCU Data Communication Unit,117089 |
2815 | KROM | D-49018 | KROM SCHRODER D-49018 FLOW METER D3 5E 84444250 F1196 0324 |
2816 | KROM SCHRODER | 84444250 | KROM SCHRODER 84444250 DL5E AIR PRESSURE SWITCH, N-2 BUBBLING 1110324 |
2817 | Krom Schroder | Krom Schroder isf 258 controller | |
2818 | KS Equip | TS677 | KS Equip T2677, 731 BTM, T2678, 728 BTM Cassette Holder, Mask |
2819 | KS MICRO SWISS | 33311-0080-050-100 | KS MICRO SWISS 33311-0080-050-100 FELL PROBE HEAD RAD 008ams |
2820 | KSI | 1500095 | KSI 1500095 Tub Control Assembly |
2821 | KSI | 150013 | KSI 150093 Tub Control Assembly |
2822 | KSI | 1981 | KSI 1981 ANALOG CONTROL ASSY, 1500005-REV. N |
2823 | KSI | S1-1-1000 | NEW AMAT 1140-01098 Kaiser Systems KSI S1-1-1000, DC Power Supply5 |
2824 | Kulicke & Soffa | 01482-4003-000 | Kulicke & Soffa 01482-4003-000 PCB Board, 01482-4003-001, 01482-1031-000 |
2825 | Kulicke & Soffa | 01482-4011-001 | Kulicke & Soffa 01482-4011-001 Power Board, PCB, 01471-1032-00 |
2826 | Kulicke & Soffa | 1488 | Kulicke & Soffa 1488 Plus Automatic Wire Bonders |
2827 | Kulicke & Soffa | 982-6 | Kulicke & Soffa 780 Wafer Dicing Saw with Manual, K&S |
2828 | KULICKE & SOFFA | 781-018922-01b | KULICKE & SOFFA 781-018922-01b THERMAL, CONTROL PRESCOTTN |
2829 | Kulicke & Soffa | BBT20000 | Kulicke & Soffa KNS BOSS 20000 Interface Test System BBT20000 – |
2830 | Kulicke & Soffa | 01483-1073-000-02 | KULICKE &SOFFA 01483-1073-000-02, Finger Tip Control Panel Assembly |
2831 | Kulicke & Soffa | 01471-4000-000-35 | KULICKE AND SOFFA 01471-4000-000-35 CIRCUIT BOARD, PCB |
2832 | Kulicke & Soffa | 01471-4000-000-36 | KULICKE AND SOFFA 01471-4000-000-36 CIRCUIT BOARD, PCB |
2833 | Kulicke & Soffa | 01471-4000-001-37 | KULICKE AND SOFFA 01471-4000-001-37 CONTROL BOARD, PCB |
2834 | Kulicke & Soffa | Kulicke and Soffa 01471-4008-001-05 PCB Board, 01471-5048-000 | |
2835 | Kulicke & Soffa | Kulicke and Soffa 01471-4012-101-00 Ultra Gen, Board, PCB | |
2836 | Kulicke & Soffa | 01471-4040-000-02 | KULICKE AND SOFFA 01471-4040-000-02 CONTROL BOARD, PCB |
2837 | Kulicke & Soffa | 01482-4001-000-03 | KULICKE AND SOFFA 01482-4001-000-03 DIGITAL SERVO ASSY BOARD, PCB |
2838 | Kulicke & Soffa | 01483-4033-000-03 | KULICKE AND SOFFA 01483-4033-000-03 PCB CONTROL BOARD |
2839 | Kulicke & Soffa | 01483-4046-000-07R | KULICKE AND SOFFA 01483-4046-000-07R PCB CONTROL BOARD |
2840 | Kulicke & Soffa | 01483-4046-000-75 | KULICKE AND SOFFA 01483-4046-000-75 CONTROL BOARD, PCB |
2841 | Kulicke & Soffa | 01488-4204-000-03 | KULICKE AND SOFFA 01488-4204-000-03 PCB BOARD, BD 01488-4204-001-03 |
2842 | Kulicke & Soffa | 02920-4130-000-21 | KULICKE AND SOFFA 02920-4130-000-21 SOLENOID DRIVER PCB BOARD |
2843 | Kulicke & Soffa | 02920-4130-000-21 | KULICKE AND SOFFA 02920-4130-000-21 SOLENOID DRIVER PCB BOARD, BD |
2844 | Kulicke & Soffa | 02920-4235-000-27 | KULICKE AND SOFFA 02920-4235-000-27 MPU PCB BOARD, BD 02920-4235-001-03 |
2845 | Kulicke & Soffa | 02920-4318-000-33 | KULICKE AND SOFFA 02920-4318-000-33 CPU PCB BOARD, BD 02920-4318-001-04 |
2846 | Kulicke & Soffa | 0148-4083-000 | Kulicke And Soffa Industries 01418-4083-000 PCB, 1418-4057-1 |
2847 | Kulicke & Soffa | 00797-4800-000-01 | Kulicke&Soffa 00797-4800-000-05 Limit Switch Board |
2848 | KURABO | KCM-23CE | KURABO KCM-23CE ChemicalAlyzer-Mini + KURABO KCM-23CE ChemicalAlyzer Cell,111455 |
2849 | KURABO | KCM-23CE | KURABO KCM-23CE ChemicalAlyzer-Mini + KURABO KCM-23CE ChemicalAlyzer Cell,112161 |
2850 | Kuroda | M600DF-04 | KURODA M600DF-04 AIR FILTER REGULATOR ASSEMBLY S105-4 855-2G |
2851 | Kuroda | 20-13C5S KDB 124 | KURODA PRECISION INDUSTRIES 20-10C5S KDB 124 MODRX015–A. BALL SCREW |
2852 | Kuroda | PRN35-90-905-Z | Kuroda PRN35-90-905-Z Hi-Rotor Chuck Table CLA, Disco MODRR022–D |
2853 | Kurt J Lesker | QF25-100-C | 2 Kurt J. Lesker QF25-100-C Clamp, Evac, NW 25, 4-Link |
2854 | Kurt J Lesker | QF40-150-VP | Kurt J Lesker QF40-150-VP Viewport, Kodial Glass, QF40, Flange |
2855 | Kurt J Lester | QF-SDC-AL1 | 11 Kurt J Lesker Company QF-SDC-AL1 2122A211 Turbo Iso Flange Claw Clamp |
2856 | Kurt J Lester | KJL275808 | Kurt J. Lesker KJL275808 Transducer w/ Controller Torr/mTorr Display KF40 |
2857 | KV | 4022.455.48161 | KV55.48161, Unit WFV6, Pump |
2858 | KVM | KVM-K104 | KVM-K104 4-Port KVM Switch |
2859 | Kyky Technology Development Ltd. | FD-600H | Kyky FD-600H Turbomolecular Pump Power Supply, RS1269 |
2860 | Kyocera | TAJC106K020R | Lot of 1100 AVX Kyocera Tantalum capacitor 10uF TAJC106K020R |
2861 | Kyocera | 06035C103JAT2A | Lot ofVX Kyocera Chip Capacitor 06035C103JAT2A |
2862 | Lab Safety | 25513 | Lot of 12 LOTO 25513 Lab Safety Supply Clamps |
2863 | Label | 951745 | 195 Chemical Hazard Labels |
2864 | Lacie | 300647 | Lacie 300647, External Optical Drive, USB |
2865 | Lager Module | PM7 | Lager Module PM7, 670-10, E970970, Wavelength 670nm |
2866 | LAIRD | CM5441Z101B-10 | 1 Lot of 577 LAIRD CM5441Z101B-10 Common Mode Chokes Dual 100Ohm 100MHz |
2867 | LAIRD | CM5740Z241B-10 | 1 Lot of 825 LAIRD CM5740Z241B-10 Common Mode Chokes Quad 240Ohm 4MHz |
2868 | LAIRD | Z101B-10 | 1 Lot of 999 LAIRD Z101B-10 2 Line Common Mode Choke Through Hole 75A |
2869 | Laird Technologies | ALC54-0289-01 | 1 Lot of 29 Laird Technologies ALC54-0289-01 HEAT SINK |
2870 | Lam Research LAM | 0905011-VCR | LAM 0905011-VCR Press Switch, Whitman W117V-3H-F52L-X |
2871 | Lam Research LAM | 2002979-2 | LAM 2002979-2 D/C Arm Cable, 384/384T |
2872 | Lam Research LAM | 605-097677-001 | LAM 605-097677-001 ASSY, PCB, DC, FLTR, PCB00246 REV 1, PF-B194V-0 |
2873 | Lam Research LAM | 6-3802-050 | LAM 6-3802-050 Rainbow Electrode, Ultralynn |
2874 | Lam Research LAM | 686-008956-002 | LAM 686-008956-002 Clip, Wire Harness, Nylon |
2875 | Lam Research LAM | 715-11504-001 | LAM 715-11504-001 PLATE PERF ALUMINUM |
2876 | Lam Research LAM | 715-443130-001 | LAM 715-443130-001 Ring, Focus, ESC, outer, AL, 8″ |
2877 | Lam Research LAM | 715-443130-001 | LAM 715-443130-001 Ring, Focus, ESC, outer, AL, 8″,02-0077 |
2878 | Lam Research LAM | 716-020923-002 | LAM 716-020923-002 RING, 8″ IN, COLIMG/INSR, THK LIP |
2879 | Lam Research LAM | 716-028123-002 | LAM 716-028123-002 Ring Filler Ceramic, VCS Lower |
2880 | Lam Research LAM | 716-05773-004 | LAM 716-05773-004 RING CERAMIC |
2881 | Lam Research LAM | 718-080306-001 | LAM 718-080306-001 Rework Motor Drive, Pittman 14204C223 |
2882 | Lam Research LAM | 768-091647-001 | LAM 768-091647-001 Vacuum Pressure Switch |
2883 | Lam Research LAM | 849-030994-001 | LAM 849-030994-001 Kit, Clean, PM, Gate Valve, 200mm |
2884 | Lam Research LAM | 853-443014-112 | LAM 853-443014-112 Assy, FR/CA. PM,, A6, CE, AllianceEtch |
2885 | LAM Research, LAM | 853-031498-001 | LAM 853-031498-001 ASSY HEATER CARTRIDGE 100 WATTS |
2886 | LAM Research, LAM | 853-520595-001 | LAM 853-520595-001 CABLE ASSY |
2887 | LAM Research, LAM | 715-000067-001 | 1 Lot of 10 LAM 715-000067-001 GEAR ARM ASSY LOAD LOCK |
2888 | LAM Research, LAM | 855-010409-002 | 1 Lot of 4 LAM 855-010409-002 ASSY CPU EPROM SET |
2889 | LAM RESEARCH, LAM | 130616800 | 2 LAM 130616800 Rev.003, 125B, Clamping Collar |
2890 | LAM RESEARCH, LAM | 684-090023-006 | 2 LAM 684-090023-006 CA, Mod Cord |
2891 | LAM Research, LAM | 715-011219-001 | 2 LAM 715-011219-001 FILLER LOADLOCK, CHAMBER LEFT |
2892 | LAM RESEARCH, LAM | 715-011531-011 | 2 LAM 715-011531-011 LRC Electrode |
2893 | LAM Research, LAM | 715-028626-104 | 2 LAM 715-028626-104 UPPER BAFLLE Plate 6 INCH |
2894 | LAM RESEARCH, LAM | 715-221532-140 | 2 LAM 715-221532-140 Rev.E2, Clamp, BLKHD, NW40 |
2895 | LAM RESEARCH, LAM | 716-021894-001 | 2 LAM 716-021894-001 Gasket, Bell Jar Clamp, Lower, RGS LAR01-1251-0005 |
2896 | LAM Research, LAM | 734-007412-001 | 2 LAM 734-007412-001 O-RING, 18 IDX.125, 2-284V ENTRANCE LOAD LOCK, A734-007412-001 |
2897 | LAM RESEARCH, LAM | 796-09638-001 | 2 LAM 796-09638-001 Bellows Seal Kit |
2898 | LAM RESEARCH, LAM | 920-094661-034 | 2 LAM 920-094661-034 Fitting Connector, Male, 3/4″ |
2899 | LAM RESEARCH, LAM | 492-17304-00 | 2 NEW LAM 492-17304-00 Manifold, Solenoid, M6, 793713-ECOSYS |
2900 | LAM RESEARCH, LAM | 233-2700-79 | 233-2700-79 Outer Shield |
2901 | LAM Research, LAM | 2100364 | 3 LAM 2100364 Arm Bracket Holder |
2902 | LAM Research, LAM | 714-000409-001 | 5 LAM 714-000409-001 MOUNT SOLENOID ENTR/EXIT STAT |
2903 | LAM RESEARCH, LAM | 716-051050-001 | 5 new LAM 716-051050-001 QTZ, outer spacer, PLT, react UPP |
2904 | LAM Research, LAM | 715-008721-101 | 715-008721-101 Electrode AL Anodized Gas Distribution Plate, 5052-H3, TSI-721-101 |
2905 | LAM RESEARCH, LAM | 734-009992-001 | 77 LAM 734-009992-001, .987X.103mm, Viton O-ring |
2906 | LAM RESEARCH, LAM | 846-029194-001 | 846-029194-001 KIT TWIST lock emo switch spec |
2907 | LAM RESEARCH, LAM | 853-370395-001 | 853-370395-001, Assembly, Harn AC Power Lim DI H20 Cord |
2908 | LAM RESEARCH, LAM | 10-8886-063 | ADVANCED MICROPOLISH LAM 10-8886-063 ASSY DISP, HPD, BR#1, HF, REV B |
2909 | LAM RESEARCH, LAM | 715-020916-002 | Anodized Aluminum electrode |
2910 | LAM RESEARCH, LAM | 810-2140-005 | Control Lift PCB, look new in sealed bag |
2911 | LAM Research, LAM | 2301838 | Drytek / Lam Lower Electrode Microwave Assy 2301838 |
2912 | LAM Research, LAM | 2800475 | Drytek Lam 384T External Keyboard Interface Board Bare 2800475 |
2913 | LAM RESEARCH, LAM | 718-094756-081 | Electrode cap ESC |
2914 | LAM RESEARCH, LAM | 839-360162-001 | gas manifold, looks new |
2915 | LAM RESEARCH, LAM | 810-17012-001 | Heartbeat PCB |
2916 | LAM RESEARCH, LAM | 533-00647-00 | Helium feedthrough assy |
2917 | LAM Research, LAM | 716-011563-161 | LAM 716-011563-161 Ceramic Plate 6 inch domed, A716-0011563-161 |
2918 | LAM Research, LAM | 023813 | LAM 023813 LOWER ELECTRODE INSULATOR RING |
2919 | LAM RESEARCH, LAM | 02-389101-00 | LAM 02-389101-00 Robot Transverser Controller, 06-338505-00 23-338505-00, |
2920 | LAM Research, LAM | 23894 | Lam 023984 Flange, Water Cooled |
2921 | LAM Research, LAM | 024843 | LAM 024843 GUIDE LOWER RF CONTACT |
2922 | LAM Research, LAM | 026182 | LAM 026182 ELECTRODE UPPER 100-25-50 A |
2923 | LAM Research, LAM | 026182 | LAM 026182 ELECTRODE UPPER 100-25-50 AL |
2924 | LAM Research, LAM | 027394 | LAM 027394 AMN MK 3 MOTOR DRIVE PCB |
2925 | LAM Research, LAM | 04265771100001 | LAM 04265771100001 UPPER CERAMIC RING, 71100001 J10K, C2-29-3-1 |
2926 | LAM RESEARCH, LAM | 802010 | LAM 0802010 VAR INDUCTOR 229-207-2 BRAZED3364, 802010 |
2927 | LAM Research, LAM | 0905011-VCR | LAM 0905011-VCR PRESS SW #W117V-3H-F52L-X |
2928 | LAM Research, LAM | 11235-50 | LAM 11235-50 ANAFAZE 11215-00 Controller PCB, MLS VTOF Board Controller Unit |
2929 | LAM Research, LAM | 1201013 | LAM 1201013 LIGHT, THINLITE#ASP 192, 12V, 16W |
2930 | LAM Research, LAM | 1302139 | LAM 1302139 HEAVYCON B.6 POSITION PANEL6634 |
2931 | LAM RESEARCH, LAM | 1331 021954 | LAM 1331 021954, BOARD PCB, CRO, 7803A Z80 PROCESSOR. |
2932 | LAM RESEARCH, LAM | 1771134 | LAM 1771134 HEAVYCON B.6 POSITION PANEL, 1302139 |
2933 | LAM Research, LAM | 2000894 | LAM 2000894 MS-6 RIGHT HINGE BRACKET ASSY |
2934 | LAM Research, LAM | 2002979-2 | LAM 2002979-2 D/C 384/384T |
2935 | LAM RESEARCH, LAM | 2003003 | LAM 2003003 QUAD DC STEPPER DRAWER ASSY0465 |
2936 | LAM Research, LAM | 2004001-1 | LAM 2004001-1 ASSY UPPER LIFT TRIODE CH 1,3, LAM 715-250471-001 |
2937 | LAM Research, LAM | 2004054 | LAM 2004054 SUPPORT BRACKET 3.5″ DRIVE |
2938 | LAM Research, LAM | 2004132-1 | LAM 2004132-001 AMN Upper BIAS to Hi BIAS CKT Assy upper bias in cable |
2939 | LAM Research, LAM | 2004304 | LAM 2004304 CABLE, VIDEO EXTENSION (QUAD) |
2940 | LAM Research, LAM | 2004365 | LAM 2004365 ASM 2004219 TURBO BYPASS PLC ASM |
2941 | LAM RESEARCH, LAM | 203-140148-308 | LAM 203-140148-308 Electrode Cap, JDA, ESC 8″ MONO. Very clean |
2942 | LAM Research, LAM | 2100008 | LAM 2100008 WINDOW TOP QUARTZ |
2943 | LAM Research, LAM | 2100405 | LAM 2100405 Slot Valve Pivot Shaft |
2944 | LAM RESEARCH, LAM | 2100938 | LAM 2100938 process chamber slot valve KIT ANODIZD |
2945 | LAM Research, LAM | 2101073 | LAM 2101073 HP ATM PRES SW ASSY |
2946 | LAM Research, LAM | 2101141 | LAM 2101141 QUAD CHAMBER TOP PROD, HTR 120 |
2947 | LAM RESEARCH, LAM | 2101141 | LAM 2101141 QUAD CHMBR TOP PROD, HTR 120 |
2948 | LAM RESEARCH, LAM | 2101288 | LAM 2101288, Process Chamber, Bellows Heater |
2949 | LAM Research, LAM | 2101362 | LAM 2101362 CLAMP 125MM 60 DEGREE HF WAFER |
2950 | LAM Research, LAM | 2101364 | LAM 2101364 CLAMP 200MM 60 DEG HF WFR |
2951 | LAM RESEARCH, LAM | 220-001316-001 | LAM 220-001316-001 Power Supply Assy, Mean Well RSP-2400-48 |
2952 | LAM Research, LAM | 2201143-PR | LAM 2201143-PR Cassette Bellows Assembly |
2953 | LAM RESEARCH, LAM | 2201675 | LAM 2201675 8″ 350 DEG OPTO WAFER ARM ASSY |
2954 | LAM Research, LAM | 2201675 | LAM 2201675 8″ 350 DEG OPTO WAFER ARM ASSY |
2955 | LAM Research, LAM | 2300009 | LAM 2300009 INSULATOR, ELECTRODE |
2956 | LAM Research, LAM | 2300195 | LAM 2300195 ELECTRODE SUBSTRADE |
2957 | LAM Research, LAM | 2300750-PR | LAM 2300750-PR ELECTRODE REFINED BOTTOM MACH, FINMACH |
2958 | LAM Research, LAM | 2300750-PR | LAM 2300750-PR ELECTRODE REFINED BOTTOM MACH, FINMACH, REV K |
2959 | LAM Research, LAM | 2300927 | LAM 2300927 J-TYPE SHOWER HEAD, SLOTLESS, ANODI |
2960 | LAM RESEARCH, LAM | 2301164-PR | LAM 2301164-PR 5 DED FINISH BTM TRODE STA QTZ |
2961 | LAM Research, LAM | 2301728 | LAM 2301728 J-TYPE UPPER ELECTRODE ASSY MANUAL SPAC |
2962 | LAM Research, LAM | 2301799 | LAM 2301799 BODY, LOWER ELECTRODE 125MM, STAR |
2963 | LAM Research, LAM | 2301856 | LAM 2301856 CERAMIC 150mm Wafer Clamp |
2964 | LAM Research, LAM | 2301856 | LAM 2301856 CERAMIC 150mm Wafer Clamp MS S04-H08 |
2965 | LAM Research, LAM | 2301911 | LAM 2301911 Weldment, PLAS, ARSR, ESC, 384T |
2966 | LAM Research, LAM | 2600309 | LAM 2600309 TRANSFORMER SUPPORT MOUNT |
2967 | LAM Research, LAM | 2600424 | LAM 2600424 DUAL RF GENERATOR CABLE KIT |
2968 | LAM RESEARCH, LAM | 2600429 | LAM 2600429 (A) DUAL FILTER PLUG ENCLOSURE |
2969 | LAM Research, LAM | 2600439 | LAM 2600439 REV .03, 1K OVERCURENT MON ASSY1 |
2970 | LAM Research, LAM | 2600439 | LAM 2600439 1K OVERCURENT MON ASSY0711 |
2971 | LAM Research, LAM | 2600446 | LAM 2600446 RF GENERATOR DUAL POWER CONTROL MODULE, SUB-ASSY PWR CNTRL |
2972 | LAM Research, LAM | 2600446 | LAM 2600446 RF GENERATOR DUAL POWER CONTROL MODULE, SUB-ASSY PWR CNTRL MODULE DUAL AMP |
2973 | LAM Research, LAM | 2600456 | LAM 2600456 (C) DUAL OUT COMB ENCLOSURE |
2974 | LAM Research, LAM | 2600579-PR | LAM 2600579-PR Purch, Dual RF Gen Cable Kit |
2975 | LAM Research, LAM | 26-0200-215 | LAM 26-0200-215 KIT, BRUSH, SEMI-ANNUAL PM, S2i |
2976 | LAM Research, LAM | 26-9900-012 | LAM 26-9900-012 Intl, Semi Annual PM, BR.Upper |
2977 | LAM RESEARCH, LAM | 28000927 | LAM 28000927 Servo INT, Single AXIS PCB ASSY, Drytek |
2978 | LAM Research, LAM | 2800293 | LAM 2800293 NUCOM Microstep Translator MID014-1002 |
2979 | LAM Research, LAM | 2800296 | LAM 2800295 STEPPER INTERFACE BOARD, 2800296, 2800295-1 |
2980 | LAM Research, LAM | 2800299 | LAM 2800299 (B) MVME-121CPU REV P OR BETTER |
2981 | LAM Research, LAM | 2800369 | LAM 2800369 DUAL OUTPUT COMBINER BOARD |
2982 | LAM Research, LAM | 2800381 | LAM 2800381 (A) Horiz Optical Sens PCB Asy#2 |
2983 | LAM Research, LAM | 2800381 | LAM 2800381 (A) Horizontal Optical Sensor PCB Assy #2 |
2984 | LAM Research, LAM | 2800381 | LAM 2800381 (A)HORIZ OPTICL SENS PCB ASSY |
2985 | LAM Research, LAM | 2800392 | LAM 2800391 DUAL DRIVER MODULE 2800391, 2800392 |
2986 | LAM RESEARCH, LAM | 2800391 | LAM 2800391 DUAL DRIVER MODULE 2800391-1 |
2987 | LAM RESEARCH, LAM | 2800392 | LAM 2800392 DUAL DRIVER MODULE |
2988 | LAM Research, LAM | 2800394 | LAM 2800394 VME MEMORY MVME-222-2 |
2989 | LAM RESEARCH, LAM | 2800447 | LAM 2800447 384 GASFLO PCB ASSY (6 CHAN) |
2990 | LAM Research, LAM | 2800912 | LAM 2800912 DryTek TRANSPORT I/O PCB BOARD |
2991 | LAM Research, LAM | 2801019 | LAM 2801019 ASSY AMN VME MUX BD ASIQ |
2992 | LAM Research, LAM | 3000155 | LAM 3000155 MFCCM 5850E VCR |
2993 | LAM Research, LAM | 3000253 | LAM 30002538515, Power Supply SQM 200-1333-7, +/- 15V @6aA |
2994 | LAM RESEARCH, LAM | 33-0010-00 | LAM 33-0010-001 Bearing, 9mm (W), 15mm Bore(OD) |
2995 | LAM RESEARCH, LAM | 3800-33545-1 | LAM 3800-33545-1, Disk Drive Mount Bracket |
2996 | LAM Research, LAM | 392-1-B-356-385 | LAM 392-1-B-356-385 PCB BOARD, 981228-003 |
2997 | LAM Research, LAM | 392-1-B-597-588 | LAM 392-1-B-597-588 PCB BOARD, 981228 |
2998 | LAM Research, LAM | 392-1-B-606-588 | LAM 392-1-B-606-588 PCB BOARD, 981228-002 |
2999 | LAM RESEARCH, LAM | LAM 3-Way Isolation Valve, Gas Manifold, | |
3000 | LAM RESEARCH, LAM | 490B | LAM 490B AutoEtch 490 Plasma Etcher w/ ENI OEM-6L, M&W Chiller |
3001 | LAM RESEARCH, LAM | 490B | LAM 490B AutoEtch 490 Plasma Etcher w/ ENI OEM-6M-01, M&W Chiller |
3002 | LAM RESEARCH, LAM | 500055389 | LAM 500055389, Electrode, one piece, ground |
3003 | LAM Research, LAM | 505-017500-353 | LAM 505-017500-353 PKNG, CHAS, 14″, GF |
3004 | LAM Research, LAM | 515-011835-001 | LAM 515-011835-001 Tool, Domed Electrode Level |
3005 | LAM RESEARCH, LAM | 515-011835-001 | LAM 515-011835-001 Tool, Domed Electrode Levelin |
3006 | LAM Research, LAM | 515-011835-001 | LAM 515-011835-001 Tool, Domed Electrode Leveling |
3007 | LAM Research, LAM | 515-011835-001 | LAM 515-011835-001 Tool, Domed Electrode Leveling, Plate 6mm Electrode |
3008 | LAM RESEARCH, LAM | 518-093762-001 | LAM 518-093762-001 Hydrometer |
3009 | LAM Research, LAM | 5540380 | LAM 5540380 384T Software VER-3.80 W/PROM, LAM 631-007887-002 IC |
3010 | LAM Research, LAM | 5540380 | LAM 5540380, 384T Software VER-3.80 W/PROM |
3011 | LAM Research, LAM | 600162 | LAM 600162 JB LWR ELCTD |
3012 | LAM Research, LAM | 600748 | LAM 600748 KIT LOWER ELECTRODE 150MM, LAM 042312 LOWER ELECTRODE RING |
3013 | LAM Research, LAM | 600866 | LAM 600866 CLAMP RING CHMP ETCH9967 |
3014 | LAM Research, LAM | 601288 | LAM 601288 TRU-4004-GSUS UG-216B/U CONN LC RT ANGLE ADAPTOR, RF CO AXIL |
3015 | LAM Research, LAM | 601594 | LAM 601594 COIL, FIVE TURNS ASSY, AMN ASSY743 |
3016 | LAM Research, LAM | 601733 | LAM 601733 UPPER ELECT ASM 150MM CHAMPAGNE |
3017 | LAM Research, LAM | 601800 | LAM 601800 HOUSING UV LIGHT1425 |
3018 | LAM Research, LAM | 601804 | LAM 601804 Ring Insulator 200mm .090 |
3019 | LAM Research, LAM | 601835 | LAM 601835 Chamber Triode 200mm0483 |
3020 | LAM Research, LAM | 601835 | LAM 601835 Chamber, Triode, 200mm |
3021 | LAM Research, LAM | 601859 | LAM 601859 AMN MK3 MOTOR DRIVE PCB BARE |
3022 | Lam RESEARCH, LAM | 601879R1 | LAM 601879R1 Ring Grnd Ext 200MM .234 W/RAD |
3023 | LAM Research, LAM | 601944 | LAM 601944 KIT, CPACITOR C14881 |
3024 | LAM Research, LAM | 602246-HC | LAM 602246-HC Ring GND EXT100-150 ENDPT R3-R5-1, MS B02-A02 |
3025 | LAM Research, LAM | 602250 | LAM 602250 INSULATOR RING, 0.096” THK 150MM TRIODE LOWER ELECTRODE |
3026 | LAM Research, LAM | 602250 | LAM 602250 RING INSULATOR 150MM 0.096 QTZ |
3027 | LAM RESEARCH, LAM | 605-016005-001 | LAM 605-016005-001 CRT Video Bottom Board PCB 91196-005 Rev. C |
3028 | LAM RESEARCH, LAM | 673-092355-006 | LAM 673-092355-006, Term, STP, TB1, AC INP Box, CF. Phoenix Contact |
3029 | LAM RESEARCH, LAM | 678-009953-001 | LAM 678-009953-00120026, Heater Cartridge, 200W |
3030 | LAM Research, LAM | 678-097988-901 | LAM 678-097988-901 HEATER JACKET, TURBO, TMP, 2.2K LS,PM, S035291501 |
3031 | LAM Research, LAM | 679-096386-001 | LAM 679-096386-001 CNTR, TOTLZ, 5-240V I/P |
3032 | LAM Research, LAM | 680-095163-001 | LAM 680-095163-001 Heater Blanket, ISO 200-F |
3033 | LAM Research, LAM | 684-090808-003 | LAM 684-090808-003 CABLE ASSY |
3034 | LAM RESEARCH, LAM | 685-094680-001 | LAM 685-094680-001 Light, Pen, Novellus |
3035 | LAM RESEARCH, LAM | 7015-011531-0011 | Lam 7015-011531-0011 Electrode DI Sealed |
3036 | LAM Research, LAM | 710-039354-00 | LAM 710-039354-00 710 ASSY, CORRECTOR FORMATTER 210 LWD |
3037 | LAM Research, LAM | 710-17001-001 | LAM 710-17001-001 Rev A Backplane #2, 710-017001-1 |
3038 | LAM Research, LAM | 713-000134-001 | LAM 713-000134-001 CAP TEMP CONT RESERVIOR |
3039 | LAM Research, LAM | 713-011203-001 | LAM 713-011203-001 90 Degree ELL Loadlock Cover |
3040 | LAM Research, LAM | 713-011666-006 | LAM 713-011666-006 RING,FOCUS/SLEEVE COMBO |
3041 | LAM Research, LAM | 713-028281-001 | LAM 713-028281-001 RING CONFINEMENT |
3042 | LAM RESEARCH, LAM | 713-071681-009 | LAM 713-071681-009, 734-061280-271, Isolator, Esc BP, Redcap, O-Ring |
3043 | LAM Research, LAM | 713-080492-001 | LAM 713-080492-001 LINER, HSG, ESC, ASIQ/384T |
3044 | LAM RESEARCH, LAM | 713-221495-001 | LAM 713-221495-001 Plate, Label Mounting |
3045 | LAM Research, LAM | 713-330153-003 | LAM 713-330153-003 ROD ADJUSTABLE CLAMPING |
3046 | LAM RESEARCH, LAM | 714-017336-002 | LAM 714-017336-002 Fan Unit, 44xx, Cover, Panel |
3047 | LAM RESEARCH, LAM | 714-017336-002 | LAM 714-017336-002 Fan Unit, Cover, Panel |
3048 | LAM Research, LAM | 714-021836-001 | LAM 714-021836-001 ENCLOSURE, FAN, OUTER, ISO |
3049 | LAM RESEARCH, LAM | 714-025052-104 | LAM 714-025052-104, Cover ABC Power Supply |
3050 | LAM RESEARCH, LAM | 714-025052-107 | LAM 714-025052-107 Cover, ABC Power Supply, ESCTPPH2 |
3051 | LAM RESEARCH, LAM | 714-071727-00 | LAM 714-071727-004 Shield Trans Side R/H, 06-14744-00; |
3052 | LAM RESEARCH, LAM | 715-011123-001 | LAM 715- 011123- 001 Clamp Ball Nut Qty 1 Each |
3053 | LAM Research, LAM | 715-000001-001 | LAM 715-000001-001 LOAD LOCK CHAMBER |
3054 | LAM Research, LAM | 715-000015-001 | LAM 715-000015-001 MOUNT BASE LOAD LOCK EXIT |
3055 | LAM Research, LAM | 715-000028-001 | LAM 715-000028-001 BOTTOM PLATE LOAD LOCK |
3056 | LAM Research, LAM | 715-001408-001 | LAM 715-001408-001 ELECTRODE, HARDANODIZED |
3057 | LAM Research, LAM | 715-002732-001 | LAM 715-002732-001 GATE HARD ANODIZE |
3058 | LAM Research, LAM | 715-004111-002 | LAM 715-004111-002 Roller Block |
3059 | LAM Research, LAM | 715-005120-001 | LAM 715-005120-001 COOLING RING GAP ADJUST HOUSING |
3060 | LAM Research, LAM | 715-005153-001 | LAM 715-005153-001 Plate Rear |
3061 | LAM Research, LAM | 715-005237-001 | LAM 715-005237-001 INSERT HOLDER 3 |
3062 | LAM Research, LAM | 715-005237-002 | LAM 715-005237-001 INSERT HOLDER 3″ EXIT |
3063 | LAM Research, LAM | 715-005865-001 | LAM 715-005865-001 Top Plate |
3064 | LAM Research, LAM | 715-005965-001 | LAM 715-005965-001 Gas Seal Plate Front |
3065 | LAM Research, LAM | 715-006435-001 | LAM 715-006435-001 RING ORIFICE |
3066 | LAM Research, LAM | 715-006681-001 | LAM 715-006681-001 BAFFLE, LOWER 590, UPPER ELECTRODE ASSEMBLY |
3067 | Lam Research, LAM | 715-006681-001 | LAM 715-006681-001 Lower Baffle, 590, Upper Electrode Assembly |
3068 | LAM Research, LAM | 715-007184-006 | LAM 715-007184-006 CAP ELECTRODE 6″ |
3069 | LAM RESEARCH, LAM | 715-007434-001 | LAM 715-007434-001 RETAINER GAP HOUSING SEAL |
3070 | LAM Research, LAM | 715-007469-001 | LAM 715-007469-001 LWR, 8″ – 4 PIN |
3071 | LAM Research, LAM | 715-007469-002 | LAM 715-007469-002 Lower Electrode Refurbished Surplus |
3072 | LAM Research, LAM | 715-007998-001 | LAM 715-007998-001 Bracket Stop Screw |
3073 | LAM RESEARCH, LAM | 715-008106-002 | LAM 715-008106-002 CHAMBER HINGE, PLATE, BRACKET HOLDER |
3074 | LAM RESEARCH, LAM | 715-008221-001 | LAM 715-008221-001 Bracket, Offset |
3075 | LAM RESEARCH, LAM | 715-008221-001 | LAM 715-008221-001 Rev.A, Bracket, New World Machining, Offset |
3076 | LAM RESEARCH, LAM | 715-009128-005 | LAM 715-009128-005 ELECTRODE PLATE |
3077 | LAM Research, LAM | 715-009306-006 | LAM 715-009306-006 RING, FOCUS, 6″ |
3078 | Lam Research, LAM | 715-011035-001 | LAM 715-011035-001 Plate Lower End, (Reaction Chamber) |
3079 | LAM RESEARCH, LAM | 715-011039-004 | LAM 715-011039-004, Retainer, Heated Endpoint, Window, 06-14008-00 |
3080 | LAM Research, LAM | 715-011051-001 | LAM 715-011051-001 FRAME CHAMBER WINDOW |
3081 | LAM Research, LAM | 715-011059-001 | LAM 715-011059-001 RING ORIFICE ANNODIZED |
3082 | LAM Research, LAM | 715-011059-001 | LAM 715-011059-001 RING ORIFICE ANNODIZED, 233414473 |
3083 | LAM Research, LAM | 715-011229-003 | LAM 715-011229-003 PLATE, SEAL, EXIT LOADLOCK |
3084 | LAM Research, LAM | 715-011502-001 | LAM 715-011502-001, 715-28486-002 Cathode Assembly Etch Chamber |
3085 | LAM Research, LAM | 715-011504-001 | LAM 715-011504-001 UPPER BAFFLE |
3086 | LAM Research, LAM | 715-011531-002 | LAM 715-011531-002 Shower Head Electrode Alum Anodized |
3087 | LAM Research, LAM | 715-011531-008 | LAM 715-011531-008-L ELECTRODE |
3088 | LAM Research, LAM | 715-011531-016 | LAM 715-011531-016 4400 UPPER ELECTRODE |
3089 | LAM Research, LAM | 715-011531-016 | LAM 715-011531-016 ATMI, Clean, DI, Electrode |
3090 | LAM Research, LAM | 715-011531-016 | LAM 715-011531-016 SHADOW NOSE CLAMP |
3091 | LAM Research, LAM | 715-011531-104 | LAM 715-011531-104 ELECTRODE, ALUMINUM, ANODIZED |
3092 | LAM RESEARCH, LAM | 715-011587-001 | LAM 715-011587-001 PLATE, LOWER BAFFLE 6″ |
3093 | LAM RESEARCH, LAM | 715-011593-002 | LAM 715-011593-002 PLATE SEAL UPPER ELECTRODE |
3094 | LAM Research, LAM | 715-011639-008 | LAM 715-011639-008 Cap Electrode Thin Edge |
3095 | LAM Research, LAM | 715-011639-008 | LAM 715-011639-008 Cap Electrode Thin Edge, Cleaned |
3096 | LAM Research, LAM | 715-011639-016 | LAM 715-011639-016 Cap Elect Thin Edge w/ GRV SEIM |
3097 | LAM Research, LAM | 715-011640-006 | LAM 715-011640-006 RING,FOCUS,ANODIZED,SEMI 6″ |
3098 | LAM Research, LAM | 715-011640-006 | LAM 715-011640-006, 6-21062-18, 6″ Anodized Focus Ring |
3099 | LAM RESEARCH, LAM | 715-011640-006 | LAM 715-011640-0060-07-28-0017, 6″ Anodized Focus Ring. |
3100 | LAM Research, LAM | 715-011663-005 | LAM 715-011663-005 LOWER 5″ INCH ELECTRODE CAP DOMED W/P |
3101 | LAM Research, LAM | 715-011669-006 | LAM 715-011669-006 6″ ELECTRODE LOWER CAP DOMED WITHOUT PIN, SP1981-1666 |
3102 | LAM Research, LAM | 715-011746-142 | LAM 715-011746-142 PLATE, 4″ .010 DOME REDUCED HGT |
3103 | LAM Research, LAM | 715-011754-001 | LAM 715-011754-001 LOWER BAFFLE (4600) |
3104 | LAM Research, LAM | 715-011909-501 | LAM 715-011909-501 PL UPR ELCTD 8″ CPTV POL |
3105 | LAM Research, LAM | 715-011909-501 | LAM 715-011909-501 PL UPR ELCTD 8″ CPTV POL. 114732 |
3106 | LAM Research, LAM | 715-011909-501 | LAM 715-011909-501 PLATE, UPPER ELCTDRODE 8″ PLISHED CAPTIVE |
3107 | LAM RESEARCH, LAM | 715-011912-084 | Lam 715-011912-084 Plate, Baffle, Upper 8.3in |
3108 | LAM Research, LAM | 715-011912-555 | LAM 715-011912-555 BAFFLE, UPPER, 4420, STR, 858 |
3109 | LAM RESEARCH, LAM | 715-011913-501 | LAM 715-011913-501 PL BAF LWR 8.3″ Meltron |
3110 | LAM Research, LAM | 715-011986-018 | LAM 715-011986-018 CAP, LWR ELECT, 8″, W/VENT GROOVE |
3111 | LAM RESEARCH, LAM | 715-012113-001 | LAM 715-012113-001 Collar Arm |
3112 | LAM Research, LAM | 715-012233-003 | LAM 715-012233-003 GATE INNER DOOR HD ANODIZE |
3113 | LAM RESEARCH, LAM | 715-018611-117 | LAM 715-018611-117 , LOWER, Electrode, 8″ CHUCK |
3114 | LAM RESEARCH, LAM | 715-018991-006 | LAM 715-018991-006 ELECTRODE, ALUMINUM, ANODIZED |
3115 | LAM Research, LAM | 715-018991-208 | LAM 715-018991-208 ELECTRODE ALUMINUM ANODIZED, 15102-2537 |
3116 | LAM RESEARCH, LAM | 715-018995-001 | LAM 715-018995-001 Ring Focus EIP |
3117 | LAM Research, LAM | 715-021404-002 | LAM 715-021404-002 PLATE Mounting, P.S. Module |
3118 | LAM RESEARCH, LAM | 715-025724-001 | LAM 715-025724-001 Flanged Gas Feed Ring, 8 Hole |
3119 | LAM Research, LAM | 715-028420-001 | LAM 715-028420-001 CAP, L ELECTRODE FLAT PROCESS |
3120 | Lam Research, LAM | 715-028554-001 | LAM 715-028554-001 PLATE UPPER SEAL 8″ |
3121 | LAM Research, LAM | 715-028616-001 | LAM 715-028616-001 Baffle, Lower Dome STD |
3122 | LAM Research, LAM | 715-028744-003 | LAM 715-028744-003 BAFFLEOWER DOME UNBOLTED |
3123 | LAM Research, LAM | 715-031753-001 | LAM 715-031753-001 ELECTRODE BOTTOM |
3124 | LAM Research, LAM | 853-331019-003 | LAM 715-031984-003 WINDOW, 715-031984-003-E8 |
3125 | LAM RESEARCH, LAM | 715-032012-003 | LAM 715-032012-003 PLATE, WINDOW, CHAMBER LGR |
3126 | LAM Research, LAM | 715-032012-004 | LAM 715-032012-004-B, 1289-99-41-0131, WDO WINDOW, QIK CLN, E9400/E9600 |
3127 | Lam Research, LAM | 715-032760-008 | LAM 715-032760-008 APM Basket |
3128 | LAM RESEARCH, LAM | 715-033203-001 | LAM 715-033203-001PLATE MOUNTING TURBO PURGE |
3129 | LAM RESEARCH, LAM | 715-033514-200 | LAM 715-033514-200 |
3130 | LAM Research, LAM | 715-07435-001 | LAM 715-07435-001, Insert, Gap Mounting Seal, A715-07435-001 |
3131 | LAM Research, LAM | 715-080490-001 | LAM 715-080490-001 PL, CHAMBER, BOT, ESC, ASIQ/384T |
3132 | LAM Research, LAM | 715-110709-001 | LAM 715-110709-001 PLATE, ADAPTER |
3133 | LAM Research, LAM | 715-11640-006 | LAM 715-11640-006 RING LOWER FUCOS ANODIZED |
3134 | LAM Research, LAM | 715-130080-006 | LAM 715-130080-006 WAFER HOLDER,ROBOT END EFFECTOR,SP1981-88590-11-0006 |
3135 | LAM Research, LAM | 715130081-008 | LAM 715130081-008 ROBOT WAFER HANDLER |
3136 | LAM Research, LAM | 715-140054-008 | LAM 715-140054-008 CAP, LWR ELECT, BTM CLAMP, 8 Inch |
3137 | LAM Research, LAM | 715-140054-008 | LAM 715-140054-008 CAP, LWR ELECT, BTM CLAMP, 8 Inch, Dome |
3138 | LAM RESEARCH, LAM | 715-140144-002 | LAM 715-140144-002 RING, CPLG, LVLG, EXP, 6 INCH, JDA |
3139 | LAM Research, LAM | 715-140286-001 | LAM 715-140286-001 RING, FOCUS, 6″ |
3140 | LAM RESEARCH, LAM | 715-140403-001 | LAM 715-140403-001 Plate, Baffle, Upper 8.3in Looks New |
3141 | LAM Research, LAM | 715-140421-026 | LAM 715-140421-026 Electrode Aluminum Anodized 6″ |
3142 | LAM RESEARCH, LAM | 715-140485-006 | LAM 715-140485-006 ELCTD(SPCL), AL, ANDZ, 6″ |
3143 | LAM RESEARCH, LAM | 715-221532-140-1 | LAM 715-221532-140-1 Clamp, BLKHD, NW40, Longs MFG |
3144 | LAM RESEARCH, LAM | 715-221532-140-1 | LAM 715-221532-140-1, Long’s Mfg, Bracket. |
3145 | LAM RESEARCH, LAM | 715-221532-140-1 | LAM 715-221532-140-1, Long’s Mfg, Clamp, BLKHD, NW40 |
3146 | LAM Research, LAM | 715-250671-001 | LAM 715-250671-001 INSULR, UPR RF TNL |
3147 | LAM Research, LAM | 715-250686-002 | LAM 715-250686-002 BODY, 150MM, ESC, 9500 |
3148 | LAM Research, LAM | 715-330000-090 | LAM 715-330000-090 RING , GAS INJECTION , ALUMINUM |
3149 | LAM Research, LAM | 715-330133-001 | LAM 715-330133-001 RING, UPPER CHAMBER FIXED GAP |
3150 | LAM Research, LAM | 715-330394-002 | LAM 715-330394-002 CHAMBER, BRACKET, LIN, 9400W/ PLENUM, 25953 |
3151 | LAM Research, LAM | 715-330837-090 | LAM 715-330837-090 RING, GAS INJECTOR, 9″ DIA, SPECIAL ANDZ |
3152 | LAM Research, LAM | 715-330928-002 | LAM 715-330928-002 LINER PMP PORT O-SHAPE |
3153 | LAM Research, LAM | 715-461022-001 | LAM 715-461022-001 R, CLG, ESC, UNIV |
3154 | LAM Research, LAM | 715-495014-001 | LAM 715-495014-001 CHAMBER LINER |
3155 | LAM RESEARCH, LAM | 715-704170-001 | LAM 715-704170-001 Wafer Chuck Lift Assy, SMC NCQ2B20-25D-XB9 |
3156 | LAM Research, LAM | 716-005773-006 | LAM 716-005773-006 RING, FOCUS, 6″, 6 Inch |
3157 | LAM RESEARCH, LAM | 716-006711-001 | LAM 716-006711-001 Shower Head 5.5″ DFP-2 Upper Electrode Silicone |
3158 | LAM Research, LAM | 716-007136-001 | Lam 716-007136-001 RING, QUARTZ, UPPER, HP |
3159 | LAM Research, LAM | 716-011036-001 | LAM 716-011036-001 RING FILLER LOWER, ORIFICE |
3160 | LAM Research, LAM | 716-011036-001 | LAM 716-011036-001 RING FILLER ORIFICE ALUMINA |
3161 | LAM RESEARCH, LAM | 716-011536-001 | LAM 716-011536-001. ceramic ring, cleaned |
3162 | LAM Research, LAM | 716-011563-162 | LAM 716-011563-162 6″ DOMED WAFER CLAMP |
3163 | LAM Research, LAM | 716-011563-165 | LAM 716-011563-165 6″ Wafer Domed Clamp Ceramic |
3164 | LAM Research, LAM | 716-011563-168 | LAM 716-011563-168 DOMED WAFER CLAMP 6″ JEIDA STD |
3165 | LAM Research, LAM | 716-011564-003 | LAM 716-011564-003 6″ SFG-2 UPPER ELECTRODE |
3166 | LAM RESEARCH, LAM | 716-011598-381 | LAM 716-011598-381 Plate Wafer Clamp |
3167 | LAM RESEARCH, LAM | 716-011651-005 | LAM 716-011651-005 Ring, Edge, Wafer Clamp, 5.00″ |
3168 | LAM Research, LAM | 716-011651-006 | LAM 716-011651-006 Ring Edge Wafer Clamp 6 Inch |
3169 | LAM Research, LAM | 716-011651-008 | Lam 716-011651-008 200mm Wafer Clamp Edge Ring, 8″ |
3170 | LAM Research, LAM | 716-011669-006 | Lam 716-011669-006 Electrostatic Chuck ESD 6 inch |
3171 | LAM Research, LAM | 716-011755-001 | LAM 716-011755-001 PLATE, 8.00″ W/C Insert Carrier |
3172 | LAM Research, LAM | 716-011830-006 | LAM 716-011830-006 LOWER FOCUS RING |
3173 | LAM Research, LAM | 716-011830-008 | LAM 716-011830-008 FOCUS RING (ALUMNIA), WAFER CLA |
3174 | LAM Research, LAM | 716-011889-161 | LAM 716-011889-161 CLAMP, WAFER 6 |
3175 | LAM Research, LAM | 716-011909-504 | LAM 716-011909-504 PL, UPPER ELECTRODE, POLISH, 8″ INCH |
3176 | LAM Research, LAM | 716-011963-003 | LAM 716-011963-003 Plate, Ceramic, Attachment Interior Bellows |
3177 | LAM RESEARCH, LAM | 716-011999-281 | LAM 716-011999-281 PLATE LOWER WAFER CLAMP |
3178 | LAM Research, LAM | 716-013126-001 | LAM 716-013126-001 Gasket Panel CRT |
3179 | LAM Research, LAM | 716-0014741-001 | LAM 716-014741-001 WINDOW TANK 4600 |
3180 | LAM Research, LAM | 716-018527-430 | LAM 716-018527-430 PLATE, WAFER CLAMP 8″ .050 DOM |
3181 | LAM Research, LAM | 716-018900-001 | LAM 716-018900-001 RING COLLED LEFT ELECT PROC |
3182 | LAM RESEARCH, LAM | 716-020904-015 | LAM 716-020904-015, Ring Edge Focus, Lower wafer clamp, Cleaned |
3183 | LAM RESEARCH, LAM | 716-020904-018 | LAM 716-020904-018 RING, EDGE FOCUS, LWR WAFER CLAM |
3184 | LAM Research, LAM | 716-020904-018 | LAM 716-020904-018 RING, EDGE FOCUS, LWR WAFER CLAM, TI 4601887-0001 |
3185 | LAM Research, LAM | 716-020906-161 | LAM 716-020906-161 PLT, W/C 6″”, DMD, 1.5mm CV W/PIN |
3186 | LAM Research, LAM | 716-021278-008 | LAM 716-021278-008 CING, FOCUS 8″, BOTTOM CLAMP |
3187 | LAM Research, LAM | 716-021843-001 | LAM 716-021843-001 BELL, JAR, BASE, CERM, LRC, ISO ETCH |
3188 | LAM RESEARCH, LAM | 716-027740-001 | LAM 716-027740-001 Window |
3189 | LAM Research, LAM | 716-028033-001 | LAM 716-028033-001 INSUL, ELECT CAP PROCESS II EIP |
3190 | LAM Research, LAM | 716-028123-002 | LAM 716-028123-002 RING FILLER CERAMIC |
3191 | LAM RESEARCH, LAM | 716-028447-002 | LAM 716-028447-002 Window, Ceramic, TCP, 06-14212-00 |
3192 | LAM RESEARCH, LAM | 716-028447-002 | LAM 716-028447-002 Window, Ceramic, TCP, 06-14212-00, |
3193 | LAM RESEARCH, LAM | 716-028447 | LAM 716-028447-002 Window, Ceramic, TCP, DISK |
3194 | LAM Research, LAM | 716-028678-245 | LAM 716-028678-245 PLT TOM WAFER CLAMP DOMED LSBN |
3195 | LAM Research, LAM | 716-028678-251 | LAM 716-028678-251 PLT, TOP W/C, DOMED LSBN, 2.5MM |
3196 | LAM Research, LAM | 716-028688-262 | LAM 716-028688-262 PLT, BOT CLMP, DOM, LSBNS, 225W/I |
3197 | LAM Research, LAM | 716-028688-281 | LAM 716-028688-281 Plate lower Wafer Clamp 8″ |
3198 | LAM Research, LAM | 716-028688-581 | LAM 716-028688-581 PLT BTM WFR CLMP LSBNS, SHADOW NOSE, 8″ |
3199 | LAM RESEARCH, LAM | 716-0258721-268 | LAM 716-028721-268 SHADOW CLAMP, JEIDA, BOTTOM ASSEMBLY |
3200 | LAM RESEARCH, LAM | 716-028721-268 | LAM 716-028721-268, Plate, Shadow Clamp, Wafer, Jeida, Bottom Assembly |
3201 | LAM Research, LAM | 716-028725-181 | LAM 716-028725-181 Ceramic Clamp Ring, PAD, SHADOWNOSE |
3202 | LAM Research, LAM | 716-028728-001 | LAM 716-028728-001 PLT, WAFER CLAMP 5″, SHADOW DOMED |
3203 | LAM Research, LAM | 716-031453-001 | Lam 716-031453-001 LOWER CHAMBER RING, FILLER |
3204 | LAM RESEARCH, LAM | 716-031535-002 | LAM 716-031535-002 RING CLAMP BOTTOM CERAMIC ESC OXD |
3205 | LAM RESEARCH, LAM | 716-032405-001 | LAM 716-032405-001 RINGS, FILLEP, LOWER CHAMBER, CLEANED |
3206 | LAM Research, LAM | 716-032405-001 | LAM 716-032405-001 RINGS, FILLER, LOWER CHAMBER, CLEANED |
3207 | LAM RESEARCH, LAM | 716-051050-001 | LAM 716-051050-001, QTZ, Outer Spacer, PLT, React UPP |
3208 | Lam Research, LAM | 716-071637-003 | LAM 716-071637 Plate Insulation, Horn, 849-072081-001 Kit, Cons, Chamber |
3209 | LAM Research, LAM | 716-071637-003 | LAM 716-071637-003 PLATE, INSULATION, HORN, 06-14858-00, 06-14858-01 |
3210 | LAM Research, LAM | 716-080177-001 | LAM 716-080177-001 Ring Focus 150mm ESC 384T |
3211 | LAM Research, LAM | 716-080600-001 | LAM 716-080600-001 Ring, Focus, Special, 150mm, ESC, 384T |
3212 | LAM Research, LAM | 716-11683-008 | LAM 716-11683-008 FOCUS RING E716-11683-008 LAM 9600 |
3213 | LAM Research, LAM | 716-140349-010 | LAM 716-140349-010 RING, FOCUS 8 IN |
3214 | LAM Research, LAM | 716-250922-001 | LAM 716-250922-001 SHLD, DK, SPC, LWR, ELCTD, 384T |
3215 | LAM Research, LAM | 716-330068-001 | LAM 716-330068-001 CERAMIC GAS RING |
3216 | LAM RESEARCH, LAM | 716-330121-004 | LAM 716-330121-004 WDO, RF SHP, CER, W/ALIGN GRV, FIG, CLEANED |
3217 | LAM Research, LAM | 716-330122-002 | LAM 716-330122-002 PL, TOP, CERAMIC F/G |
3218 | LAM Research, LAM | 716-330122-002 | LAM 716-330122-002 PL, TOP, CERAMIC F/G, CLEANED |
3219 | LAM Research, LAM | 716-330162-002 | LAM 716-330162-002 RING, FILLER, B.A.C |
3220 | LAM Research, LAM | 716-330162-002 | LAM 716-330162-002 RING, FILLER, B.A.C, JD |
3221 | LAM Research, LAM | 716-330167-261 | LAM 716-330167-261 6″ Bottom ACTR Clamp Ring, A716-330167-261 |
3222 | LAM RESEARCH, LAM | 716-330167-341 | LAM 716-330167-341 RING, CLP, BOT ACTR, 2.3,4″, FETD, CLEANED |
3223 | LAM Research, LAM | 716-330915-001 | LAM 716-330915-001 Insul, ESC, 9600XX |
3224 | LAM Research, LAM | 716-331088-001 | LAM 716-331088-001 EDGE RING, 8″, ES, NCH, TOP, QUARTZ |
3225 | LAM Research, LAM | 716-331089-001 | Lam 716-331089-001 R EDGE 8″ ES BOT CER |
3226 | LAM Research, LAM | 716-331099-001 | LAM 716-331099-001 EDGE RING 6″ FLAT TOP QUARTZ |
3227 | LAM Research, LAM | 716-331142-302 | LAM 716-331142-302 RING, EDGE, TP5MM, STP-300MM |
3228 | LAM Research, LAM | 716-331142-302 | LAM 716-331142-302 RING, EDGE, TP5MM, STP-300MM. 112127 |
3229 | LAM Research, LAM | 716-440006-001 | LAM 716-440006-001 INSULATOR, ELECTRODE, LOWER |
3230 | LAM RESEARCH, LAM | 716-460954-002 | LAM 716-460954-002 OUTER COVER,CMPST,FOC,RSIN6,JEIDA |
3231 | LAM Research, LAM | 716-801451-112 | LAM 716-801451-112 BAFFLE, CHAMBER, 2300 MW STRIPPER |
3232 | LAM Research, LAM | 718-094756-081 | LAM 718-094756-081 ELECTD, Cap, GD Ring, ESC, 8IN, NCH |
3233 | LAM RESEARCH, LAM | 718-094756-081 | LAM 718-094756-081, ELECTD, Cap, GD Ring, ESC, 8IN, NCH |
3234 | LAM Research, LAM | 718-098591-001 | LAM 718-098591-001, PENDULUM VALVE PL HARD ANODIZED VAT 200500 |
3235 | LAM Research, LAM | 718-098592-001 | LAM 718-098592-001 LOCKING RING |
3236 | LAM RESEARCH, LAM | 719-330825-404 | LAM 719-330825-404 Liner |
3237 | LAM RESEARCH, LAM | 720-090943-010 | LAM 720-090943-010 Screw |
3238 | LAM RESEARCH, LAM | 734-007334-001 | LAM 734-007334-001 O-Ring 3 I.D. X 3/32 C/S. Viton |
3239 | LAM Research, LAM | 734-007358-001 | LAM 734-007358-001 O-RING, 12.984 ID X 0.139 C/S |
3240 | Lam Research, LAM | 734-008123-001 | LAM 734-008123-001 O-RING 12.00 ID x 0.125 c/s |
3241 | LAM Research, LAM | 734-008546-001 | LAM 734-008546-001 O-RING, 7.5 IDX.103, 2-169V, A734-008546-001 |
3242 | LAM RESEARCH, LAM | 734-009868-001 | LAM 734-009868-001 ORING 2 3/4ID x 1/8 VITON |
3243 | LAM Research, LAM | 734-061280-010 | LAM 734-061280-010 O-RING, 0.239 ID X 0.070 C/S |
3244 | LAM Research, LAM | 734-061280-453 | LAM 734-061280-453 O-RING , VAT VALVE, 811-50494, 13.975 ID X 0.275C/S |
3245 | Lam Research, LAM | 734-091228-001 | Lam 734-091228-001, F643P2B5A, O-ring 9 1/4 x 3/32 |
3246 | LAM RESEARCH, LAM | 734-092358-001 | LAM 734-092358-001 O-ring, 1-3/16ID X 1/8, FSILICON |
3247 | LAM Research, LAM | 734-094437-001 | LAM 734-094437-001 O-RING, 12.505 ID X 0.210 CS |
3248 | LAM Research, LAM | 746-097904-001 | LAM 746-097904-001 BEARING WHL GUIDE 250MM |
3249 | LAM RESEARCH, LAM | 752-004083-001 | LAM 752-004083-001 Shaft, Perecision, .125 X 1.875 |
3250 | LAM RESEARCH, LAM | 754-092082-002 | LAM 754-092082-002 Assembly, GDE Bearing and SPR |
3251 | LAM RESEARCH, LAM | 766-077821-101 | LAM 766-077821-101 Valve, Hybrid-Purge, FVCR-IN, C-Seal Out, FJ, Fujikin |
3252 | LAM Research, LAM | 766-092079-102 | LAM 766-092079-102 GATE, MECH. COMPL, W/CRANKBO |
3253 | LAM Research, LAM | 768-091647-001 | LAM 768-091647-001 SWITCH VACUUM PRESSURE |
3254 | LAM RESEARCH, LAM | 770-093240-001 | LAM 770-093240-001 Pneumatic Cylinder, CYL.PNEU |
3255 | LAM Research, LAM | 790-095800-001 | LAM 790-095800-001 SL, QDISC, NON-TILT TYPE |
3256 | LAM RESEARCH, LAM | 79-374606-00 | LAM 79-374606-00 Dual Robot Arm, Blade, Wafer Transfer, PECVD, Novellus |
3257 | LAM RESEARCH, LAM | 796-009363-003 | LAM 796-009363-003, Flange, Blank-Off, NW25 |
3258 | LAM RESEARCH, LAM | 796-009363-004 | LAM 796-009363-004, Flange, Blank-Off, MKS HPS. |
3259 | LAM Research, LAM | 796-090807-102 | LAM 796-090807-102 VAT AG-Schweiz Type 95 8690-458 Gate Valve Housing |
3260 | LAM Research, LAM | 796-092372-001 | LAM 796-092372-001 O-RING KIT ROUGHING VALVE, 811-50142, ESVP-150-95, 1 1/2″, PNEUMATIC VALVE |
3261 | LAM Research, LAM | 796-093055-001 | LAM 796-093055-001 Kit, Seal, Bonnet |
3262 | LAM RESEARCH, LAM | 796-220745-001 | LAM 796-220745-001, Flange, CF, 1.33, Blank, Non-Rotatable |
3263 | LAM RESEARCH, LAM | Unknown | LAM 8″ cathode ceramic and anodized aluminum |
3264 | LAM Research, LAM | 810-000392-001 | LAM 810-000392-001 Autoetch Assy, PCB, SIO Board, REV D, BD98006 012 |
3265 | LAM Research, LAM | 810-000474-00 | LAM 810-000474-001 PCB, POWER DRIVER CARD |
3266 | LAM Research, LAM | 810-000474-001 | LAM 810-000474-001 POWER DRIVER CARD |
3267 | LAM Research, LAM | 810-000667-001 | LAM 810-000667-001 PCB TCG Interface |
3268 | LAM RESEARCH, LAM | 810-000671-001 | LAM 810-000671-001 Assembly PCB Keyboard Cont Panel |
3269 | LAM RESEARCH, LAM | 810-001314-001 | LAM 810-001314-001 PCB PROLOG 7604 TTL I/O CARD |
3270 | LAM Research, LAM | 810-001314-002 | LAM 810-001314-002 ASSY PCB PROLOG 7604 TTL I/O |
3271 | LAM RESEARCH, LAM | 810-001314-002 | LAM 810-001314-002 TLT I/O Board, PCB |
3272 | LAM RESEARCH, LAM | 810-001314-002 | LAM 810-001314-002 TLT I/O Board, PCB , missing attachment plate |
3273 | LAM Research, LAM | 810-001314-003 | LAM 810-001314-003 PL TTL I/O Card |
3274 | LAM Research, LAM | 810-001489-002 | LAM 810-001489-002 Dual Valve Control local Board PCBA |
3275 | LAM Research, LAM | 810-001489-002 | LAM 810-001489-002 Dual Valve Control local Board PCBA, REV B |
3276 | LAM RESEARCH, LAM | 810-001489-015 | LAM 810-001489-015, Rocker Valve Interface Board, FAB 710-001489-015 |
3277 | LAM RESEARCH, LAM | 810-004115-002 | LAM 810-004115-002 ASSY PCB ES MEMORY CARD |
3278 | LAM Research, LAM | 810-005887-001 | LAM 810-005887-001 Interface PCB |
3279 | LAM Research, LAM | 810-00670-001 | LAM 810-00670-001 Analog Output PCB Card 670L Rev. L |
3280 | LAM Research, LAM | 810-007561-001 | LAM 810-007561-001 PCB DC BIAS VOLT DIVIDER |
3281 | LAM Research, LAM | 810-017001-010 | LAM 810-017001-010 I/O MOTHERBOARD PCB ASSY, 710-017001-001 |
3282 | LAM Research, LAM | 810-017003-001 | LAM 810-017003-001 SSY, PCB DIP |
3283 | LAM Research, LAM | 810-017003-004 | LAM 810-017003-004 REV. 2 Dip High Frequency TCP |
3284 | LAM Research, LAM | 810-017010-001 | LAM 810-017010-001 Stepper Motor Mother Board |
3285 | LAM RESEARCH, LAM | 810-017013-001 | LAM 810-017013-001 PCB AMP INTERLOCK GAP control BOARD |
3286 | LAM Research, LAM | 713-011203-001 | LAM 810-017016-001 Stepper Motor Driver PCB board |
3287 | LAM RESEARCH, LAM | 810-017016-001 | LAM 810-017016-001 Stepper motor driver PCB board, BV9612305 |
3288 | LAM RESEARCH, LAM | 810-017030-005 | LAM 810-017030-005 ASSY PCB, GAS PANEL |
3289 | LAM Research, LAM | 810-017048-002 | LAM 810-017048-002 ASSY PCB HTR CONTOR ISO ETCH |
3290 | LAM Research, LAM | 810-017058-002 | LAM 810-017058-002 PCB, GAS, PANEL, MOTHER BOARD, ORB WELD |
3291 | LAM RESEARCH, LAM | 810-017075-003 | LAM 810-017075-003, FAB 710-017075-003, PCB Weldbox Panel |
3292 | LAM Research, LAM | 810-017077-001 | LAM 810-017077-001 Chiller Control PCB, 810-17077-1-E3, FAB 710-17077-00 |
3293 | LAM Research, LAM | 810-025369-002 | LAM 810-025369-002 CHILLER RESISTIVITY PCB BOARD |
3294 | LAM RESEARCH, LAM | 810-031325-004 | LAM 810-031325-004, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-003 |
3295 | LAM RESEARCH, LAM | 810-031325-104 | LAM 810-031325-104, 16 IGS Motherboard, DGF, PCB, FAB 710-031325-104 |
3296 | LAM RESEARCH, LAM | 810-035270-004 | LAM 810-035270-004, DSO 1.5 IGS, DGF, Motherboard, PCB, 710-035270-003 |
3297 | LAM RESEARCH, LAM | 810-048219-019 | LAM 810-048219-019 PCB Board, FAB 710-048219-018 |
3298 | LAM RESEARCH, LAM | 810-059223-312 | LAM 810-059223-312 V3 Cleaner Interlock Board, PCB, 855-059223-312 |
3299 | LAM RESEARCH, LAM | 810-059223-313 | LAM 810-059223-313 V3 Cleaner Interlock Board, PCB, 855-059223-312 |
3300 | LAM Research, LAM | 810-080049-001 | LAM 810-080049-001 SYSTEM INTERFACE 384T/ESC 710-080049-001 PCB BOARD |
3301 | LAM RESEARCH, LAM | 810-084427-002 | LAM 810-084427-002 PCB, FAB 710-84427-002 |
3302 | LAM Research, LAM | 810-091934-001 | LAM 810-091934-001 ASSY, PCB, ARM DRIVE INTERFACE |
3303 | LAM RESEARCH, LAM | 810-102171-002 | LAM 810-102171-002 C360 LRP Motherboard w/ 810-056663-007 Daughter Board |
3304 | LAM Research, LAM | 810-17002-003 | LAM 810-17002-003 I/O Breakout Board |
3305 | LAM Research, LAM | 810-17003-1 | LAM 810-17003-1 DRIVE IMPD PH BOARD 810-017003R001 |
3306 | LAM Research, LAM | 810-17003-001 | LAM 810-17003-1 DRIVE IMPD PH BOARD REV K |
3307 | LAM RESEARCH, LAM | 810-17004-001 | LAM 810-17004-001 Solenoid Interlock Board, PCB |
3308 | LAM Research, LAM | 810-17007-001 | LAM 810-17007-001 Convectron Tube Interface PCB Board |
3309 | LAM Research, LAM | 810-17031-2 | LAM 810-17031-2 Rev 2 PCB ADIO-AD Circuit Board |
3310 | LAM RESEARCH, LAM | 810-190401-001 | LAM 810-190401-001 Autoloader VME I/O Motherboard, PCB, |
3311 | LAM RESEARCH, LAM | 810-190401-001 | LAM 810-190401-001 PCB, Autoloader VME I/O Motherboard, |
3312 | LAM RESEARCH, LAM | 810-802901-317 | LAM 810-802901-317 Node 1, PM, Common Fan Detect PCB, FAB 710-802901-317 |
3313 | LAM RESEARCH, LAM | 810-802902-208 | LAM 810-802902-208 NODE2 Mother Board, PCB, FAB 710-802902-208 |
3314 | LAM Research, LAM | 810-008566-001 | LAM 810-8566-1 PCB MOTOR DRIVER |
3315 | LAM RESEARCH, LAM | 833-801000-017 | LAM 833-801000-017 Ethernet Cable Assy |
3316 | LAM RESEARCH, LAM | 839-006601-001-F | LAM 839-006601-001-F Weldment, Manifold, Gas-Line, BACKFI |
3317 | LAM RESEARCH, LAM | 839-009888-003 | LAM 839-009888-003 ASSY, WLDMT, 2300 MWV STPR SPI. Weldment, Line, Pipe |
3318 | LAM Research, LAM | 839-013517-002 | LAM 839-013517-002 Weldment, Soft Pump- Down, Swagelok SS-4TF-TWKGLL-2, SS-4BK-TW-KG-1C |
3319 | LAM Research, LAM | 839-013874-001 | LAM 839-013874-001 MANIFOLD WELDMENT OXE |
3320 | LAM Research, LAM | 839-014423-101 | LAM 839-014423-101 WLDMT, PRIMARY SHUTOFF VALVE,NUPRO SS-4BK-TW-1C |
3321 | LAM RESEARCH, LAM | 839-014705-001 | LAM 839-014705-001 Gas Line, Veriflo 944AOPLPNC4569 |
3322 | LAM RESEARCH, LAM | 839-019631-001 | LAM 839-019631-001, WLDMT, SRC Gas Line 2800 MW ST |
3323 | LAM RESEARCH, LAM | 839-024563-100 | LAM 839-024563-100 Weldment, Vent, Exit Loadlock |
3324 | LAM RESEARCH, LAM | 839-071625-001 | LAM 839-071625-001 Horn, 8″ Gas Ring, Epic In-Sit |
3325 | LAM RESEARCH, LAM | 839-073168-002 | LAM 839-073168-002 Weldment UPC Valve, Swagelok 6LV-BNBW4-C |
3326 | LAM RESEARCH, LAM | 839-073168-002 | LAM 839-073168-002 WLDMT, UPC Valve |
3327 | LAM RESEARCH, LAM | 839-073168-002 | LAM 839-073168-002, Nupro 6LV-BNBW4-C, WCDMT, Weldment UPC Valve. |
3328 | LAM RESEARCH, LAM | 839-073168-002 | LAM 839-073168-002, Swagelok 6LV-BNBW4-C, WCDMT, Weldment UPC Valve. |
3329 | LAM RESEARCH, LAM | 839-073168-002 | LAM 839-073168-002, Weldment UPC Valve, Nupro 6LV-BNBW4-C |
3330 | LAM RESEARCH, LAM | 839-101612-887/F | LAM 839-101612-887/F ESC Chuck w/ Distribution Board PCB 710-101965-010, |
3331 | LAM RESEARCH, LAM | 839-103684-002 | LAM 839-103684-002 Indicator Panel, PM3C4FJ-AAAAAAA, 38552P, 3000MR , |
3332 | LAM RESEARCH, LAM | 839-226267-001 | LAM 839-226267-001 Heater Chuck, 300MM1-3515-001, Novellus, |
3333 | Lam Research, LAM | 839-250568-001 | LAM 839-250568-001, Bellows lower electrode |
3334 | LAM RESEARCH, LAM | 839-480183-002 | LAM 839-480183-002 Vacuum Weldment, Lower, Bypass Manifold, TCP, Oxide |
3335 | LAM RESEARCH, LAM | 839-480183-002 | LAM 839-480183-002, Vacuum Weldment, Lower Bypass, Manifold, TCP, Oxide |
3336 | LAM Research, LAM | 839-495013-001 | LAM 839-495013-001 LINER, CHAMBER |
3337 | LAM Research, LAM | 839-495013-001 | LAM 839-495013-001 LINER, CHAMBER VAT65 TRNSN MANF |
3338 | LAM RESEARCH, LAM | 839-702281-001 | LAM 839-702281-001 Valve Manifold Assy, 714-702245-001, Aptech, HPS, |
3339 | LAM RESEARCH, LAM | 839-800325-202 | LAM 839-800325-202 Chuck Pedestal ESC, 716-800951-001 Quartz Ring, Cover |
3340 | LAM RESEARCH, LAM | 839-810333-001 | LAM 839-810333-001 Weldment Assy w/ MKS 640A-13581 Pressure Controller, 10 Torr |
3341 | LAM Research, LAM | 846-029349-001 | LAM 846-029349-001 RETROKIT NW25 RGA PORT |
3342 | LAM Research, LAM | 846-029901-002 | LAM 846-029901-002 KIT QD BOX ASSY LOW TEMP |
3343 | LAM RESEARCH, LAM | 849-099344-002 | LAM 849-099344-002 Kit, Valve Repair, Int, Assy, (MKS NW50) |
3344 | LAM Research, LAM | 849-210028-001 | LAM 849-210028-001 KIT, QTRLY, P.M., CHAMBER116829 |
3345 | LAM Research, LAM | 849-210029-001 | LAM 849-210029-001 KIT, QTRLY, P.M., CHAMBER 4420 |
3346 | LAM RESEARCH, LAM | 851-8554-003 | LAM 851-8554-003 C PCB SBX/STD BUS |
3347 | LAM RESEARCH, LAM | 852-014681-583 | LAM 852-014681-583 Rainbow Etcher RF Generator Rack, OEM-650A |
3348 | LAM Research, LAM | 853-001154-006 | LAM 853-001154-006 ASM EXIT ARM 3″ 490 |
3349 | LAM Research, LAM | 853-001341-004 | LAM 853-001341-004 Entrance Lifter Solenoid Valve |
3350 | LAM Research, LAM | 853-001622-001 | LAM 853-001622-001 ASSY SERIAL I/O HARNESS |
3351 | LAM RESEARCH, LAM | 853-002371-001 | LAM 853-002371-001 Assembly, RF Match Box Fan |
3352 | LAM RESEARCH, LAM | 853-004106-001 | LAM 853-004106-001 ASSY LIFTER ENTRANCE, 810-2795 |
3353 | LAM RESEARCH, LAM | 853-004106-001 | LAM 853-004106-001 ASSY LIFTER ENTRANCE, 883-001341-004 |
3354 | LAM Research, LAM | 853-004106-001 | LAM 853-004106-001 ASSY LIFTER ENTRANCE, CO0524325 |
3355 | LAM Research, LAM | 853-004109-001 | LAM 853-004109-001 AutoEtch ASSY LIFTER EXIT 853-004109-001-H-3117 |
3356 | LAM RESEARCH, LAM | 853-004118-002 | LAM 853-004118-002 RF INTERFACE BOX |
3357 | LAM Research, LAM | 853-005876-001 | LAM 853-005876-001 ASSY HARNESS REMOTE INTF |
3358 | LAM Research, LAM | 853-005902-001 | LAM 853-005902-001 – ASSY CIRCUIT SOFT PUMP DOWN |
3359 | LAM Research, LAM | 853-005902-001 | LAM 853-005902-001 – ASSY CIRCUIT SOFT PUMP DOWN, BES-5663 |
3360 | LAM Research, LAM | 853-006029-003 | LAM 853-006029-003 UPPER ELECTRODE ASSY |
3361 | LAM Research, LAM | 853-006613-001 | LAM 853-006613-001 AutoEtch ASSY ASM EXIT UNLOAD 490 853-006613R001-F |
3362 | LAM Research, LAM | 853-007222-001 | LAM 853-007222-001 ASSY RIBBON CABLE REV B |
3363 | LAM RESEARCH, LAM | 853-012123-001 | Lam 853-012123-001 Harmonic Arm Driver, Etcher, 853-017633-003 |
3364 | LAM Research, LAM | 853-012123-001 | LAM 853-012123-001 HARMONIC DRIVE ARM ASSY, 233-4141-52 |
3365 | LAM RESEARCH, LAM | 853-012123-001 | LAM 853-012123-001-E , HARMONIC DRIVE ARM ASSY, 853-012123-001-E-230 |
3366 | LAM RESEARCH, LAM | 853-012123-001 | LAM 853-012123-001-E , HARMONIC DRIVE ARM ASSY, 853-012123R001 |
3367 | LAM RESEARCH, LAM | 853-012123-001 | LAM 853-012123-1-C , HARMONIC DRIVE ARM ASSY, 853-012123-1-C-00182062 |
3368 | LAM Research, LAM | 853-012200-002 | LAM 853-012200-002 ASSY, GATE DRIVE INNER |
3369 | LAM Research, LAM | 853-012200-004 | LAM 853-012200-004-E1-DFT, Inner Gate Drive Assemly, 715-12208-001, 715-12205-001 |
3370 | LAM Research, LAM | 853-012350-002 | Lam 853-012350-002 Outer Gate Assembly, LAM 715-12322-001, LAM 853-017633-004 |
3371 | LAM Research, LAM | 853-013542-002 | LAM 853-013542-002 Assy Isolation Valve HTD |
3372 | LAM RESEARCH, LAM | 853-013929-003 | LAM 853-013929-003 Assembly, Chamber, Manifold, HTD, HYT-70 |
3373 | LAM Research, LAM | 853-015001-003 | LAM 853-015001-003 Assy Match Box, Lower 4400 |
3374 | LAM Research, LAM | 853-015130-002-J-C117 | LAM 853-015130-002 LAM Research 4420 RF MATCH GEAR DRIVE ASSEMBELLY |
3375 | LAM RESEARCH, LAM | 853-015130-503 | LAM 853-015130-503 ASSY RF MATCH GEAR DRIVE INTEL |
3376 | LAM RESEARCH, LAM | 853-015130R-503-C-ELM | LAM 853-015130R-503-C-ELM POLY UPPER RF MATCH GEAR DRIVE ASSY |
3377 | LAM Research, LAM | 853-017411-002 | LAM 853-017411-002 Cable Control Gap |
3378 | LAM Research, LAM | 853-017423-005 | LAM 853-017423-005 CABLE ASSY COAX RFMATCH UPR 8FT |
3379 | LAM RESEARCH, LAM | 853-017633-005 | LAM 853-017633-005 Connector Cable Assembly |
3380 | LAM RESEARCH, LAM | 853-017634-101 | LAM 853-017634-101 Rev.C, MDFT, Assembly Solenoid Release |
3381 | LAM RESEARCH, LAM | 853-017805-55 | LAM 853-017805-55 RF cable assy , 55 foot. |
3382 | LAM Research, LAM | 853-017824-020 | LAM 853-017824-020 interface cable |
3383 | Lam Research, LAM | 853-021039-001 | LAM 853-021039-001 ASSY,HARN HTR CRTG 75W 4620 |
3384 | LAM Research, LAM | 853-021614-001 | LAM 853-021614-001, Assy, Cable Heater, AC Power |
3385 | LAM Research, LAM | 853-023537-001 | LAM 853-023537-001 CABLE, REV B5-0116 |
3386 | LAM Research, LAM | 853-023631-045 | LAM 853-023631-045 CABLE ASSY |
3387 | LAM Research, LAM | 853-025952-002 | LAM 853-025952-002 ASSY, RF SENSE BOX |
3388 | LAM RESEARCH, LAM | 853-029461-001 | LAM 853-029461-001 Assy, Photosensor INSTL |
3389 | LAM RESEARCH, LAM | 853-034160-001 | LAM 853-0301 CONTROLLER, VDS TEMP |
3390 | LAM Research, LAM | 853-031685-001 | LAM 853-031685-001, A-ELMPNE 9600 TCP MATCH, 715-31697-001, 853-031703-001 |
3391 | LAM Research, LAM | 853-031685R003-1-ELMPNE | LAM 853-031685R003-1-ELMPNE TCP UPPER MATCH, LAM 853-031703-002-3-C507 |
3392 | LAM Research, LAM | 853-032790-001 | LAM 853-032790-001 VAPOR DELIVERY SYSTEM 06-14008-99, 716-032792-001, 715-032788-004, 716-032799-001, OMRON E2KQ-X10ME1 |
3393 | LAM Research, LAM | 853-033767-001 | LAM 853-033767-001 ENCLOSURE DIP BOARD ASSY, LAM 714-033768-001 |
3394 | LAM Research, LAM | 853-034120-002 | LAM 853-034120-002 CABLE ASSY DSUB FLTRD F/G |
3395 | LAM Research, LAM | 853-034136-002 | LAM 853-034136-002 ASSY CABLE RF FROM UPPER TCP GEN. TO UPP, 9FT |
3396 | LAM Research, LAM | 853-034175-050 | LAM 853-034175-050 Cable Assembly Rev. B |
3397 | LAM Research, LAM | 853-034296-023 | LAM 853-034296-023 ASSY CABLE |
3398 | LAM Research, LAM | 853-034464-001 | LAM 853-034464-001 Assy MFC Vapor Delivery, Kit, Tylan VC-4900VRH, H20 Vapor, 500 SCCM |
3399 | LAM Research, LAM | 853-072156-001 | LAM 853-072156-001 ASSY, ESC, HIGH TEMP,200MM |
3400 | LAM RESEARCH, LAM | 853-07633-00 | LAM 853-07633-00 Optical Sensor Assembly |
3401 | LAM Research, LAM | 853-080615-003 | LAM 853-080615-003 ASSY, USC, 200MM, 384T, LAM ETCHER |
3402 | LAM RESEARCH, LAM | 853-110112 | LAM 853-110112-020 TCU POWER CABLE 3 PHASE ALR |
3403 | LAM RESEARCH, LAM | 853-11076-001-B | LAM 853-11076-001-B, 196 D6344, Strap Changed RF |
3404 | LAM Research, LAM | 853-140051-002 | LAM 853-140051-002 LIFTER CYLINDER ASSY, 853-140051-002-B-LEAN |
3405 | LAM RESEARCH, LAM | 853-015982-001 | LAM 853-15982-001 Alignment Box |
3406 | LAM RESEARCH, LAM | 853-170184-100 | LAM 853-170184-100 Assembly, Weldment, HE, Valve |
3407 | LAM Research, LAM | 853-17922-020 | LAM 853-17922-020 CABLE ASSY |
3408 | LAM RESEARCH, LAM | 853-220402-003 | LAM 853-220402-003, Photo, I/O Unit, ENVSN A/L, Hokuyo Automatic DM-HB1 |
3409 | LAM Research, LAM | 853-249186-001 | LAM 853-249186-001 CABLE ASSY |
3410 | LAM Research, LAM | 853-250373-001 | LAM 853-250373-001 RF GENERATOR CABLE |
3411 | LAM Research, LAM | 853-331019-001 | LAM 853-331019-001 R WDO WINDOW, QIK CLN, E9400/E9600 715-031984-003-E8 |
3412 | LAM Research, LAM | 853-331019-001 | LAM 853-331019-001 VIEWING WINDOW ASSEMBLEY, 715-031984-003 REV C |
3413 | LAM Research, LAM | 853-331019-001 | LAM 853-331019-001 VIEWING WINDOW ASSEMBLEY, 715-031984-003-E8 |
3414 | LAM Research, LAM | 853-331021-001 | LAM 853-331021-001 R WDO WINDOW, QIK CLN, E9400/E9600 715-032012-004-B |
3415 | LAM Research, LAM | 853-370174-050 | LAM 853-370174-050 Interface Cable REV A, 1P28 |
3416 | LAM Research, LAM | 853-370175-020 | LAM 853-370175-020 CABLE ASSY REV B |
3417 | LAM Research, LAM | 853-370194-005 | LAM 853-370194-005 ASSY, STRP, BRD GND, 2.5 FT |
3418 | LAM Research, LAM | 853-370797-050 | LAM 853-370797-050 Cable Assy, Pump LCL/RMT Interface, 50 Feet |
3419 | LAM Research, LAM | 853-370797-080 | LAM 853-370797-080 REV D, ASSY, CA, PMP LCL/RMT INTFC, 80 FT |
3420 | LAM Research, LAM | 853-412484-001 | LAM 853-412484-001 ASSY, LID LIFT, ALLI 6, 715-412481-001 |
3421 | LAM RESEARCH, LAM | Cable | LAM 853-490993-048 RF Cable |
3422 | LAM Research, LAM | 853-491741-050 | LAM 853-491741-050 CHILLER INTERFACE CABLE |
3423 | LAM Research, LAM | 853-492477-050 | LAM 853-492477-050 CABLE ASSY |
3424 | LAM Research, LAM | 853-492742-260 | LAM 853-492742-260 ASSY, HARN, EMO, LL PMP, ALLI 6 |
3425 | LAM Research, LAM | 853-494465-050 | LAM 853-494465-050 CABLE ASSY 72B1P2 REV. B |
3426 | LAM Research, LAM | 853-494702-010 | LAM 853-494702-010 COMPASS COMPONENTS CABLE |
3427 | LAM Research, LAM | 853-494702-060 | LAM 853-494702-060 REVC A F/S, COMPASS COMPONENTS, Cable |
3428 | LAM Research, LAM | 853-494947-050 | LAM 853-494947-050 Pump Cable Assy REV. A |
3429 | LAM RESEARCH, LAM | 853-802770-002 | LAM 853-802770-002 AC Power Cable, 49P-X2, 2P3 |
3430 | LAM RESEARCH, LAM | 853-810342-001 | LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves07 |
3431 | LAM RESEARCH, LAM | 853-810342-001 | LAM 853-810342-001 Assy, Parker Veriflo 944SSFSMMPM Diaphragm Valves07 |
3432 | LAM RESEARCH, LAM | 853-900986-010 | LAM 853-900986-010 Industrial Computer Assy, 010 PC |
3433 | LAM Research, LAM | 855-222053-414 | LAM 855-222053-414 ASSY, PRGM EPROM, M20036 |
3434 | LAM RESEARCH, LAM | 856-190050-001 | LAM 856-190050-001, Kit, Retrofit, Floopy Drive |
3435 | LAM Research, LAM | 857-510215-080 | LAM 857-510215-080 KIT, CA, PM, TO LONWORKS GB, 80 FT |
3436 | LAM RESEARCH, LAM | 859-8559-001 | LAM 859-8559-001 PCB BOARD ASSY |
3437 | LAM Research, LAM | 865-8026-004 | LAM 865-8026-004 PULSED SOLENOID DRIVER, A5109, MAKL003, FAB 865-7034-002,105884 |
3438 | LAM Research, LAM | 867-017520-400 | LAM 867-017520-400 RETROFIT, APOLLO UPS, ENV PC |
3439 | LAM Research, LAM | A716-140163-001 | LAM A716-140163-001 ASI Aspect SemiQuip RING, HOTEDGE, 15ESC, STD6″ |
3440 | LAM Research, LAM | 810-017086-106 | LAM ASSY, PCB, ESC PWR SPLY, DC BIAS |
3441 | LAM Research, LAM | 853-17824-030 | LAM CABLE 853-17824-030 REV C |
3442 | LAM Research, LAM | N/A | LAM CERAMIC INSULATOR, RING, CHAMBER, ETCH |
3443 | LAM RESEARCH, LAM | NA | LAM Ceramic Upper Liner, Cover, Chuck |
3444 | LAM RESEARCH, LAM | N/A | LAM Gas Manifold Assembly, (6) UNIT UFC-1660, 18 Valve, 5 Isolation Valve.422949 |
3445 | LAM RESEARCH, LAM | N/A | LAM Gas Manifold Assembly, UNIT UFC-1200A, Area 7700CU, APTech Valve |
3446 | LAM RESEARCH, LAM | LAM Gas Manifold Assembly, UNIT UFC-1660, APTech Valve | |
3447 | LAM RESEARCH, LAM | ICS-24669909 | LAM ICS-24669909 Ceramic Ring, Rainbowiner, Cover, Chuck |
3448 | LAM RESEARCH, LAM | KG7-M4520-010 | LAM KG7-M4520-010 I/O Board Assy, Yamaha Motor KG7-4521-010 |
3449 | LAM RESEARCH, LAM | Robot | LAM Novellus Dual Robot Arm, Blade, Wafer, |
3450 | LAM RESEARCH, LAM | LAM Novellus Robot Blade | |
3451 | LAM Research, LAM | 810-7930-1 | LAM PCB Board 810-7930-1 Combination |
3452 | LAM RESEARCH, LAM | V100-97-11A | LAM Pneumatic Manifold, V100-97-11A, SMC |
3453 | LAM RESEARCH, LAM | NA | LAM Rainboweramic Chamber Insert Liner, Ring, Chuck |
3454 | LAM RESEARCH, LAM | NA | LAM Rainboweramic Chamber Insert, Liner, Chuck |
3455 | LAM RESEARCH, LAM | NA | LAM Rainboweramic Chamber Liner, Ring, Chuck |
3456 | LAM RESEARCH, LAM | NA | LAM Rainboweramic Ring, Liner, Chuck |
3457 | LAM RESEARCH, LAM | 716-018900-001 | LAM RESEACH 716-018900-001 ring colled LEFT ELECT PROC |
3458 | LAM Research, LAM | 710-7930-1 | Lam Research PCB Board 810-7930-1 Combination |
3459 | LAM RESEARCH, LAM | 853-017480-002 | LAM Research (LAM) 853-017480-002 Assembly Vacuum Switch Torr 100 |
3460 | LAM Research, LAM | 000-107 | LAM Research 000-107 MOTOR DRIVE BOARD |
3461 | LAM Research, LAM | 2301827 | Lam Research 2301827 Body LWR TRODE 150mm, LOW DOM |
3462 | LAM RESEARCH, LAM | 2800391 | LAM RESEARCH 2800391 DUAL DRIVER MODULE DRYTEK BOARD |
3463 | LAM Research, LAM | 839-024354-100 | LAM RESEARCH 3-WAY VALVE ASSY, 1/4″ VCR 839-024354-100 |
3464 | LAM Research, LAM | 715-011630-001 | LAM Research 4400 715-011630-001 PEDESTAL ESC |
3465 | LAM RESEARCH, LAM | 601835 | Lam Research 601835 Chamber Triode 200mm0483 |
3466 | LAM Research, LAM | 601941-4 | LAM RESEARCH 601941-4 AMN, METAL CHAMBER 2/4 ASM, ASIQ AMN, Match |
3467 | LAM RESEARCH, LAM | 6100196 | Lam Research 6100196 Retrokit 3.50 Upgrade 384T |
3468 | LAM Research, LAM | 63-431842 | Lam Research 63-431842-00 Computer EC2, QNX4, Module Assy Rev. A |
3469 | LAM Research, LAM | 713-011203-001 | Lam Research 713-011203-001 90 Degree Loadlock Cover |
3470 | LAM RESEARCH, LAM | 713-043116-001 | LAM RESEARCH 713-043116-001 Ring Shroud |
3471 | LAM Research, LAM | 714-002330-006 | LAM RESEARCH 714-002330-006 COV, ENCL, PWR SPLY, BICEP2 |
3472 | LAM Research, LAM | 715-005844-001 | Lam Research 715-005844-001 Ring Orifice 715-005844 |
3473 | LAM Research, LAM | 715-006293-001 | LAM RESEARCH 715-006293-001 BASE PLATE EXIT, EXIT STATION BASE |
3474 | LAM RESEARCH, LAM | 715-011531-016 | Lam research 715-011531-016 Electrode aluminium anodized degrease. |
3475 | LAM Research, LAM | 715-011531-104 | LAM Research 715-011531-104 ELECTRODE, ALUMINUM, ANODIZED |
3476 | LAM RESEARCH, LAM | 715-011593-002 | LAM RESEARCH 715-011593-002 PLATE SEAL UPPER ELECTRODE 715-011593 |
3477 | LAM RESEARCH, LAM | 7150911665-008 | Lam Research 715-011665-008 Lower Electrode, 8 INCH, DOMED, 0,035, CAP |
3478 | LAM Research, LAM | 715-014621-001 | LAM Research 715-014621-001 MANIFOLD INLET |
3479 | LAM Research, LAM | 715-021326-008 | LAM Research 715-021326-008 Cap, Lower, Electrode, 3 Pin Lifter |
3480 | LAM RESEARCH, LAM | 715-026182-001 | LAM Research 715-026182-001 Electrode, Upper, Aluminum |
3481 | LAM RESEARCH, LAM | 715-330000-090 | LAM RESEARCH 715-330000-090 RING , GAS INJECTION , ALUMINUM |
3482 | LAM Research, LAM | 716-011536-001 | LAM RESEARCH 716-011536-001 RING INSULATOR, UPPER ELECTRODE |
3483 | LAM Research, LAM | 716-011563-281 | LAM RESEARCH 716-011563-281 WAFER CLAMP PLATE |
3484 | LAM Research, LAM | 716-011651-006 | LAM Research 716-011651-006 Ring Edge Wafer Clamp 6 inch |
3485 | LAM Research, LAM | 716-011755-001 | LAM Research 716-011755-001 PLATE, 8.00″ W/C Insert Carrier |
3486 | LAM Research, LAM | 716-011758-014 | LAM RESEARCH 716-011758-014 RING, EDGE FOCUS, ORIFICE, 4.0 |
3487 | LAM RESEARCH, LAM | 716-011963-003 | LAM Research 716-011963-003 Plate, Ceramic, Attachment Interior Bellows |
3488 | LAM RESEARCH, LAM | 716-022495-002 | Lam Research 716-022495-002 Ring Edge |
3489 | LAM Research, LAM | 716-031453-001 | Lam Research 716-031453-001 LOWER CHAMBER RING |
3490 | LAM Research, LAM | 716-031453-001 | Lam Research 716-031453-001 LOWER CHAMBER RING, FILLER |
3491 | LAM RESEARCH, LAM | 716-031535-001 | LAM RESEARCH 716-031535-001 RING CLAMP BOTTOM ELECTRODE ESC |
3492 | LAM RESEARCH, LAM | 716-080177-001 | LAM Research 716-080177-001 Ring Focus 150mm ESC 384T |
3493 | LAM Research, LAM | 716-080600 | LAM Research 716-080600-001 Ring, Focus, Special, 150mm, ESC, 384T |
3494 | LAM RESEARCH, LAM | 716-140141-261 | LAM RESEARCH 716-140141-261 RING, HOT EDGE, 15DEG J-J 6 INCH |
3495 | LAM Research, LAM | 716-330122-001 | LAM RESEARCH 716-330122-001 PLATE, TOP BOLTED |
3496 | LAM RESEARCH, LAM | 716-331088-001 | LAM RESEARCH 716-331088-001 EDGE RING, 8″, ES, NCH, TOP, QUARTZ |
3497 | LAM RESEARCH, LAM | 810-000671-001 | Lam Research 810-000671-001 Keyboard PCB CONTROL PANEL |
3498 | LAM Research, LAM | 810-001314-002 | LAM Research 810-001314-002 PCB PROLOG 7604TTL I/O |
3499 | LAM Research, LAM | 810-017018-002 | LAM RESEARCH 810-017018-002, GAP MOTOR CONTROLLER BOARD, 810-17018-002 |
3500 | LAM Research, LAM | 810-017030-005 | Lam Research 810-017030-005, 810-17030-5 Gas Panel PCB ASSY Rev. 2 |
3501 | LAM Research, LAM | 810-017033-R003 | LAM RESEARCH 810-017033-R003 PCB SRAM Circuit Board |
3502 | LAM RESEARCH, LAM | 810-17003-002 | Lam Research 810-17003-002 Rev. K Drive IMPD & PH BD PCB |
3503 | LAM Research, LAM | 810-17010-001 | Lam Research 810-17010-001 Stepper Motor Mother BD Board Card |
3504 | LAM Research, LAM | 810-17025-3 | Lam Research 810-17025-3 RF Generator Cart Breakout PCB 810-17025-003 |
3505 | LAM RESEARCH, LAM | 810-17031-1 | Lam Research 810-17031-1 Processor PCB Card 810-17052-9 ADIO-9 |
3506 | LAM RESEARCH, LAM | 839-057679-104 | LAM RESEARCH 839-057679-104 WAFER ROBOT HANDLER, END EFFECTOR |
3507 | LAM Research, LAM | 853-009231-002-B | Lam Research 853-009231-002-B Deltron V225 Quad Output Power Supply PN: V225C |
3508 | LAM Research, LAM | 853-011094-101 | LAM RESEARCH 853-011094-101 VERITY MONOCHROMATOR ENDPOINT DETECTOR VERITY EP200MMD .2 METER MONOCHROMATOR, slit size 500, 233430139 |
3509 | LAM Research, LAM | 853-011661-001 | Lam Research 853-011661-001, Assembly Lifter cylinder |
3510 | LAM RESEARCH, LAM | 853-011937-002 | LAM RESEARCH 853-011937-002 ASSY SEAL PLATE, UPPER ELECTRODE 4620 |
3511 | LAM Research, LAM | 853-013575-002 | Lam Research 853-013575-002 Heated Interconnect Manifold Assembly |
3512 | LAM Research, LAM | 853-015030-001 | Lam Research 853-015030-001-C-3611 Assembly, Manual, Match Control |
3513 | LAM RESEARCH, LAM | 853-015030-001-C | Lam Research 853-015030-001-C-3611 Assembly, Manual, Match Control, MiniMatch |
3514 | LAM RESEARCH, LAM | 853-015982-001-A-C131 | Lam Research 853-015982-001-A-C131, 119534. TCP, Switch, Tune, Load |
3515 | LAM Research, LAM | 853-017163-001 | Lam Research 853-017163-001-D-1339 RF Switch Box 853-017163-001 |
3516 | LAM Research, LAM | 853-017633-009 | LAM RESEARCH 853-017633-009 LAM CONNECTOR, OPTICAL |
3517 | LAM Research, LAM | 853-034283-101 | LAM RESEARCH 853-034283-101 ASSY, CA, CHILLER |
3518 | LAM RESEARCH, LAM | 853-080615-003 | LAM RESEARCH 853-080615-003 ASSY, USC, 200MM, 384T, LAM RESEARCH ETCHER |
3519 | LAM RESEARCH, LAM | 853-140218-001-F2-3117 | Lam Research 853-140218-001 Match Assy, 853-031703-001-A-DFT, 853-140218 |
3520 | LAM Research, LAM | 853-140218-003 | Lam Research 853-140218-003 Match Assy, 853-140389-001-A |
3521 | LAM RESEARCH, LAM | 853-370797-050 | LAM RESEARCH 853-370797-050 cable assy, pump LCL/RMT interface, 50 feet |
3522 | LAM Research, LAM | 853-370797-060 | LAM RESEARCH 853-370797-060 cable assy |
3523 | LAM Research, LAM | 810-17031-3 | LAM RESEARCH ADIO-AO BD 810-17031-3, 810-017031-004, REV 01, GT9540269 |
3524 | LAM Research, LAM | 670B | Lam Research Analog Output PCB Card 670B, 670 B |
3525 | LAM RESEARCH, LAM | 715-250592-001 | LAM RESEARCH CORPORATION 715-250592-001 BAFFLES-LOWER |
3526 | LAM RESEARCH, LAM | 715-250593-001 | LAM RESEARCH CORPORATION 715-250593-001 BAFFLES TOP UPPER ELECTRODE 200MM |
3527 | LAM Research, LAM | ? | LAM RESEARCH Cutler-Hammer 1B10192G01 AC DISTRIBUTION 121543400 REV A |
3528 | LAM RESEARCH, LAM | 853-012123-001 | LAM RESEARCH HARMONIC DRIVE ARM ASSY, 853-012123-001-D-VAC |
3529 | LAM RESEARCH, LAM | 853-012123-001 | LAM RESEARCH HARMONIC DRIVE ARM ASSY, 853-012123R-001, 853-017633-003 |
3530 | LAM RESEARCH, LAM | 810-017031-002 | LAM RESEARCH LAM 810-017031-002 ASSY ADIO-AO Control Board |
3531 | LAM RESEARCH, LAM | 853-015130-503-F-ELM | LAM RESEARCH MINI RF MATCH GEAR DRIVE ASSY 853-015130-503-F-ELM |
3532 | LAM Research, LAM | 810-7930-1 | Lam Research PCB Board 810-7930-1 Combination |
3533 | LAM Research, LAM | 810-7930-1 | Lam Research PCB Board 810-7930-1 Combination, Etcher |
3534 | LAM Research, LAM | 810-7930-1 | Lam Research PCB Board 810-7930-1 Combination, Etcher, A810-7930-001 |
3535 | LAM Research, LAM | 810-04761R | LAM Research, LAM 810-04761R Electrostatic Chuck, 0X221M-95612, TELUNITYII E-CHUCK |
3536 | LAM Research, LAM | 810-04761R | LAM Research, LAM 810-04761R Electrostatic Chuck, TELUNITYII-956 |
3537 | LAM Research, LAM | 839-013515-001-B | LAM Research, LAM 839-013515-001-B |
3538 | LAM Research, LAM | N/A | LAM RESURFACED MOER, 2.25 MM, ETCH RAINBOW |
3539 | LAM RESEARCH, LAM | 853-015130-503-B | LAM RF MATCH ASSY 853-015130-503-B, RF MATCH GEAR DRIVE, 713-015175-001 |
3540 | LAM Research, LAM | 853-015130-503 | LAM RF MATCH ASSY 853-015130-503-C, RF MATCH GEAR DRIVE, 713-15186-1 |
3541 | LAM RESEARCH, LAM | NA | LAM RF Match, Custom |
3542 | LAM RESEARCH, LAM | 810-017035-001 | LAM SASI PCB board 810-017035-001 |
3543 | LAM RESEARCH, LAM | n/a | LAM Valve Manifold Assembly, 3 Aptech AP3550S 3PWD TW4 TW4 TW4 Valve |
3544 | LAM RESEARCH, LAM | n/a | LAM Valve Manifold Assembly, 3 Aptech AP3550S 4PWN TW4 TW4 TW4 MV4 Valve |
3545 | LAM RESEARCH, LAM | 810-1314-003 | LAM, 810-1314-003, PCB, DIGITAL I/O |
3546 | LAM Research, LAM | 1000833414 | LAM3414 Stepper Motor, M092-FD302 |
3547 | LAM Research, LAM | 4000224 | LAM4 Vacuum Bellows 31-7425 NW 40, 20″ Inch |
3548 | LAM Research, LAM | 714-007137-001 | Lots of 5 LAM 714-007137-001 BRACKET, B CMP CLASSIC C |
3549 | LAM RESEARCH, LAM | 715-011639-008 | NEW LAM 715-011639-008 Cap electrode thin edge, cleaned |
3550 | LAM Research, LAM | 810-002795-001 | New LAM 810-002795-001 PCB ENTRANCE LIFTER |
3551 | LAM RESEARCH, LAM | 716-028739-001 | New, Gas Ring Protection Sleeve |
3552 | LAM RESEARCH, LAM | 715-071309-001 | Novellus 06-14850-00, LAM 715-071309-001, Shield, Target, In-Situ, CVD |
3553 | LAM RESEARCH, LAM | 28-8875-038 | ONTRAK SYSTEMS LAM 28-8875-038 PCB PC ASSEMBLY SIMF RELAY ASSY CE96 3099 |
3554 | LAM RESEARCH, LAM | 210-70305-00 | Process Alarm Board |
3555 | LAM RESEARCH, LAM | 716-011036-001 | Ring filler orifice, Alumina Looks new, but |
3556 | LAM RESEARCH, LAM | 810-17004-004 | Solenoid interlock PCB assy, some bent pins in connector |
3557 | LAM RESEARCH, LAM | 810-017016-001 | Stepper motor driver PCB |
3558 | LAM RESEARCH, LAM | 033-9020-84 | TRILLIUM PE50-860-5238-05-01 PCB, (MN+/DM/BIMOS), LAM 033-9020-84. |
3559 | LAM RESEARCH, LAM | 865-5235-00 | trillium PREF3 PCB |
3560 | LAM RESEARCH, LAM | 715-011531-001 | TWO LAM RESEARCH 715-011531-001 ELECTRODE ALUMINUM ANODIZED |
3561 | LAM Research, LAM | 853-17643-003-C | Tylan General CMLA-21, Pressure Transducerrr, LAM 853-17643-003-C |
3562 | LAM Research, LAM | 853-017643-001 | Tylan General LAM 853-017643-001 Baratron Capacitance Diaphragm Gauge |
3563 | LAM RESEARCH, LAM | 715-140184-8-E1 | Wafer holder, 8″ tightest pocket |
3564 | LAMBDA | LNS-X-24 | 258964-001 POWER SUPPLY CHILER CS, LAMBDA LNS-X-24 REGULATED POWER SUPPLY |
3565 | LAMBDA | MML600 | LAMBDA MML600 POWER SUPPLY, 12/24V DC 24AMPS, OMEGA COUTANT, E60128 |
3566 | LAMBDA | HDC15 | LAMBDA HDC15 SWITCHING POWER SUPPLY INPUT 100/120/220/230/240VAC |
3567 | LAMBDA | LJS-12-24-OV | LAMBDA LJS-12-24-OV POWER SUPPLY 105-132VAC, 47-440Hz OR, 130-160VDC. |
3568 | LAMBDA | LLS6008 | Lambda LLS6008 Regulated Power Supply |
3569 | LAMBDA | LQ-520 | LAMBDA LQ-520 Regulated Power Supply , 0-10V, 5.0 A |
3570 | Lambda | LRS-56-24 | Lambda LRS-56-24 Regulated Power Supply, 95-132 VAC, 47-63 Hz |
3571 | LAMBDA ELECTRONICS | LFS-48-48 | 3 new in box power supply 48V 20.5A, LFS4848 |
3572 | LAMBDA ELECTRONICS | LZS150-1 | Lamba LZS150-1 Regulated Power Supply |
3573 | LAMBDA ELECTRONICS | 4B5NA2A-1643 | LAMBDA 4B5NA2A-1643 POWER SUPPLY OMEGA NS-MEL-064/MML400, Novellus |
3574 | LAMBDA ELECTRONICS | DLP180-24 | Lambda DLP180-24-1/E Power Supply |
3575 | LAMBDA ELECTRONICS | DPP50-24 | Lambda DPP50-24, DIN Rail Power Supply, 50W |
3576 | LAMBDA ELECTRONICS | LLS6008-GPIB | Lambda IEEE-488 Programmable Power Supply, LLS6008-GPIB, 0-8V, 20A |
3577 | LAMBDA ELECTRONICS | LDS-Y-100-40066-3 | LAMBDA LDS-Y-100-40066-3 REGULATED POWER SUPPLY, 858-0051-03-07, 033-9020-75 |
3578 | LAMBDA ELECTRONICS | LFS4812 | Lambda LFS 48-12, Power Supply, LFS4812 |
3579 | LAMBDA ELECTRONICS | LFS-45A-28 | Lambda LFS-45A-28 Power Supply, QT330134, LFS45A28 |
3580 | LAMBDA ELECTRONICS | LIS-71-15 | LAMBDA LIS-71-15 REGULATED POWER SUPPLY 15V+/-5% 5.0a@40’C |
3581 | LAMBDA ELECTRONICS | LJS-12-24-OV | Lambda LJS-12-24-OV Power Supply 105-132VAC, 130-160VDC |
3582 | LAMBDA ELECTRONICS | LLS-9120-43537-3 | Lambda LLS9120 0-120V Power Supply LLS-9120-43537-3 |
3583 | LAMBDA ELECTRONICS | LNS-P-24-40066-4 | LAMBDA LNS-P-24-40066-4, 858-0184-01 POWER SUPPLY |
3584 | LAMBDA ELECTRONICS | LPD-421A-FM | Lambda LPD-421A-FM Dual Regulated DC Power Supply 0-20 VDC |
3585 | LAMBDA ELECTRONICS | LPD423A-FM | Lambda LPD423A-FM Dual DC Power Supply, EMI, TDK |
3586 | LAMBDA ELECTRONICS | LQ-520 | LAMBDA LQ-520 Regulated Power Supply + LHOV.4 OVERVOLTAGE PROTECTOR |
3587 | LAMBDA ELECTRONICS | LQ-520 | Lambda LQ-520 Regulated Power Supply, powers on |
3588 | LAMBDA ELECTRONICS | LQ532 | Lambda LQ532 Regulated DC Power Supply |
3589 | LAMBDA ELECTRONICS | LRS-54-24 | LAMBDA LRS-54-24 Lambda 24V Regulated DC Power Supply LRS-54-24 |
3590 | LAMBDA ELECTRONICS | LRS-56-24 | Lambda LRS-56-24 Regulated Power Supply |
3591 | LAMBDA ELECTRONICS | LUS-8A-5 | LAMBDA LUS-8A-5 REGULATED POWER SUPPLY MAX DC3.0A |
3592 | LAMBDA ELECTRONICS | PDC60-269 | Lambda PDC60-269 Power Supply PCB Card Rev. B |
3593 | LAMBDA ELECTRONICS | LFS39-12 | Lot of 10, New, 12VDC power supplies |
3594 | LAMBDA ELECTRONICS | LFS40-12 | Lot of 6 New, 12VDC 5Amp power supplies |
3595 | LAMBDA ELECTRONICS | LFS-42-28-K | New 28V, 5A power supply |
3596 | LAMBDA ELECTRONICS | LFS-43-15 | NEW LAMBDA LFS-43-15 REGULATED POWER SUPPLY |
3597 | LAMBDA ELECTRONICS | H11089 | NEW Lambda Power Supply Unit AlphaH11089 OEM BOX |
3598 | LAMBDA ELECTRONICS | LSS-38-24 | Switcher power supply, 24VDC, 100W |
3599 | LAMBDA ELECTRONICS | DLP180-24-1/E | Tdk Lambda Dlp180-24-1/E 100-240 VAC, 2.7A max, 50/60 Hz, power supply |
3600 | LAMBDA ELECTRONICS | LRS-54-5 | TDK LAMBDA LRS-54-5 POWER SUPPLY |
3601 | LAMBDA Electronics | LFS 50-5-43641 | LAMBDA Electronics LFS 50-5-43641 Regulated Power Supply |
3602 | LAMBDA ELECTRONICS CORP. | LM B20 | LAMBDA LM B20 REGULATED POWER SUPPLY, 20+/-VDC2V, 55-65Hz |
3603 | Lambda Electronics Inc | LUS-8A-12 | Lambda Electronics Inc, LUS-8A-12, Power Supply, MAX DC 1.3 A, 85-132V |
3604 | Laminar Technologies | FC-260 | LAMINAR FC-260 MFC Mass Flow Controller, 500 SCCM, N2 |
3605 | Laminar Technologies | FC-261 | Laminar Technologies FC-261 Mass Flow Controller, 10SLPM, O2 |
3606 | Laminar Technologies | UFC-1100A | Laminar Technologies UFC-1100A , Mass Flow Controller, 1 SLPM, N20 |
3607 | Laminar Technologies | UFC-1160A | Laminar Technologies UFC-1160A, MASS FLOW CONTROLLER, 500 SCCM, N2 |
3608 | Laminar Technologies | UFC-1500A | Laminar Technologies UFC-1500A, Mass Flow Controller, MFC, 300 SCCM, O2 |
3609 | LAMP | 3 LIGHT BULB, LIGHT, LAMP 120V 500W EVRSCREW | |
3610 | LAMP | 4074555-0007 | LAMP5-0007 |
3611 | LAMP TRAY | A95-053-02 | Gasonics Lamptray Assy A95-053-02 And A95-205-01 AWD-D-1-4–6-002 |
3612 | LAN | LMC-1504P | LAN Media LMC 1504P, PCI-Quad T1/E1 Ethernet Network Card |
3613 | Lantronix | EPS1 | Lantronix EPS1, 00-80-a3-56-50-77 Rev.U17, Print Server. |
3614 | Lapp Kabel | 73220111 | Lapp Kabel 73220111 3 Wire Coiled Cable |
3615 | Lapp Kabel | 73220111 | Lapp Kabel 73220111 Cable, 3 Wire Coiled |
3616 | Laser | SOM-A-22-006-0 | Laser Multiplexer Assy, SOM-A-22-006-0, |
3617 | LASER | 859-9580-002 | LASER SOURCE 859-9580-002, 859-9581-001, 859-5111-002 |
3618 | Laser Identification Systems | 6050021 | Laser Identification Systems 6050021 SBC Single Board Computer PCB |
3619 | Laser Identification Systems | 6050021 | Laser Identification Systems 6050021 SBC Single Board Computer PCB Board |
3620 | LASER IDENTIFICATION SYSTEMS INC. | 345560 | LASER IDENTIFICATION 345560 PCB GALVANOMETER, TYPE 7, 94V0 |
3621 | LASER IDENTIFICATION SYSTEMS, INC. | 345560 | LASER IDENTIFICATION 345560 PCB GALVANOMETER, TYPE 7, 94V0, 153887789-01 |
3622 | Laurell | W400B | Laurell W400B Spin Etcher, Spin Coater, WS-400B-23NPP/A3/BP |
3623 | Laurell | WS-200-8T2 | Laurell WS-200-8T2 RPM/SEQ/VAC Controller |
3624 | Layerzero Power Systems | 20-11-10150101 | Layerzero Power Systems 20-11-10150101 PCB |
3625 | L-COM | SP44199 | Data Cable, 15p F – 9p M, Celerity, RFC100 |
3626 | L-COM | CIB Series | L-Com CIB Series 8M IEEE-488 GPIB Male/Female Connector Cable |
3627 | L-COM | TRD815BLK-3 | L-Com TRD815BLK-3 Patch Cable, 6900-2808-01 |
3628 | LDI | LDI Generic TM Transmition Module PCB | |
3629 | LDI | 990-4319-00 | LDI Pneutronics 990-4319-001 CB4-TTL O/I PCB Board Card 691-0074 REV A |
3630 | LDI Pneutronics Corp. | 990-4350-082 | LDI Pneutronics 990-4350-082 Pneumatic PCB Card 691-0095 REV 1 |
3631 | Lecroy | P9020 | Lecroy P9020 Oscilloscope Passive Probe Assy, M15 X10HF, 350 MHz |
3632 | Legris | 3175-36-11 | 100 legris fittings 3175-36-11 |
3633 | Legris | 200 Legris 4 5/32″ Elbow Fitting | |
3634 | Leica | 026-407 | Leica 026-407 XY Mechanical Stage, Microscope, 810-56140 |
3635 | Leica | 16603013354 | Leica 16603013354, Polytronik-Ringkerntransformator, RSO858841, Power Supply Kit |
3636 | Leica | 30111250 | Leica 30111250 CLS 100x Lamp Power Supply, powers on |
3637 | Leica | 3033-11202 | Leica 3033-11202 MMC-Board, 9408B0 PCB |
3638 | Leica | INM20 | Leica INM20 Trinocular Microscope Wafer Inspection & 5 objective W/ DIC Optics |
3639 | Leica | Stereozoom SZ-4 | Leica Stereozoom SZ-4, Microscope head |
3640 | Leica | 9640070 | MMC-BOARD 3033-31202L 9548B0 LEIGA PCB 9640070 |
3641 | Leitz Wetzlar | PL Fluotar 50 x | PL Fluotar 50 x / 0.85 D 8/0, 567017 Leitz Wetzlar Germany |
3642 | LEM | HA 200-SRU | LEM HA 200-SRU Module, 60.59.44.000.0 |
3643 | LEP | 73000503 | LEP 73000503 AC2 Motor Driver LUDL Electronics XY Motor AMP PCB Module |
3644 | LEP | 7300503 | LEP MDMSP 7300503 PCB, 60-000164H, Motor AMP Hi-speed module |
3645 | LEP | 73000201 | LEP PSSYST 73000201 200W Power Supply LEP RACK, P11537, 53890 |
3646 | LEP | 0002-00129-00 | Lot of 4, LUDL electronics XY Motor amp PCB module MDMSP 73000503 |
3647 | Lesco | MK II | Lesco Super Spot MK II Lamp |
3648 | Lesco | Super Spot MK III | Lesco Super Spot MK III, High Intensity Ultraviolet Light Curing System |
3649 | Lesker | QF63-SAVR | KURT J. LESKER P/N QF63-SAVR SEAL CENTERING RING NW63 |
3650 | Leviton | 2310 W-C-596F | 7 New Leviton Receptacle 2310 W-C-596F |
3651 | Leybold Oerlikon | 2 Leybold Mag 2000 Turbo pumps, one MAG drive 2000 controller + 2 set of cables | |
3652 | Leybold Oerlikon | 887061027 | 2 Leybold seal, turbo ISO ring 887061027 |
3653 | Leybold Oerlikon | HSQ300 | Heraeus HSQ, 51547, Tubingr, HSQ300, 63.5 X 76.2 X 1220 |
3654 | Leybold Oerlikon | TSPTT100 | Inficon Transpector 2 TSPTT100 Residual Gas Analyzer, Wtih head sensor, 0190-01597 |
3655 | Leybold Oerlikon | Leybold “DRIS” Dry Remote Inducator System | |
3656 | Leybold Oerlikon | 12133 | Leybold 12133 Purge Gas and Vent Valve, 0019431, 36 SCCM, 00134672, SP748.0105 |
3657 | Leybold Oerlikon | 184 36 | Leybold 18436 90° Mitered Elbow Aluminum Pipe Fitting , A 96 11 |
3658 | Leybold Oerlikon | 19132 1 109 | Leybold 19132 1 109 Pump Repair Kit, Seals, Gasket, Orings |
3659 | Leybold Oerlikon | 23F20073 | Leybold 23F20073 8″ target and backing plate. NiV material |
3660 | Leybold Oerlikon | 26817 | Leybold 26817 10″ Centering Ring Seal, Turbo or Gate Seal |
3661 | Leybold Oerlikon | 341MCT | Leybold 341MCT TURBOVAC Turbomolecular Turbo Pump, 341 MCT |
3662 | Leybold Oerlikon | Leybold 4″ Flanges 26747 | |
3663 | Leybold Oerlikon | 50123520106 | LEYBOLD 50123520106 28610 PORT, GAUGE 100ISO-K |
3664 | Leybold Oerlikon | 72127705 | Leybold 72127705 Turbo Controller Cable, Part of 72127704 |
3665 | Leybold Oerlikon | 72142056 | LEYBOLD 72142056 DIGITAL TEMPERATURE GAUGE, PROCESS PUMP |
3666 | Leybold Oerlikon | 88706 1 029 | Leybold 88706 1 029, Leybold AG, FWL .6 HV, Seal, O-Ring |
3667 | Leybold Oerlikon | 887061050 | Leybold 887061050 Seal, O-Ring, K66 HV |
3668 | Leybold Oerlikon | 890ND MRV LSM | Leybold 890ND MRV LSM Connector Cable |
3669 | Leybold Oerlikon | 898507 | Leybold 898507 Filter Cartridge 10 Micron |
3670 | Leybold Oerlikon | A901200110 | Leybold A901200110 Turbovacrbo Pump 89539 |
3671 | Leybold Oerlikon | 200.80.976 | Leybold AG 200.80.976 TE Box |
3672 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller |
3673 | Leybold Oerlikon | 200.81.080 | Leybold AG 200.81.080 Temp-Box Controller, |
3674 | Leybold Oerlikon | AMT85472-3 | LEYBOLD AMT85472-3 TURBOTRONIC NT 150/360 |
3675 | Leybold Oerlikon | CT 200 ECE | Leybold CT 200 ECE Turbo Pump Controller |
3676 | Leybold Oerlikon | D16B | Leybold D16B TRIVAC Vacuum Pump w/ BOC Edwards EMF10 Oil Mist Filter, GE |
3677 | Leybold Oerlikon | D30A | Leybold D30A TRIVAC Vacuum Pump, MDC KTX-150-2, KDFT-4150-2, Dayton |
3678 | Leybold Oerlikon | D4B | Leybold D4B TRIVAC Vacuum Pump w/ AF 4-8 Exhaust Filter, GE |
3679 | Leybold Oerlikon | D60 | Leybold D60 Vacuum Pump, General Electric GE 5K184FX3440 Motor |
3680 | Leybold Oerlikon | D60A | Leybold D60A TRIVAC Vacuum Pump, Baldor VM3614T Industrial Motor |
3681 | Leybold Oerlikon | D60AC | Leybold D60AC Vacuum Pump, General Electric GE 5K184FL3443A Motor |
3682 | Leybold Oerlikon | DA-10-028 | Leybold DA-10-028 230x5mm Oring |
3683 | Leybold Oerlikon | 757-500-G1 | Leybold Inficon 757-500-G1 Deposition Process Controller |
3684 | Leybold Oerlikon | 901-200-G5 | Leybold Inficon 901-200-G5 Quadrex-100 SCU, Mass Filter Control |
3685 | Leybold Oerlikon | 902-001-G1 | Leybold Inficon 902-001-G1, Quadrex Head Selector |
3686 | Leybold Oerlikon | CIS TS100 | LEYBOLD INFICON TRANSPECTOR CIS TS100 |
3687 | Leybold Oerlikon | TSPTB100 | LEYBOLD INFICON TSPTB100 (3802) TRANSPECTOR 2, 0190-01597 |
3688 | Leybold Oerlikon | TSPTW100 | Leybold Inficon TSPTB100 Transector 2.0 Residual Gas Analyzer, TSPTW100 |
3689 | Leybold Oerlikon | TSPTB100 | Leybold Inficon TSPTB100 Transector Residual Gas Analyzer, 0190-01597 |
3690 | Leybold Oerlikon | TSPTW100 | LEYBOLD INFICON TSPTW100 PRECLUDE GAS ANALYZER, INTERLOCK HEATER 917-400-P1, TR090, 918-401-P1 |
3691 | Leybold Oerlikon | TSPTW100 | LEYBOLD INFICON TSPTW100 PRECLUDE GAS ANALYZER, INTERLOCK HEATER, TR090 |
3692 | Leybold Oerlikon | Leybold ITR 100-D 16374 Ionization Sensor Transmitter Vakuum GMBH Vakuum/Vacuum | |
3693 | Leybold Oerlikon | MAG 400 | LEYBOLD MAGRBOMOLECULAR PUMP, 89463, MAG MAG400CT |
3694 | Leybold Oerlikon | MAG 400 | LEYBOLD MAGRBOMOLECULAR PUMP, MAG 410 |
3695 | Leybold Oerlikon | MAG 400 | LEYBOLD MAGRBOMOLECULAR PUMP, MAG 410CT, 89455 20300024472 |
3696 | Leybold Oerlikon | NT20 | LEYBOLD NT 20 TURBOTRONIK +TMP 1100C 120V, NT20 Controller |
3697 | Leybold Oerlikon | NT-50-UL 100 | Leybold NT-50-UL 100 Turbomolecular Frequency Converter Pump Controller |
3698 | Leybold Oerlikon | 85402 | Leybold Oerlikon TMP 50 Turbo Vacuum Pump w/ Fan Turbocvac 50, 85402, 054 06 A11. |
3699 | Leybold Oerlikon | Q100 | Leybold Quadruvac Q100 mass spectrometer, with cables |
3700 | Leybold Oerlikon | WS251 | Leybold RUVAC WS251 91729 VUC 90/2-100 02692410 52702200 |
3701 | Leybold Oerlikon | SV65.95007 | Leybold SV65.95007 SOGEVAC Vacuum Pump, Brook Hansen 712.18.208 Motor |
3702 | Leybold Oerlikon | TCU-1000 | Leybold TCU-1000 Temperature Controller, 934.00.998 Temp Regulator |
3703 | Leybold Oerlikon | TCU-1000 | Leybold TCU-1000 Temperature Controller, 934.00.998 Temp Regulator PT100 |
3704 | Leybold Oerlikon | LEYBOLD TLV A 92 11 00019 CHECK VALVE EXHAUSTV | |
3705 | Leybold Oerlikon | TMP 340MC | Leybold TMP 340MC TURBOVAC VACUUM Turbomolecular Pump |
3706 | Leybold Oerlikon | TR 301 | Leybold TR 301 Thermovac Vacuum Guage |
3707 | Leybold Oerlikon | NA | Leybold Turbo Pump Controller Cable, 97″ |
3708 | Leybold Oerlikon | 728A11TCE2FA | Leybold Turbo Pump Foreline, With Heater Jackets and MKS728H11TCE2FA Baratron |
3709 | Leybold Oerlikon | NT20 | Leybold Turbotronik NT 20 Turbo Pump Controller, U= 100/120, 220/240V AC, RS1144 |
3710 | Leybold Oerlikon | NT20 | Leybold Turbotronik NT 20, Turbo Pump Controller, |
3711 | Leybold Oerlikon | NT 20 | Leybold Turbotronik NT 20, Turbo Pump Controller, AMAT 3620-99014 |
3712 | Leybold Oerlikon | NT20 | Leybold TurboTronik NT20 turbo controller NT 20, S 857. 408997 |
3713 | Leybold Oerlikon | NT340M/I | LEYBOLD TURBOTRONIK NT340M/I TURBO-MOLECULAR PUMP CONTROLLER |
3714 | Leybold Oerlikon | NT340M/I | LEYBOLD TURBOTRONIK NT340M/I TURBO-MOLECULAR PUMP CONTROLLER, 0730-01040, 20900128781 |
3715 | Leybold Oerlikon | 361C | Leybold TURBOVAC 361C Turbo Molecular Pump, 361 C |
3716 | Leybold Oerlikon | 361C | Leybold TURBOVAC 361C Turbo Molecular Pump, 361C |
3717 | Leybold Oerlikon | 1000C | Leybold TURBOVAC TMPTurbo Pump, 5000D |
3718 | Leybold Oerlikon | TW701 | Leybold TW 701 Turbo Pump, 800051V0025, 59V 48000 rpm |
3719 | Leybold Oerlikon | TW701 | Leybold TW 701 Turbo Pump, 800051V0025, 59V48000rpm |
3720 | Leybold Oerlikon | 89850 | Leybold Vacuum Equipment 89850, Filter Cartridge |
3721 | Leybold Oerlikon | KAT-NR 28912 | Leybold Vacuum gate valve, Leybold KAT-NR 28912, F-No 096189Y004 |
3722 | Leybold Oerlikon | TMP-340M | Leybold Vacuum GMBH TMP-340M Turbo Pump 85590 |
3723 | Leybold Oerlikon | 85401 | Leybold Vacuum GMBH, Oerikon Turbovac 50, TMP 50 Turbopump 85401 |
3724 | Leybold Oerlikon | 16375 | Leybold Vakuum GMBH Ionization Sensor Transmitor, ITR 100-D CF40, 16375, |
3725 | Leybold Oerlikon | 4015796 | Leybold96 SHAFT,D60A/AC,D90A/AC |
3726 | Leybold Oerlikon | D4A | Leybold-Heraeus D4A Trivac Vacuum Rotary Vane Pump Dayton 2N915J Motor |
3727 | Leybold Oerlikon | NT 450 | Leybold-Heraeus Turbotronik NTrbo Pump Controller |
3728 | Leybold Oerlikon | NT 450 | Leybold-Heraeus Turbotronik NTrbo Pump Controller |
3729 | Leybold Oerlikon | 400110V0017 | LeyboldV0017 Turbovac Turbo Pump, MAG W 1300 C, AMAT 3620-00304 |
3730 | Leybold Oerlikon | 898555 | LH Leybold 898555 Spare Canister |
3731 | Leybold Oerlikon | 43 351 396 | Lot of 2 Leybold 43 351 396 Rocker switch assy |
3732 | Leybold Oerlikon | 340MC | TURBOVAC 340MC Leybold Turbomolecular Pump, 340 MC, BROKEN BLADES |
3733 | Leybold Oerlikon | 340MC | TURBOVAC 340MC Leybold VACUUM Turbomolecular Pump |
3734 | LFE | 2000 | LFE Instruments 2000Temperature Controller EI37001004, MINIPUP |
3735 | LG | 6870T154A10 | Industrial video board |
3736 | LH Research | IM803-133-115AZ | LH Research IM803-133-115AZ Power Supply, 3A50/60Hz, 849080.102 |
3737 | LH Research | IM803-133-115AZ | LH Research IM803-133-115AZ, PowersupplyV, 849090.102 |
3738 | Liebert | 02-792214-03 | Emerson Liebert Inverter Base Drive Assy Board 02-792214-03, 12-792214-00 |
3739 | Liebert | 02-792225-00 | Liebert 02-792225-00 Processor Board Assy, 12-792225-00 |
3740 | Liebert | GX1500RT | LIEBERT GXT1500RT-120 0.7POWER FACTOR 120V-AC |
3741 | Liebert | XGT1500RT-120 | LIEBERT GXT1500RT-120 0.7POWER FACTOR 120V-AC, 50/60Hz |
3742 | Liebert | 02-792212-02 | Liebert PWA Voltage Clamp PCB Circuit Board 02-792212-02 |
3743 | LightSouce | I150 | Light Source I 150, Looks new, |
3744 | LIN ENGINEERING | 416-07-80D-01R0 | LIN ENGINEERING -80D-01R0 PCB 3200-1229-01 9701-2143-01 |
3745 | LIN ENGINEERING | 416-07-80D-01RO | Lin Engineering -80D-01RO, Asyst 3000-1229-01, SunX FX-301-NK2 |
3746 | LIN ENGINEERING | 8718S-17-01R0 | Lin Engineering 8718S-17-01R0 Servo Motor854-01 |
3747 | LIN-ACT | ST91-2053-1 | LIN-ACT ST91-2053-1 Pneumatic Cylinder, STCTM-1.125 x 1.5-4 |
3748 | LIN-ACT | ST90-2611 | LIN-ACT ST90-2611 SHOR-T Pneumatic Cylinder |
3749 | Lindberg Blue | GO1305A-1 | Lindberg Blue GO1305A-1 Gravity Oven, 9071027 |
3750 | Lindberg/Blue M | MO1450A | Lindberg/Blue M MO1450A Mechanical Oven 40-300°C In 24x22x16 Ex 46x34x23 |
3751 | Lindgren RF Enclosures | T/T | Lindgren RF Enclosures T/T Rack Mount RF/EMI Chamber, 12x12x12″, |
3752 | Linear | DC1613A | Linear DC1613A USB to I2/SMBus/PMBus Controller |
3753 | Linear Actuator | NP105, 95086-52 | 2 NEW Linear actuatorrail two long NP10552 |
3754 | Linear Actuator | NP105, 95086-52 | 4 NEW Linear actuatorrail two long NP10552 |
3755 | LINEAR TECHNOLOGY | DC1613A | LinearTechnology DC1613A, USB to I^2C/SMBus/PMBus Controller,105596 |
3756 | LINEAR TECHNOLOGY | DC1613A | LinearTechnology DC1613A, USB to I^2C/SMBus/PMBus Controller,105597 |
3757 | LINEAR TECHNOLOGY | DC1613A | LinearTechnology DC1613A, USB to I^2C/SMBus/PMBus Controller,105598 |
3758 | LINEAR TECHNOLOGY | DC1613A | LinearTechnology DC1613A, USB to I^2C/SMBus/PMBus Controller,105599 |
3759 | LINEAR TECHNOLOGY | DC1613A | LinearTechnology DC1613A, USB to I^2C/SMBus/PMBus Controller,105600 |
3760 | LINEAR TECHNOLOGY | DC1613A | LinearTechnology DC1613A, USB to I^2C/SMBus/PMBus Controller,105601 |
3761 | Linemaster switch corp | SP-9970214-035000XXI | footswitch accessory |
3762 | Linemaster switch corp | T-91-SC36 | Linemaster T-91-SC36 Treadlite II |
3763 | Linemaster Treadlite | T-91-S | Linemaster Treadlite 2 T-91-S, Foot Pedal, Switch, Button |
3764 | Linflo | VM20BE1ZX4-1 | Linflo VM20BE1ZX4-1 Valve |
3765 | Linflo | VM20BEXZX4-3 | Linflo VM20BEXZX4-3 Gas Regulator Valve, 1/2″ VCR Connector, USPAT4003405 |
3766 | Linksys | EF2S24,V2 | 2 Linksys EF2S24,V2 EtherFast II 10/100 24-Port Networking Switch |
3767 | Linksys | EFAH24 | Linksys EFAH24 EtherFast 10/100 Auto-Sensing 24-Port Hub, Networking |
3768 | Linksys | BEFSR41 | Linksys EtherFast Cable / DSL Router with 4-port switch Model BEFSR41 |
3769 | Lintec | HX-10A-200-T230 | Lintec HX-10A-200-T160 Compact Heat Exchanger, |
3770 | Lintec | HX-10A-200-T230 | Lintec HX-10A-200-T230 Compact Heat Exchanger, |
3771 | Lintec | Unknown | LINTEC Liquid flow controller, TEOS, 0.1g/min used |
3772 | Liteon | 4MB70NS-SIMM-C9 | 6 Liteon 20v0, 4MB70NS-SIMM-C9, 1×36-70, |
3773 | Lithography | Mask Holder | Lot of 2 Mask Holder, Alignment, Lithography |
3774 | Lithograpy | lens | Lithography lens, Filter for semiconductor, expose |
3775 | Lithograpy | Lithograpy Photo Resist Catch Cup, Track | |
3776 | LITTLE FUSE | 03455LS2H | 5 Littelfuse 03455LS2H Fuseholders, 3453LF2 040, 3455LST 020, H903 097 |
3777 | LITTLE FUSE | LPSJ | 6 Littelfuse LPSJ Power-Safe Class J Fuseholder Assy, LPSJ60-3ID |
3778 | LITTLE FUSE | V251BA60 | Littelfuse V251BA60 Metal Oxide Varistor |
3779 | LITTLE FUSE | 0TLS080.TXV | Little Fuse 0TLS080.TXV Fuse Limiter 80A 170V |
3780 | LITTLE FUSE | 30KPA72CA | Little fuse 30KPA72CA TVS Diode Single Bi-Dir 72V 30KW |
3781 | LITTLE FUSE | V251BA60 | Littlefuse V251BA60 Metal Oxide Varistor |
3782 | LJB | 0093310-001 | 8 new L.J.B. MFG. 0093310-001 water fitting kits |
3783 | LKT | 900-0173-00 | LKT 900-0173-00 IN POSITION SENSOR LOADER |
3784 | LM | 3BR00882 | 4 3BR00882 Linear Motion Bearing, Sleeve, S/L, LM |
3785 | LM76 Inc | L408-6 | 10 LM76 Inc L408-6 Ceramic Coated Liner Motion Bearings |
3786 | LM76 Inc | L408-6 | 20 LM76 Inc L408-6 Ceramic Coated Liner Motion Bearings |
3787 | LM76 Inc | L408-6 | 9 LM76 Inc L408-6 Ceramic Coated Liner Motion Bearings |
3788 | LOCKNETICS | MCT-18DE | LOCKNETICS MCT-18DE MONARCH DELAYED EGRESS POWER SUPPLY |
3789 | Locknetics | MCT-18DE | Locknetics MCT-18DE Monarch Delayed Egress Power Supply (DEX), DEX-2, ControllerC, 600 mA, 60 Hz, 24 VDC, 1.0 A |
3790 | Logitech | 1CM51 | Logitech 1CM51 Chemical Delayering & Planarization Tool, CDP |
3791 | Lovato | DPBF3200A | Lovato DPBF3200A 3-Pole Contactor, 55A, 600VAC, 120V |
3792 | Love Controls | 16033-9502 | Love Controls 16033-9502 Process Control equipment 16033-9502-0112111 |
3793 | LOWARA | SV202T056M | Lowara SV202T056M Vertical Pump |
3794 | LOWARA | SV204N116M | LOWARA SV204N116M LM80B14/116 VERTICAL PUMP |
3795 | LP ASSOCIATES | 4692-2 | L.P ASSOCIATES 4692-2 HIGH VOLTAGE POWER SUPPLY |
3796 | LPC | LPC-LUM | LPC LPC-LUM LASER POWER CONTROLLER 110AC |
3797 | LSA | 233-2700-02 | LSA 233-2700-02 Ceramic Insert |
3798 | LSI Logic | 500020363 | 1 Lot of 25 LSI 500020363 M-ALP2-LF3-DB |
3799 | LSI Logic | 500020363 | 1 Lot of 48 LSI 500020363 M-ALP2-LF3-DB |
3800 | LSI Logic | PCBX518-B1 | 2 LSI LOGIC PCBX518-B1 SCSI RAID Controller Card with 128MB of RAM |
3801 | LSI Logic | L1-01119-04 | LSI L1-01119-04 RAID Controller PCB w/ L3-01119-08C Daughter Board |
3802 | LSI Logic | 157468A01 | LSI Logic 157468A01 FTM SHIELD |
3803 | LSI Logic | 70800211-002 | LSI Logic 70800211-002 PCB Board, SAS3442X-R, L3-00116-01A |
3804 | LSI Logic | L1-01013-03 | LSI Logic L1-01013-03, 68-Pin RAID Controller, Tundra TSI 310A-133CEY. |
3805 | LSI Logic | SAS3442X | LSI Logic SAS3442X Raid Controller PCB, 03-01085-02C |
3806 | LSI LOGIC | SAS3442X-R | LSI LOGIC SAS3442X-R 70800211-003 REV A, PCI 133 |
3807 | LTD Ceramics | 14607900 | LTD Ceramics 14607900, AMAT 0200-09066 Support Susceptor 125mm Teos |
3808 | LTX Corporation | 865-5145 | LTX 865-5145 PCB, BFCB |
3809 | LTX Corporation | 865-5246 | LTX 865-5246 PCB MDCN |
3810 | LTX Corporation | 865-5600-02 | LTX 865-5600-02 PCB,ANSB2,W/O CABLES, REV-01 |
3811 | LTX Corporation | 865-8013-004 | LTX Corporation 865-8013-004 PCB DSP MOTHER BOARD ASSEMBLY REV E |
3812 | LTX Corporation | 865-5995 | LTX Trillium 865-5995 HIPer PEC (DM/HIPER) PCB, LAM 033-9024-72 |
3813 | LTX Credence | 672-7366 | LTX Credence 672-7366, Tester Board PCB, PCA, D6436, IDM, 40S, 20M |
3814 | LTX Credence | 96152054 | LTX Credence 96152054 Tester Board PCB, PCA, 96152054-0736043R, A4000205 |
3815 | LTX Credence | 96152054 | LTX Credence 96152054 Tester Board PCB, PCA, Instrument, DPS-6A, 76S |
3816 | LTX Credence | 96152095 | LTX Credence 96152095 Tester Board PCB, PCA, 125DPS, Sapphire |
3817 | LTX Credence | 96152095 | LTX Credence 96152095 Tester Board PCB, PCA, 96152095-0750002FM, A4000205 |
3818 | LTX Credence | 96152095 | LTX Credence 96152095 Tester Board PCB, PCA, Instrument, 125DPS, 4400270 |
3819 | LTX Credence | 96152150 | LTX Credence 96152150 Tester Board PCB, PCA, Inst D4064, 16GIG, DPI A |
3820 | LTX Credence | 96152150 | LTX Credence 96152150, Tester Board PCB, PCA, 272-76782-00, 97173162-01 |
3821 | LTX Credence | 96152150 | LTX Credence 96152150, Tester Board PCB, PCA, INST D4064 16GIG DPI A |
3822 | LUDL ELECTRONICS PRODUCTS, LTD. | N/A | LUDL ELECTRONICS PRODUCTS LEP ROBOT |
3823 | Ludlow | MIL-B-131G | Ludlow MIL-B-131G Shell |
3824 | Ludlow | MIL-B-131G | Ludlow MIL-B-131G Shell and Filter |
3825 | Lufran | 2TFB-8000-101 | Lufran 2TFB-8000-101 ELECTRIC IMERSION HEATER |
3826 | LUFRAN | XL-1000 | LUFRAN XL-1000 DIGITAL TEMPERATURE CONTROLLER UNIT |
3827 | Lufran Inc / Process Technologies | AN3-3-5C | Annunciator PCB with 5 position display |
3828 | LUMEX | SSF-LXH305YGW-TR | 1 Lot ofUMEX SSF-LXH305YGW-TR LED Circuit Board Indicators LED CBI |
3829 | Lumina Power, Inc. | XLB-1500-70-25 | Lumina Power XLB-1500-70-25 Xenon Lamp Ballast93 |
3830 | LUMONICS | 60560034-01 | LUMONICS 60560034-01 PCB-SYSTEMS SUPPORT |
3831 | LUMONICS | 60560094-01 | LUMONICS 60560094-01/ PCB, SGRP, GALVO-DRIVER QUALITY LASER PARTS |
3832 | LUMONICS | 6056064 | LUMONICS 6056064 COMGEN LW GENERATOR PCBA, COM |
3833 | LUMONICS | 6050011 | LUMONICS EXTENDER BOARD 6050011 REV A |
3834 | Luxtron | 0150-02632 | LUXTRON 1015 ENDPOINT CONTROLLER NEW IN THE BOX |
3835 | Luxtron | 2810 | LUXTRON 2810 Process endpoint monitor/controller |
3836 | Luxtron | 712 | LUXTRON 712 Fluoroptic Thermometer, temperature probe |
3837 | Luxtron | 1104 | Luxtron Model 1104, AMAT 1100-01002 Monochromator, PC end point system |
3838 | Luxtron | Xinix | Luxtron Xinix 1014 Endpoint Controller |
3839 | Lytron | RC006G03BB1C020 | Lytron Chiller RC006G03BB1C020 |
3840 | Lytron | RC011G03CC3M059 | Lytron RC011G03CC3M059 Recirculating Chiller |
3841 | Lytron | RC022J03CC3C24 | Lytron RC022J03CC3C24 Recirculating Chiller |
3842 | Lytron | RC022J03FB3C045 | Lytron RC022J03FB3C045 Recirculating Chiller |
3843 | Lytron | RC045J03FB3C019 | Lytron RC045J03FB3C019 Recirculating Chiller, Heat Exchanger |
3844 | M AND W SYSTEMS M&W | RPCE17A | M & W Systems RPCE17A Flowrite Recirculating Cooling System, chiller |
3845 | M AND W SYSTEMS M&W | RPCE17A-TS | M & W Systems RPCE17A-TS Flowrite Recirculating Cooling System, chiller |
3846 | M AND W SYSTEMS M&W | RPCE17A-TT | M & W Systems RPCE17A-TT Flowrite Recirculating Cooling System |
3847 | M AND W SYSTEMS M&W | RPCE17A-TT | M & W Systems RPCE17A-TT Flowrite Recirculating Cooling System, Chiller |
3848 | M AND W SYSTEMS M&W | 17-A-D-DI2X10″-LICMII-HE | M and W Systems RPCX 17-A-D-DI2X10″-LICMII-HE Flowrite Recirculating |
3849 | M AND W SYSTEMS M&W | 017-018-0241 | M and W Systems8-0241 LAM Research, LAM 775-018-024110″ x 2 7/8″ |
3850 | M AND W SYSTEMS M&W | 017-018-3522 | M AND W SYSTEMS8-3522 NORRILL MOTORS |
3851 | M AND W SYSTEMS M&W | RPCE17A-TS | M&W Systems RPCE17A-TS Flowrite Recirculating Cooling System, Chiller |
3852 | M AND W SYSTEMS M&W | 017-018-6063 | M&W Systems8-6063 Filter, TCU 10″ Deionizing |
3853 | M&E Technologies | AS568A-111 | M&E AS568A-111 O-Ring Nanopure, Compound #9080, Nanopure |
3854 | M&E Technologies | MZE0217-18 | M&E MZE0217-18 Technology O-Ring Nanopure, K12466 |
3855 | M&E Technologies | MZE0218-23 | M&E MZE0218-23 Technology O-Ring Nanopure, K12466 |
3856 | M.E.C TECH | MEC83306-1060L | 14 M.E.C. Tech MEC83306-1060L Cover, Screw, #8-32, Lowpec |
3857 | M.E.C TECH | MEC83306-1060P | 175 M.E.C. Tech MEC83306-1060P Cover, Screw, #8-32, Lowpec |
3858 | M.E.C TECH | MEC83106-1040L | 187 M.E.C. Tech MEC83106-1040L Cover, Screw, 1/4-20 |
3859 | M.E.C TECH | MEC83106-1076L | 24 M.E.C. Tech MEC83106-1076L, Ground Plate Ring, A/A |
3860 | M.E.C TECH | MEC83106-1138L | 3 M.E.C. Tech MEC83106-1138L Pedestal Ring Assy |
3861 | M.E.C TECH | MEC83106-1138L | 3 M.E.C. Tech, Inc., MEC83106-1138L, Pedestal Ring, Flush, P/I BUT, RND |
3862 | M.E.C TECH | MEC83306-1060P | 34 M.E.C. Tech MEC83306-1060P Cover, Screw, #8-32, Lowpec |
3863 | M.E.C TECH | MEC81105-208L | 398 M.E.C. Tech MEC81105-208L Clip Support Spacer |
3864 | M.E.C TECH | MEC81105-205L | 4 M.E.C. Tech MEC81105-205L Spacer, .26, AMAT 0020-01020 |
3865 | M.E.C TECH | MEC83106-1021 | 48 M.E.C. Tech MEC83106-1021 Stud, #7-32 x 5/8 Vented |
3866 | M.E.C TECH | MEC83106-1040L | 48 M.E.C. Tech MEC83106-1040L Cover, Screw, 1/4-20 |
3867 | M.E.C TECH | MEC81105-208 | 72 M.E.C. Tech MEC81105-208 Clip Support Spacer |
3868 | M.E.C TECH | MEC81105-208L | 72 M.E.C. Tech MEC81105-208L Clip Support Spacer |
3869 | M.E.C Tech | MEC81105-211 | 72 M.E.C. Tech MEC81105-211L Clip, Lexan, Motorola |
3870 | M.E.C TECH | MEC81156-207L | 9 M.E.C. Tech MEC81156-207L Cap Shield Composite, Extd. |
3871 | M.E.C TECH | MEC83106-1078L | 96 MEC Tech MEC83106-1078L Screw Cover, |
3872 | M.E.C TECH | GMC-22 | LS MEC GMC-22 Contactor, GMC(D)-22 |
3873 | M.E.C TECH | GMD-18 | LS MEC GMD-18 Contactor |
3874 | M.E.C TECH | MEC4520-4046 | M.E.C TECH MEC4520-4046 ETCH CHAMBER |
3875 | M.E.C TECH | MEC83304-1036 | M.E.C Tech MEC83304-1036 Pedestal, Anti-Etch |
3876 | M.E.C TECH | MEC83305-1015L | M.E.C TECH MEC83305-1015L PEDESTAL RING, S/I BUT, FOR APPLIED 0010-00717 |
3877 | M.E.C TECH | MEC83306-3018L | M.E.C Tech MEC83306-3018L Assembly, Focus Ring, Posi-snap, Tex |
3878 | M.E.C TECH | 4520-4046 | M.E.C. Tech MEC4520-4046 Etch Chamber Ring Cover |
3879 | M.E.C TECH | mec4520-4046 | M.E.C. Tech MEC4520-4046 Etch Chamber Ring Cover, MEC80912088-2 |
3880 | M.E.C TECH | MEC50006-765-9 | M.E.C. Tech MEC50006-765-9 Clamp, Ring, Ceramic, 6″, AMAT Etch Chamber |
3881 | M.E.C TECH | MEC50008-763-5 | M.E.C. Tech MEC50008-763-5, Insulating Washer Ardel AMAT 0020-09031 |
3882 | M.E.C TECH | MEC80210389-2 | M.E.C. Tech MEC80210389-2 Cap Insulator, Thick, |
3883 | M.E.C TECH | MEC83106-1073L | M.E.C. Tech MEC83106-1073L Upper Cap Insulator, Thick, A/A, MBC, |
3884 | M.E.C TECH | MEC83106-1074L | M.E.C. Tech MEC83106-1074L Insulator, A/A Ground Plate |
3885 | M.E.C TECH | MEC83106-1074L | M.E.C. Tech MEC83106-1074L Insulator, A/A Ground Plate, |
3886 | M.E.C TECH | MEC83106-1075L | M.E.C. Tech MEC83106-1075L Insulator, A/A Hexode |
3887 | M.E.C TECH | MEC83106-1075L | M.E.C. Tech MEC83106-1075L Insulator, Hexode, A/A |
3888 | M.E.C TECH | MEC83106-1138L | M.E.C. Tech MEC83106-1138L Pedestal Ring Assy |
3889 | M.E.C TECH | MEC83106-1139L | M.E.C. Tech MEC83106-1139L, Pedestal Ring, Bot, Flush, P/I But, RDN |
3890 | M.E.C TECH | MEC83304-1040 | M.E.C. Tech MEC83304-1040 Ground Insulator |
3891 | M.E.C TECH | MEC83306-1041L | M.E.C. Tech MEC83306-1041L Pedestal Ring, P/I BUT, SNAP |
3892 | M.E.C TECH | MEC83306-3017L | M.E.C. Tech MEC83306-3017L Assembly, Focus Ring, Bottom, POSI-SNAP, TEX |
3893 | M.E.C TECH | MEC83306-3018L | M.E.C. Tech MEC83306-3018L Assembly, Pedestal Ring, P/I BUT, POSI-SNAP |
3894 | M.E.C TECH | MEC83306-3018L | M.E.C. Tech MEC83306-3018L Assembly, Pedestal Ring, POSI-SNAP, TEX |
3895 | M.E.C TECH | MEC83306-3041L | M.E.C. Tech MEC83306-3041L Assembly, Pedestal Ring, P/I BUT, POSI-SNAP |
3896 | M.E.C TECH | MEC50008-763-5 | MEC Tech MEC50008-763-5 Washer, Insulating, Ardel |
3897 | M.E.C TECH | MEC83306-1028R | MEC Tech MEC83306-1028R Insulator, Top Cap |
3898 | M.E.C TECH | MEC126286-086 | MEC Tech, MEC126286-086, Etch Chamber Pedestal, Chunk, 8310, 8330. |
3899 | M.E.C TECH | MEC83106-1074L | MEC83106-1074L Ground Plate Insulator A/A 420560 |
3900 | M.E.C. Tech | MEC81105-210A | LOTS OT 72 M.E.C. TECH MEC81105-210A Clip, Wafer. AME, 9710604-1 |
3901 | M.E.C. Tech | MEC86008-1053 | M.E.C Tech MEC86008-1053 Ring, Focus, 3.8mm, Si, 200mm, FLAT |
3902 | M.E.C. Tech | MEC50006-581-2 | M.E.C. MEC50006-581-2 INSULATOR RING, VESPEL, SR5024003 |
3903 | M.E.C. Tech | MEC4520-4046 | M.E.C. Tech MEC4520-4046 Captive, Conf, Ring, Cover, Etch Chamber |
3904 | M.E.C. Tech | MEC4520-4046 | M.E.C. Tech MEC4520-4046 Captive, Conf, Ring, Cover, Etch Chamber, 500035041 |
3905 | M.E.C. Tech | MEC4520-4046 | M.E.C. Tech MEC4520-4046 Etch Chamber Ring Cover, CAPTIVE, 500035041 |
3906 | M.E.C. Tech | MEC4520-4046 | M.E.C. Tech MEC4520-4046 Etch Chamber Ring Cover,MEC80912088-1 |
3907 | M.E.C. Tech | MEC83305-1036 | M.E.C. Tech MEC83305-1036 PEDESTAL, ANTI-ETCH, 125MM2-1 |
3908 | M.E.C. TECH | MEC86008-1053 | M.E.C. TECH MEC86008-1053 RING, FOCUS, 3.8MM, SI, 200MM, FLAT, 500092883 |
3909 | M.E.C. Tech | MEC86008-1065 | M.E.C. Tech MEC86008-1065 Focus Ring, 200mm, 2.0mm Without Holes |
3910 | M.E.C. Tech | MEC86008-1065 | M.E.C. Tech MEC86008-1065 Focus Ring, 200mm, 2.0mm Without Holes, 500076457 |
3911 | m4com | PS4610-01A | m4com PS4610-01A 4_Phase Stepping Motor, 6.0 V, 1.88 A |
3912 | MAC Valves inc. | PPC5A -AAA-NGCB-DBA-E0 | Lot of 5 PNEUMATIC CONTROL VALVE |
3913 | MAC Valves inc. | 35A-B00-DDDJ-1FN | MAC 35A-B00-DDDJ-1FN, Pneumatic Manifold w/ Circuit Bar |
3914 | MAC Valves inc. | 45A-GA2-DDAJ-1KE | MAC 45A-GA2-DDAJ-1KE, Pneumatic Manifold Assembly |
3915 | MAC Valves inc. | 55B-12-RA | MAC 55B-12-RA PNEUMATIC SOLENOID VALVE |
3916 | MAC Valves inc. | PPC5A-AAA-NGCB-DBA-E0 | MAC PPC5A-AAA-NGCB-DBA-E0 Pneumatic Control Valve, 0-10 PSI |
3917 | MAC Valves inc. | PPC5A-AAA-NGCB-DBA-EO | MAC PPC5A-AAA-NGCB-DBA-EO Pneumatic Control Valve, 0-10 PSI |
3918 | MAC Valves inc. | PPC5B-AAA-NGCB-DBA-E0 | MAC PPC5B-AAA-NGCB-DBA-E0 Pneumatic Control Valve, 0-10 PSI |
3919 | Mace | 851-1144-1 | Mace 851-1144-1 Pneumatic Valve M190675 |
3920 | MACH | E14000140 | MACH E14000140, Rev.C, E15000440 Rev.D2592395, Daughter Board. |
3921 | Machine Technology | 2217305003 | Machine Technology 2217305003 8097 Industrial/Lab DC/Stepper Board Assy |
3922 | Machine Technology | 2217305009 | Machine Technology 2217305009 8097 Industrial/Lab Mini DC Stepper Board Assy |
3923 | Machine Technology | 2217305009 | Machine Technology 2217305009 8097 Mini DC Stepper Board Assy |
3924 | Machine Technology | 2217305033 | Machine Technology 2217305033, PCB, MINIPROCESSOR |
3925 | Machine Technology | 2217305037 | MACHINE TECHNOLOGY 22173050378007 MINI D/C STEPPER II BOARD ASSY, PCB |
3926 | Machine Technology | 2217605132 | Machine Technology 2217605132 Processor II PCB |
3927 | Machine Technology | 2217305033 | Machine Technology, 2217305033, PCB, MINIPROCESSOR |
3928 | Machine Technology | 2217305037 | Machine Technology, 2217305037, PCB,STEPPER,DC |
3929 | Macromatic Time Ranger | SS-61928 | Macromatic SS-61928 Time Ranger |
3930 | Macromatic Time Ranger | SS-61928 | Macromatic Time Ranger, Time Delay Relay SS-61928 Off-Delay, Programmable |
3931 | MACSA | K-1030 PLUS | MACSA K-1030 PLUS Marking Laser, RS1014 |
3932 | Mactronix | HZN-650P5 | Mactronix Inc HZN-650P5, Wafer Sensor. |
3933 | Mactronix | UKA-525 | Mactronix Inc. UKA-525 Wafer Transfer |
3934 | Mactronix | LBJ600P5 | Mactronix LBJ600P5, MGI 4 Rail QB (52 Slots), Wafer Cassette Loader |
3935 | Mactronix | LTP650P5 | Mactronix LTP650P5, 4 Rail QB (52 Slots), Wafer Cassette Loader |
3936 | Madison | M8750 | Madison M8750 Plastic Side-Mounted Liquid Level Float Switch |
3937 | MagneTek | GPD505V-B011 | MagneTek GPD505V-B011 Variable Speed Drive,3 Phase |
3938 | MagneTek Triad | F-24U | MagneTek Triad F-24U Filament Transformer, |
3939 | MAGNETIC INSTRUMENTATION, MI | 2100 | MAGNETIC INSTRUMENTATION MODEL 2100 GAUSSMETER |
3940 | MAGNETIC PERIPHERALS INC. | 77711803 | MAGNETIC PERIPHERALS 77711803 BLACK FH 5.25 FLOPPY |
3941 | Magnetron | 200P/1/1501 | MAGNETRON, M200PC, 200P/1/1501, Low Frequency |
3942 | Magrfhelic | 1403T12299 | Magrfhelic 1403T12299 Differential Pressure Gage |
3943 | MAIN LOGIC | 233-4552-38 | MAIN LOGIC PCB ASSY 233-4552-38, 855416 |
3944 | Malema Flow Sensors | M-10000-B2014-00 | 2 Malema Flow Sensors M-10000-B2014-00 Rotary Meter |
3945 | Malema Flow Sensors | M-10000-T2021-00 | Lot of 2 New Flowmeters, .3-3.0 L/m, 0-10VDC output |
3946 | Malema Flow Sensors | M-10000-T2021-00 | Lot of 3 Flowmeters, .3-3.0 L/m, 0-10VDC output |
3947 | Malema Flow Sensors | M-2100-T3103-41-Z-002 | Malema M-2100-T3103-41-Z-002 Ultrasonic Flowmeter, F07-720005-1-19 |
3948 | Malema Flow Sensors | M-2111-F6115-D-U-005 | Malema M-2111-F6115-D-U-005 Ultrasonic Flowmeter Assy, SC630D, 50 LPM |
3949 | Malema Flow Sensors | M-2111-F6115-D-U-005 | Malema M-2111-F6115-D-U-005 Ultrasonic Flowmeter, 50 LPM 0-10 VD 4-20 mA, RS1136 |
3950 | Malema Flow Sensors | M-2111-F6115-D-U-005 | Malema M-2111-F6115-D-U-005 Ultrasonic Flowmeter, 50 LPM 0-10 VD 4-20 mA, RS1137 |
3951 | Malema Flow Sensors | SC630D | Malema SC630D Ultrasonic Flow Meter, M-2111-F6115-D-U-005, 50 LPM, RS1034 |
3952 | Malema Flow Sensors | SC630D | Malema SC630D Ultrasonic Flow Meter, M-2111-F6115-D-U-005, 50 LPM, RS1146 |
3953 | Malema Flow Sensors | AMW-855B-2T-002 | MALEMA SENSORS AMW-855B-2T-002 MINI WHEEL FLOWMETER, MALEMO MRR-841 FLOW SENSOR |
3954 | Malema Flow Sensors | M-64-S31-000-023 | Malema Sensors M-64-S31-000-023 Flow Switch |
3955 | Malema Flow Sensors | USC-731-12 | Malema Sensors USC-731-12 Ultrasonic Flowmeter / Converter, RS1147 |
3956 | Malema Flow Sensors | USC-771-11 | Malema USC-771-11 Ultrasonic Flowmeter, USC-771, F07-720005-1-19 |
3957 | Malema Flow Sensors | 233128072 | Malena, Flow, Switch 233128072 |
3958 | MALIS | CMC-III | Malis Bipolar CMC-III Electrosurgical System and CMC-II Irrigation Module, Parts |
3959 | Mallory | SC648H | 3 Mallory Sonalert Buzzer SC648H |
3960 | Mallory | SC110 | Mallory SC110 Sonalert Audio Indicator, Gasonics 713353-02, |
3961 | Mallroy | SC628AN | Mallroy SC628AN SONALERT Transducer |
3962 | Manhattan | M13190 | Manhattan M13190 Cable W/ Connectivity Plug, |
3963 | Manifold | Unknown | 12 Position gas manifold W/ Mott filters, Tescom Pneumtic valve, Nupro valve |
3964 | Manifold | 180M 15 A | 180M 15 A 446, W92305345. Solenoid Manifold |
3965 | Manifold | 2250-111349 | 2 fittings 2250-111349 1/8 tubing 3 way tees |
3966 | Manifold | 4 Water Manifold, 3/4 & 3/8″ Pipe Thread Compression Fitting, | |
3967 | Manifold | 451-0720 | 451-0720 PURGE GAS PRESSURE SWITCH 60PSI NEO-DYN SWITCH PRESS ASSY 4094 |
3968 | Manifold | A6880 | Aviza A6880 Water Switch |
3969 | Manifold | 03-F99-R303-42B | Block Manifold 03-F99-R303-42B |
3970 | Manifold | Fitting | Water hose quick disconnect fitting |
3971 | Manifold lucas | K9234-311 | Manifold lucas KF40 Foreline with valve Varian cold cathode gauge 525, K9234-311 |
3972 | Manostar | FR-51 | Manostar Gage FR-51 Pressure Gauge, Type H-VT |
3973 | Manostat Preston | 72-372-000 | Manostat Preston 72-372-000 Varistaltic Power Pump, Thermo Scientific, RS1107 |
3974 | MANOSTAT PRESTON | 72-370-000 | MANOSTAT PRESTON VARISTALTIC POWER PUMP MODEL 72-370-000 |
3975 | MANSON | C01-01129 | MANSON C01-01129 BRACKET, HOUSING, CORNER 20″ |
3976 | Manu Caru | Manu Caru Stencil Tension Measuring Gauge, N/CM | |
3977 | Marathon | 1506 | Marathon 1506 Terminal Block Connector |
3978 | Marathon Electric | 213721 | Marathon Electric 213721 Motor 3/4HP 1725 DP 3PH 6.6, DVP 56T17D5630D P |
3979 | MARATHON ELECTRIC | 5KH32GNB811AX | MARATHON ELECTRIC 5KH32GNB811AX, A-C MOTOR, 1/3HP, 50/60Hz |
3980 | MARATHON ELECTRIC | 6VC56T17D5630D P | MARATHON ELECTRIC 6VC56T17D5630D P , 3/4 HP MOTOR |
3981 | Marathon Special Products | 1433552 | Marathon 1433552 POWER DISTRIBUTION BLOCK,line-6 load (4) #2- #14 |
3982 | Marathon Special Products | 1433553 | Marathon 1433553 POWER DISTRIBUTION BLOCK,line-6 load (4) #2- #14 |
3983 | Marinco | L15-20 | Marinco L15-20, 20A, 250V, 30, Plug |
3984 | Markez | Z1206-112 | 2 MARKEZ Z1206-112 ORING ID= 0.487″ +/- 0.005″ CS= 0.103″ +/- 0.003″ |
3985 | Markez | Z1206-129 | 2 Markez Z1206-129 Marco Rubber O-RING |
3986 | Markez | Z1216-226 | 2 Markez Z1216-226 Marco Rubber O-RING |
3987 | Markez | Z1206-005 | Markez Z1206-005 Marco Rubber O-RING |
3988 | Markez | Z1206-126 | Markez Z1206-126 Marco Rubber O-RING |
3989 | Markez | Z1206-250 | Markez Z1206-250 Marco Rubber O-RING |
3990 | Markez | Z1206-281 | Markez Z1206-281 O-RING Marco Rubber |
3991 | Markez | Z1213-206 | Markez Z1213-206 O-ring, Z1213, Marco |
3992 | Markson | 7452 | Markson 7452 Colorimeter Used |
3993 | MARTIN | W1220Q | 2 MARTIN W1220Q Gear , 3 3/4″ sq, 110235 |
3994 | Martin | 20XL037 | Martin 20XL037 DF-1 Style, 1/5″ Pitch, Extra Light, 1/4 And 3/8″ |
3995 | Maruyama Risshi | YR-8030SC (L) | Maruyama Risshi YR-8030SC (L) Chiller, LAM 778-039851-002 |
3996 | Mass-Vac | 300911 | Mass-Vac 300911, Stainless Steel, Filter, Gauze. |
3997 | MasterFlex | L/S | Masterflex L/S Quick Load Pump Head |
3998 | MasterFlex | Masterflex Solid State Speed Control with Masterflex Easy-Load Pump, Used | |
3999 | MasterFlex | MasterFlex Wash-Down Modular Controller, 7553-07 | |
4000 | Matchlett | ML-6257 | Matchlett ML-6257 High Voltage Power Vacuum Tube, Raytheon |
4001 | Material Research Corporation | D116374 | MRC D116374 SHIELD, GATE VALVE SPACER |
4002 | Material Research Corporation | D116543 | MRC D116543 SHIELD (SPUTTER RING) 150MM |
4003 | Material Research Corporation | D117832 | MRC D117832 SHIELD, GAS DISTRIBUTION RING, AL |
4004 | Material Research Corporation | A120692 | MRC A120692 Eclipse RF C/C 30ft Cable |
4005 | Material Support Resources | 233231093 | MSR 233231093 Manifold, Weldment, OX, LAM 853-023539-001 |
4006 | Material Support Resources | 500123191 | MSR-FSR 500123191400 Ceramic Ring, Insolator, Upper Cleaned |
4007 | Material Support Resources MSR | 500125400 | MSR 500125400 OXA CONVIA KIT, OX8CV401, ACECO S33-960, 6P-027021-21 |
4008 | Material Support Resources MSR | 500125400 | MSR 500125400 OXA CONVIA KIT, OX8CV404, ACECO S33-960, 6P-027021-21 |
4009 | Material Support Resources MSR | 500125400 | MSR 500125400 OXA CONVIA KIT, OX8CV406, ACECO S33-960, 6P-027021-26 |
4010 | Material Support Resources MSR | 500125400 | MSR 500125400 OXA CONVIA KIT, OX8CV412, ACECO S33-960, 6P-027021-21 |
4011 | Material Support Resources MSR | 500125400 | MSR 500125400 OXA CONVIA KIT, OX8CV418, ACECO S33-960, 6P-027021-26 |
4012 | Material Support Resources MSR | 500125400 | MSR 500125400 OXA CONVIA KIT, OX8CV421, ACECO S33-960, 6P-027021-21 |
4013 | Material Support Resources MSR | 500125400 | MSR 500125400 OXA CONVIA KIT, OX8CV428, ACECO S33-960, 6P-027021-21 |
4014 | Material Support Resources MSR | 500125400 | MSR 500125400 OXA CONVIA KIT, OX8CV430 |
4015 | Material Support Resources MSR | 500125400 | MSR 500125400 OXA CONVIA KIT, OX8CV436, ACECO S33-960, 6P-027021-26 |
4016 | Material Support Resources MSR | 500125404 | MSR 500125404 Ceramic Ring Lam Kit |
4017 | Material Support Resources MSR | N/A | MSR GV1 Lower Shield W |
4018 | Material Support Resources MSR | N/A | MSR GV1 Upper Shield W |
4019 | Material Support Resources MSR | N/A | MSR GV1 Upper Shield W, 85X, 500119804 |
4020 | Material Support Resources MSR | OX8HM401 | MSR OX8HM401 Bellows External Cover |
4021 | Materials Research | D116700 | Materials Research Shield Etch 200mm, Wafer Ring |
4022 | MATERIALS RESEARCH CORP. | 112-54-000 | MRC MATERIALS RESEARCH CORP. 112-54-000 REV D RF GENERATOR, 208V, 1500W |
4023 | Materion | 39778 | Materion Al/Cu 0.5% High Purity Sputtering Target, 12.98″ x 0.25″, 39778 |
4024 | Matheson | 19-540 | Matheson 19-540 Gas Cylinder, Regulator, 3000 PSI, 20000 kPa, 63-3133 |
4025 | Matheson | 1L-350 | Matheson 1L-350 Gas Regulator W/63-3133; we are selling as used |
4026 | Matheson | 3320 | Matheson 3320 Pressure Gauge Regulator |
4027 | Matheson | 6164-T4FF | MATHESON GAS 6164-T4FF PARTICLE FILTER, 250 PSI |
4028 | Matheson | FM4374A | Matheson Gas FM4374A Implant Gas Cab, S/S Turn, |
4029 | Matheson | L-500 | Matheson L-500 Tri Gas Corrosive Purification System, 038-0111, Nanochem, |
4030 | MATHESON | REG-1882-SA | MATHESON REG-1882-SA REGULATOR, GAS FLOW |
4031 | Matheson | SP1969 | Matheson SP1969 Relief Valve Max Pressure 250PSI |
4032 | MATHESON | SP-3318-1C | MATHESON SP-3318-1C Gas Regulator RMA 16782, 300 PSIG, 63-2204, 63-2208 |
4033 | Matheson | 3513-350 | MATHESON TRI GAS 3513-350 MATHESON REGULATOR, SINGLE STAGE, HIGH PURITY |
4034 | Matheson | OMX-PLUS | MATHESON TRI-GAS OMX-PLUS PURIFIER FILTER |
4035 | MATHESON GAS PRODUCTS | 6134 T8 FF | MATHESON GAS PRODUCTS 6134 T8 FF Filter, 250PSI |
4036 | MATRIX | 81341577 | LOT OF 9 MATRIX 81341577 COML,O-RING |
4037 | MATRIX | 0992-40270 | MATRIX 0992-40270 CHUCK 303 ETCHER L2-C01A04 |
4038 | MATRIX | 0992-60419 | MATRIX 0992-60419 GM 660-9260419 10″ QUARTZ BAFFLE |
4039 | MATRIX | 0993-20408 | Matrix 0993-20408 Wafer Etch |
4040 | MATRIX | 92-40270 | MATRIX 92-40270 CHUCK WAFER HEATED ETCHER |
4041 | MATRIX | 992-40231 | Matrix 992-40231 retaining ring rev G |
4042 | MATRIX | 1010-0003 | MATRIX INTEGRATED SYSTEMS TRANSPORT CONTROLLER3 |
4043 | MATRIX | 1010-0019 | Matrix Integrated Systems TRANSPORT INTERFACE 9000-0019 REV A019 |
4044 | MATRIX | 1010-0019 | Matrix Integrated Systems TRANSPORT INTERFACE019 REV A019 |
4045 | MATRIX | 1000-0042 | Matrix042 Operator Interface PCB Board |
4046 | MATRIX | 1000-0042 | Matrix042 Operator Interface PCB Board REV C |
4047 | MATRIX | 9000-0042 | Matrix042 Operator Interface PCB Board REV C, 201650, 18522 |
4048 | MATRIX | 1000-0042 | Matrix042 Operator Interface PCB Board REV D |
4049 | MATRIX | 7911/MPD | MPD card, surplus inventory from major semiconductor facility. |
4050 | Matrix | 1010-0042 | Matrix 1010-0042 Operator Interface PCB Board REV A005 |
4051 | MATRIX INTEGRATED SYSTEMS, INC. | 1000-0032 | MATRIX INTEGRATED SYSTEMS032 MONOCHROMETER ENDPOINT PCB BOARD |
4052 | Matrox | 644-03 | Matrox 644-03 Video Graphics Card, PCB, 201391-A-00 |
4053 | Matrox | GP60/F/64/F/64 | Matrox Gen/Pro GP60/F/64/F/64 Graphics Board, w/ Daughter PCB |
4054 | Matrox | GP60/F/64/F/64 | Matrox Gen/Pro GP60/F/64/F/64 Processor Board |
4055 | Matrox | GPRO60/F/64/F/64 | Matrox Gen/Pro GPRO60/F/64/F/64 Processor Board |
4056 | Matrox | 750-0201 | Meteor2/4 Frame capture video board |
4057 | Matrox | 576-06 | PCI video card 2 Mb with video grabber daugheter board 581-03 with software and manuals |
4058 | Matsushita | ETU-5E200 | 5 volt 20Amp power supply |
4059 | Matsushita | WF3524 | 6 Matsushita WF3524 Hook Corner Cap, 20A, 250V; we are selling as used |
4060 | Matsushita | KDCL | Matsushita KDCL Cable |
4061 | Matsushita | AFP87432 | Matsushita NAIS AFP87432 FP I/O Terminal Relay Board |
4062 | Matsushita | ANUP5255V2 | Matsushita NAIS Aicure ANUP5255V2 UV Curing System0V, 50/69Hz |
4063 | Matsushita | NAIS ANE 1040 | Matsushita NAIS ANE 1040 LightPix AE10 Vision Sensor, Kit |
4064 | Matsushita | ANE1030 | Matsushita NAIS ANE1030 LightPix AE10, Vision Sensor Kit |
4065 | Matsushita | FP1E24RACUS | Matsushita NAIS FP1-E24, FP1E24RACUS, AFP13217, Expansion Unit 16 Input. |
4066 | Matsushita | AFP87432 | Matsushita NAIS Panasonic AFP87432 FP I/O Terminal Relay Board |
4067 | Matsushita | UZF2101 | Matsushita NAIS UZF2101 Optical Fiber Photoelectric Sensor |
4068 | Matsushita | BFV80374FPT | NAIS Matsushita BFV80374FPT Compact Inverter, VF-8F,AC, 3.7kW |
4069 | Matsushita | ANE103 | NAIS Panasonic ANE103 XDEMO-LIGHTPIX AE10 Vision Sensor Kit, ANE11, ANE12 420234 |
4070 | Mattson | 811776 | 3 Mattson 0811776 Pusherhead, 8″ C VC (M106395) |
4071 | Mattson | 255-12307-00 | ATM robot Z-axis interface PCB |
4072 | Mattson | Unknown | Mattson 15 x 15 Quartz Insulator, Used |
4073 | Mattson | 2411931 | Mattson 2411931, AWP Piranha, Cover PVDF Complete |
4074 | Mattson | Aspen | Mattson Aspen III, Front End Transfer chamber, Robot, Controller, |
4075 | Mattson | Mattson Rear Chamber Assembly, AE LF-10WC, RF30S, Trazar AMU10H-1 | |
4076 | Mattson | Mattson Rear Chamber Assembly, LF-10WC, RF30S, Astex Astron, Trazar | |
4077 | Mattson | Mattson Rear Chamber Assembly, MKS, Trazar RDFS-1, Celerity, Brooks | |
4078 | Mattson | Mattson Rear Chamber Assembly, New Power Plasma, Unit, Brooks | |
4079 | Mattson | Mattson Rear Chamber Assembly, RF-30, LF-10, Astex Astron | |
4080 | Mattson | Mattson Rear Chamber Assembly, RF-30, LF-10, Astex Astron, Trazar | |
4081 | Mattson | Mattson Rear Chamber Assembly, RF-30, LF-10, Trazar RFDS-1, AE RF30S RF | |
4082 | Mattson | Mattson Rear Chamber Assembly, RF30S, LF-10, Astex Astron, Brooks, Unit | |
4083 | Mattson | Mattson Rear Chamber Assembly, RF30S, LF-10, Astex Astron, Trazar | |
4084 | Mattson | Mattson Rear Chamber Assembly, Trazar, New Power Plasma, Mattson | |
4085 | Mattson | 304-17466-00 | Mattson Tech 304-17466-00 Quartz Shield Top wafer Plate A3 |
4086 | Mattson Wet Products | 0930894-0028 | Mattson Wet Products 0930894-0028 Festo Pneumatic Valve VL/O-3-1/4 0510318 |
4087 | Matushita | BMK9-04-8 | Matsushita BMK9-04-8, KT-11S, Thermal Relay |
4088 | Max-Air | GPI-64-VM | Max-Air GPI-64-VM AWT Quiet Brushless Vacuum Motor w/ GPI-64-VRV Relief Valve |
4089 | Maxon Motor | 345295 | Maxon 345295 EC Motor w/ AMAT Bracket 167292-1, |
4090 | Maxon Motor | 43.025.000-22.007 | Maxon Motor 43.025.000-22.00-007 DC Motor, Blinds, Nikon 45602-275 |
4091 | Maxserver | 1620 | Xyplex MRV Maxserver 1620 Terminal Server |
4092 | Maxtor | 6l080M0 | Maxtor DiamondMax 10 6l080M0 Hard Drive SATA150 HDD, 80GB, 6l080M002AL1A |
4093 | Maxtor | 7L250S0 | Maxtor Maxline III 7L250S0 Hard Drive, SATA150, HDD, 250GB |
4094 | MC Electronics | LM8SMGA | MC Electronics LM8SMGA Linear Bush |
4095 | McBain Instruments | FOI-150 | McBain Instruments FOI-150 Lamp EKE, Light Source, FoI-1 |
4096 | McDaniel Controls Inc. | AB 00724 | 15 McDaniel Controls Inc. AB 00724 0-100 PSI Gauge 1/8″ NPT |
4097 | McDaniel Controls Inc. | 2900-0051-05 | 15 NEW McDaniel Controls SDLK Pressure Gauge 2900-0051-05, 0-100 PSi |
4098 | McDaniel Controls Inc. | 2900-0051-06 | 20 NEW McDaniel Controls SDLK Pressure Gauge 2900-0051-06 |
4099 | McDaniel Controls Inc. | Unknown | Lot of 8, new Pressure gauges, 1.5″ diameter, 0-15 PSI, 1/8 NPT |
4100 | MCDANIEL CONTROLS, INC. | 720H0053 | MCDANIEL CONTROLS 720H0053 PRESSURE GAUGE, INLET WATER 220, 1/4″NPT |
4101 | McDowell & Company | 2-160-TF/S70 | 2 McDowell & Company 2-160-TF/S70 Oring, 2002399, FSI |
4102 | McDowell & Company | 2-345-WS45 | Lots of 10 MCDOWELL & COMPANY 2-345-WS45, Oring 2-345 |
4103 | McDowell & Company | 2-475-B70 | McDowell & Company 2-475-B70 Buna O-ring, 4Q98 |
4104 | McDowell & Company | 10967 | McDowell 10967 Electrode7-0001, LAM 715-011531-008 |
4105 | McDowell & Company | 2-111-KA4079 | McDowell& Company 2-111-KA4079 O-RING KALREZ |
4106 | McDowell &Company | 2-255-V75 | MCD 2-255-V75 O-RING, CHAMBER SLIT VALVE, 2-255 |
4107 | MCG | 2282-ME3776 | MCG 2282-ME3776, Servo Motor, 4.76A, 7.50 LB-In Torque |
4108 | MCG | DMC6D | MCG DMC6D Brush Type PWM Servo Amplifier Drive, 37583-0016, 12A8K-MC1 |
4109 | MCG | IB23821 | MCG IB23821 brushless Servo Motor |
4110 | MCG | 23MBEG2448 | MCG 23MBEG2448 BAYSIDE NE23-010-023-LB PRECISION Motor Gearhead Encoder |
4111 | McGill | 03-4070-95 | 8MCGILL CF 1/2 N S CAMROL PRECISION BEARING, 03-4070-95, AMAT 3150-01001 |
4112 | McGILL | MR 12 SS | McGILL MR 12 SS CAGEROL Precision Bearings, 02-8130-95 |
4113 | McGILL | MR 12 SS | McGILL MR 12 SS CAGEROL Precision Bearings, 12-5750-93 |
4114 | McGILL | MR 12 SS | McGILL MR 12 SS CAGEROL,Precision Bearings, 03-01-8615-97 |
4115 | Mclean | UES17H115529 | APW McLean UES17H115529 Fantray, AMAT 0190-70066, 300 CFM |
4116 | Mclennon | P532-ST001-G11L81 | Mclennon stepper servo motor, P532-ST001-G11L81 |
4117 | McMaster-Carr | 4088K3 | (Set of Three) MCM Master 4088K3, Liquid filled Gauge |
4118 | McMaster-Carr | 4112K3 | McMaster-Carr 4112K3 Flowmeter |
4119 | MCR | 884-07-000 | MRC 884-07-000 PCB, RF DRIVE 884-07-101 |
4120 | MCSI Technologies | 71800 | MCSI Technologies 71800 ISA Passive Backplane PCB, 71800301 PWB |
4121 | MCT | 151173 | MCT 151173 PCB, has broken transistor |
4122 | MCT | 151942 | MCT 151942 PCB BOARD REV C, 152620-A, 152621-A |
4123 | MD control systems | 676 LWR C2C | Speedfam 2220-717273 rev g, 16 port pneumatic control valve assembly with main air switch, and filter |
4124 | MDA Scientific | 872107-1 | Analyzer, HF/Minera, looks refurbished |
4125 | MDA Scientific | 872096 | control CPU 06-04004-00, PCB, surplus inventory from major semiconductor facility. |
4126 | MDA Scientific | 06-04009-00 | MDA 872360 backplance PCB assy, some bent pins or componenets due to storage and handling, surplus inventory from major semiconductor facility. |
4127 | MDA Scientific | 872234 | Power supply dual output PCB, 06-04005-00, surplus inventory from major semiconductor facility. |
4128 | MDC | 2 MDC 1″ Dual TC Feed Through, Omega | |
4129 | MDC | AV-075-P-01-10 | MDC AV-075-P-01-10 isolation valve with cable, has two conflat flanges |
4130 | MDC | AV-100-P | MDC AV-100-P Pneumatic Angle Valve, |
4131 | MDC | AV-150M-P | MDC AV-150M-P pneumatic angle valve |
4132 | MDC | 997330 019-40017 | MDC Isolation Bellow 997330 019-40017 |
4133 | MDC | KAV-150-P 311074 | MDC Isolation Bellow KAV-150-P 311074 |
4134 | MDC | KAV-150-PSP 019-40017 | MDC Isolation Bellow KAV-150-PSP 019-40017 |
4135 | MDC | KAV-050-P | MDC KAV-050-P, Pneumatic Right Angle Valve |
4136 | MDC | KAV-100 | MDC KAV-100 Manual Operated Angle Isolation Valve, AMAT 3870-02742 |
4137 | MDC | KAV-150-PSP | MDC KAV-150-PSP 0190-40017 |
4138 | MDC | KAV-150-P-SP | MDC KAV-150-P-SP KF40 Flange, Isolation Vacuum Valve |
4139 | MDC | KIV-100-P | MDC KIV-100-P Phneumatic Valve With Humphery 41E1 MINI-MYTE Solenoid Valve |
4140 | MDC | LGV-3000V-P | MDC LGV-3000V-P Pneumatic Gate Valve LGV3000VP01 307004 |
4141 | MDC | 990990 | MDC MFG 990990 Special Inline Valve, AMAT 0190-40016 |
4142 | MDC | MDC MFG Inc. Spindle, RF, AMAT Endura | |
4143 | MDC | KAV-150-P | MDC Vacuum Products Corporation KAV-150-P SP, Vacuum Valve |
4144 | MDC | KAV-150-P-OPT | MDC Vacuum Products Corporation KAV-150-P-OPT Vacuum Valve |
4145 | MDC | 450004 | MDC, VP-250, COMPTECH, COML,VIEWPORT, GLASS, 81337623 |
4146 | MDC | 507140-00 | New MDC bellows assembly for vacuum isolation valve 507140-00 |
4147 | MDC | KIV-150-P | USED MDC VACCUM INLINE VALVE KIV-150-P |
4148 | MDS | L300-22 | MDC L300-22 Elbow, Vacuum, Flange, 90 Degree |
4149 | Mean Well | MDR-20-24 | MEAN WELL MDR-20-24 AC/DC POWER SUPPLY0 VAC, 24V |
4150 | Mean Well | MDR-60-24 | Mean Well MDR-60-24 AC to DC DIN-Rail Power Supply, 24V, 2.5A |
4151 | Mean Well | SP-300-12 | Mean Well SP-300-12 Power supply |
4152 | MEAN WELL | PS-280-13.5 | MW MEAN WELL PS-280-13.5 POWER SUPPLY |
4153 | Measurement Computing | PCI-DI096H | Measurement Computing PCI-DI096H PCB Board |
4154 | Mechanical | 957330 | 957330 Pivot Assembly Revision A |
4155 | MECOMB | 92660BHPP5520 | MECOMB 92660BHPP5520 RETAINER RAIL |
4156 | MECOMB | 92660BHPP5530 | MECOMB 92660BHPP5530 RETAINER RAIL |
4157 | MECOMB | 92660BHPP5620 | MECOMB 92660BHPP5620 GUIDE CHAIN |
4158 | MECS | CS7000 | MECS Transfer Robot Track YC505, MECS CS7000 controller |
4159 | MECS | UTM3500NS | MECS UTM3500NS Wafer Handling Robot and cables |
4160 | MECS | UTV430 | MECS UTV430 Control System, UTC 100A, TEGV1.2; we are sellings as parts |
4161 | MECS | UTX-2000A | MECS UTX-2000A Robot Controller Board, PCB, ME03-44P-D4LT1-A1 |
4162 | Mectrol | M24-2265:1 | Mectrol M24-2265:1 Dojen Speed Reducer, Zero Backlash |
4163 | Mega Kinetics | RS-1000FAP | Mega Kinetics RS-1000FAP, Liquid Leak Detection Sensor, 122716100, BRA |
4164 | Mega systems and chemicals | LCK1000-NO | Leak indicator, normally open |
4165 | MEGA TEST | 102305-00 | MEGA TEST-00 PCB, Board |
4166 | MEGAcel | 3005394-503 | MEGAcel Cleanroom Air Filter AAF 3005394-503 (907-095-002) (ECO 87284) |
4167 | MEI | 1007-0052 | MEI 1007-0052 PCB, Motion Control Danaher, ESC-4, T006-0002, |
4168 | MEI | 1007-0052 | MEI 1007-0052 PCB, Motion Control Danaher, T006-0002, |
4169 | MEI | MEI Motion Enigineering Robot Controller | |
4170 | Meiden | MU24A30756 | MEIDEN MU24A30756 PCB Board, SU22A31138, 19801004800874 JZ29A-01; we are selling as used |
4171 | MEIDEN | UA024/755H | MEIDEN VIGUS EPD COMPUTER UA024/755H CONTROLLER with cables/accessories |
4172 | Meiden | UA011/393H | Meiden UPIBOC- I Model UA011/393H Rev C Industrial Controller |
4173 | Meissner | CSMN0.1-662 | Meissner CSMN0.1-662 Filter, Vangard, 0.1 MICRON, 3.8″ MNPT, Vent |
4174 | MeiVac | 531S-S | MeiVac 531S-S, Thermocouple Vacuum Gauge |
4175 | Meivac | VQ-200-ISO-U-SM | MeiVac VQ-200-ISO-U-SM, Vari-Q Throttle Valve |
4176 | Melcher | VEW 25-12 | Melcher VEW 25-12, AC-DC converter, 12VDC output |
4177 | Melco Technorex | EQ-131AD-D | Melco Technorex EQ-131AD-D Optical Digital Data Transmitter |
4178 | Melec | SP382-6 | Melec SP382-6 Industrial PCB Plug-In Circuit Board Module EAUA-087800 |
4179 | Melec | SP-382-6 | Melec SP382-6 Industrial PCB Plug-In Circuit Board Module EAUA-087900 |
4180 | MELLES GRIOT | 040AS008 | Melles Griot 040AS008, Microscope Objective Lens, 6.3x, Tencor 209074 |
4181 | MELLES GRIOT | LPL 235 | MELLES GRIOT 05 LPL 235 LASER POWER SUPPLY, KBB01015-503 100V AC |
4182 | MELLES GRIOT | 05LPL 235 | Melles Griot 05LPL 235 Laser Power SupplyC, 2450V, DC, 6.5mA |
4183 | MELLES GRIOT | 05-LPL-230 | MELLES GRIOT 05-LPL-230 POWER SUPPLY, INPUT 100+-10V AC, OUTPUT 2300+-250V DC 6.5mA |
4184 | MELLES GRIOT | 176B-208B | Melles Griot 176B-208B Ion Laser Power Supply |
4185 | MELLES GRIOT | 322H-C-56 | Melles Griot 322H-C-56, Laser Light, 5mW at 632.8nm, Class IIIa Laser. |
4186 | MELSEC | AD-58 | MELSEC AD-58, PROGRAMMABLE CONTROLLER, MITSUBISHI. |
4187 | MELSEC | AX42 | MITSUBISHI ELECTRIC CORP AX42 MELSEC PROGRAMMABLE CONTROLLER |
4188 | MEMTEC GROUP | FLTR100-10M3F | MEMTEC ELECTRONICS FILTER 90011475300 FLTR100-10M3F 0.1U |
4189 | MEMTEC GROUP | T910670-300 | MEMTEC Filterite T910670-300 USF Filtration & Separations Canister Filter |
4190 | Merck | 10024 | Merck 10024 Ammonium Test Kit |
4191 | Merlin | VCF PWA 4586 | Merlin engineering works, VCF PWA 4586, FAB 4585 B, ME1006A |
4192 | Merlin Gerin | C60N | 2 Merlin Gerin C60N, Circuit Breaker 1 Pole, 15 Amps |
4193 | Merlin Gerin | C60N | 8 Merlin Gerin, Multi 9, C60N, 3A, 1 pole |
4194 | Merlin Gerin | C60N | Merlin Gerin C60N Circuit Breaker Assembly, 15A-type D, 277 VaC, 480 VAC |
4195 | Merlin Gerin | Vigi NC100 | Merlin Gerin, Multi9, Vigi NC100, Circuit Breaker |
4196 | Mesa | 2B-10-241 | Bearing, WFR Roller, MESA 2B-10-241, Used, Lot of 4 |
4197 | MET ONE | 2082835-2 | Met One 2082835-2 PCM Particle Concentration Meter, 1A, 9V, .3uM, .1 CFM |
4198 | MET ONE | A2408-1-115-1 | Met One Laser Particle Counter A2408-1-115-1 |
4199 | Metara | LMS-300 TCA | Metara LMS-300 TCA Trace Contaminant Analysis Tool, 208VAC, 30A, 50/60Hz |
4200 | METRO TECHNOLOGY | 39931 | METRO TECHNOLOGY COVER RING |
4201 | METRON | 0429-01 | 2 Metron 0429-01 Switch, Liq Lev, PP, NO/NC, 1/4P, Horiz Float |
4202 | METRON | A119756 | 2 metron manifold weld mount ETCH A119756 |
4203 | METRON | D124729-U | 4 Metron D124729-U Clamp, MTG |
4204 | METRON | 0221-12 | Metron 0221-12 Elbow, Male/Comp. WHT PP, 1/2 MNPT x 1/2T |
4205 | METRON | 483-11984-01 | Metron 483-11984-01 Switch, Pressure, Gas, 1-4PSI, Autoreset |
4206 | METRON | 492-17176-00 | Metron 492-17176-00 Pressure Switch |
4207 | METRON | A129242-G | Metron A129242-G Semiconductor Equipment Labels |
4208 | METRON | D112307 HUB | Metron D112307 HUB, Tokyo Electron Driven Gear |
4209 | METRON | D116050 | METRON D116050 FILLER RING 200MM SS |
4210 | METRON | D1232294 | METRON D1232294 Cathode Insulator Ring SPA-12 |
4211 | Metron | N/A | Metron Ring, Exclusion, 1.5mm, AMAT, 233750093 |
4212 | METRON | 152-24-010 | METRON TECHNOLOGY 152-24-010 HEAD ASSY, TE-5 PUMP |
4213 | Metron | A10800 | METRON TECHNOLOGY A10800 PSD AMP |
4214 | METRON | D116049 | Metron Technology D116049 R H 200mm Filler Ring, A116051 |
4215 | METRON | D119223 | Metron Technology D119223 Shield, Dark Space, RMX-12, SS, REV D |
4216 | METRON | 233-4939-60 | METRON 233-4939-60 ACT 12-200MM CATCH CUP |
4217 | Metron Chamber Performance Services | INPV-LOWER | Metron INPV-LOWER Ring, Shield, 7500-17 |
4218 | Metron Chamber Performance Services | INPV-UPPER | Metron INPV-UPPER Ring, Shield, 7500-17 |
4219 | METRON TECHNOLOGY | A10774 | METRON TECHNOLOGY A10774 MICROSCOPE DISTRIBUTION BOARD |
4220 | METRON TECHNOLOGY | A10774 | METRON TECHNOLOGY A10774 MICROSCOPE DISTRIBUTION BOARD, A10773 |
4221 | Metron Technology | A116051 | Metron Technology A116051 ASSY, Filler Ring, 200mm, Tokyo Electron TEL |
4222 | Metron Technology | A116051 | Metron Technology A116051 ASSY, FILLER RING, 200mm, 233464292 |
4223 | Metrowerks | CWCODDETEST4MIC | Metrowerks CWCODDETEST4MIC Codetest Data Collection Unit |
4224 | METTLER TOLEDO | T70 | METTLER TOLEDO T70 Titrator Terminal, comes with all the attachment as seen here |
4225 | MG Electronics | ST121A | MG Electronics ST121A, I.T.E. Switching Power Supply, 12 Volt DC |
4226 | MGI Electronics | 9844A | MGI 9844A 6″ INCH WAFER FLAT FINDER |
4227 | MGI Systems | 002-0422 | MGI Systems, 002-0422, PX372, Panel Interface Controller |
4228 | Micrel | SY89874UMG-TR | 1 Lot of 800 Micrel SY89874UMG-TR Clock Drivers & Distribution 2.5V/3.3V |
4229 | MICRO 7000 | MCD112KIGI | Micro 7000 Hi speed controller MCD112KIGI |
4230 | MICRO computer specialists | 66700901 REV B | MICRO COMPUTER specialists, PROMDISK 66700901 REV B, |
4231 | Micro Instrument | 500-102376-300 | Micro Instrument Co. 500-102376-003 AUX I/O Board, PCB, LAM |
4232 | Micro Instrument | 510-204740-002 | Micro Instrument Co. 510-204740-002 PCB Board, LAM |
4233 | Micro Memory | MM5425CN512M | Micro Memory MM5425CN512M 512MB Battery Backed, Non-Volatile Memory |
4234 | MICRO SCREEN | SS101-30 | MICRO SCREEN SS101-30 B914531 |
4235 | MICRO SCREEN | SS101-30 | MICRO SCREEN SS101-30 B914573 |
4236 | Micro Torr | PS11-MC3000-N-F-V | Micro Torr PS11-MC3000-N-F-V, APTech AP3800SM FV8 MV8 00, Mykrolis Gas Line |
4237 | Microfab | NA | Microfab AG 6″ Robot Blade End Effector |
4238 | Microfab | WR-300 | Microfab AG WR-300, Wafter Handler, Robot |
4239 | Micromanipulator | 6000 | Micromanipulator 6000 4″ Wafer Probe Station, Bausch and Lomb |
4240 | Micromega | ILX | Micromega Temperature controller with ILX lightwave 5991186 RTD converter |
4241 | Micron Technology | 811-51014R | Micron 811-51014R Ring, Gas Trench Cover |
4242 | Micron Technology Inc. | PC3-8500P-7-00-AP | 150 Micron 1 GB memory, 1RX8 PC3-8500P-7-00-AP |
4243 | Micron Technology Inc. | 1RX8 PC2-6400F-555-11-A0 | 5 Micron 512 MB server memory, 1RX8 PC2-6400F-555-11-A0 |
4244 | Micron Technology Inc. | 810-00347R | Micron 810-00347R KF40 Isolation Valve Staggered Port |
4245 | Micron Technology Inc. | B250PU7JK | Micron B250PU7JK control Transformer |
4246 | Micron Technology Inc. | MT49H16M36BM-18:B-ND | Micron Technology Inc MT49H16M36BM-18:B-ND IC DRAM |
4247 | MICROPROCESSOR BOARD | D116058100 | MICROPROCESSOR BOARD / MRC D116058100 PCB ASSY, D116058 |
4248 | MICROPUMP | L15582 | MICROPUMP L15582 PUMP, GEAR MG SS 220/50-60 HT, 220-647, FASCO U62B1 |
4249 | Micropump | L16516 | Micropump L16516, MODEL GJ-N25 JF1SA, Pump Head, SS/PEEK/PTFE; 0.91 mL. |
4250 | Microscience International Corporation | HH-1050 | Microscience International Corporation HH-1050 Hard Drive, DISK DRIVE |
4251 | Microscience International Corporation | HH-1050 | Microscience International Corporation HH-1050 Hard Drive, SP1980-15 |
4252 | Microscope | Unknown | 2 Eye Pieces |
4253 | microscope | 31-15-64 | 31-15-64 20x WF |
4254 | Microscope | Unknown | MICROSCOPE OBJECTIVE 10/0.25 160/- |
4255 | Microscope | Unknown | Microscope objective 100/1.25 oil 160/0.17 |
4256 | Microscope | Unknown | MICROSCOPE OBJECTIVE 4/0.10 160/- |
4257 | Microscope | Unknown | Microscope objective 40/0.65 160/0.17 |
4258 | Microscope | Unknown | Microscope Objective Lens SP 100X/1.25 OIL 0.17 |
4259 | Microscope | Unknown | Microscope objective PL 3.2x/0.06 |
4260 | Microscope | Unknown | MICROSCOPE OBJECTIVE PLAN 10/0.25 160/0.17 |
4261 | MicroTech | MicroTech Multi-tank Wet Bench (2 Tanks) | |
4262 | MICROTEK | MP60284 | Microtech Zip Floppy Disk Drive MP60284 |
4263 | MICROTEK | 1263 | MICROTEK 1263 120VAC 60HZ LINEMASTER SWITH CORP |
4264 | Microwave Magnetron | 2443 | Microwave Magnetron 2443, 080924, 150P, H6=090223 |
4265 | Microwave Magnetron | 2469 | Microwave Magnetron 2469, 080921, 150P, F4=090259 |
4266 | Midwest Control | EAD-25 | Midwest Control MC EAD-25 Timed Electric Condensate Drain Valve |
4267 | Miele | 2638714 | Miele 2638714, level control switch |
4268 | MIGATRON | RPS-302 | MIGATRON RPS-302-14 W/MT POT D01 ULTRASONIC RANGING SENSOR, RPS-302 |
4269 | Mikroprecision | RS-O2-CFM125 | MikroPrecision Automation XY stage RS-O2-CFM125 |
4270 | Miller Fluid Power | 5252-S | Miller Fluid Power 5252-S Press Range 25-150 PSI |
4271 | Millipore | CMP902E06 | 1 Lot of 6 Millipore CMP902E06 Filter 20″ |
4272 | Millipore | CRK301006 | 1 Lot of 6 MILLIPORE CRK301006 POLYGARD 0.3um FILTER, SLURRY |
4273 | Millipore | CDPRM1206 | 1 Lot of 6 Millipore Rogard CDPRM1206 Water Filter Cartridge |
4274 | Millipore | SSWP 01300 | 100 Millipore SSWP 01300 Filter, 3.0 Micron, Nikon EP-6710-503 |
4275 | Millipore | SLGVS25XS | 12 Millipore SLGVS25XS Sterile DUALEX Filter 0.2 MICRON N2 Gun |
4276 | Millipore | C7PM3465 | 2 Millipore C7SM5364 + C7PM3465 Wafergard Filters, 0.2 Mircon w/ Orings |
4277 | Millipore | CWFA01PLV | 2 Millipore CWFA01PLV Filter Cartridge 1.0µm FLUOROGARD-PLUS |
4278 | Millipore | N/A | 2 Millipore Waferguard Filter , 0.2 micron+ 0.1 micron W/4 O-Rings |
4279 | Millipore | WGGB12S02 | 2 Millipore WGGB12S02 FILTER T-LINE GAS |
4280 | Millipore | WGGB12S02 | 2 Millipore WGGB12S02 T-Line Cartridge Filters,12 STK |
4281 | Millipore | WGGB12S02 | 2 Millipore WGGB12S02 T-Line Gas Filters, .05 Micron, 12 STK |
4282 | Millipore | WGGB12S02 | 2 MILLIPORE WGGB12S02 Wafergard T-Line Cartridge Filter 12 Stack |
4283 | Millipore | WGGB40S01 | 2 MILLIPORE WGGB40S01 Wafergard T-Line Filter 40 Stack Gas 0.05 UM |
4284 | Millipore | CWFG00403 | 3 FLUOREX CWFG00403 MYKROLIS 0.2UM FILTER |
4285 | MILLIPORE | CWFG00403 | 3 MILLIPORE CWFG00403 WAFERGAURD Filter, 0.2 um |
4286 | Millipore | CWFV2S1S3 | 3 MILLIPORE CWFV2S1S3 0.1um FILTER |
4287 | Millipore | CR05M0203 | 3 Millipore MYKROLIS CR05M0203 PROCESSGARD CR 2 CARTRIDGE, 5um MIRCON |
4288 | Millipore | WGFG36WR1 | 3 MILLIPORE WGFG36WR1 WAFERGARD 3/8″ GASKET SEAL VCR INLINE GAS FILTER |
4289 | Millipore | N/A | 4 Millipore Waferguard Filter, W/4 O-Rings |
4290 | Millipore | CMH4M1106E | Celerity CMH4M1106E, Baratron, Manometer, |
4291 | Millipore | WDFG80PTF | Filter, PTFE, .2um, PF-80-Tl line DSP O/R Type, |
4292 | MILLIPORE | WCDS10010 | LOT OF 10 MILLIPORE WCDS10010 WAFERGARD1-10102 |
4293 | Millipore | WGGB12S02 | Lot of 2 Millipore WGGB12S02 Wafergard T-Line Gas Cartridge Filters |
4294 | Millipore | CR5001006 | LOT OF 6 Millipore PolyGuard CR5001006 50um NoM Cartridge Filter |
4295 | Millipore | BA3375KEH000 | Mass flow controller, 500sccm, NF3 gas |
4296 | Millipore | BA33753BE000 | Mass flow controllerm, CF4 gas |
4297 | Millipore | BA3375KEH000 | MFC, 500 sccm, NF3 gas |
4298 | Millipore | BA3375CR000 | MFCcm, CHF3 gas |
4299 | Millipore | CN3H01E | Milipore polygard 10 inch,filter, CN3H01E, 94-93001-00 |
4300 | Millipore | 4117955 | Millapore Filter 4117955,927704, 12STK, Phob Gas |
4301 | Millipore | FSEGD100B700 | Milliper MFC FSEGD100B700, ARCCM, AMAT 3030-00837, Intelliflow, DNet |
4302 | Millipore | 01-0121-D | MILLIPORE 01-0121-D PRESSURE GAUGE, 0-100 PSI, 1/4″ VCR |
4303 | Millipore | 01-0121-E | MILLIPORE 01-0121-E Pressure Gauge 0-100 psi, 1/4″ VCR |
4304 | MILLIPORE | 108570 | MILLIPORE 108570 rev C , CCM interface |
4305 | Millipore | 108794 | Millipore 108794, 2 Gauge mounting panel DCT, Litho, with connectors |
4306 | Millipore | 5FL00294 | Millipore 5FL00294, Waferguard Filter WGFG-06WR-1. |
4307 | Millipore | 91DW01470 | Millipore 91DW01470 Waferpure Mini-inline Gas Purification System |
4308 | Millipore | CTFV 010 LC | MILLIPORE AT MEGAGARD FV FILTER CTFV 010 LC 0.1uM |
4309 | Millipore | C3MM3888 | Millipore C3MM3888, SI3M026R3 Waferpure MINI XL Buttweld, Inline Gas Purification |
4310 | MILLIPORE | C4SM9577P | MILLIPORE C4SM9577P SI |
4311 | Millipore | C5NN19777 | MILLIPORE C5NN19777 Filter Cartridge 1.0um 10″ Bayonet CWFA51PLT |
4312 | Millipore | C7JM1835 | Millipore C7JM1835 Wafergard Filter, 0.1 Micron |
4313 | Millipore | C7JM2226 | Millipore C7JM2226 Wafergard Filter, 0.1 Micron |
4314 | Millipore | CDH80-M11V06 | Millipore CDH80-M11V06 Baratron Pressure Transducerrr, CDH80M11V06 |
4315 | Millipore | CDHD80-M11S06 | Millipore CDHD80-M11S06 Baratron Pressure Transducerrr, CDHD80M1106 |
4316 | Millipore | CDL-21S06 | Millipore CDL-21S06 capacitance manometer 100 torr |
4317 | Millipore | CDLD-21S06 | MILLIPORE CDLD-21S06, 0-100 TORR |
4318 | Millipore | CDLD-21S06E | MILLIPORE CDLD-21S06E Baratron Pressure Transducer, CDLD2106Err; we are selling as used |
4319 | Millipore | CDLD-21S06E | Millipore CDLD-21S06E Manometer, 0-100 Torr, Novellus 60-054373-00 |
4320 | Millipore | CDLD-21S06E | Millipore CDLD-21S06E Manometer, Novellus 60-054373-00, 0-100 Torr |
4321 | Millipore | CDLD-21S06-EMC | Millipore CDLD-21S06-EMC Manometer, Novellus 60-054373-00, 0-100 Torr |
4322 | Millipore | CDLC-21S06-EMC | Millipore CDLD-21S06-EMC, Pressure Transducerrr |
4323 | Millipore | WDFZ40W2F | Millipore Chem-Line II PF-40 WDFZ40W2F DISPOSABLE FILTER 0.05um 1/2″ |
4324 | Millipore | CHUV2L0P1 | Millipore CHUV2L0P1, Optimizer Filter, 0.1 Micron |
4325 | Millipore | CHUVOSOP1 | Millipore CHUVOSOP1 KrF, Short Capsule, 0.1 µm, 1/4″ inlet/outlet |
4326 | Millipore | MILLIPORE | Millipore CHUZ40KM1 Optimizer 0.05um Filter, N9BMD965P, 0.05 MICRON |
4327 | Millipore | CMH0106E | Millipore CMH0106E Capacitance Manometer, 0-10 VDC, 0-1 Torr |
4328 | Millipore | CMH21E | Millipore CMH21E, Manometer, Baratron, |
4329 | Millipore | CMH4-M11S06 | Millipore CMH4-M11S06, Baratron 0-10 VDC, 0-0.1 Torr, |
4330 | Millipore | CMH4-M11V | Millipore CMH4-M11V gauge, 0-100 M torr, S98027 |
4331 | Millipore | CN1H01E06 | MILLIPORE CN1H01E06 FILTER WATER FTC 10UM |
4332 | Millipore | MDVX-100BS11 | MILLIPORE CORP MDVX-100BS11 Throttle Valve |
4333 | Millipore | CPVP01PLT | Millipore CPVP01PLT Fluorogard HP 10 inch Cartridge 0.1um Filter w/ Fluoropolymer |
4334 | Millipore | CR03F1006 | Millipore CR03F1006 POLYGARD TM Filter 3um |
4335 | Millipore | CTFA0STPE | Millipore CRFA0STPE Fluprpgard II FA 1.0 Micron, N5AMD810A |
4336 | MILLIPORE | CRK302006 | MILLIPORE CRK302006 POLYGARD 0.3UM CP1KNK3R1 |
4337 | Millipore | CTFGOSTPE | Millipore CTFGOSTPE, Fluroguard II Filter, 0.2 Micron A2U00004737 |
4338 | Millipore | CTFH01TPE | Millipore CTFH01TPE Fluorogard AT C-O 10″ 1PK 0.5 um Filter |
4339 | Millipore | CTFV010LC | MILLIPORE CTFV010LC 0.1 MICRON N6MMH167A, 0.1 |
4340 | Millipore | CTFVOSTPE | Millipore CTFVOSTPE Filter, 0.1 Micron, A2U00004737 |
4341 | MILLIPORE | CVDI01TPE | MILLIPORE CVDI01TPE 0.2um DURAPORE CVDI HYDROPHILIC CARTRIDGE FILTER |
4342 | Millipore | CVGB01TP3 | Millipore CVGB01TP3 Filter Cartridge |
4343 | Millipore | CVGL73TP3 | Millipore CVGL73TP3 Durapore Cartridge Filter 30 in. 0.22 µm |
4344 | MILLIPORE | CVVI51TPE | Millipore CVVI51TPE Hydrophilic Cartridge Filter 0.1UM |
4345 | Millipore | CWFA01PLT | MILLIPORE CWFA01PLT FLUOROGARD-PLUS 1.0UM Cartridge |
4346 | Millipore | CWFA01PLT | MILLIPORE CWFA01PLT FLUOROGARD-PLUS 1.0UM Cartridge CODE 0 O-RING |
4347 | Millipore | CWFA01PLV | Millipore CWFA01PLV Filter Cartridge 1.0µm FLUOROGARD PLUS |
4348 | MILLIPORE | CWFG51PLV | MILLIPORE CWFG51PLV FLUOROGARD PLUS 0.2um CARTRIDGE C4EM6642 |
4349 | MILLIPORE | CWFG51PLV | MILLIPORE CWFG51PLV FLUOROGARD PLUS 0.2um CARTRIDGE C5DM0605 |
4350 | Millipore | CWFG51PLV | Millipore CWFG51PLV Fluorogard Plus Filter Cartridge, 0.2 Micron |
4351 | Millipore | CWFV2S1S3 | MILLIPORE CWFV2S1S3 0.1um FILTER |
4352 | Millipore | CWUV40KL1 | Millipore CWUV40KL1, Microgard Minichem 40 Filter, 0.1 Micron, Kalrez |
4353 | MILLIPORE | 108596 | Millipore Digital Valve Controller 108596 |
4354 | Millipore | EISPAN GCS305 | Millipore EL0002912834 Gas Cylinder Scale EISPAN GCS305 |
4355 | Millipore | CTDZATXLH | Millipore F/G ATX L-Pillar CTDZATXLH 0.05 µm Filter |
4356 | Millipore | FC-22900M-4V | Millipore FC-22900M-4V Mass Flow Controller MFC BCL3 200 SCCM Tylan 2900 |
4357 | Millipore | FC-2900 | Millipore FC-2900, CL2CM, MFC, Mass Flow Controller (Calibrated). 330125 |
4358 | Millipore | FC-2900M | Millipore FC-2900M, Mass Flow Controller, HBR 200 SCCM |
4359 | Millipore | FC-2900M-4V | Millipore FC-2900M-4V MFC, Mass Flow Controller, O2CM |
4360 | Millipore | FC-2900MEP5-4V | Millipore FC-2900MEP5-4V Mass Flow Controller MFC, BCl3, 200 SCCM, Tylan |
4361 | Millipore | FC-2900V | Millipore FC-2900V, Mass Flow Controller, HE 20 SCCM |
4362 | Millipore | FC-2901V-J | Millipore FC-2901V-J Mass Flow Controller, MFC, O2, 5 SLPM |
4363 | Millipore | FC-2902MEP-T | Millipore FC-2902MEP-T Mass Flow Controller MFC, H2, 2 SLPM, Tylan 2900M |
4364 | Millipore | FC-2902MEP-T | MILLIPORE FC-2902MEP-T, SIH4, 1.5 SLPM |
4365 | Millipore | FC-2950MEP5 | Millipore FC-2950MEP5 MFC Mass Flow Controller, 500 SCCM, AR, Calibrated |
4366 | Millipore | FC2952M | Millipore FC2952M 4V Mass Flow Controller, MFC, CHF3, 150 SCCM |
4367 | Millipore | FC-2952MEP5-T | Millipore FC-2952MEP5-T Mass Flow Controller CL2 200 SCCM AMAT 3030-04152 |
4368 | Millipore | FC-2952MEP5-T | Millipore FC-2952MEP5-T, Mass Flow Controller, C2F6 100 SCCM |
4369 | Millipore | FC-2952MEP5-T | Millipore FC-2952MEP5-T, Mass Flow Controller, CL2 200 SCCM |
4370 | Millipore | FC-2979MEP5 | MILLIPORE FC-2979MEP5 Mass Flow Controller , MFC, TEL 015-006578-1, 50 SCCM, 30 SCCM, O2 |
4371 | Millipore | FC-2979MEP5 | Millipore FC-2979MEP5 Mass Flow Controller CH2F2 30 SCCM MFC Tylan 2979M |
4372 | Millipore | FC-2979MEP5 | Millipore FC-2979MEP5 Mass Flow Controller O2 1SLPM MFC Tylan 2979M |
4373 | Millipore | FC-2979MEP5 | Millipore FC-2979MEP5, Tylan 2979M Series, Mass Flow Controller, CH2F2, 30 SCCM |
4374 | Millipore | FC-2979MEP5-W | Millipore FC-2979MEP5-W Mass Flow Controller, MFC, O2CM |
4375 | Millipore | WG3NS5RR2 | Millipore Filter WG3NS5RR2 VCR, 1/4″ M/M, Wafergaurd III |
4376 | Millipore | CWUZ40KL1 | MILLIPORE FILTER, CWUZ40KL1 OPTIMIZER 0.05 micron um, N7M592491 |
4377 | Millipore | CTFZ01P01 | MILLIPORE FLUOROWARE CTFZ01P01 FILTER, .05 MICRON FLUOROGARD, ATX 0.05UM |
4378 | Millipore | FM-3900MEP | Millipore FM-3900MEP, Mass Flow Controller, N2 1 SPLM |
4379 | Millipore | FSCGD1000H00 | Millipore FSCGD1000H00, Mass Flow Controller, MFC, N2, 50 SCCM, |
4380 | Millipore | FSDAE100FU05 | Millipore FSDAE100FU05 Mass Flow Controller, MFC, SiF4CM, Intelliflow |
4381 | Millipore | FSDGD100AQ00 | Millipore FSDGD100AQ00, Mass Flow Controller, MFC, N2, 200 SCCM, |
4382 | Millipore | FSDG100QU00 | Millipore FSDGD100QU00, Mass Flow Controller, MFC, N2,CM, |
4383 | Millipore | FSFAE100D406 | Millipore FSFAE100D406 Mass Flow Controller, MFC, N2, 5000 SCCM, Intelliflow |
4384 | Millipore | GA3000014135 | MILLIPORE GA3000014135 Switch For Silane Gas Panel, Pressure Gauge, IPS122-2000-PSI-VM-2-D |
4385 | Millipore | C6NM7391 | Millipore gas filter C6NM7391 |
4386 | Millipore | C7DM7778 | Millipore gas filter C7DM7778 |
4387 | Millipore | GDDVATX0F | MILLIPORE GDDVATX0F DISPOSABLE CHEMICAL FILTER, 0.1um, 3/4″ FLARETEK |
4388 | Millipore | Ingen2psi | Millipore Ingen2psi 6 Channel Control Unit MYKROLIS Pump Controller |
4389 | Millipore | INGN2SP46 | Millipore INGN2SP46 Assy, Solenoid Manifold. Six Station 313-034 |
4390 | Millipore | INGN2SP48 | Millipore INGN2SP48 CKD AMDS00-8BUS Suction valve, 313-035 |
4391 | Millipore | FSCAD100N600 | MILLIPORE IntelliFlow FSCAD100N600 MFC, AR, N2CM |
4392 | Millipore | IPS 122 | Millipore IPS 122 Type 2 Indicate Pressure Switch, 8-30VDC 60 mA MAX OUT |
4393 | Millipore | IPS 122 | Millipore IPS 122 Type 2 Indicating Pressure Switch, 8-30VDC 60 mA |
4394 | Millipore | IPS122-30-PSI-VSF-1-A | Millipore IPS122-30-PSI-VSF-1-A Span Products Pressure Gauge Transmitter |
4395 | Millipore | LR250-1-1-1-24 | Millipore LR250-1-1-1-24 Two Channel Display/Controller |
4396 | Millipore | FC-2979MEP5 | MILLIPORE Mass Flow Controller FC-2979MEP5, MFC, 1 LM, 30 SCCM, CH2F2 |
4397 | Millipore | VC-4900MEPR | Millipore Mass Flow Controller, VC-4900MEPR, H20 VAPOR 750 SCCM |
4398 | Millipore | FC-2952MEP5-T | Millipore MFC FC-2952MEP5-T, O2 GAS, 600 SCCM Range |
4399 | Millipore | FC-2979MEP5-W | Millipore MFC Tylan 2979 series, FC-2979MEP5-W, O2CM, looks very clean |
4400 | Millipore | FC-2979MEP5 | Millipore MFC Tylan 2979, FC-2979MEP5, O2, 50 SCCM w/ Calibration Sheet 325058; we are selling as new |
4401 | Millipore | FSDGD100CE00 | Millipore MFC, AR GAS,CM RANGE, Intelliflow DeviceNet, FSDGD100CE00 |
4402 | Millipore | FSDG1000X00 | Millipore MFC, CF4 GASCM RANGE, Intelliflow DeviceNet, FSDG1000X00 |
4403 | Millipore | FSEGD1000R00 | Millipore MFC, CL2 GAS,CM RANGE, Intelliflow DeviceNet, FSEGD1000R00 |
4404 | Millipore | FSDGD100LG00 | Millipore MFC, FSDGD100LG00, CH2F2 GAS, 200 SCCM RANGE, Intelliflow DeviceNet |
4405 | Millipore | FSDGD100YA00 | Millipore MFC, FSDGD100YA00, C4F6 GASCM RANGE, Intelliflow DeviceNet |
4406 | Millipore | FSDGD100GM00 | Millipore MFC, NF3 GASCM RANGE, Intelliflow DeviceNet, FSDGD100GM00 |
4407 | Millipore | FSDGD100B800 | Millipore MFC, O2 GAS, 200 SCCM RANGE, Intelliflow DeviceNet, FSDGD100B800, FLOW |
4408 | Millipore | FSCGD1000T00 | Millipore MFC, O2 GAS, 50 SCCM RANGE, Intelliflow DeviceNet, FSCGD1000T00 |
4409 | Millipore | FSCGD100B600 | Millipore MFC, O2 GASCM RANGE, Intelliflow DeviceNet, FSCGD100B600 |
4410 | Millipore | FSDGD100HU00 | Millipore MFC, SF6 GAS, 30 SCCM RANGE, Intelliflow DeviceNet, FSDGD100HU00 |
4411 | Millipore | SOURCE VI V | MILLIPORE MFC, TYLAN VAPORIZER CONTROLLER SOURCE VI V |
4412 | MILLIPORE | SOURCE VI | MILLIPORE MFC, TYLAN VAPORIZER CONTROLLER SOURCE, H2/SICL4, 15 SLPM/30 |
4413 | Millipore | MPGL10CB3 | Millipore MPGL10CB3 Filter, Millipak 100, 0.22 Micron |
4414 | Millipore | CMLA11E | Millipore Mykrolis CMLA11E |
4415 | Millipore | PFFV03D4P | Millipore PFFV03D4P, 0.1 Fluoroline-SL3, S-Pillar, 1/4″ Supper Pillar |
4416 | Millipore | 01-0120-G | Millipore Pressure Gauge 0 – 60PSI Span 01-0120-G VCR Metal Gasket |
4417 | Millipore | 01-0120-G | Millipore Pressure Gauge 0-60PSI Span 01-0120-G VCR Metal Gasket Fitting |
4418 | Millipore | IPS 122 | Millipore Pressure Gauge IPS 122 Type 1 8-30VDC 12W |
4419 | Millipore | INGEN1PUO | Millipore Resist Pump Dispenser |
4420 | Millipore | SH4M238J3 | MILLIPORE SH4M238J3 0.1um MICROGARD UPX 10″ FILTER |
4421 | Millipore | SI3M026R3 | Millipore SI3M026R3, C3MM3888, Waferpure MINI XL Buttweld, Inline Gas Purification |
4422 | Millipore | SPT 204 | Millipore SPT 204 Pressure TransducerIG, 4 to 20 MA TR0001344985 |
4423 | Millipore | FC-2900M | Millipore Tylan 2900 Series, Mass Flow Controller, FC-2900M, 20 SCCM HE |
4424 | Millipore | WG2F02RR2 | Millipore Valve Assembly, Regulator, Shutoff Valve, Pressure Gauge |
4425 | Millipore | WG2F01HR1 | Millipore Wafergard F In-Line Filter WG2F01HR1, 1/4″ MVCR Gasket seal |
4426 | Millipore | WGFG16HP2 | Millipore Wafergard Filter WGFG16HP2 Inline Gas Filter, 0.2um, 0.2 Micron |
4427 | MILLIPORE | WG3NS7SL2 | MILLIPORE WAFERGARD WG3NS7SL2, 3.31″ 1/4″ VCR, NF-75 Inline Gas Filter |
4428 | Millipore | WGFG36WS1 | Millipore Wafergard WGFG36WS1 Inline Gas Filter |
4429 | Millipore | N/A | Millipore Waferguard Filter 0.1 micron, W/2 O-Rings |
4430 | Millipore | N/A | Millipore Waferguard Filter, W/2 O-Rings |
4431 | Millipore | WPRV200SI | Millipore Waferpure Micro WPRV200SI |
4432 | Millipore | WCDI 00020 | Millipore WCDI 00020, WCDS Interface Style #2 Cable |
4433 | Millipore | WDFV40W1F | Millipore WDFV40W1F Mykrolis Filter |
4434 | Millipore | WDFV80W2F | MILLIPORE WDFV80W2F CHEM-LINE II PF-80 DISPOSABLE CHEMICAL FILTER, 0.1um, 1/2″ Flaretek |
4435 | Millipore | WG2F01HC1 | Millipore WG2F01HC1 Wafergard II Inline Gas Filter 1/4″ O-Ring Seal AMAT |
4436 | Millipore | WG2F01HC1 | Millipore WG2F01HC1 Wafergard II Inline Gas Filter F-Mini 1/4′ ORing Seal |
4437 | Millipore | WG2F01HC1 | Millipore WG2F01HC1, Waferguard II F-Mini Inline Gas Filter, 1/4″ O-ring. |
4438 | Millipore | WG2F01HC1 | Millipore WG2F01HC1, Waferguard II F-Mini Inline Gas Filter, 1/4″ O-ring. 112066 |
4439 | Millipore | WG2F01ITC1 | Millipore WG2F01ITC1, II F-Mini Inline Gas Filter, 1/4″ O-Ring Seal |
4440 | Millipore | WG2F02PS1 | Millipore WG2F02PS1 Inline Gas Filter 1/4″ Swagelok, Novellus 20-102707-00 |
4441 | Millipore | WG2F06WR1 | MILLIPORE WG2F06WR1 Wafergard In Line Gas Filter 1/4″ VCR |
4442 | MILLIPORE | WG2F06WR1 | MILLIPORE WG2F06WR1 Wafergard In Line Gas Filter 1/4″ VCR, 600PSI |
4443 | Millipore | WG2F32PS1 | Millipore WG2F32PS1,Wafergard II, F MINI XL, 3/8″ Compression Seal, Inline Gas Filter |
4444 | Millipore | Millipore WG2F36WS1 Wafergard In-line Gas Filter, 1UN2-H.5N-0701E | |
4445 | Millipore | WG2F40RR3 | MILLIPORE WG2F40RR3 WAFERGARD II F-40 INLINE GAS FILTER 3/8″ GASKET SEAL |
4446 | Millipore | WG2FO2RR2 | Millipore WG2FO2RR2 High Purity In-Line Gas Filter, 3000 PSI, COJN3329 |
4447 | Millipore | WG3NSRR4 | MILLIPORE WG3NS2RR4 Bulk filter |
4448 | MILLIPORE | WGFA40HP1 | MILLIPORE WGFA40HP1, one micron filter, 2-015 NOSE, EPR O-RING, F-40, TOKYO ELECTRON LTD, B2024-016636-1 |
4449 | Millipore | WGFA80P01 | Millipore WGFA80P01 Wafergard PF-80 Filter unit, 1.0 Micron |
4450 | Millipore | WGFA80P01 | Millipore WGFA80P01, Wafergard PF-80 Filter Unit, 1.0 Micron |
4451 | MILLIPORE | WGFG01HB1 | Millipore WGFG01HB1, Wafergard F-MINI, 1/4″ NPT Female |
4452 | Millipore | WGFG01HB1 | Millipore WGFG01HB1, Wafergard F-MINI, 1/4″ NPT Female, INLINE GAS FILTER |
4453 | Millipore | WGFG01HC1 | Millipore WGFG01HC1, C1AM8935, Inline Gas Filter, 3000PSI |
4454 | Millipore | WGFG01HC1 | Millipore WGFG01HC1, C4PM7510, Inline Gas Filter, 3000PSI |
4455 | Millipore | WGFG01HC1 | Millipore WGFG01HC1, COJM8303, Inline Gas Filter, 3000PSI |
4456 | Millipore | WGFG01HR1 | Millipore WGFG01HR1 WAFERGARD FILTER, F MINI IN-LINE, VCR COMPATIABLE |
4457 | Millipore | WGFG01HR1 | Millipore WGFG01HR1 WAFERGARD FILTER, F MINI IN-LINE, VCR COMPATIABLE |
4458 | Millipore | WGFG01HR1 | Millipore WGFG01HR1 Wafergard Inline Filter, 3000 PSI, C5MM6360 |
4459 | Millipore | WGFG01HS1 | Millipore WGFG01HS1, Watergard Filter F MINI IN-LINE,1/4 Compression Seal |
4460 | Millipore | WGFG01HSI | MILLIPORE WGFG01HSI F MINI IN-LINE GAS FILTER 1/4 COMPRESSION SEAL SWAGELOK COMPATIBLE |
4461 | MILLIPORE | WGFG02PRU | MILLIPORE WGFG02PRU STAINLESS STEEL WAFERGARD IN-LINE GAS FILTER, F-16 |
4462 | Millipore | WGFG-02P-S1 | Millipore WGFG-02P-S1 Wafergard Mini L In-line Gas Filter |
4463 | Millipore | WGFG06WB1 | Millipore WGFG06WB1 Gas Filter, 0.05 Micron, C1AN7226 |
4464 | Millipore | WGFG06WR1 | MILLIPORE WGFG06WR1 Wafergard In Line Gas Filter 1/4″ VCR |
4465 | Millipore | WGFG36WR1 | MILLIPORE WGFG36WR1 WAFERGARD 3/8″ GASKET SEAL VCR INLINE GAS FILTER |
4466 | Millipore | WGFG40P01 | Millipore WGFG40P01 Wafergard Filter Element, 0.2um |
4467 | Millipore | WGFG40P01 | Millipore WGFG40P01 Wafergard Filter Element, 0.2um, Cartridge filter |
4468 | Millipore | WGFG40P01 | Millipore WGFG40P01 Wafergard Filter Element, 0.2um, Cartridge filter, PF-40 FILTER C1NM3940 |
4469 | MILLIPORE | WGFG80P01 | MILLIPORE WGFG80P01 WAFERGARD PF80 CARTRIDGE FILTER |
4470 | Millipore | WGGB06S2 | Millipore WGGB06S2 Filter, Cap, Bridge, C0SM7644 |
4471 | Millipore | WGGB36WC1 | MILLIPORE WGGB36WC1 INLINE GAS FILTER |
4472 | Millipore | WGGB40S01 | MILLIPORE WGGB40S01 Wafergard T-Line Filter 40 Stack Gas 0.05 UM |
4473 | Millipore | WGGL40S01 | Millipore WGGL40S01 , WAFERGARD -40 FILTER .2 um, C4EM4802 |
4474 | Millipore | WGMXMBPRF2 | Millipore WGMXMBPRF2 Waferguard Max Filter, 1/4″, VRC, Male/Female, Bronze |
4475 | Millipore | WGVL40S01 | Millipore WGVL40S01 Wafergard-40 Cartridge Filter, 0.1 Micron |
4476 | Millipore | WPMV200S0 | Millipore WPMV200S0 Waferpure Mini XL Gas Purification System For Oxide |
4477 | Millipore | WPSLC0C1L | Millipore WPSLC0C1L Waferpure C0-Micro Purifier, New |
4478 | Millipore | YY4600001 | Millipore YY4600001 Chemgard PFA Toolkit |
4479 | Millipore | YY5500030 | MILLIPORE YY5500030 METAL HOUSING |
4480 | Millipore | Wafergard2 | MILLIPORE, WAFERGUARD II FILTER NEW |
4481 | Millipore | WCDI00020 | Millipore, WCDI00020, WCDS Interface Style #2, S2C, |
4482 | Millipore | WGFG01RH2 | Millipore, WGFG01RH2, Inline Gas Filter, wafergard F-mini 1/4″ MFC gasket seal C0DN2004 |
4483 | Millipore | QCCVAT101 | Mykrolis QCCVAT101, 0.1UM Quickchange CHEMLOCK AT CARTRIDGE CHEMICAL |
4484 | Millipore | FC-260KZ | NEW Millipore FC-260KZ, AMAT 0190-00029 MFC with AFC, 200 SCCM, BCl3 gas |
4485 | Millipore | LR300 | NEW MILLIPORE LR300, AMAT 0225-33884 SPAN P.T DISPLAY LR300 |
4486 | Millipore | FC-2900V | NEW Millipore MFC FC-2900V, 20 SCCM, CHF3, BA33753NCR000 mass flow controller |
4487 | Millipore | FC-2900V | NEW Millipore MFC FC-2900VCM, CHF3, BA33753CR000 mass flow controller |
4488 | Millipore | FC-2979MEP5 | NEW Millipore MFC FC-2979MEP5, C5F8, 30 SCCM, ML01233002 |
4489 | Millipore | FC-2979MEP5 | NEW millipore MFC Tylan 2979 FC-2979MEP5, Ar 500 SCCM with calibration sheet; |
4490 | Millipore | FC-2951M0EP5 | NEW Millipore MFC, FC-2951M0EP5, DE02273001, 20 SLPM, N2 gas |
4491 | Millipore | FC-2951M0EP5 | NEW Millipore MFC, FC-2951M0EP5, DE02273002, 20 SLPM, N2 gas |
4492 | Millipore | CDLD2106E | Used Millipore CDLD2106E, cold cathode gauge, 0-100 Torr CDLD-21S06E |
4493 | MILLIPORE | WGGB01KL0 | LOT OF 10 MILLIPORE WGGB01KL0 FILTER, WAFERGARD GAS GUN, 0.003UM |
4494 | MILLIPORE | INGN2SP08 | MILLIPORE INGN2SP08 INTELLIGEN 2, PHOTOCHEMICAL DISPENSE SYSTEM |
4495 | Millipore | WGFG-02P-RU | Millipore WGFG-02P-RU WAFERGARD F MINI XL IN-LINE GAS FILTER |
4496 | MILLIPORE | WGGB06WR1 | MILLIPORE WGGB06WR1 FILTER 250PSI |
4497 | Millipore Celerity | 01-0122-H | Celerity 01-0122-H Pressure Gauge |
4498 | Millipore Celerity | 280-31449-000-0003 | Celerity 280-31449-000-0003 Gas Line, Gas Stick, Pall SGLFPF6402VMM4 |
4499 | Millipore Celerity | 280-31449-000-0004 | Celerity 280-31449-000-0004 Gas Line, Gas Stick, Pall SGLFPF6402VMM4 |
4500 | Millipore Celerity | 280-31466-000 | Celerity 280-31466-000 Gas Line, Tescom 44-2213-242-010, GS-090 Gas Stick |
4501 | Millipore Celerity | AANGD0W1 | CELERITY AANGD0W1 IN3XP MFC, MASS FLOW CONTROLLER, SF6, 30 SCCM range |
4502 | Millipore Celerity | CD11 | Celerity CD11 Millipore, Pressure Transducer |
4503 | Millipore Celerity | CDL11 | Celerity CDL11 Millipore, Pressure Transducer |
4504 | Millipore Celerity | CMH4-M1106 | Celerity CMH4-M1106, Baratron, Manometer, |
4505 | Millipore Celerity | CMH41106E | Celerity CMH4M1106E Baratron, Millipore 1-10 VDC, 0-0.1 Torr, |
4506 | Millipore Celerity | FC-2900V | Celerity FC-2900V Mass Flow Controller, MFC, CO2, 5 SCCM, TN2900 |
4507 | Millipore Celerity | 200-008-1000 | Celerity Group 200-008-1000 Service Adapter PCB, 03-06-14G |
4508 | Millipore Celerity | IFC-125C | Celerity IFC-125C Mass Flow Controller MFC N2 7200 SCCM, AMAT 0190-28861 |
4509 | Millipore Celerity | IFC-125C | Celerity IFC-125C MFC, ARSCCM, Unit 125, AMAT 0190-28861 |
4510 | Millipore Celerity | RFS2 | RFS2 Breakout Box, Pressure Sensor, Pressure Gauge |
4511 | Millipore Tylan | FC-260 | 2 used Tylan FC-260 MFC, N2 100 SCCM, H2 4 SLPM |
4512 | Millipore Tylan | FC-260 | 4 Tylan MFC, FC-260, FC-261, H2, 20 SLPM |
4513 | Millipore Tylan | 853-021635-003-C-3618 | LAM RESEARCH 853-021635-003-C-3618 MANOMETER Tylan general CML series |
4514 | Millipore Tylan | CMH4-M11S06 | Mykrolis CMH4-M11S06 Manometer, CMH4M1106, Baratron, |
4515 | Millipore Tylan | FC-2979MEPS | TYLAN 2900 series FC-2979MEPS, CO, 500 SCCM |
4516 | Millipore Tylan | MA9710429 | Tylan 2900 Series MA9710429 Mass Flow Controller, MFC |
4517 | Millipore Tylan | 2900 | Tylan 2900 Series MA99023026 Mass Flow ControllerCCM, MFC, N2 |
4518 | Millipore Tylan | FC-2960M | Tylan 2900 series MFC Mass Flow Controller, FC-2960M, BCL3, 200 SCCM. |
4519 | Millipore Tylan | FC-2900MEP5 | Tylan 2900 series MFC millipore, FC-2900MEP5, Cl2, 200 SCCM, S2587 |
4520 | Millipore Tylan | FC-2900MEP5 | Tylan 2900 series MFC S9Z19225, FC-2900MEP5, HBr, 500 SCCM, S1395 |
4521 | Millipore Tylan | FC 2900 | Tylan 2900 series MFC, FC 2900, He, 1 SLM, S0002 |
4522 | Millipore Tylan | FC-2900FV | Tylan 2900 series MFC, FC-2900FV, He, 500 SCCM, S2017 |
4523 | Millipore Tylan | FC-2900M | Tylan 2900 Series MFC, FC-2900M, BCl3, 200 SCCM, S1077 |
4524 | Millipore Tylan | FC-2900M-EP | Tylan 2900 Series MFC, FC-2900M, CL2, 30 SCCM, S2052 |
4525 | Millipore Tylan | FC-2900M | Tylan 2900 series MFC, FC-2900M, O2, 20 SCCM, S3069 |
4526 | Millipore Tylan | FC-2900M | Tylan 2900 series MFC, FC-2900M, O2, 200 SCCM, S8080 |
4527 | Millipore Tylan | FC-2900M-EP | Tylan 2900 Series MFC, FC-2900M-EP, Ar, 20 SLPM, S9080 |
4528 | Millipore Tylan | FC-2900M-EP | Tylan 2900 Series MFC, FC-2900MEP, HCL, 500 SCCM, S3164 |
4529 | Millipore Tylan | FC-2900M-EP | Tylan 2900 series MFC, FC-2900M-EP, N2, 20 SLPM, S2003 |
4530 | Millipore Tylan | FC-2900MEP | Tylan 2900 series MFC, FC-2900MEP, N2, 500 SCCM, S3158 |
4531 | Millipore Tylan | FC-2900MEP5 | Tylan 2900 series MFC, FC-2900MEP5, C2F6, 200 SCCM, S1046 |
4532 | Millipore Tylan | FC-2900MEP5 | Tylan 2900 series MFC, FC-2900MEP5, SF6, 500 SCCM, S2576 |
4533 | Millipore Tylan | FC-2900V | Tylan 2900 series MFC, FC-2900V, CHF3, 200 SCCM, S2029 |
4534 | Millipore Tylan | FC-2900V | Tylan 2900 series MFC, FC-2900V, CHF3, 200 SCCM, S4272 |
4535 | Millipore Tylan | FC-2900FC-2900M | Tylan 2900 series MFC, FC-2900V, HeCM, S0008 |
4536 | Millipore Tylan | FC-2900V | Tylan 2900 series MFC, FC-2900V, O2, 50 SCCM, S3072 |
4537 | Millipore Tylan | FC-2900V | Tylan 2900 series MFC, FC-2901V, C3F8, 50 SCCM, S5034 |
4538 | Millipore Tylan | FM-2900M-EP | Tylan 2900 series MFC, FM-2900MEP, N2, 2 SLPM, S3119 |
4539 | Millipore Tylan | FM-2900M-EP | Tylan 2900 series MFC, FM-2900M-EP, N2, 20 SLPM, S1096 |
4540 | Millipore Tylan | FM-3900MEP | Tylan 2900 series MFC, FM-3900MEP, N2, 20 SLPM, S3003 |
4541 | Millipore Tylan | FM-3900MEP | Tylan 2900 series MFC, FM-3900MEP, N2, 500 SCCM, S1001 |
4542 | Millipore Tylan | FM-3900M-EP | Tylan 2900 series MFC, FM-3900M-EP, N2, 500 SCCM, S1055 |
4543 | Millipore Tylan | FM-3900M-EP | Tylan 2900 series MFC, FM-3900M-EP, N2, 500 SCCM, S2004 |
4544 | Millipore Tylan | 2900 | Tylan 2900 Series TSR-00082 Mass Flow Controller, MFCCM, N2 |
4545 | Millipore Tylan | FC-2900M | TYLAN 2900 series, FC-2900M, He, 20 SCCM |
4546 | Millipore Tylan | FC-2910-4S | Tylan 2900 SERIES, FC-2910-4S, Mass Flow Controller, 50 SLPM, O2 |
4547 | Millipore Tylan | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900M, 20 SCCM HE |
4548 | Millipore Tylan | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900M, 200 SCCM CL2 |
4549 | Millipore Tylan | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900M, 200 SCCM SIH2CL2 |
4550 | Millipore Tylan | FC-2900M | Tylan 2900 Series, Mass Flow Controller, FC-2900MCM N2 |
4551 | Millipore Tylan | FC-2900MEP | Tylan 2900 Series, Mass Flow Controller, FC-2900MEP, 10 SCCM N2 |
4552 | Millipore Tylan | FC-2900MEP | Tylan 2900 Series, Mass Flow Controller, FC-2900MEP, 15 SLPM O2 |
4553 | Millipore Tylan | FC-2900MEP | Tylan 2900 Series, Mass Flow Controller, FC-2900MEP, 20 SLPM N2 |
4554 | Millipore Tylan | FC-2900MEP | Tylan 2900 Series, Mass Flow Controller, FC-2900MEP, 50 SCCM N2 |
4555 | Millipore Tylan | FC-2901V | Tylan 2900 Series, Mass Flow Controller, FC-2901V, 1 SLPM N2 |
4556 | Millipore Tylan | FC-2901V | Tylan 2900 Series, Mass Flow Controller, FC-2901VCM N2 |
4557 | Millipore Tylan | FC-2902M | Tylan 2900 Series, Mass Flow Controller, FC-2902M, 200 SCCM N2 |
4558 | Millipore Tylan | FC-2910V | Tylan 2900 Series, Mass Flow Controller, FC-2910V, 20 SLPM AR |
4559 | Millipore Tylan | FC-2960MEP5-4V | Tylan 2900 Series, Mass Flow Controller, FC-2960MEP5-4V, 2 SLPM N2 |
4560 | Millipore Tylan | FC-2900M | Tylan 2900 Series, MFC, FC-2900M, 20 SCCM , 70% HE/30% O2 |
4561 | Millipore Tylan | FC-2900M | Tylan 2900 Series, MFC, FC-2900M, 20 SCCM HE, Millipore |
4562 | Millipore Tylan | FC-2952MEP5-T | TYLAN 2950 series FC-2952MEP5-T, O2, 600 SCCM |
4563 | Millipore Tylan | FC-2952MEP5-T | Tylan 2950 Series, Mass Flow Controller, FC-2952MEP5-T, CL2 200 SCCM |
4564 | Millipore Tylan | FC-2952MEP5-T | Tylan 2950 Series, Mass Flow Controller, FC-2952MEP5-T, SLPM N2 |
4565 | Millipore Tylan | FC-2960MEP5 | Tylan 2960 Series, MFC, FC-2960MEP5, 5%B2H6 95%N2CM |
4566 | Millipore Tylan | 2979M | TYLAN 2979M Mass Flow Controller FC-2979MEP5-WM, Gas O2 |
4567 | Millipore Tylan | CDLC-31S06 | Tylan CDLD-31S06 Pressure Transducer, Manometerorr |
4568 | Millipore Tylan | CMH-01 | Tylan CMH-01 Baratron Manometer, 1 Torr, |
4569 | Millipore Tylan | CMH-01 | Tylan CMH-01, Baratron, Manometer, |
4570 | Millipore Tylan | CMH4M1106 | Tylan CMH4M1106, Baratron, Manometer, |
4571 | Millipore Tylan | CMH4-M11S06 | Tylan CMH4-M11S06, Baratron, Manometer, |
4572 | Millipore Tylan | 260KZ | Tylan FC 260KZ, Mass Flow Controller, MFC, HCL, 3 SLPM |
4573 | Millipore Tylan | FC 550 | Tylan FC 550-1 MFC Mass Flow Controller, 300 SCCM, SIH4 |
4574 | Millipore Tylan | 260-HFV | Tylan FC-260 HFV, Mass Flow Controller, MFC, H2, 10 SLPM |
4575 | Millipore Tylan | FC-260 | Tylan FC-260 Laminar MFC Mass Flow Controller, 2 SLPM, 2000 SCCM, H2 |
4576 | Millipore Tylan | FC-260 | Tylan FC-260 Laminar MFC Mass Flow Controller, 500 SCCM, SF6 |
4577 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller H2 1 SLM MFC |
4578 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller PH2 1 SLPM MFC |
4579 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, 1.8%SIH4/N2, 5 SLPM |
4580 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, 10%H2 90%N2, 5 SLPM |
4581 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, AIR, 200 SCCM |
4582 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, AR, 1 SLPM |
4583 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, CF4, 200 SCCM |
4584 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, CL2, 50 SCCM |
4585 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, H2, 1 SLPM |
4586 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, H2, 200 SCCM |
4587 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2, 20 SCCM |
4588 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2, 50 SCCM |
4589 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2, 6 SLPM |
4590 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2O, 5 SCCM |
4591 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, N2SCCM |
4592 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, NF3, 1 SLM |
4593 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, NH3, 1 SLPM |
4594 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, NH3, 300 SCCM |
4595 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, O2, 200 SCCM |
4596 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, O2, 5 SLPM |
4597 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, O2, 5000 SCCM |
4598 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, O2CM |
4599 | Millipore Tylan | FC-260 | Tylan FC-260 Mass Flow Controller, MFC, SIH2CL2, 200 SCCM |
4600 | Millipore Tylan | FC-260 | Tylan FC-260 MFC, N2, 50 SCCM, w/ Nupro SS-4BK 1C-9AGAA Valve |
4601 | Millipore Tylan | FC-260FV | Tylan FC-260FV, Mass Flow Controller, MFC, N2CM |
4602 | Millipore Tylan | FC-260V | Tylan FC-260V Mass Flow Controller, MFC, CH3NH2CM |
4603 | Millipore Tylan | FC-260V | Tylan FC-260V Mass Flow Controller, MFC, N2, 300 SCCM |
4604 | Millipore Tylan | FC-260V | Tylan FC-260V Mass Flow Controller, MFC, N2, 5 SLPM |
4605 | Millipore Tylan | FC-260V | Tylan FC-260V, MFCCM, AIR, |
4606 | Millipore Tylan | Tylan FC-260V-4S | Tylan FC-260V-4S Mass Flow Controller, MFC, SO2, 10 SCCM, Millipore |
4607 | Millipore Tylan | FC-260V-4S | Tylan FC-260V-4S, MFCCM, CH3NH2 |
4608 | Millipore Tylan | FC-261 | Tylan FC-261 H2/N2 MFC, 20/10 SLM |
4609 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, 02, 20 SLM, FC-261 |
4610 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, AIR, 10 SLPM |
4611 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, AIR, 20 SLPM |
4612 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, H2, 20 SLM |
4613 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, H2, 20 SLPM |
4614 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, H2, 30 SLM |
4615 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 10 SLM, FC-261 |
4616 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 10 SLPM |
4617 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 10 SLPM, FC-261 |
4618 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 15 SLPM |
4619 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 20 SLM, FC-261 |
4620 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 20 SLPM |
4621 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2, 30 SLM |
4622 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, N2O, 10 SLPM |
4623 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2, 10 SLPM |
4624 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2, 10 SLPM-001 |
4625 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2, 20 SLPM |
4626 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, O2, 5 SLPM |
4627 | Millipore Tylan | FC-261 | Tylan FC-261 Mass Flow Controller, MFC, SF6, 5 SLPM |
4628 | Millipore Tylan | FC-261 | Tylan FC-261FV Mass Flow Controller, MFC, H2/N2 Purge, 10 SLPM, FC-261 |
4629 | Millipore Tylan | FC-261V | Tylan FC-261V Mass Flow Controller, MFC, H2, 10 SLPM, FC-261 |
4630 | Millipore Tylan | FC-261V | Tylan FC-261V Mass Flow Controller, MFC, N2, 20 SLPM |
4631 | Millipore Tylan | FC-261V | Tylan FC-261V Mass Flow Controller, MFC, N2, 20000 SCCM, Calibrated |
4632 | Millipore Tylan | FC-261 | Tylan FC-261V Mass Flow Controller, MFC, N2. 10 SLPM, FC-261 |
4633 | Millipore Tylan | FC-262 | Tylan FC-262 MFC Mass Flow Controller, 30 SLM, 300 SCCM, 90%N2, 10%H2 |
4634 | Millipore Tylan | FC-280 SAV | Tylan FC-280 SAV Laminar MFC Mass Flow Controller, 200 SCCM, N2 |
4635 | Millipore Tylan | FC-280AV | Tylan FC-280AV Mass Flow Controller, MFC, N2, 1 SLPM |
4636 | Millipore Tylan | FC-280AV | Tylan FC-280AV Mass Flow Controller, MFC, N2O, 10 SLPM |
4637 | Millipore Tylan | FC-280AV | Tylan FC-280AV, N2CM, MFC, Mass Flow Controller (Calibrated) |
4638 | Millipore Tylan | FC-280-S | Tylan FC-280-S Mass Flow Controller, MFC, BCL3CM |
4639 | Millipore Tylan | FC-280SAN-P | Tylan FC-280SAN-P Mass Flow Controller, MFC, NH3, 5 SLPM, FC-280 |
4640 | Millipore Tylan | FC-280-SKZ | Tylan FC-280-SKZ Mass Flow Controller, MFC, CL2CM |
4641 | Millipore Tylan | FC-2900FV | Tylan FC-2900FV Mass Flow Controller, MFC, Ar, 200 SCCM |
4642 | Millipore Tylan | FC-2900FV | Tylan FC-2900FV, Ar, 200 SCCM, MFC, Mass Flow Controller (Calibrated). 330150 |
4643 | Millipore Tylan | Fc-2900M | Tylan FC-2900M Mass Flow Controller, MFC, CL2, 500 SCCM, |
4644 | Millipore Tylan | FC-2900M | Tylan FC-2900M Mass Flow Controller, MFC, NF3, 1 SLPM, 2900 Series |
4645 | Millipore Tylan | FC-2900M | Tylan FC-2900M Mass Flow Controller, MFC, O2, 1 SLPM, 2900 Series |
4646 | Millipore Tylan | FC-2900M | Tylan FC-2900M Mass Flow Controller, N2 500 SCCM MFC |
4647 | Millipore Tylan | FC2900M | Tylan FC2900M MFC 1 SLM N2 |
4648 | Millipore Tylan | FC-2900MEP | Tylan FC-2900MEP 4V Metal Mass Flow Controller, MFC, H2, 20 SLPM, FC2900MEP |
4649 | Millipore Tylan | FC-2900M-EP | Tylan FC-2900M-EP Mass Flow Controller, MFC, N2, 2 SLPM |
4650 | Millipore Tylan | FC-2900M-EP | Tylan FC-2900M-EP Mass Flow Controller, MFC, N2, 20 SLPM |
4651 | Millipore Tylan | FC-2900MEP | Tylan FC-2900MEP Mass Flow Controller, MFC, N2CM |
4652 | Millipore Tylan | FC-2900MEP | Tylan FC-2900MEP Mass Flow Controller, MFC, O2, 20 SLPM |
4653 | Millipore Tylan | FC-2900M-EP | Tylan FC-2900M-EP Mass Flow Controller, MFC, O2, 20 SLPM |
4654 | Millipore Tylan | FC-2900MEP | Tylan FC-2900MEP Metal Mass Flow Controller, MFC, CL2, 30 SCCM |
4655 | Millipore Tylan | FC-2900M-EP | Tylan FC-2900M-EP, MFC 2900, HCL 500 SCCM |
4656 | Millipore Tylan | FC-2900MEP | Tylan FC-2900MEP, MFC 2900, N2 2 SLPM |
4657 | Millipore Tylan | FC-2900MEP | TYLAN FC-2900MEP, MFC 2900, N2 500 SCCM |
4658 | Millipore Tylan | FC-2900MEP5 | Tylan FC-2900MEP5 Mass Flow Controller, MFC, 02, 50 SCCM, AMAT 0227-06244 |
4659 | Millipore Tylan | FC-2900V | Tylan FC-2900V Mass Flow Controller, MFC, AR, 300 SCCM |
4660 | Millipore Tylan | FC-2900V | Tylan FC-2900V Mass Flow Controller, MFC, AR, 60 SCCM |
4661 | Millipore Tylan | FC-2900V | Tylan FC-2900V Mass Flow Controller, MFC, Argon, 500 SCCM, |
4662 | Millipore Tylan | FC-2901V | Tylan FC-2901V Mass Flow Controller, MFC, C4F8, 200 SCCM, Millipore |
4663 | Millipore Tylan | FC-2902V-T | Tylan FC-2902V-T Mass Flow Controller, MFC, CF4, 1550 SCCM |
4664 | Millipore Tylan | FC-2902V-T | Tylan FC-2902V-T MFC, CF4, 1550 SCCM, AMAT 0226- |
4665 | Millipore Tylan | FC-2950M-EP | Tylan FC-2950M-EP Mass Flow Controller, MFC, N2, 2 SLPM |
4666 | Millipore Tylan | FC-2950MEP5 | TYLAN FC-2950MEP5, N2 100 SCCM, AMAT 3030-05897 |
4667 | Millipore Tylan | FC2952M | Tylan FC2952M 4V Metal Mass Flow Controller, MFC, CO, 200 SCCM |
4668 | Millipore Tylan | FC-2952MEP5-T | TYLAN FC-2952MEP5-T, MFC 3950, N2 5 SLPM |
4669 | Millipore Tylan | FC-2960M | Tylan FC-2960M Mass Flow Controller, MFC, O2, 15 SLPM, 2900 Series |
4670 | Millipore Tylan | FC-2960M | Tylan FC-2960M Mass Flow Controller, MFC, O2, 2 SLPM, 2900 Series |
4671 | Millipore Tylan | FC-2960MEP5 | Tylan FC-2960MEP5 Mass Flow Controller, MFC, N2, 1 SLPM, 2900 Series |
4672 | Millipore Tylan | FC-2960MEP5 | Tylan FC-2960MEP5 Mass Flow Controller, MFC, N2, 2 SLPM, 2900 Series |
4673 | Millipore Tylan | FC-2960MEP5 | Tylan FC-2960MEP5 Mass Flow Controller, MFC, O2, 15 SLPM, 2900 Series |
4674 | Millipore Tylan | FC-2960MEP5 | Tylan FC-2960MEP5 Mass Flow Controller, MFC, O2, 2 SLPM, 2900 Series |
4675 | Millipore Tylan | FC-2960MEP5-261R | Tylan FC-2960MEP5-261R Mass Flow Controller, MFC, O2, 15 SLPM |
4676 | Millipore Tylan | FC-2979MEP5 | TYLAN FC-2979MEP5, MFC 2900, CO 500 SCCM |
4677 | Millipore Tylan | FC-780CHT | Tylan FC-780CHT Mass Flow Controller, MFC, N2CM, FC-780C-HT |
4678 | Millipore Tylan | FC-780C-HT | Tylan FC-780C-HT, Mass Flow Controller, MFC, O2, 20 SCCM, |
4679 | Millipore Tylan | FC-260 | Tylan FM-260 Mass Flow Controller, MFC, H2, 200 SCCM |
4680 | Millipore Tylan | FM-2900MEP | Tylan FM-2900MEP, MFC 2900, N2 SLPM 2 |
4681 | Millipore Tylan | FC-260 | Tylan FM-360 Mass Flow Controller, MFC, AIR, 200 SCCM |
4682 | Millipore Tylan | FM-3900-EP | Tylan FM-3900-EP, MFC 2900, N2 SLPM 20 |
4683 | Millipore Tylan | FM-3900MEP | Tylan FM-3900MEP Mass Flow Controller, MFC, N2, 500 SCCM |
4684 | Millipore Tylan | CMLA-11S02 | Tylan General LAM 853-017643-001 Baratron Capacitance Diaphragm Gauge |
4685 | Millipore Tylan | AC-2 | Tylan general AC-2 Adaptorr model AC213C REV A millipore S4943 |
4686 | Millipore Tylan | AC-2 | Tylan General AC-2, Adaptor Vacuum Controller |
4687 | Millipore Tylan | AC-4 | Tylan General AC-4 , AC-4S02 Throttle Valve Controller |
4688 | Millipore Tylan | Model AC-2 | Tylan General Adaptorr Model AC-2 LAM 853-006615-001 Vacuum General, Throttle Valve Controller |
4689 | Millipore Tylan | AC-2 | Tylan General Adaptorr Model AC-2 vacuum general, Throttle valve controller |
4690 | Millipore Tylan | CDL-11 | Tylan General CDL-11, Pressure Transducer, 10 Torr |
4691 | Millipore Tylan | CDL-21S06 | Tylan General CDL-21S06, Pressure Transducerrr |
4692 | Millipore Tylan | CDLD-02 | Tylan General CDLD-02 Pressure Transducer, CDLD02, 0-2 Torr, 0-10 VDC |
4693 | Millipore Tylan | CDLD-21S06 | Tylan General CDLD-21S06 Capacitance Diaphragm Gaugerr, CDLD2106, Millipore |
4694 | Millipore Tylan | CDLD-21S06-EMC | Tylan General CDLD-21S06-EMC Capacitance Diaphragm Gaugerr |
4695 | Millipore Tylan | CM-01-10 | Tylan General CM-01-10 Capacitance Diaphragm Gauge |
4696 | Millipore Tylan | CMH-01 | Tylan General CMH-01, Baratron, Manometer, |
4697 | Millipore Tylan | CMH4-M11S06 | Tylan General CMH4-M11S06 Baratron, Manometer, |
4698 | Millipore Tylan | CMH4-M11S06 | Tylan General CMH4-M11S06 Manometer, Baratron, |
4699 | Millipore Tylan | CMH4-M11S06 | Tylan General CMH4-M11S06 Manometer, CMH4M1106, Baratron, |
4700 | Millipore Tylan | CMH4-M11S06 | Tylan General CMH4-M11S06, Baratron, CMH4M1106, Manometer, |
4701 | Millipore Tylan | CMH4-M11S06 | Tylan General CMH4-M11S06, Baratron, Manometer, |
4702 | Millipore Tylan | CMLA-11S06 | Tylan General CMLA-11S06 Output: 0-10 VDC Range: 0-10 TORR |
4703 | Millipore Tylan | CMLA-11S06 | Tylan General CMLA-11S06 Output: 0-10 VDC, Range: 0-10 Torr |
4704 | Millipore Tylan | CMLA-21 | Tylan General CMLA-21 100 TORR CAPACITANCE GAUGE |
4705 | Millipore Tylan | CMLA-21 | Tylan General CMLA-21, Pressure Transducerrr |
4706 | Millipore Tylan | CMLA-21S06 | Tylan General CMLA-21S06 Presssure Transducer |
4707 | Millipore Tylan | CMLB-11S06 | Tylan General CMLB-11S06, Manometer |
4708 | Millipore Tylan | CMLB-21M | Tylan General CMLB-21M 100 TORR CAPACITANCE GAUGE |
4709 | Millipore Tylan | CMLB-31S06 | Tylan General CMLB-31S06 Pressure Transducerrr |
4710 | Millipore Tylan | CMS-11 | Tylan General CMS-11 Manometer |
4711 | Millipore Tylan | FC-260 KZ | Tylan General FC-260 KZ Mass Flow Controller, MFC, 200 SCCM, BCL3 |
4712 | Millipore Tylan | FC-280 AV | Tylan General FC-280 AV Mass Flow Controller, MFCCM, BCL3 |
4713 | Millipore Tylan | FC-280 | Tylan General FC-280 Mass Flow Controller, MFC, 2 SLPM, O2 |
4714 | Millipore Tylan | FC-280 | Tylan General FC-280 Mass Flow Controller, MFC, 200 SCCM, N2 |
4715 | Millipore Tylan | FC-280 S | Tylan General FC-280 S Mass Flow Controller, MFCCM, CL2 |
4716 | Millipore Tylan | FC-280 SAV | Tylan General FC-280 SAV Mass Flow Controller, MFC, 200 SCCM, N2 |
4717 | Millipore Tylan | FC-280 SV | Tylan General FC-280 SV Mass Flow Controller, MFCCM, CHC13 |
4718 | Millipore Tylan | FC-2900V | Tylan General FC-2900V Mass Flow Controller, MFC, 5 SLPM, O2 |
4719 | Millipore Tylan | FC-2901V | Tylan General FC-2901V Mass Flow Controller, MFC, 300 SCCM, C4F8 |
4720 | Millipore Tylan | FC-2979MEP5 | Tylan General FC-2979MEP5 Mass Flow Controller, MFC, SF6, 200 SCCM |
4721 | Millipore Tylan | FM-380 AV | Tylan General FM-380 AV Mass Flow Controller, MFC, 1 SLPM, N2 |
4722 | Millipore Tylan | FM-380 AV | Tylan General FM-380 AV Mass Flow Controller, MFCCM, N2 |
4723 | Millipore Tylan | MDVX-018 | TYLAN GENERAL MDVX-018 THROTTLE GATE VALVE CONTROL BOX ORIENTAL MOTOR |
4724 | Millipore Tylan | MDVX-100BS11 | Tylan General MDVX-100BS11 LAM 839-013901-001 Throttle Valve |
4725 | Millipore Tylan | CDHD80 | Tylan general Millipore CDHD80-M11VS06, 0-100 M TORR, 0-10 VDC output. |
4726 | Millipore Tylan | PC-5900U | Tylan General PC-5900U Mass Flow Controller, MFC, HE, 50 SCCM |
4727 | Millipore Tylan | RO-28 | Tylan General RO-28 Digital Mass Flow Controller, 28RS, 905910-002 |
4728 | Millipore Tylan | CMH4-M11S06 | Tylan General, CMH4-M11S06, Baratron, Manometer, |
4729 | Millipore Tylan | FC-2900M | Tylan MFC 2900 series FC-2900M, N2 Gas, Range 20 SLPM, SMA9111042 |
4730 | Millipore Tylan | FC-2900V | TYLAN MFC 2900 series FC-2900V, SF6, 15 SCCM |
4731 | Millipore Tylan | FC-2950M | Tylan MFC 2900 series FC-2950M, N2 Gas, Range 20 SLPM, SMC9209001 |
4732 | Millipore Tylan | FM-2900MEP | Tylan MFC 2900 series FM-2900MEP, N2 Gas, Range 20 SLPM, SMA9303013 |
4733 | Millipore Tylan | FM-2900MEP | Tylan MFC 2900 series FM-2900MEP, N2 Gas, Range 20 SLPM, SMA9303015 |
4734 | Millipore Tylan | FM-3900M-EP | Tylan MFC 2900 series FM-3900M-EP, N2 Gas, Range 20 SLPM, SMB9205004 |
4735 | Millipore Tylan | FM-3900MEP | Tylan MFC 2900 series FM-3900MEP, N2 Gas, Range 20 SLPM, SMB9303009 |
4736 | Millipore Tylan | FM-3900MEP | Tylan MFC 2900 series FM-3900MEP, N2 Gas, Range 20 SLPM, SMB9303012 |
4737 | Millipore Tylan | FM-3900MEP | Tylan MFC 2900 series FM-3900MEP, N2 Gas, Range 20 SLPM, SMB9310002 |
4738 | Millipore Tylan | FC-2979MEP5-L | Tylan MFC 2979 series FC-2979MEP5-L, C4F8, 50 SCCM; |
4739 | Millipore Tylan | FC-260 | Tylan MFC FC-260, H2 gas, 10 SLPM range, SAA102419 |
4740 | Millipore Tylan | FC-260 | Tylan MFC FC-260, H2 gas, 20 SLPM range, SAA010342 |
4741 | Millipore Tylan | FC-260 | Tylan MFC FC-260, H2, 1SLPM (Calibrated) |
4742 | Millipore Tylan | FC-260KZ | Tylan MFC FC-260KZ, BCL3, 200 SCCM (Calibrated) |
4743 | Millipore Tylan | FC-261 | Tylan MFC FC-261, H2 gas, 10 SLPM range, FC-261-F, FC-26FV, SAC308035 |
4744 | Millipore Tylan | FC-261 | Tylan MFC FC-261, H2 gas, 20 SLPM range, FC-261-F, SAC204161 |
4745 | Millipore Tylan | FC-261 | Tylan MFC FC-261, H2 gas, 20 SLPM range, FC-261-FH S0012166 |
4746 | Millipore Tylan | FC-261 | Tylan MFC FC-261, H2 gas, 20 SLPM range, FC-261-V SAC409241 |
4747 | Millipore Tylan | FC-270 | TYLAN MFC FC-270 SIH2CL2CM |
4748 | Millipore Tylan | DFC-2952MEP5-TMC, | Tylan MFC, DFC-2952MEP5-TMC, N2CM |
4749 | Millipore Tylan | FC-2500 | Tylan MFC, FC-2500, O2, 25 SCCM |
4750 | Millipore Tylan | FC-260 | Tylan MFC, FC-260, 0.8%PH3/He, 2 SLM, S9123106 |
4751 | Millipore Tylan | FC-260 | Tylan MFC, FC-260, ASH3, 2 SLPM, SAA107712 |
4752 | Millipore Tylan | FC-260 | Tylan MFC, FC-260, ASH3, 2 SLPM, SAA604360 |
4753 | Millipore Tylan | FC-260 | Tylan MFC, FC-260, H2, 200 SCCM |
4754 | Millipore Tylan | FC-260 | Tylan MFC, FC-260, H2, 500 SCCM, S506AS011 |
4755 | Millipore Tylan | FC-260 | Tylan MFC, FC-260, HCL, 3 SLM, S9074087 |
4756 | Millipore Tylan | FC-260 | Tylan MFC, FC-260, N2, 200 SCCM, SAA911007 |
4757 | Millipore Tylan | FC-260 | Tylan MFC, FC-260, N2CM, SAA11011701 |
4758 | Millipore Tylan | FC-260 | Tylan MFC, FC-260, NH3, 60 SCCM, S3032023 |
4759 | Millipore Tylan | FC-260 | Tylan MFC, FC-260,H2, 3 SLPM, SAA404344 |
4760 | Millipore Tylan | FC-260-2 | Tylan MFC, FC-260-2, 20% HCl/N2, 500 SCCM |
4761 | Millipore Tylan | FC-260F | Tylan MFC, FC-260F, N2, 10 SLPM |
4762 | Millipore Tylan | FC-260 | Tylan MFC, FC-261, N2, 10 SLPM, SAC506018, FC-261V |
4763 | Millipore Tylan | FC-261 | Tylan MFC, FC-261, N2, 10 SLPM, SAC805009 |
4764 | Millipore Tylan | FC-261 | Tylan MFC, FC-261,H2, 30 SLPM, S9010009 |
4765 | Millipore Tylan | FC-261 | Tylan MFC, FC-261,H2/N2, 20/10 SLPM, SAC210083, FC-261 FV |
4766 | Millipore Tylan | FC-2900 | Tylan MFC, FC-2900, CH4, 10 SCCM |
4767 | Millipore Tylan | FC-2900FV | Tylan MFC, FC-2900FV, CF4, 500 SCCM |
4768 | Millipore Tylan | FC-2900KZ | Tylan MFC, FC-2900KZ, NF3, 500 SCCM |
4769 | Millipore Tylan | FC-2900M | Tylan MFC, FC-2900M, CF4, 200 SCCM |
4770 | Millipore Tylan | FC-2900M | Tylan MFC, FC-2900M, CHF3, 50 SCCM |
4771 | Millipore Tylan | FC-2900M | Tylan MFC, FC-2900M, NF3, 500 SCCM |
4772 | Millipore Tylan | FC-2900M | Tylan MFC, FC-2900M, NH3, 300 SCCM |
4773 | Millipore Tylan | FC-2900M | Tylan MFC, FC-2900M, O2, 1 SLPM |
4774 | Millipore Tylan | FC-2900M-EP | Tylan MFC, FC-2900M-EP, O2, 50 SCCM |
4775 | Millipore Tylan | FC-2900MEP | Tylan MFC, FC-2900MEP, SIH2CL2, 200 SCCM |
4776 | Millipore Tylan | FC-2900MEP | Tylan MFC, FC-2900MEP, SIH2CL2, 300 SCCM |
4777 | Millipore Tylan | FC-2900MEP | Tylan MFC, FC-2900MEP, SIH2CL2CM |
4778 | Millipore Tylan | FC-2900MEP-4V | Tylan MFC, FC-2900MEP-4V, CHF3, 200 SCCM |
4779 | Millipore Tylan | FC-2900MEP5 | Tylan MFC, FC-2900MEP5, HBRCM |
4780 | Millipore Tylan | FC-2900MEP5-4V | Tylan MFC, FC-2900MEP5-4V, CL2, 30 SCCM |
4781 | Millipore Tylan | FC-2900MEP-D | Tylan MFC, FC-2900MEP-D, O2CM |
4782 | Millipore Tylan | FC-2900MEP-L | Tylan MFC, FC-2900MEP-L, CHF3CM |
4783 | Millipore Tylan | FC-2900MEP | Tylan MFC, FC-2902MEP, CH2F2, 50 SCCM |
4784 | Millipore Tylan | FC-2900MEP-I | Tylan MFC, FC-2902MEP-I, N2O, 10 SLPM |
4785 | Millipore Tylan | FC-2900MEP | Tylan MFC, FC-2902MEP-T, SIF4, 1 SLPM |
4786 | Millipore Tylan | FC-2900MEP5 | Tylan MFC, FC-2950MEP5, N2CM |
4787 | Millipore Tylan | FC-2952MEP5-T | Tylan MFC, FC-2952MEP5-T, HE, 500 SCCM |
4788 | Millipore Tylan | FC-2979MEP5-T | Tylan MFC, FC-2979MEP-4v, O2, 20 SCCM |
4789 | Millipore Tylan | FC-360 | Tylan MFC, FC-360, AIR, 5 SLPM |
4790 | Millipore Tylan | FC-360 | Tylan MFC, FC-360, AIR, 500 SCCM |
4791 | Millipore Tylan | FC-780C-HT | Tylan MFC, FC-780C-HT, UCCM, Argon, S9302 |
4792 | Millipore Tylan | FM-2900M-EP | Tylan MFC, FM-2900M-EP, SIH2CL2cm |
4793 | Millipore Tylan | FM-360 | Tylan MFC, FM-360, AIR, 10 SCCM, S0013070 |
4794 | Millipore Tylan | FM-360 | Tylan MFC, FM-360, AIR, 5 SLPM, S9033026 |
4795 | Millipore Tylan | FM-360 | Tylan MFC, FM-360, AIR, 500 SCCM, S9063067 |
4796 | Millipore Tylan | FM-3900M-EP | Tylan MFC, FM-3900M-EP, N2, 20 SLPM |
4797 | Millipore Tylan | FM-3901M | Tylan MFC, FM-3901M, N2, 20 SCCM |
4798 | Millipore Tylan | FC-2900MEP-4v | Tylan MFC, MILIPORE, FC-2900MEP-4V, O2, 20 SLPM |
4799 | Millipore Tylan | FM-3900MEP-4V | Tylan MFC, Millipore, FM-3900MEP-4V, N2, 300 SCCM |
4800 | Millipore Tylan | PC-5900U | Tylan MFC, PC-5900U, HE, 50 sccm |
4801 | Millipore Tylan | PC-5900UV | Tylan MFC, PC-5900UV, HE, 50 sccm |
4802 | Millipore Tylan | FC-280 AV | Tylan Model FC-280 AV, Mass Flow ControllerCM N2 |
4803 | Millipore Tylan | PC-580 | Tylan PC-580 Mass Flow Controller, MFC, HE, 20 SCCM, FC-280 |
4804 | Millipore Tylan | PC-5900UV | Tylan PC-5900UV Mass Flow Controller, MFC, HE, 50 SCCM, 2900 Series |
4805 | Millipore Tylan | PC-5900UV | Tylan PC-5900UV MFC, HE, 50 SCCM, 2900 Series, LAM 797-62046-103 |
4806 | Millipore Tylan | FC-780CHT | Tylan UC FC-780CHT Mass Flow Controller, MFC, N2CM, FC-780C-HT |
4807 | Minarik | 518-43-010 | Minarik 518-43-010 Gearhead |
4808 | Minarik | WP6211-AA-AA | Minarik MicroMaster WP6211-AA-AA PLC Controller, WP6211AAAA, WP6211 |
4809 | Minarik | WP6311-AAAA | Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311 |
4810 | Minarik | RG310UA | MINARIK RG310UA DRIVE DC 2AMP 115V 50/60HZ 1PH 2A |
4811 | Minarik | SL15 | Minarik, Model SL15, 5403040 Control motor Adjustable Speed Controller |
4812 | Minarik Corporation | 1080-01216 | Minarik Corporation 1080-01216 Control DC Mortor PWM 32A Minarik |
4813 | Minebea Astrosyn | 17PM-K101-04V | Minebea 17PM-K101-04V Motorized stage with renishaw RGH sensor |
4814 | Minebea Astrosyn | 23PM-C108 | Minebea Astrosyn 23PM-C108 Miniangle Stepper Motor |
4815 | Mini-circuits | ZMSC-4-1 | 2 Mini-Circuits Splitter ZMSC-4-1, 0.1-200 Mhz |
4816 | MINISPEED | M21148-14 | 1 LOT OF 15 MINISPEED M21148-14 MACOM IC |
4817 | MINISPEED | M21148-14 | 1 LOT OF 181 MINISPEED M21148-14 MACOM IC |
4818 | MINISPEED | M21148-14 | 1 LOT OF 20 MINISPEED M21148-14 MACOM IC |
4819 | MINISPEED | M21148-14 | 1 LOT OF 30 MINISPEED M21148-14 MACOM IC |
4820 | MINISPEED | M21148-14 | 1 LOT OF 60 MINISPEED M21148-14 MACOM IC |
4821 | MINISPEED | M21148-14 | 1 LOT OF 70 MINISPEED M21148-14 MACOM IC |
4822 | MINISPEED | M21148-14 | 1 LOT OF 80 MINISPEED M21148-14 MACOM IC |
4823 | Miracle Movement | MPT41908 | Miracle Movement Dial Indicator MPT41908 EI-0003-508, Used |
4824 | MiraWIPE Wipers | HT5790B | 2 Lots of 75 MiraWIPE Wipers HT5790B 9″ X 9″ Thin Sealed Edge |
4825 | MIS | 900063 | MIS 900063 Opt-Out 32 Board, PCB, 9330009 |
4826 | MIS | 9000001 | MIS PIO-A PCB Board, 9000001 |
4827 | Misc. | 01-2833 | 01-2833 Mounting bracket |
4828 | Misc. | TIL 144 | 100 TIL 144 L8019 slotted optical switch |
4829 | Misc. | 5515 | 2 New Lighted Pick-Up Tools Replacement Lamp 05515 |
4830 | Misc. | Unknown | 2 Way Data Transfer Switch Box Network Connectors |
4831 | Misc. | 44103-00 | 30 new dump beam, con 44103-00 |
4832 | Misc. | 1150-000-009 | 300mm ceramic chuck, AL19100-009 |
4833 | Misc. | 26-81544-00 | 4 New 26-81544-00 Nut, spring support, |
4834 | Misc. | P2DH-088-CES90223 | 60 units of 5410006 P2DH-088-CES90223 PCB board edge connector |
4835 | Misc. | AH-005-C | AH-005-C Assy,Roller,Gripper,Lower,FRU, |
4836 | Misc. | CGA-500 | Brass Nipple for gas bottle connection, new in bag, CGA-500 |
4837 | Misc. | CT2985-486379 | Cleaned WCU, SOG, Cover LHP CT2985-486379 |
4838 | Misc. | 1110596-CP-06-04C | Heat Sealing Element 1110596-CP-06-04C |
4839 | Misc. | 114-4048-02 | Lift Comb 48-02, wafer holder, New, lot of 3 |
4840 | Misc. | 500062645 | Lot of 6 Angled, Anode, Ring, Sleeve 500062645 cp-050. price is for 6 |
4841 | Misc. | Unknown | Lot of 7 Center Pivot Guide |
4842 | Misc. | 4507346594 | LSA CleanPart Ceramic Ring With Lining6594 |
4843 | Misc. | 25320-077 | Potentiometer, offset, auto focus 233331051, 25320-077 |
4844 | Misc. | 7580 | Rear Upper Cross Piece 7580, Lot of 4 |
4845 | Misc. | 90066-01 rev 2 Platform SS | rev 2 Platform SS |
4846 | Misc. | 24-8014-8402 | tape 1″W-3402 Acrylic ADH Black POlyim 24-8014-8402 24-884-3402 20010013P524 |
4847 | Misc. | 1A-06-11 | Teflon, Wafer, Pans 1A-06-11, looks new, |
4848 | Misc. | TL-00043 | Tonsil Blade TL-00043 |
4849 | Misc. | 201-093 | Wheel Guide Rail 201-093 |
4850 | Misio | HG12063A | Misio HG12063A, SEIO-004, AP-458A, PCB Board |
4851 | Misio | SEIO-004 | Misio SEIO-004 Circuit Board, PCB, AP-458A |
4852 | Miso | SEIO-004 | Misio SEIO-004 Board, PCB, AP-458A |
4853 | Mitsubishi | MR-J2S-20B | 2 Mitsubishi MR-J2S-20B Servo Motor 417009 |
4854 | Mitsubishi | F-20CM-5U | 4 Mitsubishi F-20CM-5U Positioning Counter programmable controller modules |
4855 | Mitsubishi | E300 | IPA Delivery System, Bubbler, Mitsubishi E300, Veriflow, Pepperl+Fuchs, |
4856 | Mitsubishi | A0J2-E56DR | Mitsubishi A0J2-E56DR Programmable Controller T/O Module |
4857 | Mitsubishi | A0J2-E56DR | Mitsubishi A0J2-E56DR Programmable Controller T/O Module, A0J2E56DT13K601 |
4858 | Mitsubishi | A0J2-E56DT13K601 | Mitsubishi A0J2-E56DT13K601, Melsec, Controller Programmable T/O Module |
4859 | Mitsubishi | A0J2H CPU | Mitsubishi A0J2H CPU Meslec Programmable Controller |
4860 | Mitsubishi | BD626C254G53 | MITSUBISHI A1NCPU-C MELSEC PROGRAMMABLE CONTROLLER BD626C254G53 AC85-132V |
4861 | Mitsubishi | A1S68B | Mitsubishi A1S68B Base unit power supply, A1S61PN, A1SX42, A1SD75P2-S3, A1SJ71QC |
4862 | Mitsubishi | A2NCPU-UL | Mitsubishi A2NCPU-UL PLC Programmable Controller CPU MELSEC |
4863 | Mitsubishi | A3ACPUR21 | Mitsubishi A3ACPUR21 MELSEC PLC Programmable Controller |
4864 | Mitsubishi | A3NMCA8-UL | MITSUBISHI A3NMCA8-UL Melsec Input Output Module PLC |
4865 | Mitsubishi | A55B-UL | Mitsubishi A55B-UL Rack Extension 5 Slot |
4866 | Mitsubishi | A68ADC | Mitsubishi A68ADC A/D Converter Unit |
4867 | Mitsubishi | AAMITB3Q-031 | Mitsubishi AAMITB3Q-031 Switch (Magnet) |
4868 | Mitsubishi | AJ71C21 | Mitsubishi AJ71C21-A MELSEC PLC Programmable Controller |
4869 | Mitsubishi | AJ71C21-B-S1 S2 | Mitsubishi AJ71C21-B-S1 S2 MELSEC PLC Programmable Controller |
4870 | Mitsubishi | AJ71C21-S1 | Mitsubishi AJ71C21-S1 MELSEC PLC Programmable Controller |
4871 | Mitsubishi | AJ71E71N-B5T | Mitsubishi AJ71E71N-B5T MELSEC PLC Programmable Controller |
4872 | Mitsubishi | AJ71PT32-S3 | Mitsubishi AJ71PT32-S3 MELSEC PLC Programmable Controller |
4873 | Mitsubishi | AX71 | MITSUBISHI AX71 INPUT MODULE |
4874 | Mitsubishi | AX80Y10C | Mitsubishi AX80Y10C MELSEC Input/Output Unit, PLC |
4875 | Mitsubishi | AX81C | Mitsubishi AX81C Input Unit |
4876 | Mitsubishi | AY10-UL | Mitsubishi AY10-UL PLC, Output Module, MELSEC, Programmable Controller |
4877 | Mitsubishi | AY40-UL | Mitsubishi AY40-UL PLC, Output Module, MELSEC, Programmable Controller |
4878 | Mitsubishi | AY42 | MITSUBISHI AY42, PROGRAMMABLE CONTROLLER, 810-56150 |
4879 | Mitsubishi | AY51-UL | Mitsubishi AY51-UL MELSEC Programmable Controller |
4880 | Mitsubishi | FX-1PG | Mitsubishi Controlable FX-1PG |
4881 | Mitsubishi | HA-SA352 | MITSUBISHI ELECTRIC HA-SA352 SCREEN 7-39-03351 AC Servo Motor |
4882 | Mitsubishi | SD-N400 | Mitsubishi Electric SD-N400 3-Pole 3-Phase DC Magnetic Contactor w/ Cu Buss Bars |
4883 | Mitsubishi | FR-E520-0.1K | Mitsubishi FR-E520-0.1K Freqrol-E500 Inverter |
4884 | Mitsubishi | FR-E520-1.5K | Mitsubishi FR-E520-1.5K Inverter, Freqrol-E500 |
4885 | Mitsubishi | FR-F720PJ-3 | Mitsubishi FR-F720PJ-3 Inverter |
4886 | Mitsubishi | FR-F740PJ-3.7K | Mitsubishi FR-F740PJ-3.7K Inverter |
4887 | Mitsubishi | FR-Z020-0.4K | Mitsubishi FR-Z020-0.4K, Freqrol-Z020 Inverter 3A, 0.4KW |
4888 | Mitsubishi | FR-Z123-0.4K | Mitsubishi FR-Z123-0.4K, Freqrol-Z123 Inverter, 3A, 0.4KW |
4889 | Mitsubishi | FX-232AW | Mitsubishi FX-232AW Programmable Controller |
4890 | Mitsubishi | FX2N-48MR-DS | Mitsubishi FX2N-48MR-DS MELSEC Programmable Logic Controller Assy, PLC |
4891 | Mitsubishi | FX2N-48MR-ES-UL | Mitsubishi FX2N-48MR-DS MELSEC Programmable Logic Controller, AMAT |
4892 | Mitsubishi | FX2N-48MR-DS | Mitsubishi FX2N-48MR-DS Programmable logic controller plus components |
4893 | Mitsubishi | FX-80MR-ES/UL | Mitsubishi FX-80MR-ES/UL Melsec Programmable Controller FX-80MR |
4894 | Mitsubishi | HA-SC23 | MITSUBISHI HA-SC23 SERVO MOTOR |
4895 | Mitsubishi | HC-UFS13BK | Mitsubishi HC-UFS13BK AC Servo Motor |
4896 | Mitsubishi | HC-UFS13K | Mitsubishi HC-UFS13K Servo Motor, 3000r/Min Speed, 3AC, 120V, 0.7A |
4897 | Mitsubishi | A1SHCPU | Mitsubishi MELSEC A1SHCPU PLC Module Assy, A1SY81 Output Unit, A1S62PN |
4898 | Mitsubishi | FX0-20MR-D | Mitsubishi MELSEC FX0-20MR-D PLC Programmable Controller |
4899 | Mitsubishi | FX0N-24MR-ES | Mitsubishi Melsec FX0N-24MR-ES Programmable controller, FX0N-3A |
4900 | Mitsubishi | FX0N-60MR | Mitsubishi Melsec FX0N-60MR Programmable controller |
4901 | Mitsubishi | FX-128MR | Mitsubishi Melsec FX-128MR |
4902 | Mitsubishi | FX2N-4AD | Mitsubishi MELSEC FX2N-4AD PLC, 0660-00494 |
4903 | Mitsubishi | MR-SOP500 | Mitsubishi Melservo-SO Power Supply Unit MR-SOP500 |
4904 | Mitsubishi | MR-J2S-70B | Mitsubishi MR-J2S-70B AC Servo controller 750W |
4905 | Mitsubishi | MR-RB12 | MITSUBISHI MR-RB12 + MR-RB032 ELECT REGENERATION RESIST |
4906 | Mitsubishi | MSA-6-24 | Mitsubishi MSA-6-24 Quartz Boat Table, Quartz International |
4907 | Mitsubishi | MSA-6-27 | Mitsubishi MSA-6-27 Quartz Endlid (Temp Profile) |
4908 | Mitsubishi | MT4DAV | Mitsubishi MT4DAV Profibus 4 Channel D/A Module (Voltage) |
4909 | Mitsubishi | MT-DP12 | Mitsubishi MT-DP12 Bus Node for Profibus DP, MT-Series |
4910 | Mitsubishi | MT-Y16T | Mitsubishi MT-Y16T Profibus 16 Point Output Module |
4911 | Mitsubishi | MT-Y8T2 | Mitsubishi MT-Y8T2 Profibus 8 Transistor Output Module |
4912 | Mitsubishi | CP750A | Mitsubishi printer CP750A with paper |
4913 | Mitsubishi | FX-8EX-ES/UL | MITSUBISHI PROGRAMMABLE CONTROLLER FX-8EX-ES/UL, FX-8EX |
4914 | Mitsubishi | Q2ASHCPU | Mitsubishi Q2ASHCPU PLC Module w A1SX42 Input A1SY42 Output A1S68DAV Converter |
4915 | Mitsubishi | Q2ASHCPU | Mitsubishi Q2ASHCPU PLC Module w A1SX42 Input A1SY42 Output QC 24-R2 A1SD75P2-S3 |
4916 | Mitsubishi | Q2ASHCPU | Mitsubishi Q2ASHCPU-S1 PLC Module w A1SX42 Input, A1SY42 Output, A1SJ71QE71-B2 |
4917 | Mitsubishi | QI-20454 | Mitsubishi QI-20454 Rev.B, Chamer, 240×130, WEP/WEK/WEB |
4918 | Mitsubishi | SD-N21 | Mitsubishi SD-N21 Magnetic Contactor |
4919 | Mitsubishi | PC7-0228 | Mitsubishi Semiconductor PC7-0228 Guide Wafer, 6″, R3.384, @ 90 DEG |
4920 | Mitsubishi | HC-UFS13BK | Mitsubishi servo motor HC-UFS13BK, 3000r/MIN SPEED, 120V 0.7A |
4921 | Mitsubishi | NUK-1.2A | Mitsubishi Type NUK-1.2A Motor Assy, DC24V, 7 Z |
4922 | Mitsubishi | E300 | N2/IPA BUBBLER, MITSUBISHI E300 TYPE 04300, ME1SEC, PEPPERL+FUCHS 2779, VERIFLOW |
4923 | Mitsubishi | MG150J1BS11 | NEW MITSUBISHI FANUC IGBT TRANSISTOR MODULE MG150J1BS11 |
4924 | Mitsubishi | FX2N-48MR-DS | programmable controller plus components |
4925 | Mitsubishi | A0J2-E28DT | Mitsubishi A0J2-E28DT Meslec Programmable Controller |
4926 | MITSUBISHI | SCT-P75 | MITSUBISHI SCT-P75 VIDEO COPY PROCESSOR |
4927 | MITSUBISHI ELECTRIC CORP. | AY42 | MITSUBISHI ELECTRIC MELSEC AY42 PROGRAMMABLE CONTROLLER |
4928 | Mitutoyo | 1044F-01 | Mitutoyo 1044F-01, Metric Dial Indicator |
4929 | Mitutoyo | ID-S1012EB | Mitutoyo ID-S1012EB Absolute Digimatic Indicator, 543-683B |
4930 | Mitutoyo | ID-U1025E | Mitutoyo ID-U1025E, Absolute Digimatic Indicator |
4931 | Mitutoyo | FS110 | Mitutoyo Ultraplan FS110, Technical Instrument Company K2IND/MIT, Fcs Finder II |
4932 | MKS | 100314913 | MKS 100314913 STAINLESS STEEL BELLOWS |
4933 | MKS | 141AA-00100AB | MKS 141AA-00100AB Baratron Pressure Transducerrr |
4934 | MKS | 100312703 | 10 MKS HPS 100312703 Seal, Center Ring, NW25, AMAT 3700-01089 |
4935 | MKS | 100312705 | 2 MKS HPS 100312705 Seal, Centering Ring Assembly, NW40, S/V |
4936 | MKS | 100001686 | 2 MKS HPS686 Piston, 4.25′ DIA, NW80 |
4937 | MKS | 2 New MKS KF40 Vacuum Foreline Bellows | |
4938 | MKS | 124A-11848 | 3 MKS baratron 124A-11848, 2000 TORR, with calibration sheet, repaired. |
4939 | MKS | 124A-11848 | 4 MKS baratron 124A-11848, 2000 TORR, sealed bag |
4940 | MKS | 1480A-27017 | Alta model digital Mass flow controllercm Ar. |
4941 | MKS | GBR1B24CR1 | GBROR Insitu flow verifier, some minor scratches from handling |
4942 | MKS | 9535-0522 | Heater Jacket, HTR3.5, STRM257, BKT, 1BP3 |
4943 | MKS | 163330-001 | HPS 163330-001 Vacuum Manifold, 93-1141, 164621-3, Adapter, SS |
4944 | MKS | 100319809 | HPS Division 100319809 Rev.A, NW25’S-HPS, Vacuum Hose, 1″x0.006″x24″ |
4945 | MKS | NW 50 | HPS KF50, MKS NW50 Vacuum elbow, 90 Deg |
4946 | MKS | 93-7652 | HPS MKS 93-7652 Bar Isolation Valve, ULV, CV, Heater Jacket |
4947 | MKS | 151-0016K | HPS right angle manual vacuum isolation valve KF 16 |
4948 | MKS | N/A | HPS, MKS BOULDER CO, Humphrey 062 4E1, 24DC 0, 125 PSI, Valve, Vacuum |
4949 | MKS | 0258B-00500RY | Mass Flow Meter 0258B-00500RY Used |
4950 | MKS | 1559A-13602-SPCAL | MFC, MKS 1559A-13602-SPCAL 100 SLM Ar |
4951 | MKS | 122BA-00100EB | MKS 122BA-00100EB Baratron Pressure Controllerrr |
4952 | MKS | 124AA-01000AB | MKS 124AA-01000AB Baratron Pressure Transducerorr |
4953 | MKS | 51A52TCA2BA100 | MKS 51A52TCA2BA100, BARATRON Pressure Transducer Switch, 500 TORR |
4954 | MKS | .2259B-00200SV | MKS .2259B-00200SV, Mass Flow Controller, 200 SCCM N2 |
4955 | MKS | 1006035 | MKS 001006035, 870BRDPCB4GL1, Motor. |
4956 | MKS | 00100BB | MKS 00100BB Baratron Pressure Controllerrr |
4957 | MKS | 0148C-00200RM | MKS 0148C-00200RM Flow Control Valve, 200 SCCM |
4958 | MKS | 022-03161-2D2 | MKS 022-03161-2D2 Microvision Plus, RGH Control Unit, Transpector Power Supply |
4959 | MKS | 0248A-11012 | MKS 0248A-11012 CONTROL VALVE GAS RANGE 500 SCCM |
4960 | MKS | 100312901 | MKS 100312901 CLAMP, AL, NW-16, AMAT 0690-01035 |
4961 | MKS | 100313711 | MKS 100313711 REDUCER, NW16 TO NW25, SST, REV F3 |
4962 | MKS | 100314505 | MKS 100314505, Elbow, Bent, 90 DEG, NW40 |
4963 | MKS | 100314606 | MKS 100314606, TEE NW50, SST, TUMBLE, 3-Way, Vacuum Pipe |
4964 | MKS | 100319115 | MKS 100319115 ADAPT, NW40-1/4″ VCR, vacuum pump and gas line connection VCR to KF40 reducer |
4965 | MKS | 100996755 | MKS 100996755 Vacuum Isolation Valve, HPS, Angle, KF40, PO/PC, KFYO |
4966 | MKS | 100996755 | MKS 100996755 Valve, Angle, KF40, PO/PC |
4967 | MKS | 100996755 | MKS 100996755 Valve, Angle, KF40, PO/PC, KFYO, |
4968 | MKS | 109070028CE | MKS 109070028CE, Vacuum gauge, New |
4969 | MKS | 109070029CE | MKS 109070029CE Analog Convection Transducer |
4970 | MKS | 99J1424 | MKS 111B-3 Vacuum Isolation Valve Bellows Assy |
4971 | MKS | 113B-2-P | MKS 113B-2-P Pwr Supply, Digital Readout Unit for Pressure Sensor & Mass Flow Meter |
4972 | MKS | 1159B | MKS 1159B Mass Flow Controller, MFC, 2%TMB/SiH4, 2 SLPM |
4973 | MKS | 1159B | MKS 1159B Mass Flow Controller, MFC, N2, 20 SCCM |
4974 | MKS | 1159B | MKS 1159B Mass Flow Controller, MFC, N2CM |
4975 | MKS | 1159B00050RVSPCAL | MKS 1159B00050RVSPCAL MFC, Mass Flow Controller, He, 50 SCCM |
4976 | MKS | 1159B-00100RV-SPCAL | MKS 1159B-00100RV-SPCAL Mass Flow Controller, MFC, A2CM |
4977 | MKS | 1159B-00500RV-SPCAL | MKS 1159B-00500RV-SPCAL Mass Flow Controller, MFC, AR, 500 SCCM |
4978 | MKS | 1160B-05000SV | MKS 1160B-05000SV MFC, Mass Flow Controller, N2, 5000 SCCM |
4979 | MKS | 1179A03922CR1BV | MKS 1179A03922CR1BV Mass Flow Controller, MFC, SiH4, 200 SCCM |
4980 | MKS | 1179A11CR1AV–S | MKS 1179A11CR1AV–S Mass Flow Controller, He, 10 SCCM |
4981 | MKS | 1179A12CR1BV–S | MKS 1179A12CR1BV–S Mass Flow Controller, MFC, ARCM |
4982 | MKS | 1179A21CR1BK-S | MKS 1179A21CR1BK-S Mass Flow Controller, MFC, CL2, 10 SCCM, |
4983 | MKS | 1179A21CR1BV–S | MKS 1179A21CR1BV–S Mass Flow Comtroller, MFC, N2, 15 SCCM |
4984 | MKS | 120A-12562 | MKS 120A-12562, Manometer Baratron 1.0 Torr 1/2 Inch VCR Connection. |
4985 | MKS | 120AA-00001RA | MKS 120AA-00001RA Baratron, 1 Torr, 0-10VDC |
4986 | MKS | 120AA-00001RA3 | MKS 120AA-00001RA3 Baratron, 1 Torr, 0-10VDC Output |
4987 | MKS | 120AA-00010RBJ | MKS 120AA-00010RBJ Baratron, 10 Torr, 0-10VDC |
4988 | MKS | 121A-26297 | MKS 121A-26297 Capacitance manometer, 50 Torr |
4989 | MKS | 122A-11061 | MKS 122A-11061 10 Torr Pressure Transducer Type 122A |
4990 | MKS | 122A-11063 | MKS 122A-11063 Baratron Pressure Transducer, 10 Torr, Type 122A |
4991 | MKS | 122A-12716 | MKS 122A-12716 Baratron Pressure Controllerrr |
4992 | MKS | 122AA-00010AB | MKS 122AA-00010AB Baratron, 10 Torr, +/- 15 VDC Input, 0-10 VDC Output |
4993 | MKS | 122AA-00010AB-SP009-81 | MKS 122AA-00010AB-SP009-81, Baratron, Pressure Transducer Type 122 ±15 VDC. |
4994 | MKS | 122AA-00010BB | MKS 122AA-00010BB Baratron Pressure Transducer, 10 Torr, INPUT +/-15 VDC |
4995 | MKS | 122AA-00010BB | MKS 122AA-00010BB Baratron Transducer, 10 Torr, +/-15 VDC, 14279-1B |
4996 | MKS | 122AA-00010BB-SP053-80 | MKS 122AA-00010BB-SP053-80 Baratron Pressure Transducer, 10 Torr |
4997 | MKS | 122AA-00010DB | MKS 122AA-00010DB Baratron Pressure Transducer, 10 Torr |
4998 | MKS | 122AA-00010DB | MKS 122AA-00010DB Baratron Transducer, 10 Torr, INPUT +/-15 VDC |
4999 | MKS | 122AA-00100AB | MKS 122AA-00100AB Baratron Pressure TransducerRR |
5000 | MKS | 122AA-00100BB | MKS 122AA-00100BB Baratron Pressure Transducerrr, Type 122 |
5001 | MKS | 122AA-00100BB | MKS 122AA-00100BB Baratron Pressure Transducerrr, Type 122A |
5002 | MKS | 122AA-00100EB | MKS 122AA-00100EB Baratron Pressure Transducer 100 Torr |
5003 | MKS | 122AA-00100EB | MKS 122AA-00100EB Baratron Pressure Transducerr; We are selling as used |
5004 | MKS | 122AA-00100EB | MKS 122AA-00100EBrr, Pressure Transducer Type 122A |
5005 | MKS | 122AA-00T00EB | MKS 122AA-00T00EB 100Torr Baratron Pressure Transducer |
5006 | MKS | 122AA-01000BB | MKS 122AA-01000BB Pressure Transducer, Baratronorr |
5007 | MKS | 122B-11441 | MKS 122B-11441 BARATRON, 10 TORR |
5008 | MKS | 122B-11441 | MKS 122B-11441—-S Baratron Pressure Transducer, 10 Torr |
5009 | MKS | 122B-11993 | MKS 122B-11993 Baratron Pressure Controller, Transducer |
5010 | MKS | 122B-11993 | MKS 122B-11993 Baratron Pressure Transducer Assy, Type 122Brr |
5011 | MKS | 122B-11993 | MKS 122B-11993 Baratron Pressure Transducerrr |
5012 | MKS | 122B-11993 | MKS 122B-11993 Baratron Pressure Transducerrr, Type 122B |
5013 | MKS | 122B-11993 | MKS 122B-11993rr, 122B-11993 |
5014 | MKS | 122B-11993—-S | MKS 122B-11993—-S Baratronrr |
5015 | MKS | MKS122BA-00010BB | MKS 122BA-00010BB Baratron Pressure Transducer, 10 Torr, AMAT 1350-01055 |
5016 | MKS | 122BA-00010DB-S | MKS 122BA-00010DB-S Type 122B Baratron Pressure Transducer, 10 mBar |
5017 | MKS | 122BA-00100 | MKS 122BA-00100 BARATRON PRESSURE TRANSDUCER 100 TORR +/- 15VDC – 30mA |
5018 | MKS | 122BA-00100EB | MKS 122BA-00100EB Baratron Pressure Transducerrr, Type 122B |
5019 | MKS | 22BA-00100EB | MKS 122BA-00100EB Baratron Pressure Transducerrr, Type 122B, VCR Connection |
5020 | MKS | 122BA-00100EB-S | MKS 122BA-00100EB-S Baratron Pressure Transducerrr, Type 122B |
5021 | MKS | 122BA-00100EB-S | MKS 122BA-00100EB-S, Baratronrr |
5022 | MKS | 122BA-01000BB | MKS 122BA-01000BB Baratron Pressure Transducerorr |
5023 | MKS | 122BA-01000EB | MKS 122BA-01000EB Baratron Pressure Transducerorr |
5024 | MKS | 122BA-01000EB | MKS 122BA-01000EB Baratron Pressure Transducerorr, Type 122B |
5025 | MKS | 123714-G1 | MKS 123714-G1 Flow Verifier, GBROR InSitu, |
5026 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, 2000 Torr |
5027 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr |
5028 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr; |
5029 | MKS | 124A-11848 | MKS 124A-11848 Baratron Pressure Transducer, Type 124, 2000 Torr; |
5030 | MKS | 124A-11848 | MKS 124A-11848 Type 124 Baratron 2000 Torr |
5031 | MKS | 124AA-00010BB | MKS 124AA-00010BB Baratron Pressure Transducer, 10 Torr, Type 124 |
5032 | MKS | 124AA-01000AB | MKS 124AA-01000AB Baratron Pressure Transducerrr |
5033 | MKS | 124AA-05000BB-S | MKS 124AA-05000BB-S Baratron 2000 Torr 1/2VCR 14P Trip, MKS 124 |
5034 | MKS | 1258B-00010RV | MKS 1258B-00010RV Mass Flow MeterCM |
5035 | MKS | 1258B-00010SV | MKS 1258B-00010SV Mass Flow Meter, N2, 10 SCCM |
5036 | MKS | 1258B-00100SV | MKS 1258B-00100SV Mass Flow Controller, MFC, N2CM |
5037 | MKS | 1258B-00200RV | MKS 1258B-00200RV SPO-2VDC, Mass Flow Controller, MFC, Ar, 200 SCCM |
5038 | MKS | 1259B-0010SV-SF44-86-SPCAL | MKS 1259B-0010SV-SF44-86-SPCAL, Mass Flow ControllerCM H2 |
5039 | MKS | 1259C-00010SV | MKS 1259C-00010SV MFC Assy, Mass Flow Controller, N2, 10 SCCM |
5040 | MKS | 1259C-12807 | MKS 1259C-12807, Mass Flow ControllerSCCM N2 |
5041 | MKS | 127A-11014 | MKS 127A-11014 Baratron Pressure Transducer, .1 Torr, Type 127 |
5042 | MKS | 127A-13431 | MKS 127A-13431 Pressure Transducer,1TORR, 233-4641-91, Baratron, POD,127 |
5043 | MKS | 127AA-000.1B | MKS 127AA-000.1B Baratron Pressure Transducer, .1 Torr, Type 127 |
5044 | MKS | 127AA-000.1B | MKS 127AA-000.1B Baratron Pressure Transducer, 1 Torr, Type 127 |
5045 | MKS | 127AA-00001 | MKS 127AA-00001 Baratron Pressure Transduver, 1 Torr |
5046 | MKS | 127AA-00001A | MKS 127AA-00001A Baratron Pressure Transducer, 1 Torr, Type 127 |
5047 | MKS | 127AA-00001A | MKS 127AA-00001A Baratron, Pressure Transducer, 1 Torr, Type 127A |
5048 | MKS | 127AA-00001B | MKS 127AA-00001B Baratron Pressure Transducer, Type 127, 1 Torr |
5049 | MKS | 127AA-00001D | MKS 127AA-00001D, Baratron, Pressure Transducer, 1 Torr, ±15 VDC-250mA. 323973 |
5050 | MKS | 127AA-00001D | MKS 127AA-00001D, Baratron, Pressure Transducer, 1 Torr, ±15 VDC-250mA. 323974 |
5051 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Controller, 1 Torr |
5052 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr |
5053 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, Type 127 |
5054 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transducer, Torr |
5055 | MKS | 127AA-00001E | MKS 127AA-00001E Baratron Pressure Transduver, 1 Torr |
5056 | MKS | 127AA-00001E | MKS 127AA-00001E, Baratron Head 1 Torr, VCO fitting |
5057 | MKS | 127AA-00001E | MKS 127AA-00001E, Baratron Pressure Transducer, 1 Torr |
5058 | MKS | 127AA-00001E | MKS 127AA-00001E, Baratron Pressure Transducer, 1 Torr,±15 VDC, 0-10 VDC |
5059 | MKS | 127AA-00001E | MKS 127AA-00001E, Baratron Pressure Transducer, 81-MK103R, 1 Torr |
5060 | MKS | 127AA-00010B | MKS 127AA-00010B Baratron Pressure Transducer, 10 Torr, Type 127 |
5061 | MKS | 127AA-00100E | MKS 127AA-00100E 100Torr Baratron Pressure Transducer |
5062 | MKS | 127AA-00100E | MKS 127AA-00100E Baratron Pressure Controllerrr |
5063 | MKS | 127AA-0100B | MKS 127AA-01000B Baratron Pressure Transducer |
5064 | MKS | 128AA-00001B | MKS 128AA-00001B Baratron Pressure Transducer, 1 Torr, Type 128 |
5065 | MKS | 128AA-00001B | MKS 128AA-00001B Pressure Transducer, Type 128, 1 Torr, +/- 15 VDC |
5066 | MKS | 128AA-00002B | MKS 128AA-00002B Baratron Pressure Transducer, 2 Torr, Type 128 |
5067 | MKS | 128AA-00010B | MKS 128AA-00010B Baratron Pressure Transducer, 10 Torr, Type 128 |
5068 | MKS | 128AA-00010D | MKS 128AA-00010D Pressure Transducer, Type 128, 10 Torr, +/- 15 VDC |
5069 | MKS | 128AA-01000B | MKS 128AA-01000B Baratron Pressure Transducerorr |
5070 | MKS | 141A-13816—-S | MKS 141A-13816—-S Baratron Pressure Transducerrr. 141A |
5071 | MKS | 141A-13816—S | MKS 141A-13816—S Baratron Pressure Transducerrr., VACUUM SWITCH,112323 |
5072 | MKS | 141A-13816—S | MKS 141A-13816—S Baratron Pressure Transducerrr., VACUUM SWITCH,112324 |
5073 | MKS | 141A-13816—S | MKS 141A-13816—S Baratron Pressure Transducerrr., VACUUM SWITCH,112325 |
5074 | MKS | 141A-22132 | MKS 141A-22132 Type 141 Vacuum Switch, 10 mBar Range, Trip Pt 5 mBar |
5075 | MKS | 141A-24161 | MKS 141A-2ARATRON 10 TORR |
5076 | MKS | 141AA-00001BB-S | MKS 141AA-00001BB-S Baratron Pressure Transducer, 1 Torr, Type 141 |
5077 | MKS | 141AA-00001DBT-T | MKS 141AA-00001DBT-T Baratron, +24VDC / SETP 0.1 MBAR, |
5078 | MKS | 141AA-00010BA | MKS 141AA-00010BA Baratron Pressure Transducer, 10 Torr, Type 141 |
5079 | MKS | 141AA-00010BA-S | MKS 141AA-00010BA-S BARATRON 10 TORR |
5080 | MKS | 141AA-00010BB | MKS 141AA-00010BB 10 Torr Baratron Pressure transducer |
5081 | MKS | 141AA-00010BB | MKS 141AA-00010BB Baratron Pressure Transducer, 10 Torr, Type 141 |
5082 | MKS | 141AA-00010BB-S | MKS 141AA-00010BB-S BARATRON 10 TORR |
5083 | MKS | 141AA-00010BB-S | MKS 141AA-00010BB-S Baratron 3 Torr, Vacuum Switch Type 141 |
5084 | MKS | 141AA-00010BB-S | MKS 141AA-00010BB-S Baratron Pressure Transducer, 10 Torr, Type 141 |
5085 | MKS | 141AA-00010BB-S | MKS 141AA-00010BB-S Baratron Pressure Transducer, 3 Torr, Type 141 |
5086 | MKS | 141AA-00100AA | MKS 141AA-00100AA Baratron Pressure Transducerr |
5087 | MKS | 145-0025K-208V/60 | MKS 145-0025K-208V/60 Valve, Vacuum Sentry, has waterstain |
5088 | MKS | 1459C-00200RM | MKS 1459C-00200RM Mass Flow Controller, MFC, N2, 200 SCCM |
5089 | MKS | 1459C-00200RM | MKS 1459C-00200RM Mass Flow Controller, MFC, N2,200 SCCM |
5090 | MKS | 1459C-00500RM | MKS 1459C-00500RM Mass Flow Controller, MFC, BCL3, 200 SCCM |
5091 | MKS | 1459C-00500RM | MKS 1459C-00500RM Mass Flow Controller, MFC, N2, 500 SCCM |
5092 | MKS | 1459C-00500RM | MKS 1459C-00500RM MFC, Mass Flow Controller, N2, 500 SCCM |
5093 | MKS | 1459C-01000RM | MKS 1459C-01000RM Mass Flow Controller, MFC, N2CCM |
5094 | MKS | 146A-A00NM-1 | MKS 146A-A00NM-1 VACUUM GAUGE MEASURMENT AND CONTROLLER |
5095 | MKS | 146B-AAFFN-1 | MKS 146B-AAFFN-1 Vacuum Gauge Measurement and Control System, Type 146 |
5096 | MKS | 1479A | MKS 1479A Mass Flow Controller, MFC, 98%H2/TMB, 200 SCCM |
5097 | MKS | 1479A | MKS 1479A Mass Flow Controller, MFC, H2/TMB, 200 SCCM |
5098 | MKS | 1479A21CR16M20 | MKS 1479A21CR16M20 Mass Flow Controller, MFC, N2, 20 SCCM |
5099 | MKS | 1479A22CR1BM–S | MKS 1479A22CR1BM–S Mass Flow Controller, MFC, H2/2%TMB, 200 SCCM |
5100 | MKS | 147A22CR1BM–S | MKS 147A22CR1BM–S Mass Flow Controller, MFC, 99%H21%PH3, 200 SCCM |
5101 | MKS | 147A22CR1BM–S | MKS 147A22CR1BM–S Mass Flow Controller, MFC, N20, 2000 SCCM |
5102 | MKS | 147A22CR1BM–S | MKS 147A22CR1BM–S Mass Flow Controller, MFC, SIH4, 500 SCCM |
5103 | MKS | 1480A01352CR16M12 | MKS 1480A01352CR16M12 MFC Alta model digital Mass flow controller, 500 sccm N2. |
5104 | MKS | 152-0025K | MKS 152-0025K Pneumatic Isolation Valve Angle |
5105 | MKS | 152D-P0 | MKS 152D-P0 Type 152 Automatic Pressure Controller |
5106 | MKS | 152G-P0 | MKS 152G-P0, Type 152 Automatic Pressure Controller |
5107 | MKS | 152H-P0 | MKS 152H-P0 Type 152 Automatic Pressure Controller |
5108 | MKS | 153-1016K-24VDC | MKS 153-1016K-24VDC Right Angel Valve w/ Solenoid Valve and Sensors |
5109 | MKS | 153D-14671 | MKS 153D-15575 THROTTLE VALVE TYPE 153 CONTROL VALVE |
5110 | MKS | 1640A-14387 | MKS 1640A-14387 MFC Mass Flow Controller, 6000 SCCM, N2 |
5111 | MKS | 1679A22CR1BM | MKS 1679A22CR1BM Mass-Flo Controller, MFC, N2, 200 SCCM, Calibrated |
5112 | MKS | 1679B51CR1UM-SPF0469 | MKS 1679B51CR1UM-SPF0469 Mass-Flo Controller, MFC, AR, 50 SCCM |
5113 | MKS | 167B22CR15A | MKS 167B22CR15A Mass Flow Controller, N2 200 SCCM |
5114 | MKS | 172-0040K-VA | MKS 172-0040K-VA ANGLE VALVE KF-40 WITH Heater Jacket 0430C-44 |
5115 | MKS | 179A00151CR3BM | MKS 179A00151CR3BM Mass Flow Controller MFC, HE, 50 SCCM, Mass-Flo Meter |
5116 | MKS | 179A-15721—S | MKS 179A-15721—S Mass Flow Controller, MFC, HE, 50 SCCM |
5117 | MKS | 1869-04 | MKS 1869-04, Bellows, ISO-KF, NW50, SS, 3.94″ |
5118 | MKS | 20704A-25352 | MKS 20704A-25352 Process Sense, Valve, Vacuum Exhaust Monitor, End Point |
5119 | MKS | 20704A-28818 | MKS 20704A-28818 Process Sense, Valve, Vacuum Exhaust Monitor, End Point |
5120 | MKS | 2159B-00100RV | MKS 2159B-00100RV MFC, N2CM, Nupro SS-BN496-2C |
5121 | MKS | 2159B-00200SV-SPCAL | MKS 2159B-00200SV-SPCAL Mass Flow Controller MFC ArCM |
5122 | MKS | 2179A11CL1BV | MKS 2179A11CL1BV Mass Flow Controller MFC N2 10 SCCM, Nupro 6LV-RD6901-C |
5123 | MKS | 2179A21CR1BV | MKS 2179A21CR1BV MFC, N2, 20 SCCM Swagelok 6LVV-RD6901-C, B26493, NVZ110, |
5124 | MKS | 2179A-22443 | MKS 2179A-22443 MFC MASS FLOW CONTROLLERM, N2, SWAGELOK 6LVV-DP6901-C |
5125 | MKS | 2179A51CR1BV | MKS 2179A51CR1BV MFC Mass Flow Controller, 50 SCCM, N457496LV-RD6901-C |
5126 | MKS | 222AHS-A-A-100 | MKS 222AHS-AA-100 Baratron Pressure Transducerrr |
5127 | MKS | 222AHS-A-A100 | MKS 222AHS-A-A100 Baratron Pressure Transducerrr |
5128 | MKS | 222AHS-A-A-100 | MKS 222AHS-A-A-100 Baratron Pressure Transducerrr |
5129 | MKS | 222BA-00010BA-SP053-80 | MKS 222BA-00010BA-SP053-80 Baratron Pressure Transducer, 10 Torr |
5130 | MKS | 222CA-00010 | MKS 222CA-00010-AA Baratron, 10 Torr |
5131 | MKS | 2258B-00100RV | MKS 2258B-00100RV, Mass Flow ControllerCM N2 |
5132 | MKS | 2259B-00500RK | MKS 2259B-00500RK MFC Assy, Mass Flow Controller, N2, 500 SCCM, SS-4BK |
5133 | MKS | 2259C-00010RK | MKS 2259C-00010RK, Mass Flow Controller, 10 SCCM N2 |
5134 | MKS | 2259C-00010SV | MKS 2259C-00010SV Mass Flow Controller, MFC, N2, 10 SCCM |
5135 | MKS | 225A-25603 | MKS 225A-25603 Baratron, 2.5 INH20, 1/4 VCR, 011-27900, 811-27900 |
5136 | MKS | 225AD-00010ABBS | MKS 225AD-00010ABBS Baratron Pressure Transducer 2.5″ H20, 3/16TU 4SCREW |
5137 | MKS | 241(241AA-01000AA) | MKS 241A (241AA-01000AA) Pressure Transducer Baratron, Vacuum Switch |
5138 | MKS | 241AA-00001B | MKS 241AA-00001B, 1 TORR, SWITCH |
5139 | MKS | 241AA-00010BB-S | MKS 241AA-00010BB-S Baratron Pressure Transducer, 12 Torr, Type 241A |
5140 | MKS | 241AA-01000AA | MKS 241AA-01000AA, Vacuum Switch, Type 241Arr |
5141 | MKS | 244C-1-VPO | MKS 244C-1-VPO Pressure Flow Controller, Type 244 |
5142 | MKS | 244D-1-VPO | MKS 244D-1-VPO Pressure Flow Controller, Type 244 |
5143 | MKS | 244E-1-VPO | MKS 244E-1-VPO Pressure Flow Controller, Type 244 |
5144 | MKS | 247 | MKS 247 TEMPERATURE CONTROLLER 3-CHANNEL |
5145 | MKS | 247 | MKS 247 TEMPERATURE CONTROLLER 3-CHANNEL,104721 |
5146 | MKS | 247C | MKS 247C 4-Channel Mass Flow Controller Power Supply Readout |
5147 | MKS | 247C | MKS 247C 4-Channel Readout, Power Supply |
5148 | MKS | 247C | MKS 247C Four Channel Mass Flow Controller Power Supply Readout |
5149 | MKS | 250B | MKS 250B throttle valve controller with vacuum general 80-6B pressure display S8 |
5150 | MKS | 250B-1-D | MKS 250B-1-D Pressure Flow Controller, Type 250B Controller |
5151 | MKS | 250B-1-DS-P02-83 | MKS 250B-1-DS-P02-83 throttle valve controller with MKS 270 pressure display |
5152 | MKS | 250C | MKS 250C throttle valve controller with MKS 270A pressure display |
5153 | MKS | 250C-1-D | MKS 250C-1-D Pressure Flow Controller, Type 250B Controller |
5154 | MKS | 250C-1-D | MKS 250C-1-D Type 250 Exhaust Valve Pressure/Flow Controller |
5155 | MKS | 252A-1 | MKS 252A-1 Exhaust Valve Controller, Type 252A |
5156 | MKS | 252A-1-VPO | MKS 252A-1-VPO , Controller, Exhaust Valve |
5157 | MKS | 252A-1-VPO | MKS 252A-1-VPO, Controller, Exhaust Valve |
5158 | MKS | 252C-1-VPO | MKS 252C-1-VPO Exhaust Valve Controller, Type 252 |
5159 | MKS | 252C-1-VPO | MKS 252C-1-VPO exhaust vavle controller, used |
5160 | MKS | 253A-11020 | MKS 253A-11020 Throttle Valve 253A KF-40, NW40 Flange |
5161 | MKS | 253A-4-3-2 | MKS 253A-4-3-2 Exhaust Throttle Valve |
5162 | MKS | 253B-11247 | MKS 253B-11247 Throttle Valve |
5163 | MKS | 253B-12931 | MKS 253B-12931 Control Valve |
5164 | MKS | 253B-27416 | MKS 253B-27rottle Valve, Type 253B |
5165 | MKS | 253B-31800 | MKS 253B-31800 Throttling Valve, Type 253B, |
5166 | MKS | 253B-31800 | MKS 253B-31800 Throttling Valve, Type 253B, LAM 796-017691-005, |
5167 | MKS | 0258B-00500RV | MKS 2542 Mass Flow Meter 0258B-00500RV, 500 SCCM Flowmeter, S40300 |
5168 | MKS | 270B-5 | MKS 270B-5 Type 270 Signal Conditioner |
5169 | MKS | 270C-4 | MKS 270C-4 Type 270 Signal Conditioner, Display |
5170 | MKS | 270D-12571 | MKS 270D-12571 Type 270 Signal Conditioner |
5171 | MKS | 356036-YE-T | MKS 356036-YE-T Granville-Phillips Micro-Ion Plus |
5172 | MKS | 370HA-00001 | MKS 370HA-00001 Baratron Pressure HeadBaratron Pressure Head, 1 Torr |
5173 | MKS | 41A11DCA2AA003 | MKS 41A11DCA2AA003 Baratron Pressure Switch |
5174 | MKS | 41A11DCA2BA001 | MKS 41A11DCA2BA001 Baratron Pressure Transducer, 10 Torr |
5175 | MKS | 41A11DCA2BA001 | MKS 41A11DCA2BA001, BARATRON Pressure Transducer, 10 Torr |
5176 | MKS | 4530-1054-1 | MKS 4530-1054-1 Heater Jacket Assy, 3.0, V2A, 1MP3 |
5177 | MKS | 4540-0160 | MKS 4540-0160 VACUUM PIPING HEATER, 120/240 VAC, 50/60 Hz |
5178 | MKS | 52A21PCH2AA007 | MKS 52A21PCH2AA007 Baratron Pressure Transducer, 20 PSIA, 29289-00 |
5179 | MKS | 651CD2S1N | MKS 600 Series Pressure Controller, 651CD2S1N |
5180 | MKS | 621C11TBFHB | MKS 621C11TBFHB Remote Transducer, 10 TORR |
5181 | MKS | 621C11TBFHB | MKS 621C11TBFHB Remote Transducer, 10 TORR, 621C11TBFHB—-S |
5182 | MKS | 621C11TBFHC | MKS 621C11TBFHC Baratron Pressure Transducer, Remote Transducer, 10Torr |
5183 | MKS | 621C-24123 | MKS 621C-24123 Baratron Pressure Manametororr, A2690,105709 |
5184 | MKS | 622A12TBE | MKS 622A12TBE Baratron Pressure Transducer 100 Torr |
5185 | MKS | 623A13TDE | MKS 623A13TDE Pressure Transducer with Trip Points, Type 623orr |
5186 | MKS | 623A13TEE | MKS 623A13TEE Baratron Capacitor Manometer |
5187 | MKS | 623A13TEE | MKS 623A13TEE Baratron Capacitor Manometer |
5188 | MKS | 623A-14934 | MKS 623A-14934 Baratron Pressure Transducerorr; We are selling as used |
5189 | MKS | 624A-13092 | MKS 624A-13092 Baratron Pressure Transducerrr |
5190 | MKS | 625A-13127 | MKS 625A-13127 Baratron Pressure Transducer, 10 Torr, Type 625 |
5191 | MKS | 625A13TBE | MKS 625A13TBE Baratron Pressure Transducerorr; We are selling as used |
5192 | MKS | 625B02TBAEU | MKS 625B02TBAEU Baratron Pressure Transducer, 2 Torr |
5193 | MKS | 625B23TCEFU | MKS 625B23TCEFU Baratron Capacitance Manometer, 2000 Torr |
5194 | MKS | 625B23TCEFU | MKS 625B23TCEFU Baratron Capacitance Manometer, 2000 Torr; |
5195 | MKS | 625B23TCEFU | MKS 625B23TCEFU Capacitance Manometer 2000 Torr, in sealed bag |
5196 | MKS | 626A.1TBF | MKS 626A.1TBF BARATRON CAPACITANCE MANOMETER |
5197 | MKS | 626A01TBE | MKS 626A01TBE Type 626 BARATRON CAPACITANCE MANOMETER, +/-15 VDC-35 mA |
5198 | MKS | 626A12TEE | MKS 626A12TEE Baratron Pressure Transducer, 13.332 kPa |
5199 | MKS | 627A01TBC | MKS 627A01TBC Baratron Pressure Transducer, Type 627, 1 Torr |
5200 | MKS | 627A01TDC | MKS 627A01TDC Baratron Pressure Transducer, 1 Torr, Type 627,422115 |
5201 | MKS | 627A12TBC | MKS 627A12TBC 100 Torr, Baratron manameter |
5202 | MKS | 627B01TBC1B | MKS 627B01TBC1B 1 Torr Baratron, Transducer Capacitance Manometer |
5203 | MKS | 627B01TBC1B | MKS 627B01TBC1B Baratron, Capacitance Manometer |
5204 | MKS | 627B11TBC1B | MKS 627B11TBC1B BARATRON CAPACITANCE MANOMETER 10 TORR, 250 MA |
5205 | MKS | 627B12TBC1B | MKS 627B12TBC1B BARATRON CAPACITANCE MANOMETERRR, 250 MA |
5206 | MKS | 627B-21619 | MKS 627B-21619 Baratron Pressure Transducer, 1Torr |
5207 | MKS | 627BU5TBD1B | MKS 627BU5TBD1B Baratron Capacitance Manometer, 0.05 Torr |
5208 | MKS | 627BX01MCC9B | MKS 627BX01MCC9B Baratron Pressure Transducer, 1 mbar |
5209 | MKS | 627D12TBC1B | MKS 627D12TBC1B Baratron Capacitance Manometerrr |
5210 | MKS | 627D12TBC4B | MKS 627D12TBC4B Baratron Capacitance Manometerrr |
5211 | MKS | 628A01TBE | MKS 628A01TBE, 1 Pa/133.32 Baratron, Transducer Capacitance Manometer |
5212 | MKS | 628A-13114 | MKS 628A-13114 BARATRON, 0.1 TORR |
5213 | MKS | 628A13TBE | MKS 628A13TBE Baratron Pressure Transducerorr, Type 628 |
5214 | MKS | 628B-21502 | MKS 628B-21502 Baratron Pressure TransducerBar |
5215 | MKS | 629A.1TBD—–S | MKS 629A.1TBD—–S Type 629A.1 BARATRON CAPACITANCE MANOMETER |
5216 | MKS | 629A.1TBD—–S | MKS 629A.1TBD—–S Type 629A.1 BARATRON CAPACITANCE, 629A.1TBDS |
5217 | MKS | 629A13TBC | MKS 629A13TBC Type 629 BARATRON CAPACITANCE MANOMETER, +/-15 VDC-250 mA |
5218 | MKS | 631A13TBEH | MKS 631A13TBEH Type 631 BARATRON PRESSURE TRANSDUCER, Input +/- 15 VDC |
5219 | MKS | 631B01TBFP | MKS 631B01TBFP Baratron Capacitance Manometer, 1 TORR |
5220 | MKS | 631B-27698 | MKS 631B-27698 Baratron Capacitance Manometer, 50 Torr, Novellus 60-314152-00; |
5221 | MKS | 631D12TBFPAA | MKS 631D12TBFPAA Baratron Capacitance Manometerrr |
5222 | MKS | 640A11TW1V22F | MKS 640A11TW1V22F Mass Flow Controller, MFC, 10 Torr, Type 640 |
5223 | MKS | 640A11TW1VA2V | MKS 640A11TW1VA2V, Mass Flow Controller, MFC, 10 Torr, |
5224 | MKS | 647A4R0N | MKS 647A4R0n Multi Gas Controller, 147, powers on |
5225 | MKS | 649A-25014 | MKS 649A-25014 Mass Flow Controller, MFC, He, 50 SCCM, LAM 797-800733-001 |
5226 | MKS | 653B-21801 | MKS 653B-21801 Throttle valve, cal-weld, 10-160921-00/2, S8214 |
5227 | MKS | 672B01TBC1B | MKS 672B01TBC1B, 1 Torr, Baratron (Calibrated) |
5228 | MKS | 683B-23795 | MKS 683B-23795 Throttle Valve w/ Boards |
5229 | MKS | 683B-28961 | MKS 683B-28961 AMAT 3870-06169 Throttle Valve, Control Valve |
5230 | MKS | 722A11TCD2FA | MKS 722A11TCD2FA BARATRON PRESSURE TRANDUCER, +13-32VDC, 0-10VDC |
5231 | MKS | 722A11TCE2FK | MKS 722A11TCE2FK BARATRON PRESSURE TRANDUCER, +13-32VDC, 0-10VDC |
5232 | MKS | 722A11TCE2FK | MKS 722A11TCE2FK Baratron Pressure Transducer, Input +13-32 VDC |
5233 | MKS | 722A11TCE2FK | MKS 722A11TCE2FK Baratron Pressure Transducer, Input +13-32 VDC, Output 0-10 VDC, Range 10 Torr |
5234 | MKS | 722A51TCE2FA | MKS 722A51TCE2FA BARATRON PRESSURE TRANSDUCER, 50 Torr |
5235 | MKS | 728A51TCE2FA | MKS 728A51TCE2FA Baratron Pressure Transducer, 50 Torr |
5236 | MKS | 750B-28180 | MKS 750B-28180 Baratron Pressure Transducer, 10 Torr |
5237 | MKS | 750C12TCD2GA | MKS 750C12TCD2GA Baratron Pressure Transducer, |
5238 | MKS | 850BRDPCB3GD | MKS 850B33PCB3GD Baratron Pressure Transducer, 3000 PSIA |
5239 | MKS | 852B-14628 | MKS 852B-14628 Baratron Pressure TransducerI |
5240 | MKS | 852B33TCJ4GD | MKS 852B33TCJ4GD BARATRON PRESSURE TRANSDUCER, +13-36 VDC, 4-20 MA |
5241 | MKS | 872B12PME2GC1 | MKS 872B12PME2GC1 Baratro Pressure TransducerIA Range |
5242 | MKS | 872BRDPBE4GL1 | MKS 872BRDPBE4GL1, Swagelok E03532, Baratron Pressure Transducer. |
5243 | MKS | 892A-26438 | MKS 892A-26438 Baratron Pressure Transducer, 1500 Torr |
5244 | MKS | 892b-27060 | MKS 892b-27060 Surface Pressure Transducer, New |
5245 | MKS | 901P-81030 | MKS 901P Loadlock Vacuum Pressure Transducer 901P-81030 9-30VDC |
5246 | MKS | 9340-0316 | MKS 9340-0316 HEATER, JACKET, VALVE, NW-100, VTR, HPS 4340- |
5247 | MKS | 93-5024 | MKS 93-5024 High Vacuum Pneumatic Valve |
5248 | MKS | 93-5083 | MKS 93-5083 Cable, Heater, Power, 120V, #4, 90D, AMAT 0620-02531 |
5249 | MKS | 9377-0070 | MKS 9377-0070 PCB, VHS, CAU+SUB, 9377-0010, 9377-6003C |
5250 | MKS | 9515-0356 | MKS 9515-0356 Heater Vacuum Line Jacket, Novellus 27-130826-00 |
5251 | MKS | 9599-0255 | MKS 9599-0255 Heater Jacket, 60 VAC, 50/60Hz, 10W, 0.17A |
5252 | MKS | 9599-0255 | MKS 9599-0255, 85875, Heater Jacket, 60V, 10W, 0.17A |
5253 | MKS | 9599-0255 | MKS 9599-0255, VACUUM PIPING HEATER |
5254 | MKS | 9615-0657 | MKS 9615-0657, HTR1.5, STR7.0, PRT, 3BP3, VACUUM PIPING HEATER, 208V- 61W, .29A, E52951, 121080 |
5255 | MKS | 9980351 | MKS 9980351 Heated Isolation Vacuum Valve, EV5A Foreline429 |
5256 | MKS | 99B0221 | MKS 99B0221 Vacuum Valve Assembly, Chamber, Isolation, 80 PSI |
5257 | MKS | 99E0716 | MKS 99E0716 Vacuum Interlock Assy w/ Safety Valve |
5258 | MKS | 99F1526 | MKS 99F1526 Valve |
5259 | MKS | 99N0878 | MKS 99N0878 Right Angle Valve, 72-428642, w/ Solenoid Valve and Sensors |
5260 | MKS | ACG-10-01 | MKS ACG-10-01 RF Generator, ACG-10B-02 |
5261 | MKS | AX8407LS-D-SP | MKS Astex AX8407LS-D-SP Ozone Generator C1 LIQ Single 4 Cells, Compact, |
5262 | MKS | MKS Astron RPS Remote Plasma Source, | |
5263 | MKS | B-8502-14038 | MKS B-8502-14038 RF Generator, 8500 W, 2 MHz, 200-208V, 60 A, 50-60Hz |
5264 | MKS | 122BA-00100EB | MKS baraton 122BA-00100EB 100 Torr, looks new |
5265 | MKS | 122BA-00001AB | MKS BARATORN 122BA-00001AB, 1 TORR range, TYPE 122B |
5266 | MKS | 122BA-00001AB | MKS BARATORN 122BA-00001AB, 1 TORR range, TYPE 122B, 0-10 VDC |
5267 | MKS | 122BA-01000AB | MKS BARATORN 122BA-01000ABORR RANGE, TYPE 122B |
5268 | MKS | 127AA-00001B | MKS BARATORN 127AA-00001B, 1 TORR range, +- 15 VDC, TYPE 127 |
5269 | MKS | 241AA-00010BB | MKS BARATORN 241AA-00010BB, 10 TORR RANGE, TYPE 241A |
5270 | MKS | 241AA-00010BB | MKS BARATORN 241AA-00010BB, 10 TORR RANGE, TYPE 241A, vacuum switch |
5271 | MKS | 122A-11060 | MKS baratron 122A-11060, 10 Torr, S349A |
5272 | MKS | 122A-11063 | MKS BARATRON 122A-11063, 10 TORR, used, as is |
5273 | MKS | 122AA-00002BB | MKS Baratron 122AA-00002BB Pressure Transducer, Type 122A, Torr |
5274 | MKS | 122AA-00010BB | MKS BARATRON 122AA-00010BB, 10 TORR, used |
5275 | MKS | 122B-11993 | MKS BARATRON 122B-11993RR, used, as is |
5276 | MKS | 122BA-00100EB | MKS BARATRON 122BA-00100EBRR |
5277 | MKS | 124A-11848 | MKS baratron 124A-11848, 2000 Torr Range, With calibration sheet S95244248A |
5278 | MKS | 124A-11848—-S | MKS baratron 124A-11848—-S, 2000 Torr, S392A |
5279 | MKS | 124AA-00010BB | MKS BARATRON 124AA-00010BB, 10 TORR, used, as is |
5280 | MKS | 124AA-05000BB-S | MKS baratron 124AA-05000BB-S, 2000 Torr, S201A |
5281 | MKS | 127AA-00001B | MKS BARATRON 127AA-00001B TYPE 127, 1 TORR rng, IN +/- 15 VDC, OUT 0-10 |
5282 | MKS | 127AA-00001B | MKS BARATRON 127AA-00001B TYPE 127, 1 TORR, IN +/- 15 VDC, OUT 0-10 |
5283 | MKS | 127AA-00001E | MKS baratron 127AA-00001E, 1 Torr with calibration sheet, Clean, S952712 |
5284 | MKS | 127AA-00001E | MKS baratron 127AA-00001E, 1 torr, S33006-1AL |
5285 | MKS | 127AA-00001E, | MKS baratron 127AA-00001E, 1 Torr, S57317-2-1E |
5286 | MKS | 127AA-00001E | MKS baratron 127AA-00001E, 1 torr, S78759-1V |
5287 | MKS | 127AA-00001E, | MKS baratron 127AA-00001E, 1 Torr, S79166 |
5288 | MKS | 127AA-00001E | MKS Baratron 127AA-00001E, Unknown gas Range |
5289 | MKS | 127AAX-00010DT | MKS baratron 127AAX-00010DT, 10 TORR lots of 2 |
5290 | MKS | 141A-13957—-S | MKS baratron 141A-13957—-SRR, looks clean |
5291 | MKS | 141AA | MKS baratron 141AA, 10 Torr, used as is |
5292 | MKS | 141AA-00010BB-S | MKS BARATRON 141AA-00010BB-S 3 TORR |
5293 | MKS | 141AA-00100AA | MKS BARATRON 141AA-00100AARR, LOOKS VERY CLEAN |
5294 | MKS | 170M-6C | MKS BARATRON 170M-6C RANGE MULTIPLIER |
5295 | MKS | 222BA-00010BA-3P053-80 | MKS Baratron 222BA-00010BA-3P053-80 Pressure Transducer, 10 Torr |
5296 | MKS | 225AD-00010ABBS | MKS baratron 225AD-00010ABBS, +2.5 Inch H2O Range, S961661 |
5297 | MKS | 227AA | MKS baratron 227AA-00001A, 1 TORR, +/- 15 VDC, used as is |
5298 | MKS | 631A11TBEH3 | MKS BARATRON 631A11TBEH3, 1.333 KPa |
5299 | MKS | CT27A13TDC910 | MKS Baratron CT27A13TDC910ORR, 24 VDC |
5300 | MKS | E29B.1TDDJ5B | MKS BARATRON E29B.1TDDJ5B, 0.1 TORR |
5301 | MKS | 627A.1TAD—–S | MKS Baratron Pressure Transducer 627A.1TAD—–S, 750A11TGA2G-G1 |
5302 | MKS | 839-013515-001-B | MKS baratron stem valve assembly 839-013515-001-B |
5303 | MKS | 122B | MKS BARATRON TYPE 122B, RANGE UNKNOWN |
5304 | MKS | 122BA-00100BB | MKS Baratron Type 122BA, 122BA-00100BB, Baratron Pressure Transducerrr |
5305 | MKS | 127AA-00001B | MKS Baratron Type 127 Pressure Regulator 127AA-00001B, 1 Torr |
5306 | MKS | Type 127 | MKS Baratron Type 127 Pressure Regulator 127AA-00001B, 1 Torr, |
5307 | MKS | 127AA-00001B | MKS Baratron Type 127, 127AA-00001B, Baratron Pressure Transducer, 1 Torr |
5308 | MKS | 127AA-00001B | MKS Baratron Type 127, 127AA-00001B, Pressure Transducer, 1 Torr |
5309 | MKS | 127AA-00010B | MKS Baratron Type 127, 127AA-00010B, Baratron Pressure Transducer, 10 Torr, 233-1807-76 |
5310 | MKS | 127AA-00010B | MKS Baratron Type 127, 127AA-00010B, Pressure Transducer, 10 Torr |
5311 | MKS | 127AA-00001E | MKS BARATRON TYPE 127A, 127AA-00001E, Baratron Pressure Transducer, 1 Torr |
5312 | MKS | 127AA-00001B | MKS Baratron Type 127AA, 127AA-00001B, Baratron Pressure Transducer |
5313 | MKS | 127AA-00001B | MKS Baratron Type 127AA, 127AA-00001B, Baratron Pressure Transducer, 1 Torr |
5314 | MKS | 127AA-00001E | MKS BARATRON TYPE 127AA, 127AA-00001E, Pressure Transducer, 1 Torr |
5315 | MKS | 141A-14319 | MKS Baratron Type 141 Pressure Transducer 141A-14319rr |
5316 | MKS | Type 141 | MKS Baratron Type 141 Vaccuum Switch 141AA-00010BB-S, 3 Torr, |
5317 | MKS | Type 241A | MKS Baratron Type 241A Vacuum Switch 241AA-00010B, 10 Torr, |
5318 | MKS | Type 627 | MKS Baratron Type 627 Pressure Transducer 627A-13267, 20 Torr, |
5319 | MKS | CDN391R | MKS CDN391R, AS01391-21-1-1, 506-024, FW:4.025, HW:2002, PCB |
5320 | MKS | CDN396R | MKS CDN396R AS01396-6-5 PCB |
5321 | MKS | 253A-4-100-1-S | MKS Control Valve 253A-4-100-1-S |
5322 | MKS | CV16-K1K1-NCZZ-F12 | MKS CV16-K1K1-NCZZ-F12 Compact Vacuum Valve |
5323 | MKS | CVNL-K2-MTVZ | MKS CVNL-K2-MTVZ Manual Isolation Valve |
5324 | MKS | DCG-200A | MKS DCG-200A Optima DC Plasma Generator, OPT-200A-S06, S-08-115, RS1167 |
5325 | MKS | DCG-200A | MKS DCG-200A Optima DC Plasma Generator, OPT-400A-06, S-08-115, RS1061 |
5326 | MKS | E28BU5TBF1B | MKS E28BU5TBF1B Baratron Capacitance Manometer, 0.05 Torr |
5327 | MKS | E29BU5TODJ1B | MKS E29BU5TODJ1B Baratron Etch Manometer, 0.05 Torr |
5328 | MKS | C5002-05 | MKS ENI C5002-05 RF Generator, SKW, 1.8-2.17 MHZ, LAM 660-124460-625 |
5329 | MKS | C5002-05 | MKS ENI C5002-05 RF Generator, SKW, 1.8-2.17 MHZ, LAM 660-124460-635 |
5330 | MKS | MKS Exhaust Throttling Valve 253A-4-3-2 | |
5331 | MKS | FRCA234B24 | MKS FRCA234B24 Flow Ratio Controller, 2000 SCCM, N2 |
5332 | MKS | N/A | MKS Gas Manifold w/ Ion Gauge, MDC, AMAT 0040-20580 |
5333 | MKS | MKS Gas Manifold w/ MKS 122A-11064 100 Torr, and Ion Gauge | |
5334 | MKS | 253A-3-2-2 | MKS GATE VALVE CONTROL VALVE TYPE 253A-3-2-2 SIZE 75MM THROTTLE VALVE |
5335 | MKS | 919 | MKS HPS 919 Hot Cathode Vacuum Controller, untested |
5336 | MKS | 100312705 | MKS HPS 100312705 Seal, CTR Ring Assy, NW40 |
5337 | MKS | 100312901 | MKS HPS 100312901 Clamp, NW16, AL, AMAT 0690-01035 |
5338 | MKS | 100314416 | MKS HPS 100314bow, Long Radius, 90 Deg |
5339 | MKS | 100319221 | MKS HPS 100319221, 220-002-0420, Adaptor, NW16 to 1/2 Inch VCR, Fitting |
5340 | MKS | 103250021 | MKS HPS 103250021 Type 325 Moducell Vacuum Gauge |
5341 | MKS | 103250028 | MKS HPS 103250028 Pirani Vacuum Gaugeducell |
5342 | MKS | 104230001 | MKS HPS 104230001 SeriesMag Cold Cathode Vacuum Sensor |
5343 | MKS | 152-0025K | MKS HPS 152-0025K Pneumatic Vacuum Isolation Valve, Angle |
5344 | MKS | 153-0050k-24vdc | MKS HPS 153-0050K-24VDC Angular Vacuum Valve, NEW 24VDC |
5345 | MKS | 161-0050K | MKS HPS 161-0050K Vacuum Isolation Valve, Manual w/ KF, NW50 |
5346 | MKS | 60-00173-00 | MKS HPS 60-00173-00 Seal, Centering Assembly, NW16, A/V |
5347 | MKS | 901P-11 | MKS HPS 901P-11 Series 901P Loadlock Transducer |
5348 | MKS | 919 | MKS HPS 919 Hot Cathode Controller, 10 Torr, 120 VAC, 50-60 Hz, 120 W, |
5349 | MKS | 919 | MKS HPS 919 Hot Cathode Vacuum Controller |
5350 | MKS | 9315-0131 | MKS HPS 9315-0131 Insulator NW40 Clamp, Heater Jacket, Novellus |
5351 | MKS | 93-4214 | MKS HPS 93-4214 Vacuum Isolation Valve, KF50, Angle |
5352 | MKS | 93-4790 | MKS HPS 93-4790 Vacuum Isolation Valve, KF50, Angle, N/O |
5353 | MKS | 93-7656 | MKS HPS 93-7656 VLV, CV, 93KF25-VCRB, N/O |
5354 | MKS | 947-A-220-TR | MKS HPS 947-A-220-TR Type 947 Convection Enhanced Pirani Gauge |
5355 | MKS | 9515-0248 | MKS HPS 9515-0248 Heater Jacket Kit, 1.5, STR6.8, SPEC, 1GP3 |
5356 | MKS | 9599-0255 | MKS HPS 9599-0255 Heater Jacket, HTR1, 25, Stem, Bar, 5FP0 |
5357 | MKS | 9599-0255 | MKS HPS 9599-0255 Heater Jacket, HTR1.25, Stem, Bar, 5FP0 |
5358 | MKS | 9599-0256 | MKS HPS 9599-0256, Heater Jacket, 10W, 0.17A, 60VAC, 50-60hz, STEM, BAR |
5359 | MKS | LPV1-40-AK-CNZS | MKS HPS Angle Valve LPV1-40-AK-CNZS, S1000540697 |
5360 | MKS | LPV-25-S5-CLVS | MKS HPS Division LPV-25-S5-CLVS Vacuum Valve Assembely |
5361 | MKS | LPV1-40-AK-CNVS | MKS HPS LPV1-40-AK-CNVS Right Angle, vacuum isolation valve, KF40 |
5362 | MKS | LPV1-40-AK-MNVN | MKS HPS LPV1-40-AK-MNVN Square Body LoPro Valve |
5363 | MKS | IDA7600D-10 | MKS IDA7600D-10 Control Module, |
5364 | MKS | 99C1491 | MKS in line isolation valve 99C1491, Conflat, Jalapeno LPV, |
5365 | MKS | 653B-13064 | MKS Instrument, 653B-13064, 1883-00, Throttle Valve |
5366 | MKS | 1159B-15144—S | MKS Instruments 1159B-15144—S MFC, HE Gas, 50 SCCM |
5367 | MKS | 152-0040K | MKS Instruments 152-0040K-506 NW50 Pneumatic Right Angle Valve Assembly |
5368 | MKS | 152-1040K | MKS Instruments 152-1040K KF-40, NW-40, Inline Bellows Vacuum, HPS Valve, |
5369 | MKS | 163-0040K | MKS Instruments 163-0040K-120V/50-60 In-Line KF-40/NW40 Bellows Vacuum, HPS |
5370 | MKS | 223B-15825 | MKS Instruments 223B-15825 Baratron Pressure Transducer Type 223Brr |
5371 | MKS | 290C-12272 | MKS INSTRUMENTS 290C-12272, Controller, Ionization Gauge |
5372 | MKS | 627A-14583 | MKS Instruments 627A-14583 Baratron Pressure Transducer Type 627 |
5373 | MKS | 683B-26435 | MKS Instruments 683B-26435 VACUUM THROTTLE VALVE, PRESSURE CONTROLLER |
5374 | MKS | 626A12TEE | MKS Instruments Baratron Type 626 Pressure Transducer 13RR1 |
5375 | MKS | 626A12TBE | MKS Instruments Series 626, 626A12TBE, Baratron Pressure Transducer |
5376 | MKS | 626A12TBE | MKS Instruments Series 626, 626A12TBE, Baratron Pressure Transducer, 13.332 kPa |
5377 | MKS | 628A-14648 | MKS Instruments Series 628, 628A-14648, Baratron Pressure Transducer |
5378 | MKS | 628B13TBE1B | MKS Instruments Series 628, 628B13TBE1B, Baratron Pressure Transducer |
5379 | MKS | 629A1TBD—–S | MKS Instruments Series 629, 629A1TBD—–S, Baratron Pressure Transducer |
5380 | MKS | 629A1TBD—–S | MKS Instruments Series 629, 629A1TBD—–S, Baratron Pressure Transducer2 |
5381 | MKS | UHV-40-ACC-EAVM | MKS Instruments UHV-40-ACC-EAVM Manual Angle Valve |
5382 | MKS | 6115 | MKS Ion Systems 6115 Airforce Ionizing Blow-off Gun |
5383 | MKS | KF40 | MKS Isolation Manual Valve, KF40 and KF25, 2 KF25 clamps |
5384 | MKS | LDM-A12PB2CC1 | MKS LDM-A12PB2CC1 LOCAL PRESSURE DISPLAY MODULE , TYPE LDM |
5385 | MKS | LDM-B12PA2CC1 | MKS LDM-B12PA2CC1 LOCAL PRESSURE DISPLAY MODULE, TYPE LDM |
5386 | MKS | LVG3527A-16010 | MKS LVG3527A-16010 RF Generator, 660-072825-660, 27 MHz |
5387 | MKS | LVG3527A-16011 | MKS LVG3527A-16011 RF Generator, 660-072826-660, 27 MHz |
5388 | MKS | 115912-13197-S | MKS Mass Flow Controller 115912-13197-S, MFC, 20 SCCM, HE |
5389 | MKS | 1179A21CR1BV | MKS Mass Flow Controller 1179A21CR1BV, MFC, 20 SCCM, N2 |
5390 | MKS | 1258C-00100SV | MKS Mass Flow Controller 1258C-00100SV, MFCCM, N2 |
5391 | MKS | 1679A51CR1BM-SPF0469 | MKS Mass Flow Controller 1679A51CR1BM-SPF0469, MFC, 50 SCCM, Ar |
5392 | MKS | 2258B-00500RV | MKS MFC 2258B-00500RV, N2 500 SCCM, used |
5393 | MKS | 2258C-00010RV | MKS MFC 2258C-00010RV, N2 10 SCCM, used |
5394 | MKS | MFC 2259C-00010RK | MKS MFC 2259C-00010RK, N2 10 SCCM, used |
5395 | MKS | P6A001202RAT0 | MKS MFC P6A001202RAT0, He, 200 SCCM, Bin 5 |
5396 | MKS | P6A007102RAT0 | MKS MFC P6A007102RAT0, H2CM, Bin 4. |
5397 | MKS | P6A012102RAT0 | MKS MFC P6A012102RAT0 , HF CM, Bin 4 |
5398 | MKS | P6A029102RAT0 | MKS MFC P6A029102RAT0 , NH3CM, Bin 5 |
5399 | MKS | 1479A-22090 | MKS MFC RS-485, 1479A-22090 N2 2000 SCCM |
5400 | MKS | 1179A13CR15K | MKS MFC RS-4853CR15K, CL2 500 SCCM, used |
5401 | MKS | 640A-22778 | MKS MFC type 640 Pressure Controller 640A-22778, 10 Torr, S0007696 |
5402 | MKS | MFVA23C026AAA | MKS MFVA23C026AAA Mass Flow Verifier |
5403 | MKS | MKS-1459C | MKS MKS-1459C Mass Flow Controller, MFC, N2, 200 SCCM |
5404 | MKS | MKS-1459C-C | MKS MKS-1459C-C Mass Flow Controller, MFC, CL2, 200 SCCM |
5405 | MKS | N401815-G3 | MKS N401815-G3 QualiTorr, Orion, Vacuum Controller, Turbomolecular Pump |
5406 | MKS | N415820-G1 | MKS N415820-G1 UTI QUALITORR ORION STATION CONTROLLER |
5407 | MKS | 200EM | MKS NGS division PPT Residual gas analyzer, type 200EM |
5408 | MKS | PDR-5B-BCD | MKS PDR-5B-BCD Power Supply Readout, PDR-5B |
5409 | MKS | PDR-C-2C | MKS PDR-C-2C DIGITAL POWER SUPPLY READOUT |
5410 | MKS | PBMS2B, PDR2000 | MKS Portable Baratron Measure System PBMS2B, PDR2000 Dual Capacitance Manometer |
5411 | MKS | 122BA-00100EB | MKS Pressure Controller 122BA-00100EB |
5412 | MKS | PS/DVM, PDR-C-1B | MKS PS/DVM PDR-C-1B, Baratron Pressure Meter, 2 Card Slot MFC Controller |
5413 | MKS | 621C13TBFHC | MKS REMOTE TRANSDUCER, 621C13TBFHC 133.32 kPa |
5414 | MKS | 621C13TBFHD | MKS REMOTE TRANSDUCER, 621C13TBFHDrr |
5415 | MKS | 621C11TBFHC | MKS Signal Conditioner Model 621C11TBFHC |
5416 | MKS | 153-E | MKS Throttle Valve 153-E, used |
5417 | MKS | 653-4-100-2 | MKS throttle valve 653-4-100-2 |
5418 | MKS | 683B-29016 | MKS Throttle valve 683 Control Valve, 683B-29016 |
5419 | MKS | TR0002943599 | MKS TR0002943599 Plugged Valve Port, Union, Gas Line, 2943599, VGM |
5420 | MKS | TWSLH005S005 | MKS TWSLH005S005 AMAT 0190-17527 TW SENSELINK 8AI-DIF 8DI ASSY |
5421 | MKS | 247D | MKS Type 247D 4 Channel Readout Controller |
5422 | MKS | 250B | MKS type 250B throttle valve controller 250B-1-A |
5423 | MKS | 252C-1-VPO | MKS TYPE 252 EXHAUST THROTTLE VALVE CONTROLLER 252C-1-VPO |
5424 | MKS | 273-2 | MKS Type 273 Temperature controller 273-2 |
5425 | MKS | 290B-01 | MKS TYPE 290 ION GAUGE CONTROLLER 290B-01, TURNS ON |
5426 | MKS | Type 640 | MKS Type 640 Pressure ControllerRR, 640A12TH1M22F |
5427 | MKS | 649A | MKS Type 649A Mass Flow Pressure Controller, MFC, Ar, 10 SCCM, 10 Torr |
5428 | MKS | 653B-60-63-2 | MKS Type 653 Throttle Control Valve, 653B-60-63-2 |
5429 | MKS | 683B-15473 | MKS Type 683 Throttle valve 683B-15473 control valve |
5430 | MKS | UHV-25-AKK-ENVN | MKS UHV-25-AKK-ENVN Ultra High Vacuum Pneumatic Valve |
5431 | MKS | 253B-11020 | MKS VALVE VACUUM THROTTLE 253B-11020 |
5432 | MKS | 653B-4-100-2 | MKS, 653B-4-100-2, Throttle Valve |
5433 | MKS | 93-7656 | MKS, HPS 93-7656 VALVE, CV, KF25-VCR8, Normally Open, Heated |
5434 | MKS | 99B1242 | MKS, HPS 99B1242, Clamp, SGL, Claw, NW-200, Novellus 60-10151-00 |
5435 | MKS | MKS 1259B | MKS, MKS 1259B, Mass Flow ControllerCM H2 |
5436 | MKS | 111402 | MKS/HPS 111402 FLG, BLANK-OFF, NW25, 304703 |
5437 | MKS | 401815-64 | MKS-64, QualiTorr, Orion, Vacuum System Controller, Turbomolecular Pump |
5438 | MKS | 100005980 | MKS980 HOT CATHODE SENSOR, ION GAUGE FILAMENTS 2.75 INCH CF |
5439 | MKS | 4315-0009 | MKS-HPS 93-4291 Pneumatic Isolation Valve KF40, HOT, HPS 4315-0009 REV B |
5440 | MKS | 60-045552-00 | NEW HPS MKS NW80 Centering Seal, AL/B 60-045552-00 |
5441 | MKS | 6789-00 | new insulator heat blanket KF 40 flange 6789-00 metron HPS016 |
5442 | MKS | 653B-25129 | NEW MKS 653B-25129 Exhaust Throttle valve with controller, MKS 653B |
5443 | MKS | 870B33PBD4GL1 | New MKS 870B33PBD4GL1 Mini-Baratron Pressure Transducer, 3000 PSIG |
5444 | MKS | 153-0040K-24VDC | NEW MKS HPS 153-0040K-24VDC ISOLATION VALVE KF,W/SOLE |
5445 | MKS | 155-1100P | NEW MKS HPS 155-1100P-24VDC ISOLATION VALVE |
5446 | MKS | 683B-26112 | NEW MKS Throttle Valve type 683 control valve, 683B-26112, 01752 |
5447 | MKS | 683B-28961 | NEW MKS Throttle Valve type 683 control valve, 683B-28961, |
5448 | MKS | 114931 | some pins may be bent or damaged due to handling/storage |
5449 | MKS | FC-2900V | Tylan FC-2900V Mass Flow Controller, MFC, He, 200 SCCM |
5450 | MKS | UFC-1661 | UNIT MFC UFC-1661, N2, 3000 SCCM (Calibrated). |
5451 | MKS | 253B-11102 | WJ / Aviza CFC exhaust throttle valve, , Has major ding on seal surface, looks rebuilt |
5452 | MKS | 750B33TCB2GC | MKS 750B33TCB2GC AMAT 1350-00182 BARATRON PRESSURE TRANSDUCER, 3000 TORR |
5453 | MKS | 627A-14190 | MKS Baratron 627A-14190 Pressure Transducer Type 627A 2 TORR |
5454 | MKS | ASTRONi AX7670-02 | MKS ASTRONi AX7670-02 RPS Plasma Generator |
5455 | MKS | 627A-13614—-S | MKS BARATRON 627A-13614 Baratron Pressure Transducer .25 TORR |
5456 | MKS BARATRON | 122BA-00010EB | MKS BARATRON 122BA-00010EB PRESSURE TRANSDUCERRR, 15 VDC-30 mA, GAUGE |
5457 | MKS BARATRON | 628A11TAE | MKS BARATRON 628A11TAE PRESSURE TRANSDUCER, 10 TORR, 15 VDC-500 mA,GAUGE |
5458 | MKS BARATRON | 852B61PCJ2GC | MKS BARATRON 852B61PCJ2GC, 60PSI, PRESSURE TRANSDUCER |
5459 | MKS ENI | ACG-10T | ENI ACG-10T RF Generator |
5460 | MKS ENI | ACG-5-01M14 | ENI ACG-5-01M14 RF Generator, Power Supply, ACG-5, Novellus P61-2337 |
5461 | MKS ENI | ACG-5B-01M14 | ENI ACG-5B-01M14 RF Generator, Power Supply, Novellus 61-2337 |
5462 | MKS ENI | DCG1R-A021200021 | ENI DCG1R-A021200021 DC Plasma Generator RF DCG-100 |
5463 | MKS ENI | DCG-200Z | ENI DCG-200Z Optima, Novellus R27-299465-00 RF Generator, Slave, 20KW |
5464 | MKS ENI | DCS2A-A02200020 | ENI DCS2A-A02200020 DC Plasma Generator, RF, DCG-100 |
5465 | MKS ENI | GHWE-25 | ENI GHWE-25 Genesis RF Generator, GEW3027MA-F1H00-10,3 KW, 200/208V |
5466 | MKS ENI | HF-3000-50 | ENI HF-3000-50 Harmonic Filter, 3 Kw, 13.56 Mhz |
5467 | MKS ENI | LPG-6A | ENI LPG-6A Low Frequency RF Generator, LAM, |
5468 | MKS ENI | DCG-200ZHC-S02 | ENI MKS DCG-200ZHC-S02 Generator, Slave 20 kW DCG Novellus R27-299485-00 |
5469 | MKS ENI | MW-10-21191 | ENI MW-10-21191 Matchwork Control Unit, RF Match |
5470 | MKS ENI | MW-10DM2RF | ENI MW-10DM2RF Matching Network w/ Digital Controller, Matchwork-10D, |
5471 | MKS ENI | MW-25D-05M1 | ENI MW-25D-05M1 Matchwork-25D RF Match, |
5472 | MKS ENI | 0090-09026 | ENI OEM-12A-21041-51 Solid State Power Generator SB225, 0090-09026 |
5473 | MKS ENI | OEM-12B-01 | ENI OEM-12B-01 RF Generator, OEM-12B |
5474 | MKS ENI | OEM-12B-02 | ENI OEM-12B-02, AMAT 0190-70080 Rev.A, RF Generator, Power, calibration sheet. |
5475 | MKS ENI | OEM-25A-01M5 | ENI OEM-25A-01M5, Solid State Power Generator, Novellus, OEM-25 |
5476 | MKS ENI | OEM-25N-01 | ENI OEM-25N-01 High Frequency RF Generator, Novellus 27-00157-00 |
5477 | MKS ENI | OEM-25A-01M5 | ENI OEM-25N-11481 Solid State Power Generator, OEM-25 |
5478 | MKS ENI | OEM-6A-02 | ENI OEM-6A-02 RF Generator, OEM-650A X2 |
5479 | MKS ENI | OEM-6AM-1B-21251 | ENI OEM-6AM-1B-21251 RF Generator, 750W, 208VAC, 12A, 3 Wire |
5480 | MKS ENI | ACG-3 | ENI Power Systems ACG-3, RF Generator |
5481 | MKS ENI | ACG-5 | ENI Power Systems ACG-5, RF Generator |
5482 | MKS ENI | LPG-6AL-21321 | ENI Power Systems LPG-6AL-21321, Low Frequency RF Generator |
5483 | MKS ENI | OEM-12A | ENI RF Generator OEM-12A, OEM012A-21041-51, 1250 W at 13.56 MHz, Powers on |
5484 | MKS ENI | 1006-508 rev 4 | ENI RF power meter 1006-508 rev 4 MRC CP-10 |
5485 | MKS ENI | VL-400 | ENI VL-400 Phase shift controller |
5486 | MKS ENI | VL-400 M1 | Phase shift controller, |
5487 | MKS ENI | VL-400 M1 | Phase shift controller, missing knob |
5488 | MKS ENI | OEM-1250-02 | RF generator 3 phase 5 wire |
5489 | MKS ENI | OEM12B-01 | RF generator, tested, with calibration sheet |
5490 | MKS ENI | DCG-100 | Working MKS ENI DCG-100 DC sputtering power supply. Master, DCG2M A001100021 |
5491 | MKS HPS | 100311803 | 2 MKS HPS 100311803 ADAPTER, RUBBER HOSE, NW25, .75-.88, E1040326, RS1320 |
5492 | MKS HPS | 100312701 | 48 MKS HPS 100312701 Seal, Center Ring Assembly, NW16, S/V |
5493 | MKS HPS | 100312701 | 50 MKS HPS 100312701 Seal, Center Ring Assembly, NW16, S/V |
5494 | MKS HPS | 100761610 | HPS MKS 100761610 ISO 100, 90 Degree Elbow, MF100, 90 Mitred, SS |
5495 | MKS HPS | N/A | HPS MKS VACUUM ISO100 FLANGE, 90 DEGREE WITH ADDITIONAL VACUUM PORT |
5496 | MKS HPS | 100932157 | MKS HPS 100932157 Pneumatic Bellows Angle Valve, Isolation, KF40 |
5497 | MKS HPS | 93-0057 | MKS HPS 93-0057 Vacuum Bellows, KF50, NW-50, 7″, AMAT 3070-00078 |
5498 | MKS HPS | MKS HPS Pneumatic Bellows Angle Valve, Isolation, KF50 | |
5499 | MKS HPS | 100001680 | MKS HPS680 Kit, Bellows Assy, NW50 |
5500 | MKS HSP | 9540-0179 | MKS HPS 9540-0179 Heater Jacket88W 2.88A 50/60Hz |
5501 | MKS Instruments | 100760510 | LOT OF 7 MKS 100760510 SEAL, CTR, Centering Ring, Assembly, NW100, SS/V |
5502 | MKS INSTRUMENTS | 631A13TBEH | MKS 631A13TBEH BARATRON PRESSURE TRANSDUCER, Input +/- 15 VDCORR |
5503 | MKS Instruments | 223BD-00001AAB | MKS 223BD-00001AAB, 1 TORR MANOMETER BARATRON INPUT 15VDC OUTPUT 0-1VDC |
5504 | MKS Instruments | 625A13TBE | MKS 625A13TBE Baratron Pressure Transducerorr |
5505 | MKS INSTRUMENTS | 631A01TBEH | MKS 631A01TBEH Vacuum Gauge , 1TORR, 0-10 VDC |
5506 | MKS Instruments | F120160-3 | MKS FI20160-3Astex AX2530 LAM 660-011161-702 SmartPower Microwave Power Supply |
5507 | MKS Instruments | N/A | MKS Instruments ISO FLANGE, 25931 |
5508 | MKS INSTRUMENTS | N415880-G1 | MKS N415880-G1 UTI Power Module |
5509 | MKS Instruments | 127AA-00010E | MKS PRESSURE TRANSDUCER TYPE 127A, 127AA-00010E, 10 TORR |
5510 | MKS Instruments | 100006127X | MKS127X IS0 80 BELLOWS, CHAMBER ISO VALVE, WN80 |
5511 | MKS INSTRUMENTS, INC | 253A-11341 | MKS 253A-11341 THROTTLE VALVE, KF50 FLANGE, NW50, PRESSURE CONTROLLER, HEATER JACKET |
5512 | MKS INSTRUMENTS, INC | 253B-11341 | MKS 253B-11341, CONTROL VALVE |
5513 | MKS Spectra | LM70 | MKS Spectra, LM70 Microvision plus, MKS RGA |
5514 | MKS Spectra | LM75 | MKS Spectra, LM75 Resistorr, RGA controller module |
5515 | MKS/HPS | 69225 | MKS/HPS 69225 CLAMP, NW50, AL 100312906 |
5516 | ML | 97145 | ML 97145 8085 SINGLE BOARD COMPUTER |
5517 | Modular Circuit Technology | MOD-EMUP | Modular Circuit Technology MOD-EMUP High Quality Universal Programmer |
5518 | Module Digital | 401875 | Module Digital, ILX Lightwave 601178-04, EIM 10338, 601419-00 EIM 01940 |
5519 | Modutec | T16 | Modutek Microtime T16 Rinse Controller |
5520 | Modutek | C15SA | Modutek C15SA Micron Rinse Controller |
5521 | Modutek | T16a | MODUTEK CORP T16a, Microtime Timer |
5522 | Modutek | P7037 | Modutek P7037 Valve Base, Drain Teflon |
5523 | Modutek | T16a | Modutek T16a Microtime Series Timer |
5524 | Modutek Corporation | 06-28042-RP | Modutek Corporation Quartz Tank 1-8″, 06-28042-RP |
5525 | Moeller | PKZM0-2.5 | 1 Moeller PKZM0-2.5, 1 Moeller PKZM0-1.6, protected manual motor contactors |
5526 | Moeller | FAZ-2-C2 | 2 Moeller FAZ-2-C2 Circuit Breaker Assy w/ 3 DI2 ER-40-G Contactor Relay, |
5527 | Moeller | ZM-6-PKZ-2 | 2 Moeller ZM-6-PKZ-2, adjustable Trip block Manual Contactor |
5528 | Moeller | DIL1M-G | 3 Moeller DIL1M-G Contactor Assy w/ 20 DIL Auxiliary Contactor, |
5529 | Moeller | K25A | 3 Moeller K25A Circuit Breaker |
5530 | Moeller | FAZ-3-C40 | 4 Moeller Circuit Breaker Assy, 2 FAZ-3-C40, FAZ-3-C50, FAZ-3-C32, |
5531 | Moeller | DIL ER-40-G | DIN rail mount motor contactor 40E, 24VDC, 3 ph |
5532 | Moeller | FAZN C2 | f |
5533 | MOELLER | NZM6B-100/ZM6A-70NA | KLOCKNER MOELLER NZM6B-100/ZM6A-70NA 100A 600VAC NSMP CIRCUIT BREAKER |
5534 | Moeller | 5KA-277/480VAC | MOELLER 5KA-277/480VAC FAZNC16 CIRCUIT BREAKER 106 QA 16N |
5535 | Moeller | ATO-11-24DMT-ZBZ/x | Moeller ATO-11-24DMT-ZBZ/x Safety Interlock Switches |
5536 | MOELLER | DIL M(C)7 | MOELLER DIL M(C)7, 3 POLE BREAK 250 VDC, 20 A, A90-K2 |
5537 | Moeller | FAZN C4 | Moeller FAZN C4 Circuit breaker, 2 pole, 5KA-277/480 VAC |
5538 | Moeller | FAZN C50 | Moeller FAZN C50 Circuit Breaker |
5539 | Moeller | 0613V643 | MOELLER FAZN C6 2 POLE CIRCUIT BREAKER 0613 643 |
5540 | Moeller | K40A | Moeller K40A Circuit Breaker |
5541 | Moeller | RT-1359 | MOELLER MAIN CIRCUIT BREAKER RT-1359 NZM9-250 600 VAC 250 A ZM 9 A-250-NA |
5542 | Moeller | IEC/EN 60947 | Moeller Main Power circuit breaker IEC/EN 60947 690V 400A |
5543 | Moeller | NS 2-160-NA | Moeller NS 2-160-NA Industrial Circuit Breaker, 160A, 690-8000VAC, NS 2, RS1273 |
5544 | Moeller | NZM6B-100/ZM6A-100-NA | Moeller NZM6B-100/ZM6A-100-NA CIRCUIT BREAKER 100A, 600-1200 A, 5402780, NZM6B-100 |
5545 | Moeller | AB-4620 | Used MOELLER Circuit breaker AB-4620 w/ Aux switch NZM, 3p 480V 25A |
5546 | Molex | 747541420 | 1 Lot of 10 Molex 0747541420 1X4 SFP+ Cage Press Fit w/Spring |
5547 | Molex | 0761701020 | 1 Lot of 10 Molex 0761701020 Impact DC 3X10 Open Sn |
5548 | Molex | 783472022 | 1 Lot of 108 Molex 0783472022 Impact Pwr3Pr RAHdr /HDwnPF .76AuLF 8Ckt |
5549 | Molex | 1720451001 | 1 Lot of 120 Molex 1720451001 2RTHO Power Plug |
5550 | Molex | 783481011 | 1 Lot of 124 Molex 0783481011 Impact Pwr 3PrRA Rcpt/HDn PF.76AuLF 8Ckt |
5551 | Molex | 0465567345 | 1 Lot of 125 Molex 0465567345 SeaRay Slim Plug Assy 04X25 3.4 Tin |
5552 | Molex | 785881552 | 1 Lot of 126 Molex 0785881552 1mmDDR3TH VT110SP VLP LLLCR 38AuLF240Ckt |
5553 | Molex | 783472012 | 1 Lot of 136 Molex 0783472012 Impact Pwr 3Pr RAHdr RHDn PF.76AuLF 8Ckt |
5554 | Molex | 783481011 | 1 Lot of 136 Molex 0783481011 Impact Pwr 3PrRA Rcpt/HDn PF.76AuLF 8Ckt |
5555 | Molex | 1715720002 | 1 Lot of 15 Molex 1715720002 Impact 6X12 100-Ohm OD Bottom GD RAM |
5556 | Molex | 877822001 | 1 Lot of 168 Molex 0877822001 0.60mm MiniDimm Assy. 76AuLF 244Ckt |
5557 | Molex | 747370026 | 1 Lot of 170 Molex 0747370026 SFP One Piece Cage – PressFit 0.090 Legs |
5558 | Molex | 764105107 | 1 Lot of 170 Molex 0764105107 Impact RAM 3X10 GR Sn |
5559 | Molex | 462071004 | 1 Lot of 1719 Molex 0462071004 Mini-Fit Jr LCP Vert. Hdr NoPeg 4ckt Tin |
5560 | Molex | 764105107 | 1 Lot of 180 Molex 0764105107 Impact RAM 3X10 GR Sn |
5561 | Molex | 1704685107 | 1 Lot of 180 Molex 1704685107 Impact 3X10 100-Ohm GR RAM 4.9/39 |
5562 | Molex | 0744410010 | 1 Lot of 185 Molex 0744410010 SFP Connector Assembly 30”’SAu 20CKt |
5563 | Molex | 783472004 | 1 Lot of 200 Molex 0783472004 Impact Pwr 3Pr RAHdr RHDn PF.76AuLF 4Ckt |
5564 | Molex | 747370026 | 1 Lot of 216 Molex 0747370026 SFP One Piece Cage – PressFit 0.090 Legs |
5565 | Molex | 764101117 | 1 Lot of 224 Molex 0764101117 3P Impact RAM 10 COL Open Left Wall |
5566 | Molex | 764601020 | 1 Lot of 231 Molex 0761701020 Impact DC 3X10 Open Sn |
5567 | Molex | 764101117 | 1 Lot of 234 Molex 0764101117 3P Impact RAM 10 COL Open Left Wall |
5568 | Molex | 459703387 | 1 Lot of 240 MOLEX 0459703387 SEARAY PLUGASSY 4 LEAD REEL |
5569 | Molex | 0465567345 | 1 Lot of 250 Molex 0465567345 SeaRay Slim Plug Assy 04X25 3.4 Tin |
5570 | Molex | 761705036 | 1 Lot of 287 Molex 0761705036 Impact DC 3X6 GR Sn |
5571 | Molex | 761705036 | 1 Lot of 294 Molex 0761705036 Impact DC 3X6 GR Sn |
5572 | Molex | 761705076 | 1 Lot of 294 Molex 0761705076 Impact 3X6 100-Ohm Gd Right DC .39 w/ESD |
5573 | Molex | 0470184001 | 1 Lot of 345 Molex 0470184001 Serial ATA Docking CONN. Top Mount Type |
5574 | Molex | 0470184001 | 1 Lot of 350 Molex 0470184001 Serial ATA Docking CONN. Top Mount Type |
5575 | Molex | 1704681117 | 1 Lot of 38 MolexX 1704681117 Connector |
5576 | Molex | 761551323 | 1 Lot of 380 Molex 0761551323 Impact BP 4X6 Dual Wall Sn |
5577 | Molex | 760601026 | 1 Lot of 40 Molex 0760601026 Impact DC 5X16 Open Sn |
5578 | Molex | 1715769208 | 1 Lot of 40 Molex 1715769208 Impact Ortho Direct 6PX12C Bottom Guide |
5579 | Molex | 1715720002 | 1 Lot of 42 Molex 1715720002 Impact 6X12 100-OHM OD Bottom GD RAM |
5580 | Molex | 1715769208 | 1 Lot of 42 Molex 1715769208 Impact Ortho Direct 6PX12C Bottom Guide |
5581 | Molex | 761701036 | 1 Lot of 438 Molex 0761701036 Impact DC 3X6 Open Sn |
5582 | Molex | 761701020 | 1 Lot of 45 Molex 0761701020 Impact DC 3X10 Open Sn |
5583 | Molex | 747540620 | 1 Lot of 48 Molex 0747540620 SFP + 1X6 Ganged Cage for Light Pipes |
5584 | Molex | 0744410010 | 1 Lot of 480 Molex 0744410010 SFP Connector Assembly 30”’SAu 20CKt |
5585 | Molex | 482040001 | 1 Lot of 600 Molex 0482040001 Conn USB 2.0 Type A RCP 4 POS 2mm |
5586 | Molex | 1712242013 | 1 Lot of 72 Molex 1712242013 zSFP+ Stacked 2X2 W/Metal w/outer LP |
5587 | Molex | 744410010 | 1 Lot of 720 Molex 0744410010 SFP Connector Assembly 30”’SAu 20CKt |
5588 | Molex | 0877591074 | 1 Lot of 750 Molex 0877591074 MGrid Hdr SMT D/R/Cap T&R .38AuLF 10Ckt |
5589 | Molex | 0877591074 | 1 Lot of 775 Molex 0877591074 MGrid Hdr SMT D/R/Cap T&R .38AuLF 10Ckt |
5590 | Molex | 747540420 | 1 Lot of 80 Molex 0747540420 1X4 SFP+ GangedCage forL/P w/PressFit |
5591 | Molex | 482040001 | 1 Lot of 960 Molex 0482040001 Conn USB 2.0 Type A RCP 4 POS 2mm |
5592 | Molex | 15978102 | 1 Lot oflex 0015978102 MiniFit TPA RA Hdr /Flg Tin 10Ckt |
5593 | Molex | 1715720002 | 10 Lot of 42 Molex 1715720002 Impact 6X12 100-OHM OD Bottom GD RAM |
5594 | Molex | 1715720002 | 2 Lot of 42 Molex 1715720002 Impact 6X12 100-OHM OD Bottom GD RAM |
5595 | Molex | 1715720002 | 3 Lot of 42 Molex 1715720002 Impact 6X12 100-OHM OD Bottom GD RAM |
5596 | Molex | 1715720002 | 4 Lot of 42 Molex 1715720002 Impact 6X12 100-OHM OD Bottom GD RAM |
5597 | Molex | 1715720002 | 5 Lot of 42 Molex 1715720002 Impact 6X12 100-OHM OD Bottom GD RAM |
5598 | Molex | 1715720002 | 6 Lot of 42 Molex 1715720002 Impact 6X12 100-OHM OD Bottom GD RAM |
5599 | Molex | 1715720002 | 8 Lot of 42 Molex 1715720002 Impact 6X12 100-OHM OD Bottom GD RAM |
5600 | Molex | 1715720002 | 9 Lot of 42 Molex 1715720002 Impact 6X12 100-OHM OD Bottom GD RAM |
5601 | Molex | 0761703076 | Molex 0761703076 Impact 3X6 100-OHM GD Left DC 0.39 w/ESD |
5602 | Molex | 1715769208 | Molex 1715769209 Impact Ortho Direct 6PX12C Bottom Guide |
5603 | Molex | 74527-3003 | Molex 74527-3003 SAS Cable, SFF-8470 To SFF-8470, 6FT |
5604 | Monnier | 1006803 | Monnier Hot Can N2 Supply with Gauge 1006803 |
5605 | Monroe | 244A-2 | Monroe 244A-2 Isoprobe Electrostatic Voltmeter |
5606 | MONTECITO/TULSA | 8-32S9K/ST2ATC | MONTECITO/TULSA 8-32S9K/ST2ATC SUMMIT ATC CHIP HANDLE, 1941807-101B 5700 |
5607 | MOOG | 001-6618-02 | MOOG 001-6618-02, DC Motor, Gasonics 3510 Robot Assy Motor |
5608 | MOSIER INDUSTRIES, INC. | S-A00529 | MOSIER S-A00529 AIRSERV CYLINDER, 3-1/4×2 |
5609 | Motion Engineering, Inc. MEI | 9701-2135-01 | MEI 9701-2135-03 PCB, Asyst085, XMP-SYNQNET-PCI-RJ |
5610 | Motion Systems | 73058-001 | Motion Systems 73058-001, Motor W/ AMAT 0040-49302, 0020-22987, 24V |
5611 | Motor | 508070-00 | 3 508070-00 110-62 bearing |
5612 | Motor | F8225 010-0010 | Belt, Drive, F8225 010-0010 |
5613 | Motor | CN040163 | Lot of 99 CN040163 Shielded bearings HCH RBZZ |
5614 | Motor | AK-X120Q | PACK DRIVER STEPPING MOTOR DRIVER AK-X120Q 202587 PH264-01 1994-1011 |
5615 | Motor | 500054082 | Z axis ball swrew with stepper motor 500054082 |
5616 | MOTOR TECHNOLOGY. INC. | 151A265 | MOTOR TECHNOLOGY 151A265 THERMA-WAVE 66-011180 |
5617 | Motorola | 5646050-101 | 19 Motorola 5646050-101 PCB Resistors |
5618 | Motorola | 5646050-101 | 20 Motorola 5646050-101 PCB Resistors |
5619 | Motorola | 5646050-101 | 38 Motorola 5646050-101 Motorola SRF5347H Transistor |
5620 | Motorola | SN601 | 9 Motorola SN6051 Transistors |
5621 | Motorola | MVME 166-11A | Motorola CPU MVME 166-11A Card, 01-W3179F 01-W3060F |
5622 | Motorola | MVME 166-11A | Motorola CPU MVME 166-11A Card, 01-W3179F 01-W3060F, |
5623 | Motorola | MVME 202 | Motorola Microsystem MVME 202 Module PCB GMBH 01-G3025M01 AMAT 0100-00127 |
5624 | Motorola | MVME 340A | Motorola MVME 340A 64-W5737B01B, PCB, FX, GX6 |
5625 | Motorola | MVME 340B | Motorola MVME 340B 01-W3787B01B PCB, 84-W8787B01C; we are selling as used |
5626 | MOTOROLA | MVME101 | MOTOROLA MVME101 PCB-STEPPER CPU CARD W/RAM, 2804734-033 |
5627 | Motorola | MVME162P-344E | Motorola MVME162P-344E MVME PCB, FAB 84-W8528F01D, MVME162 P344E, |
5628 | MOTOROLA | MVME320 | MOTOROLA MVME320 PCB, DISK CONTROLLER, 2800300, 96P-6033-0731-0 |
5629 | Motorola | MVME340B | MOTOROLA MVME340B CPU, PCB BOARD, FX, GX |
5630 | Motorola | NTN4787A | Motorola NTN4787A Radio Battery Charger |
5631 | Motorola | SPN4159A | Motorola power adapter SPN4159A |
5632 | Motorola | SPN4373A | Motorola power adapter SPN4373A |
5633 | Motorola | MVME 202 | MVME 202 PCB Motorlola Memory GMBH 01-W35078, AMAT 0100-00127, |
5634 | Motorola | MVME 202 | MVME 202 PCB Motorlola Microsystems GMBH 01-G3025M01, AMAT 0100-00127, |
5635 | Moto-Spare | 010C0152 | Moto-Spare 010C0152 Microscope Objective, 6.3x |
5636 | Motoyama | 2BEV4C-FV | Motoyama Japan UCV 2BEV4C-FV, 1/4″ VCR, Multi-port, Three-Way Isolation Valve |
5637 | Motoyama | 2LDS8R-BV | Motoyama Japan UCV 2LDS8R-BV, Gas Line Manual Isolation Shutoff |
5638 | Motoyama | 2LDT8R-FV | Motoyama Japan UCV 2LDT8R-FV, Three-way Manual Isolation Valve, Shut-off Valve |
5639 | Motoyama | 2LES40-FV | Motoyama Japan UCV 2LES40-FV, 1/4″ VCR, Diaphragm Air Valve, Isolation, Shutoff |
5640 | Motoyama | Motoyama Japan UCV, ¼ VCR, Diaphragm Air Valve, 2LES4C-FV, 9604-0083. | |
5641 | Motoyama | SUSF361L | Motoyama Japan UCV, 1/4 x 3/8 Air Diaphragm Valve, SUSF316L |
5642 | Motoyama | SUSF316L | Motoyama SUSF316L, Air-Operated Diaphragm Valve, VCV, 10K-1/4, CTFE-SEAT |
5643 | Mott | DEF515HFF33 | Mott Corporation DEF515HFF33 Penta Hiflow Gas Shield, 6712301, 2500 PSIG |
5644 | Mott | POU-05-NSV1 | Mott POU-05-NSV1 GasShield Filter Assembly, 370 PSI Maximum, HP502-052196 |
5645 | Mouser Electronics | 172-E09-213R911 | 16 172-E09-213R911 Conn D89 Female Solder DIP NKL |
5646 | Mouser Electronics | SSL-LX5093LGD | 20 Mouser Electronics SSL-LX5093LGD LED 5MM Green Diffused |
5647 | Mouser Electronics | 172-E09-113R911 | 3 Mouser Electronics 172-E09-113R911 9 POS. DIP/SO. Male D-Sub Connectors |
5648 | Mouser Electronics | 172-E25-213R911 | 3 Mouser Electronics 172-E25-213R911 Conn DB25 Female SLD DIP NKL |
5649 | Mouser Electronics | 636-180-032-113R911 | 3 Mouser Electronics 636-180-062-113R911, 62P Male Vt Dip Sol Connector |
5650 | Mouser Electronics | 636-E50-112-911 | 3 Mouser Electronics 636-E50-112-911, 172-E50-112-911 50 POS. DIP/SO Male |
5651 | Mouser Electronics | 172-E09-113R911 | 4 Mouser Electronics 172-E09-113R911 9 POS. DIP/SO. Male D-Sub Connectors |
5652 | Mouser Electronics | 172-E15-213R911 | 6 Mouser Electronics 172-E15-213R911 Conn DB15 Female SLD DIP NKL |
5653 | Mouser Electronics | 180-015-213R911 | 6 Mouser Electronics 180-015-213R911 15P Fem Vt Dip Sol NorComp D-Sub Connectors |
5654 | Mouser Electronics | 636-180-015-213R911 | 6 Mouser Electronics 636-180-015-213R911, 180-015-213R911, 15P Fem Vt Dip Sol |
5655 | Mouser Electronics | 172-E25-213R911 | Lot of 3 Mouser Electronics 172-E25-213R911 Conn DB25 Female SLD DIP NKL |
5656 | Moxa | EDS-316 | Moxa EDS-316 16-Port EtherDevice Switch, |
5657 | MOXA | 6650-32 | MOXA NPort 6650-32 Secure Device Server, Ethernet Adapter |
5658 | MOXA | Uport 1650-8 | MOXA Uport 1650-8 USB To 8 Port RS-232/422/485 Serial Hub |
5659 | Moxa Technologies Co, LTD | EDS-205 | Moxa EDS-205A Industrial Mountable 5-Port Compact Unmanaged Ethernet Switch |
5660 | MPI | E70797-001 | 3 MPI E70797-001 Socket, SNB A2, E70431-001, RS1102 |
5661 | MPI | LEDA-8F E3G Plus-V | MPI LEDA-8F E3G Plus-V LED Prober, MJC, Wei Min LED-617HC, NED-75B |
5662 | MRC | 500737-00 | 4 MRC 500737-00 Bearing, Arm (Elbow) Sputtering Tool |
5663 | MRC | 500691-00 | 6 MRC 500691-00 Standoff, Vented Sputtering Tool |
5664 | MRC | 828-69-000 | Assembly Kit MRC 828-69-000 |
5665 | MRC | D116058100 | MICROPROCESSOR BOARD / MRC D116058100 PCB ASSY |
5666 | MRC | 06764-801 | MRC 06764-801 ARM CONTROLLER BOARD |
5667 | MRC | 233959003 | MRC 233959003 Pump Orifice Ring, Spacer |
5668 | MRC | 42-340121-5-000032 | MRC 42-340121-5-000032 Resistor Bank, 60 OHM, 5 KW, 10% Frame, HE3 |
5669 | MRC | 500354-00 | MRC 500354-00 Belt, Timing .5W X15 Long Sputtering Tool |
5670 | MRC | 500665-00 | MRC 500665-00 Pin, Arm First, Sputtering Tool |
5671 | MRC | 500670-00 | MRC 500670-00 Bearing, Thrust Sputtering Tool |
5672 | MRC | 500676-00 | MRC 500676-00 Bearing Sputtering Tool |
5673 | MRC | 500679-00 | MRC 500679-00 Heater Cartridge 500W Sputtering Tool |
5674 | MRC | 500680-00 | MRC 500680-00 Heater Cartridge 100W Sputtering Tool |
5675 | MRC | 500681-00 | MRC 500681-00 Thermostat, Tempswitch Sputtering Tool |
5676 | MRC | 884-07-000 | MRC 884-07-000 PCB, RF DRIVE 3 metal 884-07-101, 94063046-00 |
5677 | MRC | 884-07-000 | MRC 884-07-000 PCB, RF DRIVE 884-07-101 |
5678 | MRC | 884-44-000 | MRC 884-44-000 PCB POWER SUPPLY 94-63005-00 |
5679 | MRC | 884-44-000 | MRC 884-44-000 PSU PCB BOARD + 2 POWER GENERAL MODEL 714, 94-63005-00 |
5680 | MRC | A115105 | MRC A115105, Eclipse Star Remote Control Box, KBD |
5681 | MRC | A126415 | MRC A126415 8 SMC VZ2150 AIR VALVE ASSY |
5682 | MRC | D119224 | MRC D119224 CU Dark Space Shield RMX-12 Al |
5683 | MRC | FAL0000581 | MRC FAL0000581, Used Target, Al, 5N5,SPA, Rx 200mm, Monoblock |
5684 | MRC | 333-D124736-A | MRC Materials Research 333-D124736-A Plenum Shield |
5685 | MRC | A112990 | MRC Materials Research A112990 Throttle Valve Assembly |
5686 | MRC | A118144 | MRC RF matching network A118144 For MRC Eclipse Star tool |
5687 | MRC | Wafer Holder Tray, MRC, PVD, CPA | |
5688 | MRC MATERIALS RESEARCH CORP | 112-42-000 | MRC MATERIALS RESEARCH CORP 112-42-000 RF POWER SUPPLY 5-3013 208V 10A 1500W |
5689 | MRC MATERIALS RESEARCH CORP | N/A | MRC MATERIALS RESEARCH CORP RF POWER SUPPLY |
5690 | MRL | 1148G2 | MRL Horizontal furnace, 200mm, 4 stack, model 1148G2 |
5691 | MRL | Muffle Furnace 18 Zone | MRL Inline Muffle Furnace, 18 zone, conveyor. It has a conveyor as well, ceramic material |
5692 | MRL INDUSTRIES | 640-930730 | MRL INDUSTRIES 640-930730 RH EXTERNAL DRIVE LOADER ASSEMBLY |
5693 | MRS | 500040477 | MRS 500040477 ARM SHAFT ASSY |
5694 | MRSI | MRSI-505 | MRSI 505 pick and place system |
5695 | MSR | 01-INT-383 | MSR 01-INT-383 Slow Pump Line |
5696 | MSR | 05-INT-313 | MSR 05-INT-313 Slow Pump Line |
5697 | MSR | 233433580 | MSR 233433580 Plate Shield |
5698 | MSR | 233572215W | MSR 233572215W IFO GV1 Bellows, 233572215 |
5699 | MSR | 500071471 | MSR 500071471 CERAMIC ELECTRODE COVER, 2-821453-01 |
5700 | MSR | 500071471 | MSR 500071471 Ceramic Electrode Cover, ER |
5701 | MSR | 500104878 | MSR 500104878 Trap Blank-Off, Nitride |
5702 | MSR | 500104878 | MSR 500104878 Trap Blank-Off, Nitride, 0510071-907 |
5703 | MSR Material Support Resources | 500119802 | MSR 500119802 CERAMIC ELECTRODE RING |
5704 | MSR Material Support Resources | 500119805 | MSR 500119805 CERAMIC WAFER CLAMP |
5705 | MSR-FSR | 500071471 | MSR-FSR 500071471 CERAMIC ELECTRODE COVER |
5706 | MSR-FSR | 500071471 | MSR-FSR 500071471 CERAMIC ELECTRODE COVER, 2-821453-01 |
5707 | MSR-FSR | 500089388 | MSR-FSR 500089388 LL Vent Line Kit |
5708 | MSR-FSR | 500101637 | MSR-FSR 500101637 Inlet Flange, TEOS |
5709 | MSR-FSR | 500104883 | MSR-FSR 500104883 Inlet Flange, BTBAS |
5710 | MSR-FSR | 500104896 | MSR-FSR 500104896 Slow Pump Line, BTBAS, Clean, 4Q0466043 |
5711 | MSR-FSR | 500110858 | MSR-FSR 500110858 Large Lower Spool, Teos, Clean |
5712 | MSR-FSR | N/A | MSR-FSR ASH BELL JAR RF-FLAME |
5713 | MTCS | S11-EN | MTCS S11-EN Temperature Controller |
5714 | MTCS | S12-DN | MTCS S12-DN Temperature Controller |
5715 | MTCS | S13-JN 071 | MTCS S13-JN 071 Temperature Controller |
5716 | MTE | RL-00404 | MTE 2 Phase Reactor RL-00404, 4 Amps, 12mH |
5717 | MTI | 104662-01 | MTI DSP 200 104662-01, 20 watts, 85-250 volts, 50/60 Hz |
5718 | MTI | 6221750000 | MTI MAXI MOTION CONTROLLER 6221750000 MACHINE TECHNOLOGY INC |
5719 | MTM Engineering | WT-268 | MTM Engineering Engenuity Systems WT-268 Outgas Analysis Aystem w/ MKS RGA |
5720 | MTM Engineering | WT-288 | MTM Engineering Engenuity Systems WT-288 #SPC108 Outgas Analysis Tool w/ MKS RGA |
5721 | Multimode | M31L02 | MULTIMODE 2 METER THORLABS CABLE M31L02, FC/UPC-FC/UPC |
5722 | Multimode | M31L02 | MULTIMODE 2 METER THORLABS CABLE M31L02, GIF62.5 |
5723 | Multimode | 973-579-7227 | MULTIMODE THORLABS 973-579-7227, FT0 30 CABLE, M14L02 |
5724 | MULTIPLE | APTECH AP36255 2PW FV4 FV4 | APTECH AP36255 2PW FV4 FV4 MAX PRESSURE 3000 P GAS VALVE MANIFOLD |
5725 | muRata | GRM1555C1H221JA01D | muRata GRM1555C1H221JA01D Reel |
5726 | Murata Manufacturing Co. | GRM155R71E103KA01D | 1 Lot of 60000 muRata GRM155R71E103KA01D Capacitor Ceramic Multilayer |
5727 | Murata Power Solutions | D1U-W-2000-48-HB2C | Murata D1U-W-2000-48-HB2C Power Supply |
5728 | MURATA PRODUCTS | MXHQ87XA3000 | 10 MURATA MXHQ87XA3000 Connector Measurement Probe For SWG Receptacle |
5729 | Murr Elektronik | 7000-12701-0000000 | Murr Elektronik 7000-12701-0000000 Male Connector |
5730 | Muto Technology Group | A13-52956 | Muto Technology Group A13-52956Ring Shield |
5731 | MW | DR-4524 | Mean Well USA DR-4524 Power supply, 24V, 2A |
5732 | MW MEAN WELL | D-60A | 3 MW MEAN WELL D-60A Switching Power Supply Input: 100-240VAC 2A 50/60Hz Output: +5V 4A +12V 3A |
5733 | MW MEAN WELL | D-60A | MW D-60A Switching Power Supply Input: 100-240VAC Out: 5V 4A & 12V 3A |
5734 | MW MEAN WELL | D-60A | MW MEAN WELL D-60A Switching Power Supply, Input 100-240VAC 2A 50/60Hz |
5735 | MW MEAN WELL | D-60A | MW MEAN WELL D-60A Switching Power Supply, Input 100-240VAC 2A 50/60Hz, OutPUT +5V 4A +12V 3A |
5736 | Mycom | PS466-01A | Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 640081470, 1.8 Deg/Step |
5737 | Mycom | PS466-01A | Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 9090925666, 1.8 Deg/Step |
5738 | Mycom | PS466-01A | Mycom PS466-01A, 4 Phase Stepping Motor, 6V, 1.2A, 1.8 Deg/Step |
5739 | Mykrolis | CN3H02T06 | 1 Lot of 6 MYKROLIS CN3H02T06 PROCESS GARD CN 20″ 3H CARTRIDGE FILTER |
5740 | Mykrolis | CM1102E06 | 1 Lot of 6 Mykrolis Planargard CM1102E06 Filter 15um 20″ |
5741 | Mykrolis | CM1102E06 | 2 Mykrolis Planargard CM1102E06 Filter 15um 20″ |
5742 | Mykrolis | QCDZATX0F | Entegris/Millipore/Mykrolis QCDZATX0F 10″ Chem-Line II 0.05 µm Filter |
5743 | Mykrolis | WDFVATX1F | Entegris/Millipore/Mykrolis WDFVATX1F 10″ Chem-Line II 0.01 µm Filter |
5744 | Mykrolis | WDFVATX2F | Entegris/Millipore/Mykrolis WDFVATX2F 10″ Chem-Line II 0.01 µm Filter |
5745 | Mykrolis | WDFZ40W1F | Entegris/Millipore/Mykrolis WDFZ40W1F 10″ Chem-Line II 0.05 µm Filter |
5746 | Mykrolis | WDFZATX1F | Entegris/Millipore/Mykrolis WDFZATX1F 10″ Chem-Line II 0.05 µm Filter |
5747 | Mykrolis | FC-2902MEP5-T | MFC Mykrolis FC-2902MEP5-T, 5 SLPM, Gas N2 NEW |
5748 | Mykrolis | FC-2902MEP5-T | MFC Mykrolis FC-2902MEP5-T, 5 SLPM, Gas N2 NEW lot of 2 |
5749 | Mykrolis | AASGD40W1 | Mykrolis AASGD40W1, MFC, N2CCM, AMAT 0190-19979, |
5750 | Mykrolis | AASGD40W1 | Mykrolis AASGD40W1, MFC, N2CM, AMAT 0190-19979, |
5751 | Mykrolis | AAVGD40W1 | MYKROLIS AAVGD40W1 NFC, Mass Flow Controller, NF3, 5000 SCCM |
5752 | Mykrolis | AAVGD40W1 | Mykrolis AAVGD40W1, MFC, N2, 5000 SCCM, AMAT 0190-19981, |
5753 | Mykrolis | AAVGD40W1 | Mykrolis AAVGD40W1, MFC, N2, 5000 SCCM, AMAT-0190-19981, |
5754 | Mykrolis | CDL-12S13 | Mykrolis CDL-12S13 Baratron Pressure Transducer, 20 Torr, CDL1213, LAM |
5755 | Mykrolis | CE300KF04R | Mykrolis CE300KF04R Aeronex GateKeeper Optics Gas Purifier, 50 SLM |
5756 | Mykrolis | CMH4M1106E | Mykrolis CMH4M1106E, Baratron, Manometer, |
5757 | Mykrolis | CMH4-M11S06 | Mykrolis CMH4-M11S06, Baratron, Manometer, |
5758 | Mykrolis | CMH4-M11S06-EMC | Mykrolis CMH4-M11S06-EMC Baratron, Manometer, |
5759 | Mykrolis | CMH4-M11S06 | Mykrolis CMH4-MM11S06 Millipore, 0-10 VDC, 0-100 M Torr, |
5760 | Mykrolis | CMX2T1124 | Mykrolis CMX2T1124 Digital Xactor Capacitance Diaphragm Gauge, 10 Torr |
5761 | Mykrolis | CN1H01T06 | Mykrolis CN1H01T06 Processgard CN , 10″ Cartrigde , 1H |
5762 | Mykrolis | SCR0313E1 | MYKROLIS CORP SCR0313E1 SOLARIS SCR03 10″ 3/8″ FLARE I/O, 1 PK |
5763 | Mykrolis | SCR0313E1 | MYKROLIS CORP SCR0313E1 SOLARIS SCR03 10″ 3/8″ FLARE I/O, 1 PK, 500088137 |
5764 | Mykrolis | CWUZ3L0S1 | Mykrolis CWUZ3L0S1 Microgard Minichem D Long Filter 0.05 um |
5765 | Mykrolis | CWUZ40KL1 | MYKROLIS CWUZ40KL1 MICEOGARD MINICHEM 40, 0.05 MICRON |
5766 | MYKROLIS | CWUZ40KL1 | MYKROLIS CWUZ40KL1, 810-52469 FILTER , MICROGARD MINICHEM 40, .05 MICRON |
5767 | Mykrolis | D8VAD100 | Mykrolis D8VAD100 MFC Mass Flow Controller, IntelliFlow , N2SCCM |
5768 | Mykrolis | DSNAB100 | Mykrolis DSNAB100 Digital Flow Controller, N2, 8CCM |
5769 | Mykrolis | FC-2900M | Mykrolis FC-2900M Mass Flow Controller, MFC, CL2, 30 SCCM, Tylan 2900 |
5770 | Mykrolis | FC-2900V | Mykrolis FC-2900V Mass Flow Controller, MFC, CO2, 5 SCCM, Tylan 2900 |
5771 | Mykrolis | FC-2900V | Mykrolis FC-2900V Tylan 2900 Series MFC Mass Flow Controller, 10 SLPM |
5772 | Mykrolis | FC-2902MEP5-T | Mykrolis FC-2902MEP5-T MFC, Mass Flow Controller, N2, 5 SLPM |
5773 | Mykrolis | FC-2903MEP5-T | Mykrolis FC-2903MEP5-T MFC, N2, 5 SLPM, DA3LQ7WEF051, AMAT 3030-00559 |
5774 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, 1 SLPM |
5775 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, 1 SLPMCCM, AR |
5776 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, 20 SCCM, C5F8 |
5777 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, 200 |
5778 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, 30 SCCM |
5779 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, 30 SCCM, CH2F2 |
5780 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, 5 SCCM |
5781 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, 5 SCCM, O2 |
5782 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, 500 SCCM,112521 |
5783 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, CH2F2 |
5784 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, CHF3 |
5785 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow Controller, O2 |
5786 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow ControllerCM,112523 |
5787 | Mykrolis | FC-2979MEP5 | Mykrolis FC-2979MEP5 Tylan 2979 Series MFC Mass Flow ControllerCM,112538 |
5788 | Mykrolis | FC-2979MEP5-L | Mykrolis FC-2979MEP5-L MFC, 50 sccm, 02 Gas |
5789 | MyKrolis | FC-2979MEP5-M | MyKrolis FC-2979MEP5-M, MFC Mass Flow Controller, 500 SCCM, CO |
5790 | Mykrolis | FC-2979MEP5-WM | Mykrolis FC-2979MEP5-WM Mass Flow Controller, MFC, O2, 2 SLPM |
5791 | Mykrolis | FSCGD100QE00 | Mykrolis FSCGD100QE00 Mass Flow Controller MFC, H2CM, 3030-09872 |
5792 | Mykrolis | GDCV01PK1K | Mykrolis GDCV01PK1K, 10″GUARDIAN CHEMLOCK ATX FILTER, 0.1UM |
5793 | Mykrolis | IHAV01P01 | Mykrolis IHAV01P01 0.1µm Filter Intercept HPX 0.1 (DHF 0.03) |
5794 | Mykrolis | DSLAD100 | Mykrolis IntelliFlow Digital Flow controller N2 20 SCCM |
5795 | Mykrolis | FC-2979MEP5 | Mykrolis Mass Flow Controller FC-2979MEP5, MFC, 10 SCCM, O2 |
5796 | Mykrolis | FC-2979MEP5 | Mykrolis Mass Flow Controller FC-2979MEP5, MFC, 5 SCCM, O2 |
5797 | Mykrolis | FC-2979MEP5-L | Mykrolis Mass Flow Controller FC-2979MEP5-L, MFC, 50 SCCM, O2 |
5798 | Mykrolis | MDVX-100B | Mykrolis MDVX-100B Throttle Valve, Vacuum General, Oriental Motor |
5799 | Mykrolis | PRCZ01P01K | Mykrolis PRCZ01P01K Protego CFX10″ 0.05um TEV W/KEY Filter |
5800 | Mykrolis | QCCZATXK1K | Mykrolis QCCZATXK1K Quickchange CHEMLOCK ATX FILTER 10″ 0.05um |
5801 | Mykrolis | QCCZATXK1K | Mykrolis QCCZATXK1K Quickchange CHEMLOCK ATX FILTER 10″ 0.05um, 0.05 |
5802 | Mykrolis | QCDZATX0F | Mykrolis QCDZATX0F Quick Change ATX Filter 0.05 um |
5803 | MYKROLIS | RTNENC0MPSS | MYKROLIS RTNENC0MPSS STAINLESS STEEL ENCOMPASS PUMP, RTNENCOMPSS 117381 |
5804 | Mykrolis | SCR0153E1 | MYKROLIS SCR0153E1 SOLARIS SCR01 FILTER 1 MICRON 5″ 3/8″ I/O 50 PSI MAX |
5805 | Mykrolis | SCR0153E1 | MYKROLIS SCR0153E1 SOLARIS SCR01 FILTER 1 MICRON 5″ 3/8″ I/O 50 PSI MAX |
5806 | Mykrolis | SH4R033J03 | Mykrolis SH4R033J03 N4NNP208P, QC ATM CL-1, 0.1, T-Pillar, O-Ringless |
5807 | Mykrolis | SCR0153E1 | MYKROLIS SOLARIS SCR01 FILTER, 1 MICRON FILTER, SCR0153E1 |
5808 | Mykrolis | SCR0153E1 | MYKROLIS SOLARIS SCR01 FILTER, 1 MICRON FILTER, SCR0153E1, C6C399640 |
5809 | Mykrolis | SCR0153E1 | MYKROLIS SOLARIS SCR01 FILTER, 1 MICRON FILTER, SCR0153E1, C6C408935 |
5810 | Mykrolis | SCR0153E1 | MYKROLIS SOLARIS SCR01 FILTER, 1 MICRON FILTER, SCR0153E1, C6C408936 |
5811 | Mykrolis | WG2F02PS1 | MYKROLIS WG2F02PS1 Filter Wafergard II F-Mini XL 1/4 MVCR |
5812 | Mykrolis | WG2F32PS1 | MyKrolis WG2F32PS1, Inline Gas Filter, Wafergard II, F MINI XL, 3/8″ Compression Seal |
5813 | Mykrolis | WG2F32PS1 | MyKrolis WG2F32PS1,Wafergard II, F MINI XL, 3/8″ Compression Seal |
5814 | Mykrolis | WG3NSMSL2 | Mykrolis WG3NSMSL2 Wafergard III NF-Micro In-Line Gas Filter A4SN5683 |
5815 | Mykrolis | WGFG16D21 | MYKROLIS WGFG16D21 FILTER, DISPOSABLE .2UM, 1/2″ NPTM FITTINGS |
5816 | Mykrolis | WGFG36WS1 | Mykrolis WGFG36WS1 Filter, Wafergard F, 3/8″ Compression Seal |
5817 | MYKROLIS | WGFG80P01 | MYKROLIS WGFG80P01 WAFERGARD PF80 CARTRIDGE FILTER, 0.2UM, C4BN1456 |
5818 | Mykrolis | WGFV16HP2 | MyKrolis WGFV16HP2 Cartridge Filter, 0.1µm, F-16, Wafergard |
5819 | Mykrolis | WGMXMBSS3 | Mykrolis WGMXMBSS3 Wafergard Filter |
5820 | Mykrolis | WGMXMBSS4 | Mykrolis WGMXMBSS4 Filter, Verteq Waferguard, N7A515864 |
5821 | Mykrolis | WGMXMBSS4 | MyKrolis WGMXMBSS4, Filter, Verteq Waferguard, 811-26069 |
5822 | Mykrolis | sh9j007r04 | MYKROLIS, SH9J007R04, PLANAGARD LP DISPOSABLE FILTER |
5823 | Mykrolis | FC-2902MEP5-T-4V | NEW Mykrolis 2900 MFC FC-2902MEP5-T-4V, N2, 5 SLPM Gas range |
5824 | Mykrolis | FC-2950MEP5 | NEW Mykrolis 2950 series MFC FC-2950MEP5, NF3CM |
5825 | Mykrolis | FC-2950MEP5-4V | NEW Mykrolis 2950 series MFC FC-2950MEP5-4V, SiH4CM |
5826 | Mykrolis | FC2902MEP-T | NEW Mykrolis FC-2902MEP-T, NH3 gas, 20 SLPM, S3004 Novellus 22-159378-00 |
5827 | Mykrolis | FC2902MEP-T | NEW Mykrolis FC-2902MEP-T, SiH4 gas, 500 SCCM, novellus 22-157425- |
5828 | Mykrolis | FC2902MEP-T-4V | NEW Mykrolis FC-2902MEP-T-4V, SiH4 gas, 1.5 SLPM, novellus 22-161384-00 |
5829 | Mykrolis | SB110202KU | new throttle valves with KF 40 flage |
5830 | Mykrolis | CMH-01 | Tylan General CMH-01 Manometer, Baratron, |
5831 | MYKROLIS | CWUZ40KL1 | MYKROLIS CWUZ40KL1 MICEOGARD MINICHEM 40, 0.05 MICRON, 810-52469 |
5832 | Mykrolis | DSMAB100 | Mykrolis DSMAB100 IntelliFlow Digital MFC Mass Flow Controller, O2, 50 SCCM |
5833 | Mykrolis Corporation | CWFG00403 | 2 MYKROLIS CWFG00403 FLUOREX 0.2UM FILTER |
5834 | Mykrolis Corporation | GHPZ01P01 | MYKROLIS GHPZ01P01 GUARDIAN HPX10″ 0.05UM FILTER |
5835 | Mykrolis Corporation | SCR0153E1 | Mykrolis SCR0153E1 Solaris Filter, SCR01, 1 Micron, 5″ 3/8″ Flare I/O |
5836 | Mykrolis Corporation | SCR0153E1 | MYKROLIS SCR0153E1 SOLARIS SCR01 FILTER 1 MICRON 5″ 3/8″ FLARE I/O 50 PSI MAX |
5837 | Mylex | DAC960LB | Mylex DAC960LB DB1 PCI Raid Controller 2 Channel SCSI, D040396-4E-DIG |
5838 | Myron L | 532T2 | Myron L DS Meter, 532T22 |
5839 | Myron L Company | TP1 | Myron TP1 TechpPro II Handheld DS Meter |
SS6305-1-9-2-1