Main Maker

Semiconductor Equipment Parts in USA

Semiconductor Equipment at San Jose,CA USA

Categories: ,

Description

Semiconductor Equipment Parts at San Jose,CA USA. Subject to prior sale without notice. The items are only for end users.

1 M and W Systems RPC2/28W-RNB Dual Channel Chiller Water Cooled 990-22-7-07 as-is
2 Therma-Wave 18-010918 Power Supply Opti Probe 2600B Used Working
3 QMB250 Edwards A301-86-905 Vacuum Pump Mechanical Booster Tested As-Is
4 DCG-600Z Optima ENI SPR S03-108 RF Generator Master AMAT 0190-14207 Refurbished
5 DCG-200Z Optima ENI DCG-100Z-00 RF Generator Rev. C AMAT 0190-10114 Refurbished
6 DCG-200A ENI DC24M-A041300110AL RF Generator Rev. D AMAT 0190-07962 Refurbished
7 DCG-100Z Optima ENI SPR S03-111 RF Generator AMAT 0190-14212 Refurbished
8 DCG-200A Optima ENI DCG-200A-S00 RF Generator Slave Rev. B AMAT 0190-07967 Used
9 DCG-200Z ENI DC22S-Z022000010A DC Plasma Generator AMAT 0190-08034 Used Tested
10 DCG-200A ENI DC22S-A022000010AL Plasma Generator SLAVE AMAT 0190-07963 Tested
11 TEL Tokyo Electron CT2985-463521-W2 PHP High Precision Hot Plate Process ACT12
12 CPMX-2500 Comdel FP2330R1 Automatic Matching Network Matchpro New
13 Daikin TBR15AMX Heat Exchange Tested Chilling Unit Tested Not Working As-Is.
14 Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working
15 AMAT Applied Materials 0100-09137 Encoder Interface Board Precision 5000 Used
16 MRC Materials Research A115015 Remote Rev. C Eclipse Star Sputtering System Used
17 MRC Materials Research A115015 Remote Rev. B 14H1351A Eclipse Star System Used
18 AMAT Applied Materials 0010-09181 Precision 5000 Platform DC Power Supply Used
19 SMC INR-497-001 Dual Channel Thermo Chiller Galden Only Tested Used Working
20 Nikon 200mm Wafer Prealigner Assembly 2S013-076 Optistation 3 Used Working
21 Nikon 200mm Wafer Prealigner Assembly 2S013-076 Optistation 3 Used Working
22 SMC Corporation INR-497-049 Thermo Chiller Dual Channel Used Tested Working
23 Key GV-6AP-4010780 Gate Valve Throttle Valve Assembly MRC Eclipse A112990 Used
24 Various 300mm Silicon Wafer 25 Count Baot Test Wafers with Oxides Used Working
25 Various 200mm Silicon Wafer 25 Count Baot Test Wafers with Oxides Used Working
26 Axcelis Microwave Waveguide Assembly GAE GA3107 H3862 Fusion E53 Used Working
27 KLA Instruments 710-658340-20 Robot Z PCB Board 073-650936-00 2132 Used Working
28 KLA Instruments 710-657068-20 AF Pulse Sensor Preamp PCB 073-657067-00 2132 Used
29 KLA Instruments 655-650167-00 Wafer Defect Cassette Stage Assembly 2132 Used
30 KLA Instruments 710-657600-20 Autofocus Control PCB Card 2132 used Working
31 TEL Tokyo Electron 2987-416025-WJ Interface Block Robotics ARM IRA ACT12 As-Is
32 Nikon OPTISTATION 3 Dual 200mm Wafer Inspection Transport Assembly Used Working
33 Nikon OPTISTATION 3 200mm Wafer Inspection Stage Assembly 2S700-587 Used Working
34 Nikon OPTISTATION 3 200mm Wafer Inspection Stage Assembly 2S700-587 Used Working
35 AMAT Applied Materials 200mm Wafer Stage Assembly Anorad RMW Orbot WF 720 Used
36 Kaijo Denki 6848 Ultrasonic Generator HI MEGASONIC 600 Used Working
37 Hirata HQPLP-2DHP Stage Assembly Quarter Panel Load Port Transfer Used Working
38 Asyst Technologies 970-5158-01 300mm Load Port SMIF-300FL KLA CRS-3000 Used
39 Fortrend F8225BBE 200mm Automatic Wafer Transfer System Used Tested Working
40 Fortrend 114-001006-001 200mm Wafer Transfer System E-8225 Used Tested Working
41 Fortrend F-8225S 200mm Automatic Wafer Transfer System Used Tested Working
42 MRC Materials Research A114570 Cassette A Stepper Elevator Eclipse Star Used
43 MRC Materials Research A114570 Cassette B Stepper Elevator Eclipse Star Used
44 MRC Materials Research A114570 Cassette A Stepper & Shaft Elevator Eclipse Used
45 MRC Materials Research A114570 Cassette B Stepper & Shaft Elevator Eclipse Used
46 KLA Instruments 710-659603-20 Y-SAC Single Axis Controller PCB Card 2132 Used
47 KLA Instruments 710-658770-20 X-SAC Single Axis Controller PCB Card 2132 Used
48 PPC Pacific Power Control 750-661058-00 AC Power Box KLA-Tencor 2552X Used
49 Asyst Technologies 9700-8107-01 300mm Wafer Load Port 300FLS2,HAMA ROX/E84 Used
50 Asyst Technologies 9700-8107-01 300mm Wafer Load Port 300FLS2,HAMA ROX/E84 Used
51 KLA Instruments 710-659274-20 Rev. E0 KLA PLA-8 Assembly 2132 Used Working
52 KLA Instruments 710-651090-20 Rev. H0 PCB Board 073-651089-00 2132 Used
53 KLA Instruments 710-658787-00 Light Level Sensor PCB 2132 Used Working
54 KLA Instruments 710-657058-20 A/F LED Driver PCB Set of 2 2132 Used Working
55 Ebara A30W Multi-Stage Dry Vacuum Pump 30096 Hours Used Tested Working
56 Ebara A30W Multi-Stage Dry Vacuum Pump 2273 Hours with VAC2D Used Tested Working
57 TDK Corporation TAS300 300mm Wafer Load Port Type E4 Used Working
58 AI Alessi Remote 5000 Series 200mm Wafer Prober AER-55 Cascade Microtech As-Is
59 AMAT Applied Materials 5834R A 5000/5200 CVD Chamber Precision 5000 P5000 Used
60 AMAT Applied Materials 4347 B 5000/5200 CVD Chamber Precision 5000 P5000 Used
61 AMAT Applied Materials 4347 A 5000 CVD Chamber 200mm Precision 5000 P5000 Used
62 CTI-Cryogenics 3620-00317 On-Board IS-1000 Compressor AMAT Used Tested Working
63 AMAT Applied Materials 404663 300mm Source 4 CPI-VMO Chamber Copper Used Working
64 Square D 30608-508-50 Remote Transfer Interface SY/MAX CRM232 Class 8030 Used
65 Square D 30608-508-50 Remote Transfer Interface SY/MAX CRM232 Class 8030 Used
66 Square D SY/MAX S30617-593-50 Relay Output Module Type ROM-271 Class 8030 Used
67 Square D SY/MAX 75122-526-50 Function Input Module Type RIM331 Class 8030 Used
68 Square D SY/MAX 75122-526-50 Function Input Module Type RIM331 Class 8030 Used
69 Spectrum Controls 8000-RDO-816 Relay Output Module Rev. D Used Working
70 Square D SY/MAX S75122-526-50 Function Input Module Type RIM331 Class 8030 Used
71 Schroff 23000-041 Backplane PCB VME JI 11 SLOT Used Working
72 JEOL EM-2011F FEG HT High-Tension Voltage Tank JEM-2010F JOBI Used Working
73 Cymer 107270-B Relay Interface Board PCB 107269 ELS-6400 Used Working
74 Cymer 107270-B Relay Interface Board PCB 107269 ELS-6400 Used Working
75 Nikon NSR-S202A P (SCSI) SG Rack Data Cable Used Working
76 Nikon 4S013-187 Interface Board PCB LIB-I/F NSR System Used Working
77 Nikon 4S007-914 RL-BCRHT Right Interface Board PCB Nikon NSR System Used Working
78 Nikon 4S001-062 Power Supply Card PCB DDP-024-A NSR-S202A System Used Working
79 Nikon 4S018-142 Backplane Interface Board PCB WL3MTR3 NSR-S202A System Used
80 Nikon 4S017-646-2D Relay Control Card PCB OPDCTRL NSR-S202A System Used Working
81 Nikon 4S018-172-A Air Control Card PCB AIRCTRL2 NSR-S202A System Used Working
82 Nikon 4S015-084 Relay Control Card PCB BUSMON3 NSR-S202A System Used Working
83 Nikon 4S018-171-1D Backplane Interface Board PCB OPDMTH3 NSR-S202A System Used
84 Nikon 4S015-046H Processor Control Card PCB NK386SX NSR-S202A System Used
85 Nikon 4S017-656-A Backplane Interface Board PCB S/G-MTHR NSR-S202A System Used
86 Nikon 4S018-163 SHRINC-CTRL Control Card PCB NSR-S202A System Used Working
87 Nikon 4S015-096 Processor Board PCB Card NK-C303-40 NSR-S202A Working Spare
88 Nikon 4S015-096 Processor Board PCB Card NK-C303-40 NSR-S202A Working Spare
89 Nikon 4S015-094 Processor Board NK386SX3 PCB Card NSR-S202A Used Working
90 Nikon 4S015-094 Processor Board NK386SX3 PCB Card NSR-S202A Used Working
91 Nikon 4S014-114 Relay Control Card PCB LC-CTL3 NSR-S202A System Used Working
92 Nikon 4S018-037 Process Test Card PCB SR-EX4 NSR-S202A System Used Working
93 Nikon 4S007-608 Relay Driver Card PCB PPD-EXDRV NSR-S202A System Used Working
94 Nikon 4S015-068 CPU Processor Card PCB PPD-CPU 4S017-912-A NSR-S202A Used
95 Nikon 4S015-094 Processor Card PCB NK386SX3 4S015-118 NSR-S202A Used Working
96 Nikon 4S015-094 Processor Card PCB NK386SX3 4S015-118 NSR-S202A Used Working
97 Nikon 4S015-094 Processor Card PCB NK386SX3 4S015-118 NSR-S202A Used Working
98 Nikon 4S018-143 Relay Control Card PCB WL3IO3 NSR-S202A System Used Working
99 Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S202A System Used Working
100 Nikon 4S018-156 Backplane Interface Board PCB LC-MTHR8 NSR-S202A Used Working
101 Nikon 4S018-317 Relay Card PCB ADDRV1 NSR-S202A Used Working
102 Nikon 4S018-090 Interface Relay Card PCB ADDRV2 NSR-S202A Used Working
103 NIkon 4S015-098 Processor Card PCB NK-C303-40-1 NSR-S202A Used Working
104 NIkon 4S015-098 Processor Card PCB NK-C303-40-1 NSR-S202A Used Working
105 Nikon 4S013-255 Interface Fuse Board PCB STGPWT NSR-S202A Used Working
106 Nikon 4S001-067 Power Supply Card PCB 2EA00E182E NSR-S202A Used Working
107 Nikon 4S018-149 Relay Board PCB SPIO NSR-S202A Used Working
108 Nikon 4S018-148 Interface Relay Board PCB STIFMEM2 NSR-S202A Used Working
109 Nikon 4S018-395-A Interface Relay Card PCB WS8DRV NSR-S202A Used Working
110 Nikon 4S018-072-C Interface Relay Card PCB LM2DRV NSR-S202A Used Working
111 Nikon 4S018-151 Relay Card PCB RSMDRV NSR-S202A Used Working
112 Nikon 4S018-152-D Relay Card PCB RSSDRV NSR-S202A Used Working
113 Nikon 4S017-852-F Interface Relay Card PCB AFDRV NSR-S202A Used Working
114 Nikon 4S018-137-C Relay Card PCB ZTDRV8 NSR-S202A Used Working
115 Nikon 4S018-028-1-D Interface Relay Card PCB BLDRV NSR-S202A Used Working
116 Nikon 4S018-177-B Interface Relay Card PCB EPDRV1-XB NSR-S202A Used Working
117 Nikon 4S018-178-C Interface Relay Card PCB WPSEV2-XB NSR-S202A Used Working
118 ScrewLine SP 250 Oerlikon Leybold 115001 Dry Vacuum Pump Used Tested Working
119 Asyst Technologies 9700-3858-01 Wafer Indexer 360 MM INX 3600 Used Working
120 Nikon 4S007-854-1 Power Supply NSR-S202A Used Working
121 Nikon 4S007-531-D Power Amp Board PCB MIS-POWAMP NSR-S202A Used Working
122 Nikon 4S007-684 Power Supply Board PCB ND-PWMBRD NSR-S202A Used Working
123 Nikon 4S013-225-1A Backplane Interface Board PCB SPAPWT NSR-S202A Used Working
124 Nikon 4S001-070 Power Supply Card PCB PW-NE NSR-S202A Used Working
125 YASKAWA SDGA-A3CSY83 Servo Drive SDGA-A3CS NSR-S202A Used Working
126 Panasonic WV-BM500 Video Monitor Nikon NSR-S202A Used Working
127 Nikon 4S007-776-B Processor Interface Card PCB ALG-SIG NSR-S202A Used Working
128 Nikon 4S018-169-F Processor Interface Card PCB ALG-INF NSR-S202A Used Working
129 Nikon 4S018-144 Interface Relay Card PCB C30-I/F NSR-S202A Used Working
130 Nikon 4S018-162-A Backplane Interface Board PCB ALGMTH-SR8 NSR-S202A Used
131 Nikon 4S018-402 Backplane Interface Board PCB MTH-8SH NSR-S204B Used Working
132 Nikon 4S018-376 Backplane Interface Board PCB LC-MTR-EX2A NSR-S204B Used Working
133 Nikon 4S018-376 Backplane Interface Board PCB LC-MTR-EX2A NSR-S204B Used Working
134 Nikon 4S018-376 Backplane Interface Board PCB LC-MTR-EX2A NSR-S204B Used Working
135 Nikon 4S018-849 Backplane Interface Board PCB OPDMTHX3 NSR-S204B Used Working
136 Nikon 4S018-849 Backplane Interface Board PCB OPDMTHX3 NSR-S204B Used Working
137 Nikon 4S018-849 Backplane Interface Board PCB OPDMTHX3 NSR-S204B Used Working
138 Nikon 4S018-849 Backplane Interface Board PCB OPDMTHX3 NSR-S204B Used Working
139 Nikon 4S018-849 Backplane Interface Board PCB OPDMTHX3 NSR-S204B Used Working
140 Nikon 4S018-849 Backplane Interface Board PCB OPDMTHX3 NSR-S204B Used Working
141 Nikon 4S017-656-A Backplane Interface Board PCB S/G-MTHR NSR-S204B Used Working
142 Nikon 4S018-162-B Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B Used
143 Nikon 4S018-162-B Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B Used
144 Nikon 4S018-162-B Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B Used
145 Nikon 4S018-162-B Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B Used
146 Nikon 4S018-162-B Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B Used
147 Nikon Wafer Center Table NSR-S204B Used Working
148 Nikon Wafer Center Table NSR-S204B Used Working
149 JAE 4S585-505 6 Axis Vibration Measurement Unit 4400-1100 Nikon NSR-S202A Used
150 Nikon 4S018-214 Interface Board PCB LM.I/F NSR-S202A Used Working
151 Yaskawa 4S061-689-1 Linear Motor Controller CLSR-33-N2CD Nikon NSR-S202A Used
152 Nikon Type 4A WT Relay AMP NSR-S204B 200mm Used Working
153 Nikon TYPE ALL WT Relay AMP NSR-S204B 200mm Used Working
154 Nikon TYPE ALL WT Relay AMP NSR-S204B 200mm Used Working
155 Nikon Type 4WT Relay AMP NSR-S204B 200mm Used Working
156 Nikon 4S008-005-A Interface Control Board PCB STGX23A NSR-S204B System Used
157 Nikon 4S008-005 Interface Control Board PCB STGX23A NSR-S204B Used Working
158 TDK FAW24-4R2 Power Supply Board PCB Nikon NSR-S204B Used Working
159 Nikon 4S013-319-B Backplane Interface Board PCB SPAPWT2 Used Working
160 Nikon 4S013-319-B Backplane Interface Board PCB SPAPWT2 Used Working
161 Nikon 4S013-319-B Backplane Interface Board PCB SPAPWT2 Used Working
162 Nikon 4S013-400 Backplane Interface Board PCB SPAPWT3 Used Working
163 Nikon 4S013-400 Backplane Interface Board PCB SPAPWT3 Used Working
164 Nikon 4S018-390-1 Backplane Interface Board PCB STGMTRX2 NSR-S204B Used Working
165 Nikon 4S018-390-1 Backplane Interface Board PCB STGMTRX2 NSR-S204B Used Working
166 Nikon 4S013-324-1 Backplane Interface Board PCB STGPWTX2A NSR-S204B Used Working
167 Nikon 4S013-324-1 Backplane Interface Board PCB STGPWTX2A NSR-S204B Used Working
168 Nikon 4S013-324-1 Backplane Interface Board PCB STGPWTX2A NSR-S204B Used Working
169 Mistubishi FX2N-128MR Programmable Logic Controller PLC S36-00 Used Working
170 Yamatake DMC50CS40000M003 Control Module (2) DMC50CS Used Working
171 OMRON C200HW-BC031 Programmable Controller SYSMAC C200HE NSR-S202A Used
172 Yamatake DMC50ME20000M001 Communication Module DMC50M Used Working
173 Yamatake DMC50CS40000M003 Control Module (1) DMC50CS Used Working
174 Yaskawa Electric UTOPI-020MX Minertia Motor QM Series Used Working
175 Yaskawa Electric UTOPI-020MX Minertia Motor QM Series Used Working
176 Nikon 4S017-866-B Interface Relay Card PCB STGLIUIF NSR-S202A Used Working
177 Nikon 4S017-167 Interferometer Relay Card PCB ALGLIUIF2 NSR-S202A Used Working
178 Nikon 4S018-168 Interferometer LC IF PCB Card LCLIUIF NSR-S202A Working Spare
179 HP Hewlett-Packard 10897-60002 Laser Axis Control Card PCB 10897B NSR-S202A Used
180 HP Hewlett-Packard 10897-60002 Laser Axis Control Card PCB 10897B NSR-S202A Used
181 Mitsubishi FX-128MR-ES/UL Programmable Controller PLC Nikon NSR-S202A Used
182 Nikon NVC8-EX-XB Camera Switcher NSR-S202A Used Working
183 Yaskawa CIMR-XCAA21P5 Drive Controller VS mini Nikon NSR-S202A Used Working
184 Omron NT30C-ST141B-E Interactive Display Used Working
185 TDK RKW24-4R5C Power Supply Used Working
186 Yamatake DMC50CH401D00000 Multi-Loop Controller DMC50C Used Working
187 Iwaki MD-30RZM-200N Magnet Pump Used Working
188 Asyst 9701-1057-02A Interface Board PCB 3200-1201 Isoport Used Working
189 Asyst 3200-1212-01 Interface Relay Board PCB 1212-01-10000156 Used
190 Asyst Technologies 9701-1056-02A Interface Relay Board PCB Used Working
191 Iwaki MD-70RZM Magnet Pump Used Working
192 Nikon 4S018-749 STGMTRX4 PCB Circuit Board Used Working
193 Nikon 4S018-936-1 Backplane Interface Board WL3MTR5-FOUP NSR-S204B Used Working
194 Nikon 4S018-936-1 Backplane Interface Board WL3MTR5-FOUP NSR-S204B Used Working
195 Nikon 4S018-659 Backplane Interface Board PCB WL3MTR4 NSR-S204B Used Working
196 Nikon 4S018-659 Backplane Interface Board PCB WL3MTR4 NSR-S204B Used Working
197 Nikon 4S018-659 Backplane Interface Board PCB WL3MTR4 NSR-S204B Used Working
198 Nikon 4S018-659 Backplane Interface Board PCB WL3MTR4 NSR-S204B Used Working
199 Nikon 4S018-171-2D Backplane Interface Board PCB OPDMTH3 NSR-S204B Used Working
200 Nikon 4S018-171-2D Backplane Interface Board PCB OPDMTH3 NSR-S204B Used Working
201 Nikon 4S013-370 Backplane Interface Board PCB STGSTCAV1AV2X4 NSR-S204B Used
202 Nikon 4S013-369 Backplane Interface Board PCB STGSTCAFX4 NSR-S204B Used Working
203 Nikon 4S013-382 Backplane Interface Board PCB STGPWTX4A NSR-S204B Used Working
204 Nikon 4S013-368 Backplane Interface Board PCB STGSTCBLWTX4 NSR-S204B Used
205 Nikon 4S013-395 Backplane Interface Board PCB STGSTCWYWXX4A NSR-S204B Used
206 Nikon 4S013-366 Backplane Interface Board PCB STGSTCMSCRFX4 NSR-S204B Used
207 Nikon 4S013-365 Backplane Interface Board PCB STGSTCSPX4 NSR-S204B Used Working
208 Nikon NK2551 Laser Card EPROM NSR-S204B Used Working
209 Nikon 4S013-284 Interface Board PCB IM-ENDC3 NSR-S204B Used Working
210 TEL Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Used Working
211 TEL Tokyo Electron TKB7000 IO SPIN #01 TKB7043 DEV ASSY PCB Lithius Used Working
212 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working
213 Nikon Pellicle Particle Detector PPD 4S007-609 PPD-EXBDY NSR-S204B As-Is
214 Nikon Wafer Loader Ceramic Arm Left NSR System Used Working
215 Nikon Wafer Loader Ceramic Arm Right NSR System Used Working
216 Nikon Wafer Loader Ceramic Arm Left NSR System Used
217 Nikon Wafer Loader Ceramic Arm Left NSR Used Working
218 Nikon Wafer Loader Ceramic Arm Right NSR System Used
219 Nikon Wafer Loader Ceramic Arm Right NSR Used Working
220 Nikon 4S602-352-1 Stage Motor Encoder Assembly RMN1000 NSR-S204B Used Working
221 Nikon 4S007-545 Sensor Analog Board EXX-FC2-SNS NSR-S202A Used Working
222 Nikon MH-15-041 Digital Micrometer 4S554-041 NSR-S204B Used Working
223 Faulhaber 1841E002S Minimotor SA Assembly Nikon NSR-S204B Used Working
224 Faulhaber 2251R012S Minimotor SA Nikon NSR-S204B Used Working
225 Faulhaber 1841E012S Minimotor SA Nikon NSR-S204B Used Working
226 Faulhaber 1841E012S Minimotor SA Nikon NSR-S204B Used Working
227 Hamamatsu H5001 Photomultiplier Tube Nikon NSR-S204B Used Working
228 Nikon MH-15-041 AF Halving Motor/Digimic 4S554-041 NSR-S204B Used Working
229 HP Hewlett-Packard 10780F Remote Receiver Nikon NSR-S202A Used Working
230 Cymer 06-10002-03 Commutator LS123 Rev. E ELS-6400 Excimer Laser System Used
231 Cymer 06-10002-03 Commutator LS123 Rev. G ELS-6400 Excimer Laser System Used
232 Matheson 06-14013-02 Gas Control Module BOX-0551-XX ELS-6400 Used Working
233 Nikon VFU-X80S Noise Filter Used Working
234 Nikon 4S007-785 Relay Interface Board PCB AF-IF8 NSR-S202A Used Working
235 Nikon 4S007-770-B Interface Board PCB LC-PRES2 NSR-S202A Used Working
236 Nikon 4S018-160 Interface Control Board PCB RL-Lø NSR-S202A Used Working
237 Nikon 4S007-760-1 Interface Control Board PCB STG81 NSR-S202A Used Working
238 Nikon 4S007-865 Interface Control Board PCB SR8-XY2 NSR-S202A Used Working
239 Nikon 4S007-138 Interface Relay Board PCB RT8XB NSR-S202A Used Working
240 Nikon 4S007-799 Process Control Board PCB IU-XB NSR-S202A Used Working
241 Nikon 4S007-843 Interface Relay Board PCB EX-AIS NSR-S202A Used Working
242 Nikon 4S007-837 Interface Control Board PCB AF-PSDXB NSR-S202A Used Working
243 Nikon 4S007-668-A Relay Control Board PCB FIAAF PROCESS-A NSR-S202A Used
244 Nikon 4S007-667-A Relay Control Board PCB FIAAF PROCESS-D NSR-S202A Used
245 Nikon 4S007-795 Interface Control Board PCB XB-STGA/D NSR-S202A Used
246 Nikon 4S007-849 Interface Relay Board PCB EX-S/H NSR-S202A Used Working
247 Nikon 4S007-778 Interface Control Board PCB BLXXX NSR-S202A Used Working
248 Nikon 4S007-787-1 Interface Relay Board PCB AVIS-I/F2 NSR-S202A Used Working
249 Koganei 4B024-508 Pneumatic Controller SP0994W Nikon NSR-S202A Used Working
250 Omron E2CA-AL4D Proximity Sensor Amplifier Panel Nikon NSR-S202A Used Working
251 Cambridge 2T-80110GLP-A398-0 Absolute Filter II Chassis Nikon NSR-S202A Used
252 Koganei 4K177-557 Pneumatic Controller SP00995W Nikon NSR-S202A Used Working
253 Nikon XC-73 CCD Video Camera Module NSR-S202A Used Working
254 Nikon NK2551 EPROM Receiver Board PCB NSR-S202A Step and Repeat Used Working
255 Nikon Reticle Exchange Assembly REX RH-11C-3001-E100D0 NSR-S202A Used Working
256 Nikon 4S007-792 Relay Interface Board PCB SR8-PRE2 NSR-S202A Used Working
257 Nikon 4S007-665 Interface Control Board PCB FIAAF-TYUUKEI-V NSR-S202A Used
258 Nikon 4S007-666-A Relay Control Board PCB FIAAF-TYUUKEI-H NSR-S202A Used Working
259 Texas Instruments 2540169-0001 Industrial CCD Inspection Camera NSR-S202A Used
260 Faulhaber 3557K012C Motor Endcoder Reticle Stage Assembly NSR-S202A Used Working
261 Nikon 4S013-202 Interface Encoder Board PCB IM-ENCD1 NSR-S202A Used Working
262 Nikon 4S013-211 Interface Board PCB NSR-S202A Used Working
263 Nikon 4S007-781-1 Relay Interface Board PCB SHRINC-INTF-1 NSR-S202A Used Working
264 Nikon 4S007-663 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-A NSR-S202A Used
265 Nikon 4S007-664 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-D NSR-S202A Used
266 Nikon KBB11350 2FE 2nd Fly’s Eye Lens SHRINC Revolver NSR-S202A System Used
267 Nikon 4K577-177 Integrator Sensor NSR-S202A Step-and-Repeat System Used Working
268 Nikon Low Reflectance Sensor NSR-S202A Step-and-Repeat System Used Working
269 Nikon Field Revolver 135603 SHRINC NSR-S202A Step-and-Repeat System Used Working
270 Nikon Illumination Uniformity Control IUC Relay Lens 4S602-275 NSR-S202A Used
271 Nikon 1FE 1st Fly’s Eye Lens Revolver 135053 NSR-S202A System Used Working
272 Nikon 2nd Relay Front Optic Lens NSR-S202A Step-and-Repeat System Used Working
273 Nikon 2nd Relay Rear Optic Lens NSR-S202A Step-and-Repeat System Used Working
274 Nikon ARB Blinds Unit SGM-A3C3NQ11 NSR-S202A Step-and-Repeat System Used Working
275 Nikon 4S064-133-4 Power Driver Box 4S064-340 NSR-S204B System Used Working
276 Nikon NCPre2 Non-Contact Prealign 2 Unit NSR-S202A Step-and-Repeat System Used
277 Nikon 4S007-794 Interface Board PCB XB-STGP/H NSR-S202A System Used
278 Nikon 4S007-762 Interface Board PCB STG83 NSR-S204B System Used Working
279 Nikon 4G746-061-1 Wafer Stage Fiducial Plate NSR System Used Working
280 Nikon 4G746-042 Wafer Stage Fiducial Plate NSR-S202A System Used Working
281 Nikon BFP Basic Flat Plate NSR-S202A Step-and-Repeat System Used Working
282 Nikon Reflectance Plate NSR-S202A Step-and-Repeat System Used Working
283 Nikon AIS Aerial Image Sensor NSR-S202A Step-and-Repeat System Used Working
284 Nikon Wafer Stage Positioning Sensor NSR-S202A Step-and-Repeat System Used
285 Nikon Irradiance Illumination Uniformity Sensor NSR-S202A System Used Working
286 Nikon Wafer Center Table NSR-S202A System Used Working
287 Nikon Wafer Stage Motor Assembly 2251R012S NSR-S202A RMh1000-22-1 Used
288 Nikon 2251R012S WT Wafer Stage Axis Motor NSR-S202A RMh1000-22-1 Used Working
289 Nikon 4S007-786 Relay Sensor Board PCB LIA LD NSR-S202A System Used
290 Nikon 4S782-443 ALCP Temperature Controller with Probes NSR-S202A Used Working
291 Nikon Wafer Loader Pre2 Detector VB-001 NSR-S202A Used Working
292 Nikon 4S005-297(M) AF Sensor Board PCB 4S005-297(S) NSR-S202A Used Working
293 Nikon 1301 Helium Neon Laser Max 20mW 632.8nm NSR-S202A Used Working
294 Nikon VB-001 Wafer Loader Pre2 Detector Board PCB A NSR-S204B Used Working
295 Nikon VB-001 Wafer Loader Pre2 Detector Board PCB C NSR-S204B Used Working
296 Vaisala HMPNIK-S2-A1P0A2EE12C1N1 Humidity Temp Controller Nikon NSR-S202A Used
297 Lytron TFA6963G2 Thermal Manifold System 06-03253-00 Cymer ELS-6400 Used Working
298 Nikon Reticle Fine Stage MSC NSR-S202A Step-and-Repeat System Used Working
299 Matheson 05-14002-06 Gas Control Module BOX-0889-XX ELS-6400 Used Working
300 Cymer 05-08120-01 Vacuum Module ELS-6400 Laser System Used Working
301 Cymer 06-05202-01C Interface Relay Board PCB 06-05203-01 ELS-6400 Used Working
302 VersaLogic VL-7709 Processor Card PCB ELS-6400 Used Working
303 Cymer 06-05256-00A Interface Board PCB ELS-6400 Used Working
304 Cymer 06-05026-04F Interface Board PCB ELS-6400 Used Working
305 Cymer 06-05258-00A Interface Relay Board PCB ELS-6400 Used Working
306 Cymer 06-05204-01C Interface Relay Board PCB ELS-6400 Used Working
307 Cymer 101212-A Interface Relay Board PCB ELS-6400 Used Working
308 Cymer 06-05220-00A Processor Board PCB ELS-6400 Used Working
309 Cymer 06-05313-00A Processor Board PCB ELS-6400 Used Working
310 Nikon 4S015-046H Processor Control Card PCB NK386SX NSR System Used Working
311 Nikon 4S017-656-A Backplane Interface Board S/G-MTHR NSR System Used Working
312 Fire Sentry 2176-0001E S7 Battery Controller Board PCB Used Working
313 TEL Tokyo Electron 1208-001195-11 Pre Align Amp 78 Board PCB Used Working
314 TEL Tokyo Electron 1208-001195-11 Pre Align Amp 78 Board PCB Used Working
315 TEL Tokyo Electron 3281-000009-13 Pincette Interconnect 3 Board PCB Used Working
316 TEL Tokyo Electron 3208-000087-11 Pincette Interconnect1 Board PCB Used Working
317 TEL Tokyo Electron 3281-000015-13 Pincette Interconnect 4 Board PCB Used Working
318 TEL Tokyo Electron 3281-000085-11 Pincette Base Board PCB 3208-000085-11 Used
319 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 Used Working
320 TEL Tokyo Electron 3281-000151-11 Interface Board PCB FA1011K501B Used Working
321 Sanyo Denki PMM-BD-5705-2 Motor Driver Board PCB Pentasyn Used Working
322 TEL Tokyo Electron 3281-000133-11 Dry Air Cont D204 Board PCB Used Working
323 TEL Tokyo Electron 3281-000136-11 Pin X Interface Board PCB FA1006K507A Used
324 Cymer 06-06025-01 Filter Module ELS-6400 Excimer Laser System Used
325 CKD AMD31-15-12-F Air Operated Valve Nikon NSR-S202A System Used Working
326 Nikon 4S018-134-1 Interface Relay Board PCB STG84 NSR-S202A Used Working
327 Nikon 2251R012S WT Optic Revolver Axis Motor NSR-S202A RMh1000-22-1 Used Working
328 Nikon KBB18530-00 WL Slider Rail NSR-S204B Step-and-Repeat System Used
329 TEL Tokyo Electron 3281-000137-11 Pin X Base Interface Board PCB Used Working
330 TEL Tokyo Electron 1208-001196-11 Sensor Board PCB Used Working
331 Fire Sentry 2176-0000 S7 Battery Controller Board PCB Used Working
332 Nikon 4S007-580-C Analog to Digital Interface Board PCB PPD-A/D NSR-S204B Used
333 Nikon 4S007-580-C Analog to Digital Interface Board PCB PPD-A/D NSR-S204B Used
334 Nikon 4S007-609 Interface Board PCB PPD-EXBDY NSR-S204B Step-and-Repeat Used
335 Nikon 4S007-609 Interface Board PCB PPD-EXBDY NSR-S204B Step-and-Repeat Used
336 Nikon 4S003-028 Interface Relay Control Board PCB DCMD-L2 NSR-S204B Used Working
337 Nikon 4S003-028 Interface Relay Control Board PCB DCMD-L2 NSR-S204B Used Working
338 HP Hewlett Packard 5517D Laser Head 247uW Option C07 Nikon NSR-S204B Used
339 HP Hewlett Packard 5517D Laser Head 225uW Option C07 Nikon NSR-S204B Used
340 HP Hewlett Packard 5517D Laser Head 293uW Option C06 Nikon NSR-S204B Used
341 HP Hewlett Packard 5517D Laser Head 227uW Option C07 Nikon NSR-S204B Used
342 HP Hewlett Packard 5517D Laser Head 227uW Option C06 Nikon NSR-S204B Used
343 HP Hewlett Packard 5517D Laser Head 285uW Option C06 Nikon NSR-S204B Used
344 Agilent 5517D Interferometer Laser 246uW Option C06 Nikon NSR-S204B Used Working
345 Agilent 5517DN07 Interferometer Laser 193uW Option 006 Nikon NSR-S204B Used
346 Agilent 5517DN07 Interferometer Laser 201uW Option 006 Nikon NSR-S204B Used
347 Agilent 5517DN07 Interferometer Laser 211uW Option 006 Nikon NSR-S204B Used
348 Agilent 5517DN07 Interferometer Laser 205uW Option 006 Nikon NSR-S204B Used
349 Agilent 5517DN06 Interferometer Laser 371uW Nikon NSR-S204B Used Working
350 Agilent 5517DN06 Interferometer Laser 285uW Nikon NSR-S204B Used Working
351 Agilent 5517DN06 Interferometer Laser 312uW Nikon NSR-S204B Used Working
352 Agilent 5517DN06 Interferometer Laser 330uW Nikon NSR-S204B Used Working
353 Agilent 5517DN06 Interferometer Laser 270uW Nikon NSR-S204B Used Working
354 Agilent 5517DN06 Interferometer Laser 300uW Nikon NSR-S204B Used Working
355 Agilent 5517DL Interferometer Laser 327uW Option 006 038 Nikon NSR-S204B Used
356 Agilent 5517DL Interferometer Laser 310uW Option 006 038 Nikon NSR-S204B Used
357 Agilent 5517DL Interferometer Laser 305uW Option 006 039 Nikon NSR-S204B Used
358 Agilent 5517DL Interferometer Laser 320uW Option 006 039 Nikon NSR-S204B Used
359 Agilent 5517DL Interferometer Laser 360uW Option 006 039 Nikon NSR-S204B Used
360 Nikon Fly’s Eye Field Lens NSR-S204B Used Working
361 Nikon Fly’s Eye Field Lens NSR-S204B Used Working
362 Agilent 5517DN06 Interferometer Laser 372uW Nikon NSR-S204B Used Working
363 SOC Showa Optronics GLG5414 HeNe Laser Head Nikon NSR-S204B Used Working
364 TDK 3E00B172 Interface Power Supply Board PCB Used Working
365 ETO ABX-X23 Wattmeter Board ABX-X237-12 Used Working
366 ETO ABX-X23 Wattmeter Board ABX-X236-11 Used Working
367 ETO ABX-X23 Wattmeter Board ABX-X236-12 Used Working
368 ETO ABX-X RF Generator Controller Board PCB ABX-X228-11 ABX-X247 Used Working
369 ETO ABX-X RF Generator Controller Board PCB ABX-X228-11 Used Working
370 ETO MSX-X741 Display Board PCB ABX-X247 Used Working
371 ETO ABX-X228 RF Generator Controller Rev. 11 Used Working
372 ETO Ehrhorn Technological Operations ABX-X228 RF Generator PCB with ABX-X247
373 TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board PCB TKB3100 Used Working
374 ETO ABX-X234 300W Driver Board PCB Rev. B Used Working
375 ETO ABX-X234 300W Driver Board PCB Rev. 11 Used Working
376 ETO ABX-X234 300W Driver Board PCB ABX-X234-9 Used Working
377 Yaskawa Electric UTOPI-020MX Minertia Motor QM Series Nikon NSR-S204B Used
378 ETO Ehrhorn Technological Operations ABX-X237-12 Wattmeter Board Used Working
379 TDK MSE182G Power Supply Module 4S064-072 Nikon NSR System Used Working
380 TDK MSE182G Power Supply Module 4S064-072 Nikon NSR System Used Working
381 Nikon Pellicle Particle Detector PPD Head 4S005-204-G NSR-S204B Used Working
382 Chiba Precision TC-214402G Servo Motor SFJ TORMAX Nikon NSR System Used Working
383 Harmonic Drive Systems RH-8B-6006-E020DO DC Servo Actuator Nikon NSR System Used
384 TDK RGW48-32R DC Power Supply Nikon NSR-S202A Step-and-Repeat System Used
385 Edwards W60730000 Pressure Monitor Analog Out 100V 1570 Used Working
386 Edwards W60730000 1570 Pressure Monitor Analog Out 100V SR4-SP328-11-0 Used
387 Edwards W60730000 1570 Pressure Monitor Analog Out 100V SRIV-SP-328-11-00 Used
388 Nikon Wafer Loader Indexer Lift Assembly NSR-S204B Step-and-Repeat Scanning Used
389 Texas Instruments 2540169-0001 CCD Inspection Camera Nikon NSR-S204B Used
390 Cymer ISS1201-120-1002-85 Motor Controller Automotion Rev B Nikon NSR-S204B Used
391 Nikon WT Linear Scale NSR-S204B Scanning System Used Working
392 Cognex 200-0028 VM14 Vision Processor VME PCB Card Rev. Q Ultratech 4700 Titan
393 Schroff 60800-381 VME 11-Slot Backplane Board PCB Ultratech Stepper 4700 Used
394 BICC VERO 243-39122C VME I/O Channel Backplane Board PCB Ultratech Stepper Used
395 BICC VERO 415-55725 VME Bus J2 Backplane Board PCB Ultratech Stepper Used
396 BICC VERO 415-55725 VME Bus J2 Backplane Board PCB Ultratech Stepper Used
397 Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor TEL 2985-519524-W1 ACT12 200mm Used
398 Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor TEL 2985-519524-W1 ACT12 200mm Used
399 Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor TEL 2985-519524-W1 ACT12 200mm Used
400 Ultratech Stepper 03-15-04253 Power Driver Backplane Board PCB Ultratech Used
401 Ultratech Stepper 03-20-00766 Power Driver Backplane Board PCB Ultratech Used
402 Oriental Motor A4840-9015KE 5-Phase Stepping Motor TEL ACT12 Used Working
403 Nikon WL3 Slider Rail NSR-S204B Step-and-Repeat Scanning System As-Is
404 Ultratech Stepper 03-20-01989 5 Axis Focus Driver PCB Card Titan 4700 Used
405 Ultratech Stepper 03-20-01989 5 Axis Focus Driver PCB Card Titan 4700 Used
406 Ultratech Stepper 03-20-00933-02 Switching Power Supply PCB Card Titan 4700 Used
407 Ultratech Stepper 03-20-00933-02 Switching Power Supply PCB Card Titan 4700 Used
408 Ultratech Stepper 03-20-01989 5 Axis Focus Driver FOCUS PCB Card Titan Used
409 Ultratech Stepper 03-20-01989 5 Axis Focus Driver FOCUS PCB Card Titan Used
410 Ultratech Stepper 03-20-00933-03 Switching Power Supply Rev. 1B PCB Card Titan
411 Ultratech Stepper 03-20-00933-03 Switching Power Supply Rev. 1B PCB Card Titan
412 Ultratech Stepper 03-20-00933-03 Switching Power Supply Rev. 1B PCB Card Titan
413 Ultratech Stepper 03-20-00933-03 Switching Power Supply Rev. A1 PCB Card Titan
414 Ulvac Technologies Enviro II Dual Chamber Advanced RF Strip System for 8″ Wafers
415 Ulvac Envir II Dual Chamber RF Generator Rack
416 Ulvac Enviro II Dual Chamber AC Power Box
417 Ulvac Enviro II Dual Chamber Misc Parts
418 Ulvac Ceraus Z1000PVD Generator Rack
419 Ulvac Ceraus Z1000PVD TMP Rack
420 Ulvac Ceraus Z1000PVD Neslab Chiller
421 Ulvac Ceraus Z-1000PVD Chamber Module
422 Cognex 200-0028 VM-14 Vision Processor VME PCB Card Rev. P Ultratech 4700 Titan
423 Cognex 200-0028 VM-14 Vision Processor VME PCB Card Rev. Y Ultratech 4700 Titan
424 Ultratech Stepper 03-20-00870 VME Combo PCB Card Rev. B 4700 Titan Used Working
425 Ultratech Stepper 03-20-00870 VME Combo PCB Card Rev. B1 4700 Titan Used Working
426 HP Hewlett-Packard 10895-60001 Laser Axis VMEBus PCB Card 10895A Used Working
427 HP Hewlett-Packard 10895-60001 Laser Axis VMEBus PCB Card 10895A Used Working
428 Ultratech Stepper 03-20-01967 VME Focus Control Processor PCB Card 4700 Titan
429 Ultratech Stepper 03-20-01933 5 Axis ASH Alignment VME PCB Card 4700 Titan Used
430 Ultratech Stepper 03-20-00917 Stepper Motor ASH VME PCB Card 4700 Titan Used
431 Ultratech Stepper 03-20-01403-02 Stepper Motor ASH VME PCB Card 4700 Titan Used
432 Ultratech Stepper 03-20-01082 General I/O PCB VME Card 4700 Titan Used Working
433 Ultratech Stepper 03-20-01403-11 VME 5 Axis Stage ASH PCB Card 4700 Titan
434 Ultratech Stepper 03-20-01403-09 VME 5 Axis Stage ASH PCB Card 4700 Titan
435 Ultratech Stepper 03-20-01403-10 VME Stepper Motor ASH PCB Card 4700 Titan Used
436 Risshi CS-17000R Heat Exchanger with Conductivity Meter KK-8C Maruyama Used
437 QMB1200 Edwards A305-86-905 Mechanical Booster 14100 Hours Copper Tested Working
438 QMB1200 Edwards A305-86-905 Mechanical Booster Copper Exposed Untested As-Is
439 QMB1200 Edwards QMB1200F Mechanical Booster Pump with Rack Used Untested As-Is
440 Panasonic NM-6340 BCA Linear Positioning Robot Pana Robo Panadac 361A FA As-Is
441 Sankyo Seiki SR8437C-F00 Manipulator Robot Arm C.CODE SS63000717 Untested As-Is
442 Sankyo Seiki SR8437C-FL1 Scara Robot 4-Axis C.CODE CS59000646 Untested As-Is
443 Nikon 2S700-529-1 Backplane Board PCB 2S017-139-3 NSR-S205C Step-and-Repeat Used
444 Nikon 4S005-206-Ⓕ PPD Sensor Board PCB PPD-CCD NSR-S204B Step-and-Repeat Used
445 Nikon 4S005-206-Ⓕ PPD Sensor Board PCB PPD-CCD NSR-S204B Step-and-Repeat Used
446 Nikon 4S005-204-G PPD Load Board PCB PPD-LD NSR-S204B Step-and-Repeat Used
447 Nikon 4S005-204-G PPD Load Board PCB PPD-LD NSR-S204B Step-and-Repeat Used
448 Watlow Loyola 08-5422 Processor Board PCB AT+ SSR Primax N2 Nitrogen Purge Used
449 Laser Identification Systems 6050021 Single Board Computer PCB Lumonics Used
450 Laser Identification Systems 6050011 Extender Board PCB Lumonics LW-CO2 Used
451 Laser Identification Systems 345520 Backplane Board PCB Lumonics LW-CO2 Used
452 Lumonics 20160172 Processor Board PCB QCI Type 1 LW-CO2 Lightwriter CO2 Used
453 Opto 22 G4PB24 24-Channel Field Control I/O Module Board PCB Lumonics Used
454 KLA Instruments 710-650879-20 Dual Stepper Driver PCB Card Rev. E1 2132 Used
455 KLA Instruments 710-650879-20 Dual Stepper Driver PCB Card 2132 Used Working
456 KLA Instruments 710-650879-20 Dual Stepper Driver PCB Card 2132 Rev. E1 Used
457 KLA Instruments 710-650879-20 Dual Stepper Driver PCB Card Rev. E1 ROBOT-Z Used
458 KLA Instruments 710-650074-20 Processor PCB Card Rev. E2 2132 200mm Used Working
459 KLA Instruments 710-660800-00 Processor Board PCB NSC3 2132 200mm Wafer Used
460 KLA Instruments 710-650201-20 Baseplate Flex Board PCB 2132 200mm Wafer Used
461 KLA Instruments 710-659846-00 XFLEX3 Board PCB 2132 200mm Wafer Used Working
462 KLA Instruments 710-650204-20 Y Flex Board PCB 2132 200mm Wafer Used Working
463 KLA Instruments 710-657068-20 AF Pulse Sensor Preamp Board PCB 2132 Used Working
464 Copley Controls 800-180 Motion Control Driver 303 KLA Instruments 2132 Used
465 KLA Instruments 710-658161-20 Image Sensor Board PCB Copper Exposed 2132 Used
466 Nikon Voice Coil Motor VCM NSR-S204B Step-and-Repeat Scanning System Used
467 Nikon Voice Coil Motor VCM NSR-S204B Step-and-Repeat Scanning System Used
468 Nikon Voice Coil Motor VCM NSR-S204B Step-and-Repeat Scanning System Used
469 Nikon Voice Coil Motor VCM NSR-S204B Step-and-Repeat Scanning System Used
470 Nikon Voice Coil Motor VCM NSR-S204B Step-and-Repeat Scanning System Used
471 Nikon Voice Coil Motor VCM NSR-S204B Step-and-Repeat Scanning System Used
472 Nikon Voice Coil Motor VCM NSR-S204B Step-and-Repeat Scanning System Used
473 Nikon WT Linear Scale Read Head BS91A X Axis NSR-S204B System Used Working
474 Nikon WT Linear Scale Read Head BS91A X Axis NSR-S204B System Used Working
475 Nikon WT Linear Scale Read Head BS91A X Axis NSR-S204B System Used Working
476 Nikon WT Linear Scale Read Head BS91A X Axis NSR-S204B System Used Working
477 Nikon WT Linear Scale Read Head BS91A X Axis NSR-S204B System Used Working
478 Nikon WT Linear Scale Read Head BS91A X Axis NSR-S204B System Used Working
479 Nikon WT Linear Scale Read Head BS91A Y Axis NSR-S204B System Used Working
480 Nikon WT Linear Scale Read Head BS91A Y Axis NSR-S204B System Used Working
481 Nikon WT Linear Scale Read Head BS91A Y Axis NSR-S204B System Used Working
482 Nikon WT Linear Scale Read Head BS91A Y Axis NSR-S204B System Used Working
483 Nikon WT Linear Scale Read Head BS91A Y Axis NSR-S204B System Used Working
484 Nikon WT Linear Scale Read Head BS91A Y Axis NSR-S204B System Used Working
485 Nikon WT Linear Scale Read Head BS91A Z-Axis NSR-S204B System Used Working
486 Nikon WT Linear Scale Read Head BS91A Z-Axis NSR-S204B System Used Working
487 Nikon WT Linear Scale Read Head BS91A Z-Axis NSR-S204B System Used Working
488 Nikon WT Linear Scale Read Head BS91A Z-Axis NSR-S204B System Used Working
489 Nikon WT Linear Scale Read Head BS91A Z-Axis NSR-S204B System Used Working
490 Nikon WT Linear Scale Read Head BS91A Z-Axis NSR-S204B System Used Working
491 Nikon WT Linear Scale Read Head X-Axis BS90D NSR-S204B Step-and-Repeat Used
492 Nikon WT Linear Scale Read Head Y-Axis BS90D NSR-S204B Step-and-Repeat Used
493 Nikon WT Linear Scale Read Head Z-Axis BS90D NSR-S204B Step-and-Repeat Used
494 Nikon WT Linear Scale Read Head X-Axis BS90A NSR-S204B Step-and-Repeat Used
495 Nikon WT Linear Scale Read Head Y-Axis BS90A NSR-S204B Step-and-Repeat Used
496 Nikon WT Linear Scale Read Head Y-Axis BS90A NSR-S204B Step-and-Repeat Used
497 Nikon WT Linear Scale Read Head Z-Axis BS90A NSR-S204B Step-and-Repeat Used
498 Nikon WT Linear Scale Read Head Z-Axis BS90A NSR-S204B Step-and-Repeat Used
499 CTI-Cryogenics 8128903G004 CSB Cryo Compressor Stack Used Working
500 TEL Tokyo Electron Thermo Generator D204 Used Working
501 Advantest BGR-016795 Processor Board PCB Card PGR-816795DD44 Used Working
502 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12 200mm
503 Philips 4022.428.1761 Processor PCB Card ASML PAS 5000/2500 Used Working
504 ASML 4022.428.1763 Relay PCB Card ASML PAS 5000/2500 Wafer Stepper Used Working
505 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12-200 Used
506 Philips 9415 912 68001 Power Supply PCB Card PE 1268 ASML PAS 5000/2500 Used
507 Philips 9415 912 68001 Power Supply PCB Card PE 1268 ASML PAS 5000/2500 Used
508 Philips 9415 912 68001 Power Supply PCB Card PE 1268 ASML PAS 5000/2500 Used
509 Philips 9415 012 68001 Power Supply PCB Card PE 1268/00U ASML PAS 5000/2500 Used
510 Philips 9415 012 67001 Power Supply PCB Card PE 1267/00U ASML PAS 5000/2500 Used
511 Philips 9415 012 68031 Power Supply PCB Card ASML 4022.430.02701 PAS Used
512 Philips 9415 011 38501 Power Supply PCB Card PE 1138/50U ASML PAS 5000/2500 Used
513 Philips 9415 011 41021 F Power Supply PCB Card ASML PAS 5000/2500 Used Working
514 Philips 9415 011 43021 F Power Supply PCB Card ASML PAS 5000/2500 Used Working
515 Philips 9415 011 38511 Power Supply PCB Card PE 1138/51U ASML PAS 5000/2500 Used
516 Philips 9415 012 69001 Power Supply PCB Card PE 1269/00 U ASML PAS Used Working
517 ASML 4022.428.1556 Power Amplifier PCB Card PAS 5000/2500 Wafer Stepper Used
518 ASML 4022.428.1556 Power Amplifier PCB Card PAS 5000/2500 Wafer Stepper Used
519 ASML 4022.428.1556 Power Amplifier PCB Card PAS 5000/2500 Wafer Stepper Used
520 Philips 9415 013 65605 Power Supply PCB Card PE 1265/60 ASML PAS 5000/2500 Used
521 Philips 9415 012 62605 Power Supply PCB Card ASML 4022.420.15881 PAS Used
522 Philips 9415 012 61315 Power Supply PCB Card ASML 4022.428.15841 PAS Used
523 Philips 9415 012 61315 K Power Supply PCB Card ASML 4022.428.15841 PAS Used
524 Philips 9415 012 64311 S Power Supply PCB Card PE 1264/31 U ASML PAS Used
525 Philips 9415 012 58311 Power Supply PCB Card PE 1258/31 U ASML PAS Used Working
526 Philips 9415 011 29505 Power Supply PCB Card ASML 4022.418.1581 PAS Used Working
527 ODLeeuwarden SO42 Power Supply PCB Card ASML 4022 430 19271 PAS 5000/2500 Used
528 ASML 4022.428.10830 Blade Driver PCB Card 4022.428.1083 PAS 5000/2500 Used
529 ASML 4022.428.10940 Motor Relais PCB Card 4022.428.1094 PAS 5000/2500 Used
530 ASML 4022.422.64230 Commutator PCB Card 4022.422.6423 PAS 5000/2500 Used Working
531 ASML 4022.428.10970 Voltage Current PCB Card 4022.428.1097 PAS 5000/2500 Used
532 Philips 4022.422.46444 Stamp Up Down PCB Card 4022.422.4644 ASML PAS Used
533 ASML 4022.428.1276 Relay PCB Card PAS 5000/2500 Wafer Stepper System Used
534 HP Hewlett-Packard 10762-60001 Comparator PCB Card ASML 4022.008.5164 PAS Used
535 HP Hewlett-Packard 10764-600008 A Fast Pulse Converter PCB Card ASML PAS Used
536 HP Hewlett-Packard 10745-60001 Processor PCB Card ASML 4022.430.0798 PAS Used
537 HP Hewlett-Packard 10740-60001 Backplane Coupler Board PCB ASML PAS Used Working
538 ASML 4022.430.0679 Backplane Board PCB PAS 5000/2500 Wafer Stepper Used Working
539 Schroff 60800-390 20-Slot Backplane Board PCB ASML PAS 5000/2500 Used Working
540 ASML 4022.430.2125 VME X-B39 Backplane Board PCB PAS 5000/2500 Used Working
541 Schroff 60800-374 Adapter PCB Card Rev. AA ASML PAS 5000/2500 Used Working
542 ASML 4022.430.0759 Processor PCB Card MCDM 60 5,5 PAS 5000/2500 Used Working
543 Philips 7122 714 14001 Processor PCB Card MCDM 60 1,6 ASML PAS 5000/2500 Used
544 Philips 7122 714 1200.4 Dig Control PCB Card DICM ASML PAS 5000/2500 Used
545 Philips 7122 714 1200.4 Dig Control PCB Card DICM ASML PAS 5000/2500 Used
546 Philips 7122 714 1800 Power Distribution PCB Card ASML 4022.430.0336 PAS Used
547 Philips 7122 714 1800 Power Distribution PCB Card ASML 4022.430.0336 PAS Used
548 ASML 4022.428.1804 Prealignment Unit PCB Card PAS 5000/2500 Wafer Stepper Used
549 Philips 7122 714 1101.1 Processor PCB Card ASML PAS 5000/2500 Wafer Stepper Used
550 Philips 7122 714 1101.1 Processor PCB Card ASML PAS 5000/2500 Wafer Stepper Used
551 Philips 7122 714 1000.3 Processor PCB Card ASML PAS 5000/2500 Wafer Stepper Used
552 Philips 7122 714 1400.4 Processor PCB Card MCDM 60 1,6 ASML PAS 5000/2500 Used
553 Philips 7122 714 1400.4 Processor PCB Card MCDM 60 1,6 ASML PAS 5000/2500 Used
554 Philips 9406.217.1100 Processor PCB Card PC 1711/00 ASML PAS 5000/2500 Used
555 ASML 4022.430.2149 SCSI/SH with Batteries PCB Card PAS 5000/2500 Used Working
556 ASML 4022.428.11160 Velocity Control PCB Card 4022.428.1116 PAS 5000/2500 Used
557 Philips 9561 010 03103 Processor PCB Card PG 3301 COM 4A ASML PAS 5000/2500 Used
558 Philips PG 3301 COM 4A Processor PCB Card ASML 4022.422.7999 PAS 5000/2500 Used
559 ASML 4022.428.14590 TB 2500 R PCB Card 4022.428.1459 PAS 5000/2500 Used Working
560 ASML 4022.430.05291 TB 2500 x PCB Card 4022.430.0529 PAS 5000/2500 Used Working
561 ASML 4022.428.14570 TB 2500 T PCB Card 4022.428.1457 PAS 5000/2500 Used Working
562 ASML 4022.428.11190 Timing Control PCB Card 4022.428.1119 PAS 5000/2500 Used
563 ASML 4022.430.01260 Dipod Control PCB Card 4022.430.0126 PAS 5000/2500 Used
564 ASML 4022.430.01260 Dipod Control PCB Card 4022.430.0126 PAS 5000/2500 Used
565 ASML 4022.430.05300 P. Chuck Drive PCB Card 4022.430.0530 PAS 5000/2500 Used
566 ASML 4022.430.05300 P. Chuck Drive PCB Card 4022.430.0530 PAS 5000/2500 Used
567 Philips PC1711/10 Processor PCB Card ASML 9406.217.1110 PAS 5000/2500 Used
568 Philips PC1711/10 Processor PCB Card ASML 9406.217.1110 PAS 5000/2500 Used
569 Philips PG 2024 CPU PCB Card ASML PAS 5000/2500 Wafer Stepper System Used
570 ASML 4022.430.0124 Wafer Handler Control PCB Card PAS 5000/2500 Used Working
571 Philips PC 1721/10 Processor PCB Card ASML 9406.217.2110 PAS 5000/2500 Used
572 ASML 4022.230.0535 Processor PCB Card PC1701/01 9406.217.0101 PAS 5000/2500 Used
573 Philips PG3652 Processor PCB Card AN PIO ASML 4022.430.1670 PAS 5000/2500 Used
574 Force Computers SYS68K/OPID-1 Processor PCB Card ASML 4022.428.1134 PAS Used
575 Micro Memory MM-6220D/4m Processor PCB Card BD 91791 ASML PAS 5000/2500 Used
576 Philips PG2026 CPU PCB Card ASML 4022.230.0332 PAS 5000/2500 Wafer Stepper Used
577 Philips PG 2910 Processor PCB Card SYSGPB ASML 4022.422.6640 PAS 5000/2500 Used
578 ASML 4022.428.14490 Reticle Handling Control PCB Card PAS 5000/2500 Used Working
579 ASML 4022.423.1786 Processor PCB Card PAS 5000/2500 Wafer Stepper System Used
580 ASML 4022.428.11410 Focus Servo Control PCB Card PAS 5000/2500 Used Working
581 ASML 4022.430.01090 Reticle Table Control PCB Card PAS 5000/2500 Used Working
582 Philips 1721/00 Processor PCB Card ASML 9406.217.2100 PAS 5000/2500 Used Working
583 ASML 4022.428.11130 AL Counter PCB Card 4022.428.1113 PAS 5000/2500 Used Working
584 Hinds International 030-2004-001 Processor PCB Card ASML 4022.428.11402 PAS Used
585 ASML 4022.428.10810 Blades Control PCB Card 4022.428.1081 PAS 5000/2500 Used
586 Philips 1721/10 Processor PCB Card ASML 9406.217.2110 PAS 5000/2500 Used Working
587 ASML 4022.230.0535 Processor PCB Card PC1701/01 9406.217.0100 PAS Used Working
588 Philips 9415 012 61311 K Power Supply PCB Card PE 1261/31 U ASML PAS Used
589 Philips 9415 012 65201 Power Supply PCB Card PE 1265/20 ASML PAS 5000/2500 Used
590 Philips 9415 012 61315 N Power Supply PCB Card ASML 4022.430.02711 PAS Used
591 Philips 7122 714 1400.4 Processor PCB Card ASML 4022.430.0760 PAS Used Working
592 OLDELFT B.V. 4022 430 19191 Power Supply PCB Card 212C04700 ASML PAS Used
593 OLDELFT 4022 430 19261 Power Supply PCB Card 212C04100 ASML PAS Used Working
594 HP Hewlett-Packard 10762-60001 Comparator PCB Card ASML 4022.428.1777 PAS Used
595 HP Hewlett-Packard 10762-60001 Comparator PCB Card ASML PAS 5000/2500 Used
596 ASML 4022.428.14550 TB 2500 X PCB Card PAS 5000/2500 Wafer Stepper System Used
597 ASML 4022.430.04780 Shutter Control PCB Card 4022.430.0478 PAS 5000/2500 Used
598 ASML 4022.430.0090.0 Align MUX+DEM PCB Card PAS 5000/2500 Wafer Stepper Used
599 Philips PG2026 CPU PCB Card ASML 4022.430.2161 PAS 5000/2500 Wafer Stepper Used
600 Philips PG 2024 CPU PCB Card ASML 4022.230.0244 PAS 5000/2500 Wafer Stepper Used
601 Force Computers 310011 Processor PCB Card ASML 4022.428.1134 PAS 5000/2500 Used
602 HP Hewlett-Packard 10746-60001 Binary Interface PCB Card ASML PAS Used Working
603 ASML 9406.217.0100 Processor PCB Card PC 1701/00 PAS 5000/2500 Used Working
604 Hinds International 030-2004-001 Processor PCB Card ASML PAS 5000/2500 Used
605 HP Hewlett-Packard 10764-60008 A Processor PCB Card ASML PAS 5000/2500 Used
606 ASML 4022.430.2048 Mains Switch Unit 4022.428.1761.5 PAS 5000/2500 Used Working
607 ASML 9415 011 38511C Power Supply PCB Card PE 1138/51U ASML PAS 5000/2500 Used
608 ASML 4022.422.6423 Commutator PCB Card PAS 5000/2500 Wafer Stepper System Used
609 Philips 7122 714 10003 Processor PCB Card CSPM ASML PAS 5000/2500 Used Working
610 ASML 4022.428.1804 Prealignment Unit PAS 5000/2500 Wafer Stepper Used Working
611 Philips 7122 714 1401.4 Processor PCB Card MCDM 60 5,5 ASML PAS 5000/2500 Used
612 Philips 9561 010 03203 S Processor PCB Card ASML 9464.033.0100 PAS Used Working
613 ASML 4022.430.0530 P. Chuck Drive PCB Card PAS 5000/2500 Wafer Stepper Used
614 ASML 4022.428.12760 Relais PCB Card PAS 5000/2500 Wafer Stepper System Used
615 ASML 4022.230.0535 Processor PCB Card PC1701/01 9406.217.0101 PAS Used Working
616 Philips PG 3301 COM 4A Processor PCB Card ASML 4022.422.7999 PAS 5000/2500 Used
617 ASML 4022.428.1449 Reticle Handling Control PCB Card PAS 5000/2500 Used Working
618 Philips PG 3652 Processor PCB Card ASML 4022.422.7588 PAS 5000/2500 Used
619 Philips 9415 012 65601 F Power Supply PCB Card PE 1265/60 ASML PAS Used Working
620 Philips 9415 015 61315 K Power Supply PCB Card PE 12161/31 U ASML PAS Used
621 Philips 9415 012 58311 S Power Supply PCB Card PE 1258/31 U ASML PAS Used
622 Philips 9415 011 29505 S Power Supply PCB Card PE 1129/50 U ASML PAS Used
623 ASML 4022.423.1786 Processor PCB Card PAS 5000/2500 Wafer Stepper System Used
624 ASML 4022.428.1457 TB 2500 T PCB Card PAS 5000/2500 Wafer Stepper System Used
625 ASML 4022.428.11190 Timing Control PCB Card PAS 5000/2500 Wafer Stepper Used
626 Unit Instruments UFC-2020A Mass Flow Controller MFC 30SLM N2 Used Working
627 Nikon Laser Axis Cables 10880-60310 10880-91019 10881-60307 NSR-S204B Used
628 TEL Tokyo Electron 845 Adhesion Process Chill Plate TEL ACT12 Spare
629 TEL Tokyo Electron 844 LH LHP Adhesion Process Chill Plate W/Cover TEL ACT12 Spare
630 TEL Tokyo Electron 844 LH LHP Adhesion Process Chill Plate WO/Cover TEL ACT12 Spare
631 TEL Tokyo Electron 844 LH LHP Adhesion Process Chill Plate WO/Cover TEL ACT12 Spare
632 TEL Tokyo Electron LH HHP Adhesion Process Chill Plate W/Cover TEL ACT12 Spare
633 TEL Tokyo Electron LH HHP Adhesion Process Chill Plate WO/Cover TEL ACT12 Spare
634 Faulhaber 2251R012S Minimotor S8FLP10A Nikon NSR-S204B Working Spare
635 Yaskawa Electric UTOPI-020MX Minertia Motor UGRMEM-01SAKOE Nikon NSR-S204B Spare
636 Harmonic Drive Systems RH-11C-3001-E100D0 Servo Actuator Nikon NSR-S204B Used
637 Yaskawa XU-DL1510A Linear Motor Driver 4S064-340-1 Nikon NSR-S204B Spare
638 Nikon 4S018-403 PPD Control Card PCB PPD3S NSR-S204B Working Spare
639 Electroglas 274213-001 Main Systems Board PCB Rev L 4085x Horizon Prober Spare
640 Electroglas 247222-002 Linear Motor Subsystem PCB Rev. L 4085x Horizon PSM Spar
641 Electroglas 247225-002 XFR Arm Subsystem Card PCB Rev. R 4085x Horizon Spare
642 Electroglas 247216-001 System I/O PCB Card 4085x Horizon PSM Used Working
643 Electroglas 247439-001 Solenoid I/O Card PCB 247440-001 4085x Horizon PSM Spare
644 Electroglas 247219-002 Prealign Subsystem Card PCB Rev. P 4085x Horizon Spare
645 Electroglas Peak/DM – 386DX Motherboard PCB 4085x Horizon PSM Wafer Prober Spare
646 Electroglas 247228-001 X Theta Driver PCB Card Rev. R 4085x Horizon Used Working
647 Electroglas 250262-001 Theta Z Joystick Card PCB Rev. D 4085X Horizon Spare
648 Electroglas 247265-001 Handler Communications PCB Rev. D 4085X Horizon Spare
649 Electroglas 650-0502-01 Video Seven Card PCB Rev. 01 4085x Horizon PSM Spare
650 Electroglas 400521-01 Video Card PCB Rev. C1 400521-97 4085x Horizon PSM Spare
651 Electroglas 244736-001 Theta Z Inker Drivers Card PCB Rev. D 4085X Horizon Spare
652 Electroglas SNB-C032 SIPIG Card PCB 4085x Horizon PSM Working Spare
653 Electroglas 253491-001 Communication Board PCB Rev. B 4085x Horizon PSM Sparelas PCB
654 Cognex 203-0005 Processor Card PCB Rev. Q VPM-2004 Electroglas 4085x Horizon PSM Spare
655 Electroglas 251074-002 Power DAR 2 Card PCB Rev. G 4085x Horizon PSM Spare
656 Electroglas 251074-002 Power DAR 2 Card PCB Rev. N 4085x Horizon PSM Spare
657 Electroglas 246067-001 4 Port Serial I/O Card PCB Rev. J 4085x Horizon PSM Spare
658 Electroglas 246368-001 Tester I/F Sig Cond Card PCB Rev. G 4085X Horizon Spare
659 Electroglas 102944-010 Motion Control Card PCB Rev. AB 4085x Horizon PSM Spare
660 Electroglas 250047-001 Illuminator Optical Controller II PCB Rev. C 4085x Spare
661 Temptronic PC10804 Processor Board PCB Electroglas 4085x Horizon PSM Spare
662 Electroglas 114824-002 28V Solenoid Drivers Card PCB 4085x Horizon PSM Spare
663 Electroglas 246713-001 PL TEMP Logic Mux Card PCB Rev. K Horizon 4085X Spare
664 Electroglas 250259-001 CRT Controller Lamp Driver PCB Rev. B 4085x Horizon Spare
665 Electroglas 248981-004 System Memory Card PCB Rev. N 4085x Horizon PSM Spare
666 Electroglas 244288-001 Tester Interface Card PCB Rev. AA 4085X Horizon Used
667 Electroglas 251411-002 CPU 020 Card PCB Rev. D 4085x Horizon PSM Spare
668 AMAT Applied Materials 0010-40278  Chuck Pedestal Assy New Unlisted
669 AMAT Applied Materials 0010-30419 Assembly Heater Rev. 001 New Unlisted
670 AMAT Applied Materials 0010-30419 Assembly Heater Rev. 001 New Unlisted
671 AMAT Applied Materials 0040-2149 Rev. P1 251-071 Assembly Heater New Unlisted
672 AMAT Applied Materials 0040-2149 Rev. P1 251-071 Assembly Heater New Unlisted
673 AMAT Applied Materials 0020-29325 A Sheild 6″ New Unlisted
674 AMAT Applied Materials 0020-29325 A Sheild 6″ New Unlisted
675 AMAT Applied Materials 0010-37984 TxZ Heater TC New Unlisted
676 AMAT Applied Materials 0020-03626 Quartz Window New Unlisted
677 AMAT Applied Materials 0040-32148 Rev. 004 Assembly Heater Used Working Unlisted
678 PRI Automation WTM511-2-FWS02 End Effector Used Working Unlisted
679 AMAT Applied Materials 0040-36253 Rev. P1 AxZ Heater Vacuum Used Working Unlisted
680 TRUMPF 200335245 SSM 3000 Gama Used Working Unlisted
681 AMAT Applied Materials 0040-36425 Rev. P1 ESC Chuck Used Working Unlisted
682 AMAT Applied Materials 0021-96593 Rev. 002 New Unlisted
683 AMAT Applied Materials 0021-96593 Rev. 002 New Unlisted
684 JEOL JSM-6400F SEM Scanning Electron Microscope Assembly Untested As-Is
685 FEI XL 30 Scanning Electron Microscope SEM
686 AMAT Applied Material 0040-31996 Rev. P2 New Unlisted
687 AMAT Applied Materials 0010-03324 Rev. E1 Heater Assembly 8″ WxZ Mod New Unlisted
688 AMAT Applied Materials 0010-01231 Rev. 005 Assembly LP-5.1 Mini Magnet New Unlisted
689 AMAT Applied Materials 0041-00898 Rev. 003 PVD Magnet New Unlisted
690 Advanced Energy Industries 0190-14783W AMAT 3155031-038 Refurbished Unlisted
691 Asyst Technologies 9700-9129-01 300mm Wafer Load Port IsoPort New
692 3Com 8772-10 EtherLink II/16 Adapter Board PCB Card Clusterlock 7000 Spare
693 Plasma-Therm 4178950501 Interconnect Interface Board PCB Clusterlock 7000 Spare
694 Plasma-Therm 4180809501 Pneumatic Manifold Board PCB Clusterlock 7000 Spare
695 Plasma-Therm 4281540501 PWN-to-Analog Converter Board PCB Clusterlock 7000 Spare
696 AMAT Applied Materials 0041-25897 360mm Multigas Showerhead New Unlisted
697 AMAT Applied Materials 0020-02835 Rev. 001 New Unlisted
698 AMAT Applied Materials 0195-02072 Postless Rotor Assembly New Unlisted
699 AMAT Applied Materials 0041-05382 Rev. 001 8″ Titan Retaining Ring New Unlisted
700 AMAT Applied Materials 0041-05382 Rev. 001 8″ Titan Retaining Ring New Unlisted
701 AMAT Applied Materials 0041-12766 ESC Base New
702 AMAT Applied Materials 0010-23983 Rev. 002 Liquid Delivery Module Used Unlisted
703 AMAT Applied Materials 0040-81156 Chamber Upper Liner 300mm DPS2 Cleaned Used
704 ATS Advanced Thermal Sciences 4082304-001 Chiller MP40B-GL M-PAK Tested Working
705 Trident JAX8232B10048973 ISA Video Card PCB Card JAX-8232B/V1 Clusterlock 7000
706 Plasma-Therm 4480159501 THNTD PCB Board PCB Reseller Lot of 2 Clusterlock 7000
707 AMAT Applied Materials 0010-09750W CVD RF Match Refurbished Unlisted
708 AMAT Applied Materials 0010-09750W CVD RF Match Refurbished Unlisted
709 Progressive System Technologies 1001370 Smart WIP Rack Nest Controller PCB Spare
710 Lam Research 810-802902-006 TM Node 2 PCB Panel 810-707150-001 Continuum Spare
711 Lam Research 810-049336-002 PM CPU I/O Motherboard Backplane PCB Continuum Spare
712 Lam Research 810-049336 PM CPU I/O Motherboard Backplane PCB Continuum Spare
713 Lam Research 810-707020-001 VME I/O Motherboard Backplane PCB Continuum Spare
714 Lam Research 810-707020-1 VME I/O Motherboard Backplane PCB Continuum Spare
715 Dawn VMEBP04J1OB Backplane VME Board PCB Lam Research FPD Continuum Spare
716 Dawn VMEBP05J1OB Backplane VME Board PCB Lam Research FPD Continuum Spare
717 Plasma-Therm 79578 Status Interlock Board PCB Card Clusterlock 7000 Working
718 AMAT Applied Materials 0041-05362 Composite Retaining Ring 8″ New Unlisted
719 AMAT Applied Materials 0040-81807 Anode Integrated Slim Holder Refurbished Unlisted
720 AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO Refurbished Unlisted
721 AMAT Applied Materials 0040-53856 Rev. 002 Shield Preclean 8″ Blank Refurbished Unlisted
722 Lam Research 810-017388-003 Ethernet Address Board VME PCB Card FPD Continuum
723 Lam Research 810-707103-001 I/O Bus Control 810-707150-001 Neuron PCB FPD Spare
724 Lam Research 810-707103-001 I/O Bus Control 810-707150-001 Neuron PCB FPD Spare
725 Lam Research 810-707054-001 Gas Box I/O Interlock Board PCB FPD Continuum Spare
726 Lam Research 810-707054-002 Gas Box I/O Interlock Board PCB FPD Continuum Spare
727 Lam Research 810-707057-001 PM AC/DC Board PCB Rev. 3 FPD Continuum Spare
728 Lam Research 810-707057-001 PM AC/DC Board PCB Rev. 3 FPD Continuum Spare
729 Lam Research 810-707057-001 PM AC/DC Board PCB Rev. E2 FPD Continuum Spare
730 Lam Research 810-17082-001 16 Channel Heat/Cool Board PCB FPD Continuum Spare
731 Lam Research 810-17082-004 16 Channel Heater Control Board PCB Continuum Spare
732 Lam Research 810-707138-001 EMO/DC Power Display Board PCB Continuum Spare
733 Lam Research 810-707248-001 DC Distribution FPD Board PCB Continuum Spare
734 Hine Design 10011-802 FPDVCI Control Emergency Stop PCB Lam FPD Continuum Spare
735 Hine Design 10011-802 FPDVCI Control Emergency Stop PCB Lam FPD Continuum Spare
736 Lam Research 810-707102-002 Transport Module Interlock PCB Panel Continuum Spare
737 Lam Research 810-017388-003 Ethernet Address Board Rev. A VME PCB Card Continuum
738 Force Computers SYS68K/SIO-2 VME PCB Card Lam 810-017038-002 Continuum Spare
739 AMAT Applied Materials 0021-22627 End Effector Rev. 001 Used Working Unlisted
740 AMAT Applied Materials 0021-22627 End Effector Rev. 002 Used Working Unlisted
741 Edwards NRB642000 Exhaust Gas Abatement Management System TEMPEST Working Spare
742 OEM-50 ENI OEM-50N-11601 RF Solid State Power Generator Rev. G Tested Working
743 OEM-50 ENI OEM-50N-11601 RF Solid State Power Generator Rev. K Tested Working
744 STI Semitool ST-870 125mm Wafer Dual Stack SRD Spin Rinse Dryer Tested Working
745 STI Semitool ST-860 100mm Wafer Dual Stack SRD Spin Rinse Dryer Tested Working
746 Novellus Gasonics PEP DL Plasma Etcher
747 GaSonics PEP-4800DL Right Chamber
748 GaSonics PEP-4800DL Left Chamber
749 GaSonics PEP-4800DL Power Box
750 OEM-50 ENI OEM-50N-11601 RF Solid State Power Generator Rev. L Tested Working
751 AMAT Applied Materials 200mm HDP Chamber Assembly with Stand Untested As-Is
752 AMAT Applied Materials Excite 200mm High-Speed Particle Detection System Spare
753 AMAT Applied Materials Excite 200mm Robot
754 RFPP RF Power Products 7004-0150-1 15kW RF Generator 7800003030 Working Spare
755 PRI Automation GATEWAY-1000-CE-S2 300mm Load Port Gateway 1000 Used Working
756 Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Refurbished
757 Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Refurbished
758 stuff
759 SMC INR-497-032 Thermo Chiller Heat Exchanger Used Tested Working
760 Spectra-Physics 2560-60 UV Laser Power Supply AMAT 8024-0407-000W Used Working
761 Oramir Elmo 200mm Wafer Stage and Driver Assy. working
762 Schumacher ChemGuard Bulk Chemical Delivery 10716 Used Working
763 Yaskawa Linear Motor Controller CLSR-A504-N2SB Nikon
764 Komatsu 20010210 Energy Saving Chiller AK-1000 AMAT 3380-00033 New
765 Newport Kensington 15-3702-1425-25 Wafer Transfer Robot 0190-22248CW Copper Refurbished
766 Komatsu 20010210 Energy Saving Chiller AK-1000 AMAT 3380-00033 New
767 Brooks Automation 0404-11544 300mm Load Port FIXLOAD V5 AMAT 0190-15597 New
768 Brooks Automation 0404-11544 300mm Load Port FIXLOAD V5 AMAT 0190-15597 New
769 Brooks Automation 0404-11544 300mm Load Port FIXLOAD V5 AMAT 0190-15597 New
770 Nova Controller NovaScan 420 working
771 TDK RM 12-12RGB DC Power Supply Nikon NSR Tested Working
772 Ebara Turbo-Molecular Pump Controller 305W working
773 Edwards QMB250 Mechanical Booster Blower A301-86-905 Untested As-Is
774 TEL Tokyo Electron A116007 200mm Back Plane Heater MRC Materials Research New
775 MicroVision Controller MVTMC70 Used Working
776 Electroglas 4085X PSM Power Supply Module Used Working
777 Kooltronic Electroglas Exhaust Fan Assembly 950-005-52 Used Working
778 Telemecanique ATV18U29M2 1.5kW 2HP Inverter Square D ALTIVAR 18 Used Working
779 Brooks Automation FIXLOAD 25 300mm Silicon Wafer Load Port Used Working
780 Nikon NVCEX-X2A-D Camera Switcher NSR-S204B Step-and-Repeat Scanner Used Working
781 TEL Tokyo Electron OYDK-101 IO CHEM #02 PCB Board OYDK-062 Lithius Used Working
782 TEL Tokyo Electron OYDK-052 ANALOG BOARD 0-1 #02 PCB Lithius Used Working
783 TEL Tokyo Electron HTE-0V5-A-11 HP #01 HTE-0C3-C-13 PCB Board Lithius Used
784 TEL Tokyo Electron HA-030 DC/DC CONV #07 Board PCB Lithius Used Working
785 Omron G9SC-140-TE2 RY SPIN MTR #02 PCB Board TEL Lithius Used Working
786 TEL Tokyo Electron HA-028 JCT DC #02 Board PCB Lithius Used Working
787 Teknic SST-3000-829 Synchronous Servo Drive SST-3000 Delta Design 2100840 Used
788 Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Used Working
789 Extraction 4022.486.75471 Charcoal Molecular Base Filter System ESI004802 Used
790 Fuji Electric PPMCFBR2-M AC Power Monitor Type PPM Used Working
791 PULS AP 153.131 F Power Supply PCB Card ASML Used Working
792 Fuji Electric PPMEFBY2-M AC Power Monitor Type PPME Used Working
793 Shinko SCE93-100008-C1 LPCN-2A-1 Interface Board PCB SBX08-000035-11 Used
794 Shinko SCE93-100008-C1 LPCN-2A-1 Interface Board PCB SBX08-000035-11 Used
795 Omron C40H-C6DR-DE-V1 Programmable Controller SYSMAC C40H Used Working
796 Kniel System-Electronic CMP 0810 Power Supply Card ASML 4022.436.48921 Used
797 Nikon 4S018-173 Air Diver 2 Pneumatic Driver Assembly AIRDRV2 Used Working
798 TEL Tokyo Electron HTE-TA6-D-11 AC Control Board #006 PCB TAB2300 Used Working
799 Kawasaki C62C-A002 Robot Controller Used Working
800 AMAT Applied Materials 9090-01168ITL Electrostatic Chuck Power Supply PX32J Used
801 Cleveland Motion Controls BMR2005TCD00CEA001 PM Servo Motor RHS-20-50-CC-SP Used
802 Brooks Automation TT1ENR2-1-TVS-ES-BROOKS6 Robot Teach Pendant Used Working
803 AMAT Applied Materials 0190-15591 Processor Card 3181-00-061-A0 Ver. 2.1 Used
804 SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB Card AMAT 0190-10156 Endura Used
805 SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB Card AMAT 0190-10156 Endura Used
806 SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB Card AMAT 0190-10156 Endura Used
807 DIP 0190-08860 Relay Control Card PCB DCN491 DIP-394-055 Rev. 002 Used Working
808 DIP 0190-08860 Relay Control Card PCB DCN491 DIP-394-055 Rev. 002 Used Working
809 DIP 0190-08860 Relay Control Card PCB DCN491 DIP-394-055 Rev. 002 Used Working
810 DIP 0190-08860 Relay Control Card PCB DCN491 DIP-394-055 Rev. 002 Used Working
811 AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working
812 AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working
813 SBS Technologies 0190-07848 Processor Card PCB CPCI-100-BP-S00002B Used Working
814 SBS Technologies 0190-07848 Processor Card PCB CPCI-100-BP-S00002B Used Working
815 AMAT Applied Materials HAL-02-1474 Power Supply Card PCB PDC60-300 Used Working
816 AMAT Applied Materials HAL-02-1474 Power Supply Card PCB PDC60-300 Used Working
817 AMAT Applied Materials 0110-00272 EMI A. Magnet PSU Interface Card Issue A Used
818 AMAT Applied Materials 0100-02146 EVR Gap Servo PCB Card Used Working
819 AMAT 0110-00273 PRE-ACCEL Vendor Interface Leap PCB Card 0120-00273 Used
820 AMAT Applied Materials 9090-00314 Power Supply daq MkII type H Used Working
821 AMAT Applied Materials 0100-90875 Interface PCB Issue C 0120-91415 Used Working
822 Nova Measuring Instruments 210-48000-00 NovaScan 420 Controller Used Working
823 Hamamatsu H8008 Photomultiplier Tube Used Working
824 Toshiba VT130E3U4270 E3 Variable Torque Speed Drive Assembly Used Working
825 Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100842 Used
826 VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working
827 Toshiba E3 Variable Drive Assembly VT130E3U4110 Used Working
828 Lambda LFS50-5-43641 Regulated Power Supply Used Working
829 Lambda LFS50-5-43641 Regulated Power Supply Used Working
830 Lambda LFS50-5-43641 Regulated Power Supply Used Working
831 Mitsubishi RV-E14NHC-SA Industrial Wafer Robot CR-E356-S06 Used Working
832 Mitsubishi MR-J3-10A AC Servo Amplifier MELSERVO Used Working
833 Shinko 0TS12B-M2-1 Robot Servo Controller CNT Used Working
834 Harmonic Drive RH-8D-3006-E100D0 3rd Group Zoom Servo Acutuator NSR-S205C Used
835 Harmonic Drive RH-8D-3006-E100D0 3rd Group Zoom Servo Acutuator NSR-S205C Used
836 Harmonic Drive RH-8D-3006-E100D0 3rd Group Zoom Servo Acutuator NSR-S205C Used
837 Cosel P300E-24 Power Supply Used Working
838 Lambda LDS-P-15 DC Regulated Power Supply Used Working
839 Parker ETS32-A04LA2X-JM300-AE1 Electromechanical Actuator S57-51-MO Used Working
840 Parker ETS32-A04LA2X-JM300-AE1 Electromechanical Actuator S57-51-MO Used Working
841 Daihen 3D39-050098-V2 RF Match 13.56MHz TEL Tokyo Electron Used Working
842 Schumacher 1442-0218D Absolute Amplifier ACU TLCHFCK Kokusai DD-1203V Used
843 Nikon BD Plan 5 Objective Lens 0.1 20/0 Used Working
844 Glassman High Voltage PS/KL030N100YU6 Power Supply Series KL Used Working
845 Newport 25-4000-1301-00 Servo Positioning Controller M4000A Used Working
846 Kikusui PVS300-4 Regulated DC Power Supply Nikon NSR-S204B Used Working
847 Komatsu KDP1320LE-1 Control Panel Assembly WDX4E043.AIP Nikon NSR System Used Working
848 Pro-Face HTK270M HMI Touchscreen Graphic Panel Used Working
849 Cambridge 2T-80110GLP-A624-2 Filter ABSOLUTE Used Working
850 Halmar Robicon 115Z-C SSR Power Control Panel 22159 Reseller Lot of 2 Used
851 TEL Tokyo Electron HTE-OV1-11-B Oven I/O Board PCB TAB2100 TEL ACT12 200mm Used
852 TEL Tokyo Electron HTE-OV1-11-B Oven I/O Board PCB TAB2100 TEL ACT12 200mm Used
853 TEL Tokyo Electron HTE-OA1-B-11 Oven Add On Board #01 PCB TAB2101 TEL ACT12 Used
854 TEL Tokyo Electron AP9Z-2033A Board Add On Spin #02 PCB TKB7043 Used Working
855 TEL Tokyo Electron AP9Z-2033A Board Add On Spin #02 PCB TKB7043 Used Working
856 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.10 TAS300 Load Port Used Working
857 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 TAS300 Load Port Used
858 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.10B TAS300 Load Port Used
859 GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. C A-2000LL Used Working
860 Jenoptik 812100019 Interface Board PCB 083-25 INFAB Used Working
861 TDK TAS-SW LED SW1 Indicator Board PCB Rev. 5.10 TAS300 Load Port Used Working
862 Brooks Automation 812100055 LED Light Board PCB 013501-155-17AEZ02 TAS300 Used
863 Brooks Automation 812100055 LED Light Board PCB 013501-155-17AEZ02 TAS300 Used
864 Hitachi 564-5525 Interface Power Supply Board PCB SEM-DCPS Used Working
865 Teknic SST-3000-829 Synchronous Servo Drive SST-3000 V2.0.79871 2100840 Used
866 Teknic SST-3000-829 Synchronous Servo Drive SST-3000 V2.0.79855 2100840 Used
867 Teknic SST-3000-829 Synchronous Servo Drive SST-3000 V2.0.79855 2100840 Used
868 VAT 0200X-BA24-AIE2 Pneumatic Slit Valve with Mounting Bracket Used Working
869 Hamamatsu C8779A CCD Multichannel Detector Head S8769A NSR-S204B Used
870 Setra 204100-50-NK Pressure Transducer 204 0-700 KPA Used Working
871 Setra 204100-50-NK Pressure Transducer 204 0-700 KPA Used Working
872 Sony XC-ES50 CCD Camera N50 Nikon NSR-S204B Used Working
873 Sony XC-ES50 CCD Camera N50 Nikon NSR-S204B Used Working
874 Sony XC-ES50 CCD Camera N50 Nikon NSR-S204B Used Working
875 Maxon Motor 135603 SHRINC DC Motor Nikon NSR-S204B 4S602-208-1 Used
876 Maxon Motor 135603 SHRINC DC Motor Nikon NSR-S204B 4S602-208-1 Used
877 Maxon Motor 135603 SHRINC DC Motor Nikon NSR-S204B 4S602-208-1 Used
878 Yaskawa XU-DL1510 Linear Drive Unit 4S064-340 Nikon NSR-S204B Used Working
879 Therma-Wave 14-004420 Tracker Interface PCB Card Rev. B1 Used Working
880 Therma-Wave 14-008247 Auto Focus Digital AF MOD2 PCB Card Rev. A Used Working
881 Therma-Wave 14-006725 Heater Control Assembly PCB card Rev. G Used Working
882 Therma-Wave 14-008217 New Wave PCB Rev. F 40-008216 Used Working
883 Therma-Wave 14-008249 Auto Focus Analog AF MOD3 PCB Rev. A1 Used Working
884 Therma-Wave 14-007582 Analog Processor PCB Rev. F Used Working
885 Therma-Wave 14-001882 Micro-Stepping Sequencer PCB Rev. F Used Working
886 Therma-Wave 14-002003 Stage Indexer PCB Card Rev. I3 Used Working
887 Therma-Wave 14-003979 CPU I/O MK II PCB Rev. A1 Used Working
888 Therma-Wave 14-004053 Data ACQ MK Rev. E Used Working
889 Therma-Wave 14-004357 1 MHz Coherent DEMOD PCB Rev. A2 Used Working
890 Therma-Wave 14-007731 Backplane PCB Rev. A1 40-008177 Used Working
891 Yaskawa CIMR-V7AA21P5 VS Mini V7 Inverter Drive Nikon NSR-S204B System Used
892 Pearl Kogyo CF-500-400K(CE) RF Power Supply Hitachi M-712E Used Working
893 Pearl Kogyo CF-500-400K(CE) RF Power Supply Hitachi M-712E Used Working
894 Asahi 752NK-T2 ERG-Box 4K191-817-1 Nikon NSR-S204B System Used Working
895 Nikon NK2551 Laser Card EPROM NSR-S204B Used Working
896 Nikon NK2551 Laser Card EPROM NSR-S204B Used Working
897 STEC GCIB-A Pressure Transmitter Used Working
898 Kniel 313-101-0405 Power Supply PCB Card CP 5.20/HI 4022.476.01101 Used Working
899 Kniel 313-102-0404 Power Supply PCB Card CP 8.14/HI 4022.476.01111 Used Working
900 KNIEL 193-011-3404 Power Supply PCB Card CPP 30.12 V1 4022.436.87911 Used
901 KNIEL 314-201-0402 Power Supply PCB Card CP 270.1,9PC 4022.476.01081 Used
902 Maxtek TM350 Thickness Monitor 681.20000 Used Working
903 Air Products DD 1051 Gasguard 250 Master Control Processor PCB Used Working
904 Air Products DD 1050 Gasguard I/O Processor Board PCB Used Working
905 Air Products DD 1050 Gasguard I/O Processor Board PCB Used Working
906 Air Products DD 1050 Gasguard I/O Processor Board PCB Used Working
907 Air Products DD 1050 Gasguard I/O Processor Board PCB Used Working
908 Air Products CRSD 1037 COMO Processor Board PCB Used Working
909 Air Products CRSD 1037 COMO Processor Board PCB Used Working
910 Jenoptik 013501-099-17 L Interface Board PCB Used Working
911 Asyst 9701-1057-02A Interface Board PCB 3200-1201 Isoport Used Working
912 Hine Design 02423-001 Arm Control Board PCB 06764-001 GaSonics A-2000LL Used
913 Nikon 4S013-481 Control Board PCB STGX41B Used Working
914 Pittman 9236E466-R2 Loader Servo Motor 9700-8861-01 Used Working
915 Pittman 9236E466-R2 Loader Servo Motor 9700-8861-01 Used Working
916 Pittman 9236E466-R2 Loader Servo Motor 9700-8861-01 Used Working
917 Asyst 3200-1212-01 Interface Relay Board PCB 1212-01-10000156 Used
918 TDK TAS-IN14 Interface Board PCB Lot of 2 Used Working
919 TDK TAS-IN14 Interface Board PCB Lot of 2 Used Working
920 Asyst 9701-1058-05A Interface Board PCB 5006-3004-0300 Used Working
921 Nikon 4S007-789 Power Supply Board PCB LIUREG NSR System Used Working
922 Nikon 4S007-789 Power Supply Board PCB LIUREG NSR System Used Working
923 Pittman 14232A127-R3 DC Servo Motor 9700-9102-01 Rev B. Used Working
924 TEL Tokyo Electron 1B80-002392-11 Interface Board PCB TMC CN Used Working
925 TEL Tokyo Electron 1B80-002392-11 Interface Board PCB TMC CN Used Working
926 Asyst 3200-1189-01 LED Interface Panel Board PCB Used Working
927 Shinko M223D Compact Servo Drive Board PCB PDS-D (PbF) Lot of 2 Used Working
928 Air Products DD 1554 Signal Interface Relay Board PCB (APCI) Lot of 4 Used
929 Asyst 3200-1211-01 Interface Relay Board PCB Rev. A Used Working
930 Asyst 3200-1200 Interface Relay Board PCB 5006-3004-0300 Used Working
931 Micronix PV-1648 I/O Control Board PCB Rev. 3 016.104.010 Used Working
932 TEL Tokyo Electron 1B80-002389-11 Relay DN Board PCB DI80D080 PR300Z Used
933 TEL Tokyo Electron 1B80-002389-11 Relay DN Board PCB DI80D080 PR300Z Used
934 TEL Tokyo Electron 1B80-002389-11 Relay DN Board PCB DI80D080 PR300Z Used
935 TEL Tokyo Electron 1B80-002389-11 Relay DN Board PCB DI80D080 PR300Z Used
936 TEL Tokyo Electron 1B80-002389-11 Relay DN Board PCB DI80D080 PR300Z Used
937 TEL Tokyo Electron 1B80-002389-11 Relay DN Board PCB DI80D080 PR300Z Used
938 TEL Tokyo Electron 1B80-002391-11 TMC ADD ON BOARD(80/80) PCB PR300Z Used
939 TEL Tokyo Electron 1B80-002391-11 TMC ADD ON BOARD(80/80) PCB PR300Z Used
940 TEL Tokyo Electron 1B80-002391-11 TMC ADD ON BOARD(80/80) PCB PR300Z Used
941 TEL Tokyo Electron 1B80-002391-11 TMC ADD ON BOARD(80/80) PCB PR300Z Used
942 TEL Tokyo Electron HTE-PRB-A-11 PRB Panel I/O Board PCB TAB1100 Used Working
943 TEL Tokyo Electron HTE-PRB-A-11 PRB Panel I/O Board PCB TAB1100 Used Working
944 TEL Tokyo Electron HTE-PRB-A-11 PRB Panel I/O Board PCB TAB1100 Used Working
945 TEL Tokyo Electron AP9Z-2033A Add-On Spin #2 Board TKB7042 PCB Lithius Used
946 TEL Tokyo Electron AP9Z-2033A Add-On Spin #2 Board TKB7042 PCB Lithius Used
947 TEL Tokyo Electron AP9Z-2033A Add-On Spin #2 Board TKB7042 PCB Lithius Used
948 TEL Tokyo Electron 2981-600652-W1 CSS #01 Board PCB 2908-600652-11 Used Working
949 TEL Tokyo Electron HA-026 RY CHEM #02 Relay Board PCB PHA-015-0 Used Working
950 TEL Tokyo Electron HA-026 RY CHEM #02 Relay Board PCB PHA-015-0 Used Working
951 Nikon 4S013-489-1 A Power Distribution Board PCB PWR-DIS NSR System Used Working
952 NSK E010ZZIF1-014-A Interface Relay Board PCB Z-I/F Used Working
953 TEL Tokyo Electron HTE-OC3-C-13 Interface Board PCB CONN HP CHEM #01 Used
954 TEL Tokyo Electron HTE-OC3-C-13 Interface Board PCB CONN HP CHEM #01 Used
955 TEL Tokyo Electron HTE-OC3-C-13 Interface Board PCB CONN HP CHEM #01 Used
956 TEL Tokyo Electron HA-025 RY CHEM #02C Relay Board PCB PHA-015-0 Used Working
957 Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S205C Used Working
958 Nikon 4S013-189 LINK-RZ Interface Board PCB NSR System Used Working
959 Nemic Lambda LWT50H-5FF Open Frame Power Supply Board PCB SCB103B Working Spare
960 Nemic Lambda LWT50H-5FF Open Frame Power Supply Board PCB SCB103B Working Spare
961 Nikon 4S005-344 Interface Board PCB AF-SENSOR21 NSR System Used Working
962 Nikon 4S005-344 Interface Board PCB AF-SENSOR21 NSR System Used Working
963 Kepco FAK24-2.1K Power Supply Board PCB TDK Used Working
964 SIIG MVGA-AVGA3 Video Card PCB Card FK34T6MNVGA Used Working
965 Arcom AIM104-ANALOG I/O Module Board PCB Aim104-ANALOG-IO(5V) Used Working
966 SVG Sillicon Valley Group 99-80271-01 Sensor Multiplexor Board PCB 90S DUV Used
967 Everex EV-349-02 Communications Control Card PCB 9005 Used Working
968 Therma-Wave 14-009999 PC-MBUS Interface Card PCB Rev. C Used Working
969 Hitachi BBPS-11 Interface Board PCB Hitachi M-712E Used Working
970 SVG Silicon Valley Group 80203C1-01 RS422 Communication Card PCB BD+ Used
971 EPSa 013501-064-25/01 Interface Board 20029356 PCB 2029357 Used Working
972 TEL Tokyo Electron HA-010 IF CSS Interface Board PCB PHA-010-0 TEL ACT 12 Used
973 TEL Tokyo Electron 2981-600669-11 Interface Board PCB CONN CT NDP DRV #01 Used
974 TEL Tokyo Electron 2981-600669-11 Interface Board PCB CONN CT NDP DRV #01 Used
975 Hitachi 571-7701 IMGIF Processor PCB Card I-900SRT Used Working
976 Hitachi 571-7703 CLKIF Processor PCB Card I-900SRT Used Working
977 Fusion Semiconductor 249181 Dual Cassette Handler Card PCB Rev. D Used Working
978 Fusion Semiconductor 248281 640 x 480 Gprahic LCD Driver Rev. F Used Working
979 Fusion Semiconductor 249251 Wafer Handler STD CARD 3 Axis PCB Rev. E Used Working
980 Fusion SEMI 288861 Unicassette System Interconnect Board PCB Rev. A Used Working
981 Fusion Semiconductor 8086 CPU CARD PCB PWB 248411 Rev. H Used Working
982 Fusion Semiconductor 344281 System Interface Ver II W/ISO SECS-II Rev. B Used
983 Fusion Semiconductor 238781 Signal Tower Control Card PCB Rev. A Used Working
984 Fusion Semiconductor 249331 Pnuematic Interface Board PCB Rev. C Used Working
985 Air Products AP12251 Front Panel Display Board PCB Used Working
986 TEL Tokyo Electron MA15605B LED Panel Board PCB SW-PANEL Used Working
987 Shinko MA-18305 LED Panel Board PCB SW Used Working
988 Shinko MA-18305 LED Panel Board PCB SW Used Working
989 TEL Tokyo Electron MA-15705 LED Panel Board PCB SW-PANEL Used Working
990 TEL Tokyo Electron MA-15705 LED Panel Board PCB SW-PANEL Used Working
991 Air Products AP 14341 Output to Arcom Analog Input Board PCB Used Working
992 Shinko SCE93-100010-C1 Interface Board PCB SBX08-000033-12 Used Working
993 Shinko SCE93-100010-C1 Interface Board PCB SBX08-000033-12 Used Working
994 Shinko SCE93-100010-C1 Interface Board PCB SBX08-000033-12 Used Working
995 Hitachi IOTU-02N Relay Interface Board PCB Used Working
996 Meiden JZ91Z-11 Interface Add-On Board PCB NOP OM-2 Used Working
997 Meiden JZ91Z-11 Interface Add-On Board PCB NOP OM-2 Used Working
998 Meiden JZ91Z-11 Interface Add-On Board PCB NOP OM-2 Used Working
999 Meiden JZ91Z-11 Interface Add-On Board PCB NOP OM-2 Used Working
1000 Meiden JZ91Z-11 Interface Add-On Board PCB NOP OM-2 Used Working
1001 Meiden JZ91Z-11 Interface Add-On Board PCB NOP OM-2 Used Working
1002 TEL Tokyo Electron MA-15755C LED-Panel Board ORN F4 Used Working
1003 TEL Tokyo Electron MA-15755C LED-Panel Board ORN F4 Used Working
1004 TEL Tokyo Electron MA-15755C LED-Panel Board ORN F4 Used Working
1005 Winbond ID2W86855AF Graphics Card PCB W86855AF Used Working
1006 Shinko SCE93-100037-C1 Interface Board PCB SBX08-000041-11 Used Working
1007 TEL Tokyo Electron 1B80-001529-11 Module Board PCB 3482944-0A-A Used Working
1008 SMC PFM711S-02L-D-M Digital Flow Switch X711 Used Working
1009 Asyst Technologies 3000-1145-01 Interface Amplifier Board PCB Used Working
1010 TEL Tokyo Electron OYDK-101 IO Chem #02A Board M1 Used Working
1011 SVG Silicon Valley Group 80205A-01 SYS90 System I/O Board PCB Used Working
1012 Varian E15002450 Digital I/O Board PCB Used Working
1013 Nikon 4S013-223 Interface Board PCB LIUPWBPB Nikon NSR-S204B System Used Working
1014 Nikon 4S013-223 Interface Board PCB LIUPWBPB Nikon NSR-S204B System Used Working
1015 Nikon 4S013-223 Interface Board PCB LIUPWBPB Nikon NSR-S204B System Used Working
1016 Nikon 4S013-223 Interface Board PCB LIUPWBPB Nikon NSR-S204B System Used Working
1017 Nikon 36020133 EX-Interlock ASK Interface Board PCB NSR System Used Working
1018 Nikon 4S015-164 Processor Control Card PCB NK-C441-1 NSR-S205C System Used
1019 Nikon 4S015-164 Processor Control Card PCB NK-C441-1 NSR-S205C System Used
1020 Nikon 4S018-752 Relay Driver Card PCB RMDRVX4 NSR-S205C Used Working
1021 Nikon 4S014-143 Relay Control Card PCB LC-CTL4 NSR-S205C System Used Working
1022 Nikon 4S014-143 Relay Control Card PCB LC-CTL4 NSR-S205C System Used Working
1023 Nikon 4S018-727 Communications Card PCB IM-COM NSR-S205C System Used Working
1024 Nikon 4S018-727 Communications Card PCB IM-COM NSR-S205C System Used Working
1025 Nikon 4S018-708 Relay Driver Card PCB EPDRVX4 NSR-S205C System Used Working
1026 Nikon 4S018-708 Relay Driver Card PCB EPDRVX4 NSR-S205C System Used Working
1027 Nikon 4S018-716 Relay Controller Card PCB OPDCTRL3 NSR-S205C System Used Working
1028 Nikon 4S015-119 Processor Card PCB NK386SX4 NSR-S205C System Used Working
1029 Nikon 4S015-119 Processor Card PCB NK386SX4 NSR-S205C System Used Working
1030 Nikon 4S015-119 Processor Card PCB NK386SX4 NSR-S205C System Used Working
1031 Nikon 4S015-119 Processor Card PCB NK386SX4 NSR-S205C System Used Working
1032 Nikon 4S019-126 Relay Driver Card PCB WSDRVX4 NSR-S205C System Used Working
1033 Nikon 4S019-126 Relay Driver Card PCB WSDRVX4 NSR-S205C System Used Working
1034 Nikon 4S018-753-1 Relay Driver Card PCB AFDRVX4A NSR-S205C System Used Working
1035 Nikon 4S018-753-1 Relay Driver Card PCB AFDRVX4A NSR-S205C System Used Working
1036 Nikon 4S008-090 Regulator Board PCB LIUREG2 Nikon NSR-S204B System Used Working
1037 TDK RDH05-12R Power Supply ZG010-2 Used Working
1038 TDK RDH05-12R Power Supply ZG010-2 Used Working
1039 Nikon 4S008-049-D Interface Processor Board PCB alg-Z8277 Used Working
1040 Nikon 4S008-053 Interface Control Drive Board PCB PRE2 Drive NSR-S204B System Used
1041 Nikon 4S008-053-A Interface Control Drive Board PCB PRE2 Drive NSR-S204B Used
1042 KLA-Tencor 546399 Interface Card PCB UI SPLITTER, SP1 Used Working
1043 Hitachi ZVV022-0 Processor PCB Card GRYCMP2 I-900SRT Used Working
1044 Hitachi ZVV022-0 Processor PCB Card GRYCMP2 I-900SRT Used Working
1045 Nikon 4S015-227 Interface Processor Board PCB NK8601A Used Working
1046 Nikon 4S007-901-1 Relay Interface Board PCB X2A-STGP/H NSR-204B Used Working
1047 Nikon 4S013-349 Interface Board PCB LINK-RZ2 NSR-204B Used Working
1048 Nikon 4S013-349 Interface Board PCB LINK-RZ2 NSR-204B Used Working
1049 Nikon 4S018-908-1 Interface Board PCB PNLRESET NSR-204B Used Working
1050 Yaskawa BM9420423 Interface Board PCB SWF Used Working
1051 Yaskawa BM9420423 Interface Board PCB SWF Used Working
1052 Mitutoyo 09AAB215 Linear Scale ST420 NSR-204B Used Working
1053 Dux Inc. ADP-700 Backplane Board PCB 2001-700C Used Working
1054 Nikon 4S018-649 Interface Relay Board PCB LINK-RX2 Used Working
1055 Nikon 4S007-545 Sensor Analog Board EXX-FC2-SNS Used Working
1056 Nikon 4S007-959-B Relay Board PCB EX-S/H-2 NSR-S204B Step-and-Repeat Used
1057 Nikon 4S007-959-B Relay Board PCB EX-S/H-2 NSR-S204B Step-and-Repeat Used
1058 Nikon 4S007-959-B Detector Assembly EX-S/H-2 NSR-204B Used Working
1059 Nikon 4S007-986-A Relay Board PCB LIA LD2 NSR-S204B Step-and-Repeat Used Working
1060 Nikon NK2551 Sensor EPROM Receiver Board PCB NSR-S204B Used Working
1061 Nikon NK2551 Sensor EPROM Receiver Board PCB NSR-S204B Used Working
1062 FAULHABER 000317 MINIMOTOR SA 090 Used Working
1063 Nikon 4S008-670 Sensor Relay Interface Board PCB AFSNSX8A-MAIN Used Working
1064 Nikon WS Shock Absorber Right (RFC) NSR-S204B Used Working
1065 Nikon WS Shock Absorber Left (RFC) NSR-S204B Used Working
1066 Nikon 4S005-344 Interface Board W/ Sensor PCB AF-SENSOR21 NSR System Used
1067 Creative Technology CT6042 Video Card PCB KCE WC-O 94V-OF1 Used Working
1068 ICS Advent 30001-03 Backplane PCB OEMC-10 Used Working
1069 TELl Tokyo Electron CPC-T0060A-11 Board, IO CSB #02 TOB1060 Used Working
1070 TEL Tokyo Electron HA-010 Board, IF CSS #02 PCB PHA-010-0 Lithius Used Working
1071 Teknic SST-1500-103 Servo Drive ServoStepper Used Working
1072 Agilent 10897-60002 Laser Axis Control Card Rev.C Nikon NSR-S204B System Used
1073 Agilent 10897-60002 Laser Axis Control Card Rev.C Nikon NSR-S204B System Used
1074 Agilent 10897-60002 Laser Axis Control Card Rev.C Nikon NSR-S204B System Used
1075 Agilent 10897-60002 Laser Axis Control Card Rev.C Nikon NSR-S204B System Used
1076 Agilent 10897-60002 Laser Axis Control Card Rev.C Nikon NSR-S204B System Used
1077 Nikon 4S007-959-B Detector Assembly EX-S/H-2 NSR System Used Working
1078 Harmonic Drive Systems RH-8C-3006-E100DO Servo Actuator Hi-T Drive Used Working
1079 Harmonic Drive Systems RH-8C-6006-E100DO Servo Actuator Hi-T Drive Used Working
1080 Faulhaber 2338S024S MINIMOTOR SA HEDS-5540F14 Used Working
1081 Harmonic Drive Systems RH-8C-6006-E100DO 2nd Group Zoom Servo Pull Motor Used
1082 Harmonic Drive Systems RH-8D-6006-E100DO 2nd Group Zoom DC Servo Pull Motor Used
1083 Nikon 4S008-056 AIS Sensor Board PCB AISsns-X4 NSR System Used Working
1084 Chiba Precision D34R10B Servo Motor Used Working
1085 Nikon 4S008-056-A AIS Sensor Board PCB AISsns-X4 NSR System Used Working
1086 Nikon 4S008-056-A AIS Sensor Board PCB AISsns-X4 NSR System Used Working
1087 Simco 4009180 Ionizing Bar Controller VISion Delta 2100944 Used Working
1088 Nikon VFU-X80S Noise Filter Used Working
1089 Chiba Precision C-214402G Servo Motor TORMAX Used Working
1090 ASML Nikon Reticle Pneumatic Manifold 4022.470.2197
1091 TeleFrank GmbH 013501-171-27 Load Port Modul Interlock Used Working
1092 TeleFrank GmbH 013501-171-27 Load Port Modul Interlock Used Working
1093 TeleFrank GmbH 013501-171-27 Load Port Modul Interlock Used Working
1094 TeleFrank GmbH 013501-177-27 Load Port Modul E84/I Used Working
1095 TeleFrank GmbH 013501-186-27 Load Port Interface Connector Used Working
1096 Chiba Precision MAN-D34R10B 2nd Group Relay Servo Motor NSR-S205C Used Working
1097 Yaskawa Electric UTOPI-020MX Minertia Motor QM Series Used Working
1098 escap 22S48205E14 Mini Motor R22 Used Working
1099 SMC SS5Y3-42-04-C6F-Q Pneumatic Manifold KP-DE Used Working
1100 SMC SS5Y3-41-02-C6F-Q Pneumatic Manifold Z-4257 Used Working
1101 Hitachi 560-5503 Stage Control Board PCB STCNTSUB Used Working
1102 TDK RDH24-6RO Power Supply ZG010-2 Used Working
1103 TDK RDH24-6RO Power Supply ZG010-2 Used Working
1104 Cambridge 2T-80110G-NDHB-2 Filter ABSOLUTE Nikon NSR-S205C Used Working
1105 SMC 1-Port Pneumatic Manifold TEL Tokyo Electron 3D80-000055-V1 Used Working
1106 Swagelok SS-BNV51-C Bellows Sealed Valve NUPRO Used Working
1107 Asyst 04290-201 Process Chamber Elevator Novellus 94-1118 Nikon NSR-S205C Used
1108 Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working
1109 Hitachi 569-5517 EVCONT3 PCB S-9300 Scanning Electron Mircoscope Used Working
1110 Hitachi 566-5534 High Voltage Capacitor PCB Board PE.HV S-9300 SEM Used Working
1111 Fujikin FCS-4WS-798-F30#B Mass Flow Controller MFC Nikon NSR-S205C Used Working
1112 Fujikin 316L-P Diaphragm Valve Type N.C. Nikon NSR-S205C Used Working
1113 Yasunaga YP-20V Air Purge Pump 2985-511354-12 TEL ACT12 Used Working
1114 Yasunaga YP-20V Air Purge Pump 2985-511354-12 TEL ACT12 Used Working
1115 Yasunaga YP-20V Air Purge Pump 2985-511354-12 TEL ACT12 Used Working
1116 Yasunaga YP-20V Air Purge Pump 2985-511354-12 TEL ACT12 Used Working
1117 Mitsubishi FR-E520-1.5K-14 Inverter Drive FREQROL-E500 Used Working
1118 Meiden UT203/001A 8-Port Switching Hub SW100 Used Working
1119 TEL Tokyo Electron E2U205-12 8-Port Switching Hub E280-000065-12 Used Working
1120 Thermo Noran 700P135927 Microanalysis System C10006 Used Working
1121 Shimadzu TBD-60D (1) Turbomolecular Pump Controller Used Untested
1122 Nikon 4S019-164 Relay Interface Card PCB PPD3T-SIG Nikon NSR-S307E Used Working
1123 Nikon 4S019-140 Relay Control Card PCB AIR-CTRL NSR-S307E Used Working
1124 Nikon 4S019-139 Relay Driver Card PCB MTR-DRV NSR-S307E Used Working
1125 Nikon 4S019-163 Processor Interface Control Card PCB PPD3T-CTRL NSR-S307E Used
1126 Nikon 4S019-119 Interface Board PCB WLIR_I/F NSR-S307E Used Working
1127 Nikon 4S018-920 Interface Board PCB WLSPLNK NSR-S307E Used Working
1128 Nikon 4S019-138 Processor Interface Card PCB IOP-I/F2 NSR-S307E Used Working
1129 Nikon 4S019-259 Robot Interface Board PCB ROBOT-I/F4 NSR-S307E Used Working
1130 Nikon 4S019-115 Interface Board PCB WLCR-I/F NSR-S307E Used Working
1131 Nikon 4S018-921 Robot Driver Interface Board RBTDRV NSR-S307E Used Working
1132 Nikon 4S001-117 Power Supply Card PW-NO NSR-S307E Used Working
1133 TEL Tokyo Electron SHU Shuttle Slider Assembly ACT 12 300mm Used Working
1134 TEL Tokyo Electron SHU Shuttle Slider Assembly ACT 12 300mm Used Working
1135 Nikon 4S018-917-1 Y-Axis Interface Board PCB Y_I/F2 NSR-S307E Used Working
1136 Nikon 4S018-918 Processor Interface Board PCB PRE1_I/F2 NSR-S307E Used Working
1137 Nikon 4S018-916 X-Axis Interface Board PCB X_I/F2 NSR-S307E Used Working
1138 Nikon 4S015-227 Interface Processor Board PCB NK8601A NSR-S307E Used Working
1139 Nikon 4S015-227 Interface Processor Board PCB NK8601A NSR-S307E Used Working
1140 Nikon 4S015-227 Interface Processor Board PCB NK8601A NSR-S307E Used Working
1141 Nikon 4S008-268 Power Supply Board PCB IU-PWR2-SRC NSR-S205C Used Working
1142 Nikon 4S008-036 Analog to Digital Converter Board PCB EP-X4 NSR-S205C Used
1143 Patlite GH-502DS-4 Signal Display Operator Interface Panel Untested As-Is
1144 Patlite GH-502DS-4 Signal Display Interface Panel Untested As-Is
1145 Nikon 4S013-364 Interface Board PCB BLPWX4 NSR-S205C BMU Used Working
1146 Nikon 4S008-057-A-274 Interface Board PCB AISref-X4 NSR-S205C Used Working
1147 Nikon 4S013-354 Cassette Elevator Z-X4 NSR-S205C Used Working
1148 Nikon SAT-80-2000A1 Shock Absorber NSR-S204B Used Working
1149 Nikon SAT-80-2000A1 Shock Absorber NSR-S204B Used Working
1150 Nikon SAT-80-2000A1 Shock Absorber NSR-S204B Used Working
1151 Nikon SAT-80-2000A2 Shock Absorber NSR-S204B Used Working
1152 Nikon SAT-80-2000A2 Shock Absorber NSR-S204B Used Working
1153 Nikon SAT-80-2000A2 Shock Absorber NSR-S204B Used Working
1154 Nikon 4S013-363 Interface Board PCB BLECX4 NSR-S205C BMU Used Working
1155 Nikon 4S008-207 Processor Board EP-EE3 NSR-S205C BMU Used Working
1156 Eizo 0FTB0011 19″ Color LCD Monitor FlexScan S1921 NSR-S207E Used Working
1157 Nikon 4S018-714-1 Processor Control Card PCB STIFMEMX4A NSR-S205C System Used
1158 Nikon 4S018-751 Relay Driver Card PCB LMDRVX4 NSR-S205C System Used Working
1159 Nikon 4S018-751 Relay Driver Card PCB LMDRVX4 NSR-S205C System Used Working
1160 Nikon 4S018-750 Relay Control Card PCB SPIOX4 NSR-S205C System Used Working
1161 Nikon 4S015-184-1 Processor Control Card PCB NK-C443-1 NSR-S205C System Used
1162 Nikon 4S015-184-1 Processor Control Card PCB NK-C443-1 NSR-S205C System Used
1163 Nikon 4S015-184-1 Processor Control Card PCB NK-C443-1 NSR-S205C System Used
1164 Nikon 4S013-370 Backplane Interface Board PCB STGSTCAV1AV2X4 NSR-S205C Used
1165 Nikon 4S013-395 Backplane Interface Board PCB STGSTCWYWXX4A NSR-S205C Used
1166 Nikon 4S013-382 Backplane Interface Board PCB STGPWTX4A NSR-S205C System Used
1167 Nikon 4S013-365 Backplane Interface Board PCB STGSTCPX4 NSR-S205C System Used
1168 Nikon 4S013-368 Backplane Interface Board PCB STGSTCBLWTX4 NSR-S205C System Used
1169 Nikon 4S013-366 Backplane Interface Board PCB STGSTCMSCRFX4 NSR-S205C Used
1170 Nikon 4S013-371 Backplane Interface Board PCB STGSTCRMEPX4 NSR-S205C System Used
1171 Nikon 4S013-369 Backplane Interface Board PCB STGSTCAFX4 NSR-S205C System Used
1172 Nikon 4S001-082 Power Supply Card PCB PW-NJ NSR-S205C System Used Working
1173 Nikon 4S001-082 Power Supply Card PCB PW-NJ NSR-S205C System Used Working
1174 Nikon 4S013-569 Relay Interface Board PCB SPAPWTX4S NSR-S205C System Used
1175 Nikon 4S018-715-A Backplane Interface Board PCB OPDMTHX4 NSR-S205C Used Working
1176 Nikon 4S018-726 Backplane Interface Board PCB LC-MTR-X4 NSR-S205C System Used
1177 Nikon 4S018-726 Backplane Interface Board PCB LC-MTR-X4 NSR-S205C System Used
1178 Nikon 4S018-749 Backplane Interface Board PCB STGMTRX4 NSR-S205C System Used
1179 EIZO 0FTD0659 19″ Color LCD Monitor FlexScan L768 NSR-S307E Used Working
1180 Nikon 4B037-824-1AN WY-R Linear Motor 4B037-824-1 NSR-S205C New
1181 Nikon 4S050-552-3 15 Channel Piezo Driver Unit NSR-S307E Used Working
1182 Digital Electronics 2980070-11 Touch Screen Display NSR-S307E Used Working
1183 Nikon PS8-102G Pneumatic Block NSR-S204B Used Working
1184 Nikon PS8-102G Pneumatic Block Double Power Switch NSR-S204B Used Working
1185 Nikon 4S013-500 Processor Interface Board PCB BLIFX4B1 Used Working
1186 Nikon 4S013-500 Processor Interface Board PCB BLIFX4B1 NSR-S205C Used Working
1187 Nikon TC-214008G Minimotor BLGNA 1/29.64 NSR-S205C Used Working
1188 Nikon MH-15M-CCB Digital Micrometer DIGIMICRO NSR-S205C Used Working
1189 Nikon 4S005-394-1 Integrated Sensor INTG-EE3 NSR-S205C Used Working
1190 Harmonic Drive Systems RH-8C-3006-E100D0 DC Servo Actuator NSR-S205C Used
1191 Harmonic Drive Systems RH-8C-3006-E100D0 DC Servo Actuator NSR-S205C Used
1192 Nikon 4S013-423 Interface Board PCB FAN-I/F NSR-S307E Used Working
1193 Nikon 4S001-093 Power Supply Card PW-NK NSR-S307E Used Working
1194 Nikon 4S001-093 Power Supply Card PW-NK NSR-S307E Used Working
1195 Nikon 4S001-093 Power Supply Card PW-NK NSR-S307E Used Working
1196 Nikon 4S001-093 Power Supply Card PW-NK NSR-S307E Used Working
1197 Nikon 4S013-509 Backplane Board PCB SPAMTRX4B NSR-S307E Used Working
1198 Nikon 4S013-509 Backplane Board PCB SPAMTRX4B NSR-S307E Used Working
1199 Nikon 4S013-509 Backplane Board PCB SPAMTRX4B NSR-S307E Used Working
1200 Harmonic Drive Systems RH-8D-6006-E100D0 DC Servo Actuator Nikon NSR-S307E Used
1201 Harmonic Drive Systems RH-8D-6006-E100D0 DC Servo Actuator Nikon NSR-S307E Used
1202 Harmonic Drive Systems RH-8D-3006-E100D0 DC Servo Actuator Nikon NSR-S307E Used
1203 Vaisala PTB210A1A9A Pressure Transmitter Nikon NSR-S307E Used Working
1204 Queensgate NS2300/A Position Sensor 4S587-005 NSR-S205C System Used Working
1205 Raritan APSSUN KVM Converter GUARDIAN Used Working
1206 Daihen ES7 RF Power Generator Used Working
1207 Daihen ES7 RF Power Generator Used Working
1208 Daihen ES7 RF Power Generator Used Working
1209 Pearl-Kogyo ES7-IIA High Voltage Power Supply Hitachi M-712E Used Working
1210 Pearl-Kogyo ES7-IIA High Voltage Power Supply Hitachi M-712E Used Working
1211 Sanyo Denkin 103H7851-70E1 Stepping Motor StepSyn TEL Lithius Used Working
1212 Sanyo Denki 103H6501-70E4 Stepping Motor StepSyn TEL Lithius Used Working
1213 Sanyo Denki 103H5510-70E9 Stepping Motor TEL Lithius System Used Working
1214 Sanyo Denki 103H3505-30GEJ2 Stepping Motor G10-308 TEL Lithius Used Working
1215 Sanyo Denki 103F3505-30XE42 Stepping Motor Assembly StepSyn TEL Lithius Used
1216 Sanyo Denki 103F5508-70XE42 Stepping Motor StepSyn TEL Lithius Used Working
1217 Sanyo Denki 103F3505-30XE42 Stepping Motor StepSyn TEL Lithius Used Working
1218 TEL Tokyo Electron 5010-202984-11 Wafer Spin Chuck Lithius COAT Module Used
1219 Nikon 4S017-866-B Interface Relay Card PCB STGLIUIF NSR-S204B Used Working
1220 Nikon 4S017-866-B Interface Relay Card PCB STGLIUIF NSR-S204B Used Working
1221 Nikon 4S017-866-B Interface Relay Card PCB STGLIUIF NSR-S204B Used Working
1222 Nikon 4S017-866-B Interface Relay Card PCB STGLIUIF NSR-S204B Used Working
1223 Hitachi UNIT 2 DC Power Supply M-712E Shallow Trench Etcher Used Working
1224 Hitachi UNIT 3 DC Power Supply M-712E Shallow Trench Etcher Used Working
1225 Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V3 Used Tested Working
1226 TEL Tokyo Electron AP9Z-2033A Board Add-On Spin #02 PCB TKB7043 Lithius Used
1227 TEL Tokyo Electron AP9Z-2033A Board Add-On Spin #02 PCB TKB7043 Lithius Used
1228 TEL Tokyo Electron AP9Z-2033A Board Add-On Spin #02 PCB TKB7043 Lithius Used
1229 TEL Tokyo Electron AP9Z-2033A Board Add-On Spin #02 PCB TKB7043 Lithius Used
1230 TEL Tokyo Electron AP9Z-2033A Board Add-On Spin #02 PCB TKB7043 Lithius Used
1231 Brooks Automation 138502 Wafer Handling Robot Reliance ATR8 Used Working
1232 Brooks Automation 121669 Wafer Handling Robot Reliance ATR8 Used Working
1233 Omron V640-HAM11-V2 RFID Amplifier Unit Used Working
1234 Neslab ThermoFlex 10,000 Thermo Fisher 163172030000002 Chiller Tested As-Is
1235 AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Used Working
1236 AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Used Working
1237 VAT 65048-JH52-AQK2 Throttling Pendulum Vacuum Gate Valve 385789 Used Working
1238 Lam Research 02-376092-00 PED Assembly Machined Finish C3VCTR Used Working
1239 Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working
1240 VAT 65048-JH52-AQK2 Throttling Pendulum Vacuum Gate Valve 385789 Copper Cu Used
1241 SMC HRZ010-WS-Z Thermo Chiller Series HRZ Used Tested Working
1242 Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor TEL 2985-519524-W1 ACT12 200mm Usedssembly TEL 2903-300063-13 ACT12-300 Used
1243 Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor TEL 2985-519524-W1 ACT12 200mm Usedssembly TEL 2903-300063-13 ACT12-300 Used
1244 Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor TEL 2985-519524-W1 ACT12 200mm Usedssembly TEL 2903-300063-13 ACT12-300 Used
1245 Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor TEL 2985-519524-W1 ACT12 200mm Usedssembly TEL 2903-300063-13 ACT12-300 Used
1246 TEL Tokyo Electron 2910-205212-11 12″ Wafer Chuck ACT12-300 Used Working
1247 Kyoto Denkiki KDS-30350SFX High Voltage Power Supply KDS-30350SF Used Working
1248 Kyoto Denkiki KDS-20170TW High Voltage Dual Output Power Supply Used Working
1249 VAT 65048-JH52-ALJ1 Throttling Pendulum Gate Valve 229351 Used Working
1250 Novellus Systems 02-169180-02 Linear Track 15-265469-02 Used Working
1251 Novellus Systems 02-169180-01 Linear Track 15-165469-01 Used Working
1252 Novellus Systems 02-169180-02 Linear Track 15-265469-02 Rev. E Used Working
1253 Lam Research 02-169180-01 Linear Track 15-265469-01 Rev. F Used Working
1254 Novellus Systems 02-1694180-01 Linear Track 15-265469-01 Copper Exposed Used
1255 Lam Research 02-169180-02 Linear Track 15-265469-02 Copper Exposed No Motor Used
1256 Heraeus 90150415 HTU Vacuum Anneal Plasma Tube New
1257 Digital Electronics UF7811-2-DV1-24V LCD Touch Screen Display Copper Cu Used
1258 AMAT Applied Materials 0041-32713 Shower Head Gen 2.1 Producer GT Used Working
1259 AMAT Applied Materials 0041-32713 Shower Head Gen 2.1 Producer GT Used Working
1260 AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper Used Working
1261 VAT 65040-PA52-ACB2 Pendulum Control and Isolation Valve Copper Unassembled Used
1262 VAT 65040-PA52-ACB2 Pendulum Control and Isolation Valve 98800 Copper Used
1263 HP Hewlett-Packard 10897-60002 Laser Axis Control Card PCB 10897B NSR-S204B Used
1264 HP Hewlett-Packard 10897-60002 Laser Axis Control Card PCB 10897B NSR-S204B Used
1265 HP Hewlett-Packard 10897-60002 Laser Axis Control Card PCB 10897B NSR-S204B Used
1266 HP Hewlett-Packard 10897-60002 Laser Axis Control Card PCB 10897B NSR-S204B Used
1267 HP Hewlett-Packard 10897-60002 Laser Axis Control Card PCB 10897B NSR-S204B Used
1268 Nikon 4S019-288-1 Interface Card PCB IFSIGCOR NSR-S204B Used Working
1269 Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
1270 Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
1271 Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
1272 Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
1273 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
1274 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
1275 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
1276 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
1277 Tazmo 4S064-548-1 Robot Controller NSR18 Nikon NSR-S205C Used Working
1278 Tazmo 4S064-548 Robot Controller NSR18 NIkon NSR System Used Working
1279 Tazmo 4S064-548 Robot Controller NSR18 NIkon NSR System Used Working
1280 Tazmo 4S064-548 Robot Controller NSR18 V1.30 Nikon NSR System Used Working
1281 Nikon 4S001-102 Power Supply Board PCB MSE280E Nikon NSR System Used Working
1282 Faulhaber 1841E012S Minimotor SA 045307 075 Nikon NSR System Used Working
1283 Faulhaber 993397 489 MINIMOTOR SA Nikon NSR System Used Working
1284 Faulhaber 021043 192 MINIMOTOR SA Nikon NSR System Used Working
1285 Faulhaber 050509 145 MINIMOTOR SA Nikon NSR System Used Working
1286 Agilent 10780F Remote Receiver Option C13 Nikon NSR System Used Working
1287 Agilent 10780F Remote Receiver Nikon NSR System Used Working
1288 Pittman 14232A127-R3 DC Servo Motor 9700-9102-01 Rev B. Used
1289 Nikon Power Supply Module 4S001-107 Used Working
1290 Nikon Power Supply Module 4S001-107 Used Working
1291 Nikon 4K191-632-1 RH Reticle Transfer Robot with End Effector NSR-S306C Used
1292 Nikon Wafer Transfer Robot WD Used Working
1293 Nikon 4B092-139 Ceramic End Effector Used Working
1294 Omron NT30C-ST141B-E Interactive Display Used Working
1295 TEL Tokyo Electron TKB7001 Interface Relay Board PCB TKB7042 Lithius Used
1296 Ultratech Stepper 0553-701156 Amplifier Board PCB Lithius Used Working
1297 Ultratech Stepper 0553-626000 Alignment Controller Card PCB Lithius Used Working
1298 HP Hewlett-Packard 10746-60001 Binary Interface Card PCB Lithius Used Working
1299 Ultratech Stepper 0553-700974 Laser Comparator Card PCB Lithius Used Working
1300 Ultratech Stepper 0553-700974 Laser Comparator Card PCB Lithius Used Working
1301 Ultratech Stepper 03-08-00090 944 Servo Board Card PCB Lithius Used Working
1302 Ultratech Stepper 0553-100340 Universal 32 Bit Interface Card PCB Lithius Used
1303 Amray 800-2436 PC12 SEM 1800 TV Rate Control System Card PCB Used Working
1304 KLA-Tencor AIT UV Timing Generator Card PCB Used Working
1305 SBS Technologies AMAT 0190-10691 PMC-Video Card PCB PMC-Video-S99158 Used
1306 SBS Technologies AMAT 0190-10691 PMC-Video Card PCB PMC-Video-S99158 Used
1307 Nikon 4S587-578B 8-Port Hub NSR System Used Working
1308 Hitachi HT94219A Interface Relay Card PCB PI01 Ver. H M-712E Used Working
1309 Hitachi HT94219A Interface Relay Card PCB PI01 Ver. H1 M-712E Used Working
1310 Nikon 2S070-031-4 Keyboard Console 2S700-386 Used Working
1311 Nikon Shock Absorber NSR System Used Working
1312 Mitutoyo 09AAB215 Linear Scale ST420 Nikon NSR System Used Working
1313 Nikon 4S064-363 Beam Matching Unit Controller BMU-IOP NSR-System Used Working
1314 Asyst Technologies 9701-1058-01C Interface Relay Board J1074 PCB Used Working
1315 Sony XC-55BB Progressive Camera Module 02D Nikon KBB25350 NSR System Used
1316 Asyst Technologies 9701-1057-01 Interface Relay Board PCB J1074 Used Working
1317 Asyst Technologies 9701-1056-01 Interface Relay Board PCB J1074 Used Working
1318 Brooks Automation 002-6878-02 Interface Connection Board PCB Rev. 2 Used Working
1319 Asyst Technologies 3200-1204-01 Interface Relay Board PCB Used Working
1320 Pittman 9236E466 Servo Motor 9700-8861-01 Used Working
1321 Pittman 14232A127-R3 Servo Motor 9700-9102-01 Rev. B LO-COG Used Working
1322 Hama Sensors RWX-43I Laser Sensor Used Working
1323 Asyst Technologies 3200-1112-01 Interface Board PCB Used Working
1324 Asyst Technologies 3200-1112-01 Interface Board PCB Used Working
1325 Asyst Technologies 3200-1112-01 Interface Board PCB Used Working
1326 Asyst Technologies 3200-1112-01 Interface Board PCB Used Working
1327 AMAT Applied Materials 0100-00567 Gas Box Distribution Board PCB ACT12-300 Used
1328 Shinko SCE93-100009-C1 Interface Relay Board PCB ACT12-300 Used Working
1329 Shinko SCE93-100009-C1 Interface Relay Board PCB ACT12-300 Used Working
1330 Shinko SCE93-100009-C1 Interface Relay Board PCB ACT12-300 Used Working
1331 Shinko SCE93-100009-C1 Interface Relay Board PCB ACT12-300 Used Working
1332 Shinko SCE93-100009-C1 Interface Relay Board PCB ACT12-300 Used Working
1333 Shinko SCE93-100009-C1 Interface Relay Board PCB ACT12-300 Used Working
1334 TDK TAS-MAIN Processor Interface Board PCB ACT12-300 Used Working
1335 TDK TAS-MAIN Processor Interface Board PCB ACT12-300 Used Working
1336 TDK TAS-MAIN Processor Interface Board PCB ACT12-300 Used Working
1337 TDK TAS-LED Indicator Light Board PCB ACT12-300 Used Working
1338 TDK TAS-LED Indicator Light Board PCB ACT12-300 Used Working
1339 AMAT Applied Materials 0100-02420 Opto Isolation Board PCB ACT12-300 Used
1340 TEL Tokyo Electron 3Z81-000011-V1 Interface Relay Board PCB TDB343-1/AC Used
1341 TEL Tokyo Electron HA-039 Board, DC/DC CONV SWC PCB ACT12-300 Used Working
1342 TEL Tokyo Electron HA-039 Board, DC/DC CONV SWC PCB ACT12-300 Used Working
1343 TEL Tokyo Electron 2981-600514-11 EXT DIO Board SP001 ACT12-300 Used Working
1344 TEL Tokyo Electron 2981-600514-11 EXT DIO Board SP001 ACT12-300 Used Working
1345 TEL Tokyo Electron 2981-600832-11 Board, I/O DISP #02 PCB SP001 ACT12-300 Used
1346 TEL Tokyo Electron 2981-600418-11 I/F Board #007 PCB ACT12-300 Used Working
1347 TEL Tokyo Electron 2981-600418-11 I/F Board #007 PCB ACT12-300 Used Working
1348 TEL Tokyo Electron EPC-T0091A-11 Board, EXT IF #01 PCB TOB1096 ACT12-300 Used
1349 TEL Tokyo Electron FPC-T0097A-11 Board, IF Fan Ctrl #03A PCB TOB1102A Used
1350 Panasonic MBDH153ABD01 Inverter Minas-Hyper Used Working
1351 Jikco COX-B8A Alarm Module PSK-144B Nikon 4S587-740-1 NSR System Used Working
1352 Yamatake DMC50ME20000M001 Multi-loop Controller DMC50M NSR-S202A Used Working
1353 Omron R88D-UA08HA AC Servo Driver Used Working
1354 Omron R88D-UA08HA AC Servo Driver Used Working
1355 Omron R88D-UA04HA AC Servo Driver Used Working
1356 Yamatake DMC50CS40000M003 Multi-loop Controller DMC50CS NSR-S202A Used Working
1357 Yamatake DMC50ME200D0M001 Multi-Loop Controller Module DMC50M Used Working
1358 Yamatake DMC50CS400000000 Multi-Loop Controller Module DMC50CS Used Working
1359 Danfoss 195N0050 AC Drive Verticle Inverter VLT2815 Used Working
1360 Yaskawa CIMR-V7AA21P5 VS Mini V7 Inverter Drive Nikon NSR System Used Working
1361 Rorze RS-232C Current Adapter RC-002 Link Master Lot of 5 Used Working
1362 Yaskawa CIMR-7AA20P4 VS Mini J7 Inverter Drive Used Working
1363 Omron 3G3MV-A2002 SYSDRIVE 3G3MV Inverter Drive 3G3MV-PDRT2 Used Working
1364 JAE KT000983 6-Axis Vibration Measurement Unit 4S587-588 Nikon NSR Used Working
1365 Yaskawa SGDM-04ADA Servo Drive Servopack SGDM-04 Ver.31231 Used Working
1366 Oriental Motor UDK5214NW 5-Phase Servo Driver VEXTA Used Working
1367 Oriental Motor UDK5107NW2 Vexta 5-Phase Driver Used Working
1368 Oriental Motor RKD514L-A 5-Phase Servo Driver VEXTA Used Working
1369 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
1370 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
1371 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
1372 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
1373 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
1374 Nikon 4S018-403-E Control Board PCB PPD3S NSR-S204B Step-and-Repeat Used Working
1375 Nikon 4S018-403-E Control Board PCB PPD3S NSR-S204B Step-and-Repeat Used Working
1376 Nikon 4S018-403-E Control Board PCB PPD3S NSR-S204B Step-and-Repeat Used Working
1377 Nikon 4S018-403-E Control Board PCB PPD3S NSR-S204B Step-and-Repeat Used Working
1378 Nikon 4S014-142-3 Control Board PCB RL-CTRL2 NSR-S204B Step-and-Repeat Used
1379 Nikon 4S014-142-3 Control Board PCB RL-CTRL2 NSR-S204B Step-and-Repeat Used
1380 Nikon 4S001-064 Power Control Board PCB MSE182B NSR-S204B Step-and-Repeat Used
1381 Nikon 4S018-402 Backplane Interface Board PCB MTH-8SH NSR-S204B Used Working
1382 Nikon 4S018-402 Backplane Interface Board PCB MTH-8SH NSR-S204B Used Working
1383 Nikon 4S015-197 Processor Board PCB NK386SX4-NSC NSR-S204B Step-and-Repeat Used
1384 Nikon 4S015-197 Processor Board PCB NK386SX4-NSC NSR-S204B Step-and-Repeat Used
1385 Nikon 4S018-608 Processor Board PCB WL3I06 NSR-S204B Step-and-Repeat System Used
1386 Nikon 4S018-608 Processor Board PCB WL3I06 NSR-S204B Step-and-Repeat System Used
1387 Nikon 4S014-140 Processor Board PCB OF3CNT4 NSR-S204B Step-and-Repeat Used
1388 Nikon 4S014-140 Processor Board PCB OF3CNT4 NSR-S204B Step-and-Repeat Used
1389 Nikon 4S001-086 Processor Board PCB PW-NK NSR-S204B Step-and-Repeat Used Working
1390 Nikon 4S018-659 Backplane Interface Board PCB WL3MTR4 NSR-S204B Used Working
1391 Nikon 4S018-659 Backplane Interface Board PCB WL3MTR4 NSR-S204B Used Working
1392 Nikon 4S018-659 Backplane Interface Board PCB WL3MTR4 NSR-S204B Used Working
1393 Nikon 4S018-659 Backplane Interface Board PCB WL3MTR4 NSR-S204B Used Working
1394 Nikon 4S018-403-G Control Board PCB PPD3S NSR-S204B Step-and-Repeat Used Working
1395 Nikon 4S018-351-A Control Board PCB OPDCTRL2 NSR-S204B Step-and-Repeat Used
1396 Nikon 4S018-354-1 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat Used
1397 Nikon 4S001-060 Power Control Board PCB PW-NA NSR-S204B Step-and-Repeat Used
1398 Nikon 4S001-060 Power Control Board PCB PW-NA NSR-S204B Step-and-Repeat Used
1399 Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper Used Working
1400 Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper Used Working
1401 Nikon 4S018-378 Relay Control Board PCB MAC-CTRL-1 NSR-S204B Used Working
1402 Nikon 4S018-378 Relay Control Board PCB MAC-CTRL-1 NSR-S204B Used Working
1403 Nikon 4S007-776-F Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
1404 Nikon 4S007-776-F Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
1405 Nikon 4S014-143 Control Board PCB LC-CTL4 NSR-S204B Step-and-Repeat Used Working
1406 Nikon 4S014-143 Control Board PCB LC-CTL4 NSR-S204B Step-and-Repeat Used Working
1407 Nikon 4S018-163 Control Board PCB SHRINC-CTRL NSR-S204B Step-and-Repeat Used
1408 Nikon 4S018-163 Control Board PCB SHRINC-CTRL NSR-S204B Step-and-Repeat Used
1409 Nikon 4S015-096-C Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
1410 Nikon 4S015-096-C Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
1411 Nikon 4S015-096-C Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
1412 Nikon 4S018-144-A Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
1413 Nikon 4S018-144-A Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
1414 Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat Used
1415 Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat Used
1416 Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat Used
1417 Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat Used
1418 Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
1419 Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
1420 Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
1421 Nikon 4S007-776-D Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
1422 Nikon 4S015-096 Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
1423 Nikon 4S015-096 Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
1424 Omron E2CA-AL4D-3 Proximity Sensor Amplifier Assembly Nikon NSR-S204B Used
1425 Agilent Technologies E1709A Remote High Performance Receiver Used Working
1426 Agilent E1709A Remote High Performance Reciever Option 010 Nikon NSR-S204B Used
1427 Agilent E1709A Remote High Performance Reciever Option 010 Nikon NSR-S204B Used
1428 Agilent Technologies 10780F Remote Receiver Option C13 NSR-S204B Used Working
1429 Agilent Technologies 10780F Remote Receiver Option C13 NSR-S204B Used Working
1430 Agilent Technologies 10780F Remote Receiver NSR-S204B Used Working
1431 Agilent Technologies 10780F Remote Receiver NSR-S204B Used Working
1432 Chiba Precision MAN-D34A10B Precision Servo Motor Nikon NSR-S307E Used
1433 Nikon Pnuematic Control Box NSR-S204B Step-and-Repeat System Used Working
1434 Nikon Reflectance Plate NSR-S204B Step-and-Repeat Scanning System Used Working
1435 Nikon Reflectance Plate NSR-S204B Step-and-Repeat Scanning System Used Working
1436 Maxon Motor 252720 Servo Motor TRD-S2500V Nikon 4S602-490 NSR System Used
1437 Nikon AIS Aerial Image Sensor NSR-S204B Step-and-Repeat System Used Working
1438 Nikon AIS Aerial Image Sensor NSR-S204B Step-and-Repeat System Used Working
1439 Nikon TTLFC2 Plate NSR-S204B Step-and-Repeat Scanning System Used Working
1440 Nikon TTLFC2 Plate NSR-S204B Step-and-Repeat Scanning System Used Working
1441 Nikon Irradiance Illumination Uniformity Sensor NSR-S204B System Used Working
1442 TEL Tokyo Electron HA-028 Board, JCT DC #02 PCB ACT12-300 Used Working
1443 TEL Tokyo Electron 2981-600408-12 PRA Base Board ACT12-300 Used Working
1444 TEL Tokyo Electron 2981-600408-12 PRA Base Board ACT12-300 Used Working
1445 TEL Tokyo Electron 2981-600408-12 PRA Base Board ACT12-300 Used Working
1446 TEL Tokyo Electron 2981-600408-12 PRA Base Board ACT12-300 Used Working
1447 TEL Tokyo Electron 2981-600408-12 PRA Base Board ACT12-300 Used Working
1448 TEL Tokyo Electron 2981-600408-12 PRA Base Board ACT12-300 Used Working
1449 TEL Tokyo Electron 2981-600408-12 PRA Base Board ACT12-300 Used Working
1450 TEL Tokyo Electron 2981-600408-12 PRA Base Board ACT12-300 Used Working
1451 TEL Tokyo Electron 2981-600408-12 PRA Base Board ACT12-300 Used Working
1452 TEL Tokyo Electron 2981-600409-11 PRA X Board PCB ACT12-300 Used Working
1453 TEL Tokyo Electron 2981-600409-11 PRA X Board PCB ACT12-300 Used Working
1454 TEL Tokyo Electron 2981-600310-12 DC/DC Conv. Board PCB ACT12-300 Used Working
1455 TEL Tokyo Electron 2981-600310-12 DC/DC Conv. Board PCB ACT12-300 Used Working
1456 TEL Tokyo Electron 2981-600310-12 DC/DC Conv. Board PCB ACT12-300 Used Working
1457 TEL Tokyo Electron 2981-600310-12 DC/DC Conv. Board PCB ACT12-300 Used Working
1458 TEL Tokyo Electron 2981-600310-12 DC/DC Conv. Board PCB ACT12-300 Used Working
1459 TEL Tokyo Electron 2981-600310-12 DC/DC Conv. Board PCB ACT12-300 Used Working
1460 TEL Tokyo Electron 2981-600310-12 DC/DC Conv. Board PCB ACT12-300 Used Working
1461 TEL Tokyo Electron 2981-600310-12 DC/DC Conv. Board PCB ACT12-300 Used Working
1462 TEL Tokyo Electron 2981-600310-12 DC/DC Conv. Board PCB ACT12-300 Used Working
1463 TEL Tokyo Electron HTE-OA1-B-11 Oven Board #01 W/ Add-on PCB ACT12-300 Used
1464 TEL Tokyo Electron HTE-OV1-B-11 Oven I/O Board #01 PCB TAB2100 ACT12-300 Used
1465 TEL Tokyo Electron HTE-OV1-B-11 Oven I/O Board #01 PCB TAB2100 ACT12-300 Used
1466 TEL Tokyo Electron HTE-OV1-B-11 Oven I/O Board #01 PCB TAB2100 ACT12-300 Used
1467 TEL Tokyo Electron HTE-OA1-B-11 Oven Add On Board #01 PCB TAB2101 ACT12-300 Used
1468 TEL Tokyo Electron HTE-OA1-B-11 Oven Add On Board #01 PCB TAB2101 ACT12-300 Used
1469 TEL Tokyo Electron HTE-OA1-B-11 Oven Add On Board #01 PCB TAB2101 ACT12-300 Used
1470 TEL Tokyo Electron HTE-OA1-B-11 Oven Add On Board #01 PCB TAB2101 ACT12-300 Used
1471 TDK TK011-90-A-00 Interface Board PCB ACT12-300 Used Working
1472 VAT F03-77932-12 Slit Valve Novellus Concept Two ALTUS Used Working
1473 Yaskawa CIMR-V7AA20P7 Digital Operator VS mini V7 Used Working
1474 Mitsubishi FX2N-64MR-ES/UL Programmable Controller PLC FX2N-64MR Used Working
1475 VAT F03-76729-03 Slit Valve Novellus Concept Two ALTUS Used Working
1476 Yaskawa CIMR-J7AA20P7 VS Mini J7 Inverter Drive Used Working
1477 Mistubishi MR-J2-40A-S12 AC Servo Driver MELSERVO Used Working
1478 Mitsubishi MR-J2S-20B-S087 AC Servo Driver MELSERVO Used Working
1479 Mitsubishi MR-J2S-20B-S087 AC Servo Driver MELSERVO Used Working
1480 Mitsubishi MR-J2S-60B-S087 AC Servo Driver MELSERVO Used Working
1481 SAGInoMIYA RNE-2N200DK-016 Resistivity Meter Used Working
1482 Mitsubishi FX2N-48MR Programmable Logic Controller PLC MELSEC Used Working
1483 Servoland SVEL124-P*RFC*FBC Servo Drive Motor Amplifier MOVO2 Used Working
1484 Oriental Motor DFR1507 VEXTA Fine Step 5-Phase Driver PP4 Used Working
1485 Oriental Motor DFR1507 VEXTA Fine Step 5-Phase Driver QR4 Used Working
1486 Queensgate Instruments NS2300/E Controller 4S288-214-1 Nikon NSR-S205C Used
1487 Queensgate Instruments NS2303/B Controller 4S288-279 Nikon NSR-S205C Used
1488 Nikon 4S065-172-1 IU-IOP2 Controller NSR-S205C Used Working
1489 Nikon 4S065-172-1 IU-IOP2 Controller NSR-S205C Used Working
1490 Nikon 4S065-171 IU-IOP1 Controller NSR-S205C Used Working
1491 Nikon 4S065-171 IU-IOP1 Controller NSR-S205C Used Working
1492 TEC IZU 4S064-957 Power Supply Nikon NSR-S205C Step-and-Repeat Used Working
1493 TEC IZU 4S064-957 Power Supply Nikon NSR-S205C Step-and-Repeat Used Working
1494 Nikon 4S064-412 Controller IU-IOP1 NSR-S205C Step-and-Repeat Used Working
1495 Nikon 4S064-412 Controller IU-IOP1 NSR-S205C Step-and-Repeat Used Working
1496 Nikon 4S064-413-1 Controller IU-IOP2 NSR-S205C Step-and-Repeat Used Working
1497 Texas Instruments 2545190-0001 VRA Search Camera MC-781P-0178 NSR-S205 Used
1498 NTRON C7-01-5124-00-0 O2 Analyzer 5124B-N1 Rev. L Nikon NSR-S205C Used Working
1499 NTRON C7-01-5124-00-0 O2 Analyzer 5124B-N1 Rev. L Nikon NSR-S205C Used Working
1500 NTRON C7-01-5124-00-0 O2 Analyzer 5124B-N1 Rev. M Nikon NSR-S205C Used Working
1501 NTRON C7-01-5124-00-0 O2 Analyzer 5124B-N1 Rev. M Nikon NSR-S205C Used Working
1502 Nikon 4S587-579A 5-Port Network Hub NSR-S205C Used Working
1503 Nikon 4S587-579A 5-Port Network Hub NSR-S205C Used Working
1504 Mitutoyo 09AAB215 Linear Scale ST420 Nikon 4S554-162 NSR-S205C Used Working
1505 Mitutoyo 09AAA790 Linear Scale ST320 Nikon 4S554-079-1 NSR-S205C Used Working
1506 Mitutoyo 09AAB215 Linear Scale ST420 Nikon 4S554-160 NSR-S205C Used Working
1507 Mitutoyo 09AAB215 Linear Scale ST420 Nikon 4S554-163 NSR-S205C Used Working
1508 Mitutoyo 09AAB215 Linear Scale ST420 Nikon 4S554-161 NSR-S205C Used Working
1509 Jenoptik 12854-010-26 Mapper Interface Module FIXMAP MC02 Used Working
1510 Yamatake DMC50CS40000M003 Multi-loop Controller DMC50CS Used Working
1511 Yamatake DMC50ME20000M001 Multi-loop Controller DMC50M Used Working
1512 Mitsubishi Q38B Control Assembly PLC MELSEC-Q Used Working
1513 Mitsubishi Q38B Control Assembly PLC MELSEC-Q Used Working
1514 Sony 1-675-992-12 Laserscale Interface Board PCB DPR-LS21 NSR-S204B Used
1515 Nikon 4S018-870-B Backplane Interface Board PCB ALGMTHX42 NSR-S307E Used Working
1516 Nikon 4S018-870-B Backplane Interface Board PCB ALGMTHX42 NSR-S307E Used Working
1517 Nikon 4S001-064 Power Control Board PCB MSE182B NSR-S307E Used Working
1518 Nikon 4S019-114-A Backplane Interface Board OPDMTHX4B1 NSR-S307E Used Working
1519 Nikon 4S017-983 VME Interface Control Card PCB VME-I/F-M NSR-S204B Used Working
1520 SRC SBV-03E 32bit IO Bus Expansion Back Board PCB NSR-S204B Used Working
1521 SRC SVB-03VMEA VME System Bus Backplane Board SYSTEMBUS NSR-S204B Used Working
1522 Nikon Factory Computer Controller NSR-S202A Step-and-Repeat Used Working
1523 Kuroda STV-16T Uni-Wire System Terminal Sensor Used Working
1524 Kuroda XTV-0404T Uni-Wire System Twin Terminal Used Working
1525 Sony 1-675-992-11 Laserscale Interface Board PCB DPR-LS21 NSR-S204B Used Working
1526 Yaskawa USAHEM-02-TE62 DEV/COT Spin Motor TEL 2985-490048-W8 ACT12 300mm Used
1527 Nikon 4S602-352-1 Minimotor SA Assembly RMN1000 NSR System Used Working
1528 Nikon 4K737-758-1 Minimotor SA Assembly RMN1000 NSR System Used
1529 Yaskawa Electric CIMR-XCAA20P4 Inverter Drive VS mini Used Working
1530 Omron E2CA-AL4D-3 Proximity Sensor Amplifier Assembly Used Working
1531 Nikon 4S018-385-2 Driver Control Card PCB ZTDRVX2 NSR-S204B System Used Working
1532 Nikon 4S018-385-2 Driver Control Card PCB ZTDRVX2 NSR-S204B System Used Working
1533 Nikon 4S018-385-2 Driver Control Card PCB ZTDRVX2 NSR-S204B System Used Working
1534 Daifuku OPC-2634A Processor Interface Board PCB Used Working
1535 Daifuku COV-3420B Power Distribution Board PCB Used Working
1536 Daifuku COV-3420B Power Distribution Board PCB Used Working
1537 Densei-Lambda PWB-654C Power Supply Board PCB Used Working
1538 Nemic-Lambda CCB007C Power Supply Board PCB Used Working
1539 Nemic-Lambda CCB007C Power Supply Board PCB Used Working
1540 Daifuku SPR-3636A Interface Board PCB Used Working
1541 Nemic-Lambda PWB-656D Power Supply Board PCB Used Working
1542 CKD N4EO-ER Pneumatic Manifold N4EZO-Q Used Working
1543 Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 Y-Axis NSR-S204B Used
1544 Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 X-Axis NSR-S204B Used
1545 TDK MSE182B Power Supply PCB Card 2EA00E182B Nikon NSR-S204B Used Working
1546 TDK MSE182B Power Supply PCB Card 2EA00E182B Nikon NSR-S204B Used Working
1547 TDK MSE182B Power Supply PCB Card 2EA00E182B Nikon NSR-S204B Used Working
1548 TDK MSE182B Power Supply PCB Card 2EA00E182B Nikon NSR-S204B Used Working
1549 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Used Working
1550 Nikon 4S018-171-2D Backplane Interface Board PCB OPDMTH3 NSR-S204B Used Working
1551 Nikon 4S018-849 Backplane Interface Board PCB OPDMTHX3 NSR-S204B Used Working
1552 Brooks Automation 148301 Main IV Board PCB 173277 Used Working
1553 Brooks Automation 148285 LCD Display IV Board PCB Vision LPM Load Port Spare
1554 Brooks Automation 148285 LCD Display IV Board PCB Vision LPM Load Port Spare
1555 TEL Tokyo Electron FPC-T0083A-12G Fin Flow #04 Board Used Working
1556 TEL Tokyo Electron CPC-T0081A-12 Chemical I/O Board #02 TOB1082 Used Working
1557 Shinko SBX93-100052-11 Interface Board PCB SLPCN3 SBX08-000032-11 Used Working
1558 Shinko SBX93-100052-11 Interface Board PCB SLPCN3 SBX08-000032-11 Used Working
1559 Nikon 4S064-419-4 User Interface OPD Panel NSR-S205C Used Working
1560 MKS Instruments 01396-01 Relay Interface Card PCB AMAT 0190-37895 Used Working
1561 Nikon 4S900-174 Laser Scale Amp LDM790B NSR-S620D Used Working
1562 Sony 1-689-898-11 Laserscale Amp Detector Card PCB 4S008-248 NSR-S306C Used
1563 Sony 1-689-898-11 Laserscale Amp Detector Card PCB 4S008-248 NSR-S306C Used
1564 Sony 1-689-898-11 Laserscale Amp Detector Card PCB 4S008-247 NSR-S306C Used
1565 Sony 1-689-898-11 Laserscale Amp Detector Card PCB 4S008-247 NSR-S306C Used
1566 Sony 1-689-898-11 Laserscale Amp Detector Card PCB 4S008-247 NSR-S306C Used
1567 Sony 1-689-900-11 Laserscale Amp Interface Box Backplane Board PCB JK-LS02 Used
1568 Sony 1-689-900-11 Laserscale Amp Interface Box Backplane Board PCB JK-LS02 Used
1569 Sony 1-689-899-11 Laserscale Interface Power Box Power Supply PS-LS03 Used
1570 Chamber Performance Services 500128172 Exhaust Purge Line RP300EPI New
1571 Nikon 4S018-376 Backplane Interface Board PCB LC-MTR-EX2A NSR-S204B Used Working
1572 Nikon 4S018-162-A Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B Used
1573 Nikon 4S018-162-B Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B Used
1574 Nikon 4S018-162-B Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B Used
1575 Nikon 4S007-761 Interface Control Board STG82 NSR-S204B Used Working
1576 Nikon 4S587-735 VCM Amplifier SPA156A NSR-S205C System Used Working
1577 Lam Research 839-021113-002 Silicon Electrode Refurbished
1578 Chiba Precision ETC-214441G Servo Motor BLGPN Used Working
1579 Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used
1580 Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used
1581 Chiba Precision ENC-153902G Servo Motor SJP Used Working
1582 Chiba Precision ENC-184118G Servo Motor SMP-27 Used Working
1583 High Yield Technology Division PM-255 Controller Used Working
1584 Nikon KXG81782 Interface Module DIPSW Used Working
1585 Nikon 4S587-604 WYL Switch Box 1 WYL-SWB1 NSR System Used Working
1586 Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 EP-GW NSR-S204B Used
1587 Omron E5AX-LA02 Digital Controller E5AX Lot of 3 Used Working
1588 Sony 1-675-992-13 Laserscale Processor Card PCB DPR-LS21 EP-GW NSR-S307E Used
1589 Oriental Motor CSD5807N 5-Phase Driver Board PCB ACT12-300 Lot of 4 Used Working
1590 Oriental Motor DFC1507 5-Phase Stepping Motor Driver ACT12-300 Used Working
1591 Oriental Motor DFC1507 5-Phase Stepping Motor Driver ACT12-300 Used Working
1592 Harmonic Drive RH-8D-3006-E100D0 Servo Acuator Nikon NSR-S205C Fly’s Eye Used
1593 Harmonic Drive RH-8D-3006-E100D0 Servo Acuator Nikon NSR-S205C Fly’s Eye Used
1594 Harmonic Drive Systems RH-8B-6006-E020D0 Servo Actuator Nikon NSR-S205C Used
1595 Harmonic Drive Systems RH-8B-6006-E020D0 Servo Actuator Nikon NSR-S205C Used
1596 Maxon Motor 135836 DC Motor 4S602-275 Nikon NSR-S205C Used Working
1597 Maxon Motor 135836 DC Motor 4S602-275 Nikon NSR-S205C Used Working
1598 Maxon Motor 135836 DC Motor 4S602-275 Nikon NSR-S205C Used Working
1599 Nikon 4S018-831 Backplane Interface Board PCB LIUBPB2X2 NSR-S204B System Used
1600 Nikon 4S007-789 Power Supply Board PCB LIUREG NSR-S204B Used Working
1601 Nikon 4S007-789 Power Supply Board PCB LIUREG NSR-S204B Used Working
1602 Harmonic Drive Systems RH-8D-6006-E100D0 DC Servo Actuator Nikon NSR-S205C Used
1603 Harmonic Drive Systems RH-8D-6006-E100D0 DC Servo Actuator Nikon NSR-S205C Used
1604 Chiba Precision MAN-D34R23B Servo Actuator Nikon NSR-S205C Fly’s Eye Box Used
1605 Chiba Precision MAN-D34R23B Servo Actuator Nikon NSR-S205C Fly’s Eye Box Used
1606 Faulhaber HEDS-5540 F14 Minimotor HEDS 5540 F14 Nikon NSR-S205C Used Working
1607 Agilent E1709A Remove High Performance Reciever Nikon NSR-S307E Used Working
1608 Agilent E1709A Remove High Performance Reciever Nikon NSR-S307E Used Working
1609 Densei-Lambda JWS600-24 Power Supply Used Working
1610 Nikon 4S013-495 Illusion Unit Backplane Board PCB NSR-S307E Used Working
1611 Nikon 4S013-495 Illusion Unit Backplane Board PCB NSR-S307E Used Working
1612 Nikon 4S013-480 Backplane Interface Board PCB STGSTCRMEPX4B NSR-S307E Used
1613 Nikon 4S013-480 Backplane Interface Board PCB STGSTCRMEPX4B NSR-S307E Used
1614 Nikon 4S013-382 Backplane Interface Board PCB STGPWTX4A NSR-S307E Used Working
1615 Nikon 4S013-479 Backplane Interface Board PCB STGSTCAV1AV2X4B NSR-S307E Used
1616 Nikon 4S013-479 Backplane Interface Board PCB STGSTCAV1AV2X4B NSR-S307E Used
1617 Nikon 4S013-369 Backplane Interface Board PCB STGSTCAFX4 NSR-S307E Used Working
1618 Nikon 4S013-368 Backplane Interface Board PCB STGSTCBLWTX4 NSR-S307E Used
1619 TDK RAX48-15R Power Supply Used Working
1620 Tescom 44-2360-A4-016 Manual Pressure Regulator Mykrolis 60 PSI Gauge Used
1621 Mitsubishi MR-J2S-10B-S087 AC Servo Driver PLC MELSERVO Used Working
1622 Mitsubishi MR-J2S-10B-S087 AC Servo Driver PLC MELSERVO Used Working
1623 Koyo D2-04B-1 Programmable Logic Controller PLC Direct Logic 205 Used Working
1624 Mitsubishi FR-A024-0.75K Inverter Drive FREQROL-A024 Used Working
1625 Omron C200HS-CPU01 Programmable Logic Controller Assembly PLC SYSMAC Used
1626 Nikon 4S018-749 Backplane Interface Board PCB STGMTRX4 NSR-S307E Used Working
1627 Nikon 4S013-365 Backplane Interface Board PCB STGSTCPX4 NSR-S307E Used Working
1628 Nikon 4S013-477 Backplane Interface Board PCB STGSTCMSCRFX4B NSR-S307E Used
1629 Nikon 4S013-477 Backplane Interface Board PCB STGSTCMSCRFX4B NSR-S307E Used
1630 Nikon 4S013-478 Backplane Interface Board PCB STGSTCWYWXX4B NSR-S307E Used
1631 Nikon 4S013-478 Backplane Interface Board PCB STGSTCWYWXX4B NSR-S307E Used
1632 Nikon 4S013-365 Backplane Interface Board STGSTCSPX4 NSR-S307E Used Working
1633 Nikon 4S013-365 Backplane Interface Board STGSTCSPX4 NSR-S307E Used Working
1634 Omron C200HE Programmable Logic Controller PLC SYSMAC Used Working
1635 Keyence LK-031 High-Precision Sensor Head Nikon NSR-S204B Used Working
1636 INCAA Computers VME-VK22-5723 Interface Control Card PCB Nikon NSR-S204B Used
1637 Delta Design 1935860-501 PXI-TC Interface Board PCB Nikon NSR-S204B Used Working
1638 Philips 8122 410 01401 Special Acquisition Card MVA2000 Nikon NSR-S204B Used
1639 Nikon 4S007-609 Connector Board PCB PPD-EXBDY NSR System Used Working
1640 Nikon 4S007-580-C Analog to Digital Interface Board PCB PPD-A/D NSR System Used
1641 Nikon 4S013-369 Backplane Interface Board PCB STGSTCAFX4 NSR-307E Used Working
1642 Nikon 4S013-369 Backplane Interface Board PCB STGSTCAFX4 NSR-307E Used Working
1643 Nikon 4S013-368 Backplane Interface Board PCB STGSTCBLWTX4 NSR-S307E Used
1644 Nikon 4S013-368 Backplane Interface Board PCB STGSTCBLWTX4 NSR-S307E Used
1645 Nikon 4S013-382 Backplane Interface Board PCB STGPWTX4A NSR-S307E Used Working
1646 Nikon 4S013-382 Backplane Interface Board PCB STGPWTX4A NSR-S307E Used Working
1647 Denso TL-PP136A Driver Capacitor Board PCB Nikon NSR System Used Working
1648 Denso 593682-2044 Driver Board PCB Nikon NSR System Used Working
1649 Denso 593682-2044 Driver Board PCB Nikon NSR System Used Working
1650 HP Hewlett-Packard 10780F Remote Receiver Nikon NSR-S204B Used Working
1651 Nikon 4S005-378 Integrated Sensor Board PCB INTG=X4 NSR-S204B Used Working
1652 Nikon WT Linear Scale BS91 NSR-S306C DUV Scanning System Used Working
1653 Nikon WT Linear Scale BS90A NSR-S204B Step-and-Repeat Scanning System Used
1654 Faulhaber 1841E012S Minimotor SA Nikon NSR-S204B Step-and-Repeat Used Working
1655 Vaisala HMPNIK-S2-A1P0A2EE12C1N1A Humidity and Temperature Transmitter Used
1656 Vaisala HMPNIK-S2-A1P0A2EE12C1N1A Humidity and Temperature Transmitter Used
1657 Nikon 4S008-116-A Optical Sensor Assembly ALGAF-SD-X4+ NSR-S306C Used Working
1658 Nikon 4S008-116-A Optical Sensor Assembly ALGAF-SD-X4+ NSR-S306C Used Working
1659 Nikon 4S013-907 Interface Board PCB IU-X8A-RET NSR System Used Working
1660 Nikon WT Linear Scale NSR-S204B Scanning System Used Working
1661 Nikon WT Linear Scale NSR-S204B Scanning System Used Working
1662 Nikon SM-01374 Voice Coil Motor VCM NSR-S204B Scanning System Used Working
1663 Nikon WT Linear Scale Read Head BS91A Z Axis NSR-S204B System Used Working
1664 Nikon WT Linear Scale Read Head BS91A Z Axis NSR-S204B System Used Working
1665 Nikon WT Linear Scale Read Head BS91A Y Axis NSR-S204B System Used Working
1666 Nikon WT Linear Scale Read Head BS91A Y Axis NSR-S204B System Used Working
1667 Nikon WT Linear Scale Read Head BS91A X Axis NSR-S204B System Used Working
1668 Nikon WT Linear Scale Read Head BS91A X Axis NSR-S204B System Used Working
1669 Cambridge 2T-80110G-NDHB-2 Filter ABSOLUTE Nikon NSR-S204B Used Working
1670 Cambridge 2T-80110G-NDHB-2 Filter ABSOLUTE Nikon NSR-S204B Used Working
1671 Cambridge 2T-80110G-NDHB-2 Filter ABSOLUTE Nikon NSR-S204B Used Working
1672 Nikon Wafer Stage Motor Assembly 2251R012S NSR-S204B RMh1000-22-1 Used
1673 Nikon 4S007-986-A Relay Sesnor Board PCB LIA LD2 NSR-S204B System Used Working
1674 Nikon 4S007-986-A Relay Sesnor Board PCB LIA LD2 NSR-S204B System Used Working
1675 Nikon 4S018-160-2C Interface Control Board PCB RL-Lø NSR-S204B System Used
1676 Nikon 4S008-004 Interface Board PCB STGX22A NSR-S204B System Used Working
1677 Nikon 4S007-901-1 Relay Interface Board PCB X2A-STGP/H NSR-S204B Used Working
1678 Nikon 4S008-005-A Interface Control Board PCB STGX23A NSR-S204B System Used
1679 Nikon 4S018-134-1 Interface Relay Board PCB STG84 NSR-S204B Used Working
1680 Nikon 4S007-664 FIAAF Sensor Board PCB FIAAF-SENSOR-D NSR-S204B Used
1681 Nikon 4S007-664 FIAAF Sensor Board PCB FIAAF-SENSOR-D NSR-S204B Used
1682 Nikon 4S007-663 FIAAF Sensor Board PCB FIAAF-SENSOR-A NSR-S204B Used
1683 Nikon 4S013-313 Interface Board PCB BLECX3 NSR-S204B Scanning System Used
1684 Nikon 4S013-313 Interface Board PCB BLECX3 NSR-S204B Scanning System Used
1685 Nikon 4S007-545 Relay Sensor Board PCB EXX-FC2-SNS NSR-S204B Used Working
1686 Nikon 4S007-876 Interface Control Board PCB SHRINC-INTF-3 NSR-S204B System Used
1687 Nikon 4S007-876 Interface Control Board PCB SHRINC-INTF-3 NSR-S204B System Used
1688 Nikon Wafer Center Table NSR-S204B Step-and-Repeat Used Working
1689 Nikon WS Shock Absorber (RFC) Nikon NSR-S204B Scanning System Used Working
1690 Nikon WS Shock Absorber (RFC) Nikon NSR-S204B Scanning System Used Working
1691 Nikon 4K577-176 Integrator Sensor NSR-S204B Step-and-Repeat System Used Working
1692 Mitutoyo 09AAA790 Linear Scale Interface Box Nikon 4S554-079-1 NSR-S204B Used
1693 Mitutoyo 09AAA790 Linear Scale Interface Box Nikon 4S554-079-1 NSR-S204B Used
1694 Mitutoyo 09AAA790 Linear Scale Interface Box Nikon 4S554-081-1 NSR-S204B Used
1695 Mitutoyo 09AAA790 Linear Scale Interface Box Nikon 4S554-081-1 NSR-S204B Used
1696 Nikon MH-15-041 Digital Micrometer 4S554-041 Digimic NSR-S204B Used Working
1697 Nikon Low Reflectance Sensor NSR-S204B Step-and-Repeat Scanning System Used
1698 Nikon Low Reflectance Sensor NSR-S204B Step-and-Repeat Scanning System Used
1699 Nikon Low Reflectance Sensor NSR-S204B Step-and-Repeat Scanning System Used
1700 Nikon MH-15CC Digital Micrometer DIGIMICRO NSR-S204B System Used Working
1701 Chiba Precision TC-214008G Minimotor BLGNA 1/29.64 Nikon NSR-S204B Used Working
1702 Nikon 4S005-344 Interface Sensor Board PCB AF-SENSOR21 NSR-S204B Used Working
1703 Faulhaber 3557K012C Reticle Stage Motor RMN1000 Nikon NSR-S204B Used Working
1704 Faulhaber 3557K012C Reticle Stage Motor RMN1000 Nikon NSR-S204B Used Working
1705 Nikon RBP-21WH-I/NIK Backplane Interface Board PCB NSR-S307E DUV System Used
1706 Nikon RBP-21WH-I/NIK Backplane Interface Board PCB NSR-S307E DUV System Used
1707 Riken Keiki OS-B11N Oxygen Sensor Nikon NSR-S204B System Used Working
1708 Riken Keiki OS-B11N Oxygen Sensor Nikon NSR-S204B System Used Working
1709 Copal PS8-102G 0L5 Pressure Switch Lot of 3 Nikon NSR-S204B System Used Working
1710 Vaisala HMPNIK-S2-A1P0A2EE12C1N3A Humidity Transmitter Nikon NSR-S204B Used
1711 Nikon Reticle Exchange Assembly REX RH-11C-3001-E100D0 NSR-S204B Used Working
1712 Nikon 4B041-417 WT Voice Coil Motor VCM NSR-S306C DUV System Used Working
1713 Nikon 4B041-418 WT Voice Coil Motor VCM NSR-S306C DUV System Used Working
1714 Nikon 4B041-419 WT Voice Coil Motor VCM NSR-S306C DUV System Used Working
1715 Nikon Wafer Center Table NSR-S306C DUV Scanning System Used Working
1716 Nikon Wafer Center Table NSR-S306C DUV Scanning System Used Working
1717 Nikon 4K577-176 Integrator Sensor NSR-S306C DUV System Used Working
1718 Nikon 4S013-378 Interface Board PCB STGX43 NSR-S306C System Used Working
1719 Nikon WT Linear Scale Read Head BS91 NSR-S306C DUV Scanning System Used Working
1720 Denso 253213-0030 Driver Board PCB Nikon NSR System Used Working
1721 Nikon 4S018-388-A Relay Board PCB ADDRV2X2 NSR-S204B Step-and-Repeat Used
1722 Nikon 4S018-388-A Relay Board PCB ADDRV2X2 NSR-S204B Step-and-Repeat Used
1723 Nikon 4S019-086 Driver Control Card PCB ADDRV1X3 NSR-S204B System Used Working
1724 Nikon 4S018-830 Drive Control Card PCB EPDRV2-X2A2 NSR-S204B System Used Working
1725 Nikon 4S018-444-A Drive Control Card PCB EPDRV1-X2A NSR-S204B System Used
1726 Nikon 4S018-547 Drive Control Card PCB BLDRVX3 NSR-S204B System Used Working
1727 Nikon 4S018-384-1 Driver Control Card PCB AFDRVX21 NSR-S204B System Used Working
1728 Nikon 4S018-544 Driver Control Card PCB RSSDRVX3A NSR-S204B System Used Working
1729 Nikon 4S018-382 Relay Control Card PCB RSMDRVX2 NSR-S204B Used Working
1730 Nikon 4S018-550 Relay Control Card PCB LMDRVX3 NSR-S204B Used Working
1731 Nikon 4S018-380 Processor Control Card PCB STIFMEMX2 NSR-S204B System Used
1732 Nikon 4S018-860 Relay Control Card PCB LMDRV5B NSR-S204B Used Working
1733 Nikon 4S018-387 Relay Control Card PCB ADDRV1X2 NSR-S204B System Used Working
1734 Nikon 4S018-387 Relay Control Card PCB ADDRV1X2 NSR-S204B System Used Working
1735 Nikon 4S015-173-B Processor Control Board PCB Card 4S015-205-C304-BT-31 NSR Used
1736 Nikon 4S018-390-1 Backplane Interface Board PCB STGMTRX2 NSR-S204B Used Working
1737 Nikon 4S013-324-1 Backplane Interface Board PCB STGPWTX2A NSR-S204B Used Working
1738 Nikon 4S007-667-A Process Control Board PCB FIAAF-PROCESS-D NSR-S204B Used
1739 Nikon 4S007-667-A Process Control Board PCB FIAAF-PROCESS-D NSR-S204B Used
1740 Nikon 4S007-667-A Process Control Board PCB FIAAF-PROCESS-D NSR-S204B Used
1741 Nikon 4S007-668-A Process Control Board PCB FIAAF-PROCESS-A NSR-S204B Used
1742 Nikon 4S007-668-A Process Control Board PCB FIAAF-PROCESS-A NSR-S204B Used
1743 Nikon 4S007-900-1K Interface Board PCB IU-X2A NSR-S204B Step-and-Repeat Used
1744 Nikon 4S007-900-1K Interface Board PCB IU-X2A NSR-S204B Step-and-Repeat Used
1745 Nikon 4S018-650 Interface Board PCB RTEXX3 NSR-S204B Step-and-Repeat Used
1746 Nikon 4S018-650 Interface Board PCB RTEXX3 NSR-S204B Step-and-Repeat Used
1747 Nikon 4S015-130-1 Interface Card PCB NK-C31D21 NK_C31.H23 NSR-S204B Used Working
1748 Nikon 4S007-865-C Process Control Board PCB SR8-XY2 A-552 NSR-S204B Used
1749 Nikon 4S007-865-C Process Control Board PCB SR8-XY2 A-552 NSR-S204B Used
1750 Nikon 4S007-770-D Interface Board PCB LC-PRE2 NSR-S204B Step-and-Repeat Used
1751 Nikon 4S007-770-D Interface Board PCB LC-PRES2B NSR-S204B Step-and-Repeat Used
1752 Nikon 4S007-945 Interface Board PCB STGX3A NSR-S204B Step-and-Repeat Used
1753 Nikon 4S007-902-1 Interface Board PCB X2A-STGA/D NSR-S204B Used Working
1754 Nikon 4S007-843-F Interface Board PCB EX-AIS NSR-S204B Step-and-Repeat Used
1755 Nikon 4S013-310 Interface Board PCB BLX3 NSR-S204B Step-and-Repeat Used Working
1756 Nikon 4S007-787-2A Interface Board PCB AVIS-I/F2 NSR-S204B Step-and-Repeat Used
1757 Nikon 4S007-787-2A Interface Board PCB AVIS-I/F2 NSR-S204B Step-and-Repeat Used
1758 Nikon 4S018-445-B Relay Control Card PCB EPDRV2-X2A NSR-S204B Used Working
1759 Nikon 4S007-994-1 Interface PCB AF-PSDX22-SUB NSR-S204B Step-and-Repeat Used
1760 Sony XC-73 CCD Video Camera Module Nikon NSR-S204B Step-and-Repeat Used Working
1761 Nikon Reticle Exchange Assembly REX RH-11C-3001-E100D0 NSR-S204B Used Working
1762 Nikon 4S602-352-1 Stage Motor Encoder Assembly RMN1000 NSR-S204B Used Working
1763 Agilent 5517DN07 Interferometer Laser 214uW Nikon NSR-S204B Used Working
1764 Agilent 5517DN06 Interferometer Laser 298uW Nikon NSR-S204B Used Working
1765 Queensgate NS2300/D Position Sensor Unit Nikon 4S288-213 NSR-S205C Used
1766 Nikon 4K578-494 SHRINC Fly’s Eye Revolver 4K578-498 NSR-S205C Used Working
1767 Nikon 4K578-992 SHRINC Fly’s Eye Revolver 4K578-993 NSR-S205C Used Working
1768 Nikon Sigma Aperture Assembly RH-8D-6006E1000 NSR-S205C System Used Working
1769 Nikon Sigma Aperture Assembly RH-8D-6006E1000 NSR-S205C System Used Working
1770 Nikon Sigma Aperture Assembly NSR-S205C Step-and-Repeat System Used Working
1771 Nikon Sigma Aperture Assembly NSR-S205C Step-and-Repeat System Used Working
1772 Copal PS85-352R-N2GF Pressure Switch Nikon NSR-S204B System Used Working
1773 Nikon 4S005-334 Irradiance Illumination Sensor PCB STGSNS-X2A NSR-S204B Used
1774 Nikon 4S005-334 Irradiance Illumination Sensor PCB STGSNS-X2A NSR-S204B Used
1775 Nikon Wafer Center Table NSR-S204B Step-and-Repeat Used Working
1776 Copal PS8-102G Pressure Switch 7E5 Nikon NSR-S304B System Lot of 2 Used Working
1777 Copal PS8-102G Pressure Switch 7G2 Nikon NSR-S304B System Lot of 2 Used Working
1778 Nikon 2nd Relay Rear Optic Lens NSR-S204B Step-and-Repeat Scanning Used Working
1779 Nikon 2nd Relay Rear Optic Lens NSR-S204B Step-and-Repeat Scanning Used Working
1780 Nikon 2nd Relay Front Optic Lens NSR-S204B Step-and-Repeat System Used Working
1781 Nikon 2nd Relay Front Optic Lens NSR-S204B Step-and-Repeat System Used Working
1782 Nikon 2nd Relay Front Optic Lens NSR-S204B Step-and-Repeat System Used Working
1783 Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR-S204B Used
1784 Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR-S204B Used
1785 Nikon 2FE 2nd Fly’s Eye Lens SHRINC Revolver NSR-S204B System Used Working
1786 TEL Tokyo Electron HTE-TGR-A-11 Ghost Repeater Board TAB1600 ACT12 300mm Used
1787 TEL Tokyo Electron 2981-600534-11 CONN BLT/L Board PCB ACT12 300mm Used
1788 TEL Tokyo Electron 2981-600534-11 CONN BLT/L Board PCB ACT12 300mm Used
1789 TEL Tokyo Electron 2981-600618-11 I/O Display #02 Board PCB ACT12 300mm Used
1790 TEL Tokyo Electron 2981-600618-11 I/O Display #02 Board PCB ACT12 300mm Used
1791 TEL Tokyo Electron 2981-600618-11 I/O Display #02 Board PCB ACT12 300mm Used
1792 TEL Tokyo Electron 2981-600374-11 FDD Extension Board PCB ACT12 300mm Used
1793 TEL Tokyo Electron MA02702 LCD Board PCB ORN-3B ACT12 300mm Used Working
1794 TEL Tokyo Electron MA02702 LCD Board PCB ORN-3B ACT12 300mm Used Working
1795 TEL Tokyo Electron MA02702 LCD Board PCB ORN-3B ACT12 300mm Used Working
1796 TEL Tokyo Electron 2981-600552-11 C/S FAN Conn Board PCB ACT12 300mm Used
1797 TEL Tokyo Electron MA-13005 COM SW Board PCB ACT12 300mm Used Working
1798 TEL Tokyo Electron MA-13005 COM SW Board PCB ACT12 300mm Used Working
1799 TEL Tokyo Electron MA-13005 COM SW Display Board PCB ACT12 300mm Used
1800 Nikon LD Module 4S050-645 NSR-S306C DUV Scanning System Used Working
1801 Maxon Motor 135836 DC Motor 4S602-275 Nikon NSR-S204B Step-and-Repeat Used
1802 TEL Tokyo Electron T0B1009 Load Port DC/DC CONV. Board PCB ACT12 300mm Used
1803 TEL Tokyo Electron T0B1009 Load Port DC/DC CONV. Board PCB ACT12 300mm Used
1804 Texas Instruments 2540169-0001 CCD Inspection Camera Nikon NSR-S204B Used
1805 Nikon RETTD1096AAG-011 Eprom Sensor 101A9D10 NSR-S204B Used Working
1806 Nikon Ceramic Load X TT Plate NSR-S204B Used Working
1807 Nikon Ceramic Load X TT Plate NSR-S204B Used Working
1808 Toshiba TWH200JG Chamber Room Refrigerator TS480J2N Nikon NSR-S204B Used Working
1809 Kawasaki NS110C-B001 Chuckbot Robot Nikon 4K192-238-4 NSR-S205C Used Working
1810 Parker 6K4-NK 4-Axis Servo/Stepper Controller Compumotor Used Working
1811 Parker ZETA4-240 Compumotor Zeta Drive 240 Used Working
1812 Parker ZETA4-240 Compumotor Zeta Drive 240 Used Working
1813 Daifuku LDS-2691B Processor Interface Board PCB Used Working
1814 Daifuku PIO-3786A Interface Relay Display Board PCB Used Working
1815 SST Woodhead 5136-DNP-VME-4 4 Channel DeviceNet Pro VME Interface Card PCB Used
1816 MKS Instruments 01396-01 Relay Interface Card PCB AMAT 0190-25905 Used Working
1817 DIP 15039603 Digital I/O CDN396 PCB Card AMAT 0660-00078 DIP-242-492 Used
1818 TEL Tokyo Electron HTE-PRB-A-11 PRB Panel I/O Board PCB ACT12 Used Working
1819 TEL Tokyo Electron 2981-600410-11 PRA Z Board PCB ACT12 Used Working
1820 TEL Tokyo Electron 2981-600410-11 PRA Z Board PCB ACT12 Used Working
1821 TEL Tokyo Electron 2981-600410-11 PRA Z Board PCB ACT12 Used Working
1822 TEL Tokyo Electron 2981-600410-11 PRA Z Board PCB ACT12 Used Working
1823 TEL Tokyo Electron 2981-600395-11 I/F BOARD #005 PCB 2908-600395-11 ACT12 Used
1824 Watlow 208-C10000CB Anafaze Tempurature Controller CLS208 Used Working
1825 Baytek BayView 71 Video Card PCB Ver. 3.3 Used Working
1826 Mesa Power Systems 10651 Power Supply Card PCB AMAT 0190-08875 Used Working
1827 TEL Tokyo Electron HTE-PUC-A-11 PUMP CONN BOARD PCB TAB1202 TEL ACT12 Used
1828 TEL Tokyo Electron HTE-PUM-A-11 PUMP ADD ON BOARD PCB TAB1200 ACT12 Used Working
1829 TEL Tokyo Electron HTE-TGR-B-W1 Ghost Repeater Board TAB5600-W ACT12 Used
1830 TEL Tokyo Electron HTE-TGR-B-W1 Ghost Repeater Board TAB5600-W ACT12 Used
1831 TEL Tokyo Electron HTE-TGR-B-W1 Ghost Repeater Board TAB5600-W ACT12 Used
1832 TEL Tokyo Electron 2981-600550-11 I/O Board #2 PCB ACT12 Used Working
1833 TEL Tokyo Electron CPC-T0001A-13 Chemical I/O Board PCB T0B1001 TEL ACT 12 Used
1834 TEL Tokyo Electron CPC-T0001A-13 Chemical I/O Board PCB T0B1001 TEL ACT 12 Used
1835 TEL Tokyo Electron CPC-T0001A-13 Chemical I/O Board PCB T0B1001 TEL ACT 12 Used
1836 TEL Tokyo Electron CPC-T0001A-13 Chemical I/O Board PCB T0B1001 TEL ACT 12 Used
1837 TEL Tokyo Electron CPC-T0001A-13 Chemical I/O Board PCB T0B1001 TEL ACT 12 Used
1838 TEL Tokyo Electron CPC-G226A01B-11 Chemical Connector Board PCB ACT12 Used
1839 TEL Tokyo Electron CPC-G226A01B-11 Chemical Connector Board PCB ACT12 Used
1840 TEL Tokyo Electron CPC-G226A01B-11 Chemical Connector Board PCB ACT12 Used
1841 TEL Tokyo Electron CPC-G226A01B-11 Chemical Connector Board PCB ACT12 Used
1842 TEL Tokyo Electron CPC-G226A01B-11 Chemical Connector Board PCB ACT12 Used
1843 TEL Tokyo Electron PPC-T0006A-11 PLD Chemical Board PCB T0B1006 ACT12 Used
1844 TEL Tokyo Electron PPC-T0006A-11 PLD Chemical Board PCB T0B1006 ACT12 Used
1845 TEL Tokyo Electron PPC-T0006A-11 PLD Chemical Board PCB T0B1006 ACT12 Used
1846 TEL Tokyo Electron PPC-T0006A-11 PLD Chemical Board PCB T0B1006 ACT12 Used
1847 TEL Tokyo Electron PPC-T0006A-11 PLD Chemical Board PCB T0B1006 ACT12 Used
1848 Edwards U20000521 Vacuum iM Interface Module Modified TIM -413 W/AIM Used Working
1849 Axcelis 652961 Ignitor Interface Board PCB Rev. A Used Working
1850 Axcelis 652961 Ignitor Interface Board PCB Rev. A Used Working
1851 Axcelis 652961 Ignitor Interface Board PCB Rev. A Used Working
1852 Edwards D37310100 EGM TPU NIM Board PCB D37232212 Used Working
1853 TDK MSE280D Power Supply Card PCB 2EA00E280 Cu Nikon 4S001-106-1 Used
1854 Tec Izu Electronics VDBC0002202 Power Module Card PCB Cu Nikon 4S001-108 Used
1855 Screen HLS-MC3 PC-97014 Bridge PCB Used Working
1856 Screen HLS-MC3 PC-97014 Bridge PCB Used Working
1857 Screen HLS-MC3 PC-97014 Bridge PCB Used Working
1858 Nikon 4S018-866 Relay Control Card PCB PPD3X4 Used Working
1859 AMAT Applied Materials 0190-02748 Flex Scanner Transition Module Rev. 06 Used
1860 Daifuku CRM-3418A Interface Board PCB Used Working
1861 Daifuku OPC-2677B Programmable Logic Card PCB CN-BUS Used Working
1862 Daifuku OPC-2677B Programmable Logic Card PCB CN-BUS Used Working
1863 Daifuku MPG-3690A Interface Relay Board PCB BX8461AW Used Working
1864 Daifuku MPG-3690A Interface Relay Board PCB BX8461AW Used Working
1865 TEL Tokyo Electron HTE-PUM-B-12 PUMP ADD ON BOARD PCB TAB1200 Used Working
1866 Daifuku PIF-3761A Interface Board PCB Used Working
1867 TDK-Lambda PWB-794H Open Frame AC/DC Power Supply Board PCB Used Working
1868 TDK-Lambda PWB-794H Open Frame AC/DC Power Supply Board PCB Used Working
1869 TDK-Lambda PWB-655H Open Frame AC/DC Power Supply Board PCB Used Working
1870 Nikon 4S025-350 Remote IO-COM Board PCB NSR System Used Working
1871 Nikon 4S025-350 Remote IO-COM Board PCB NSR System Used Working
1872 Nikon 4S019-645-1 Processor Control Card PCB AFX6BD1 NSR System Used Working
1873 Nikon 4S019-645-1 Processor Control Card PCB AFX6BD1 NSR System Used Working
1874 Nikon 4S025-357-1 Interface Board PCB NSR System Used Working
1875 Nikon 4S001-142 Power SUpply Relay Card PCB AFX8PW NSR System Used Working
1876 Nikon 4S001-142 Power SUpply Relay Card PCB AFX8PW NSR System Used Working
1877 Nikon AFX8BB Backplane Interface Board PCB NSR System Used Working
1878 Nikon AFX8BB Backplane Interface Board PCB NSR System Used Working
1879 Nikon 4S025-563 Interface Control Card PCB AFX8IF NSR System Used Working
1880 Nikon 4S025-563 Interface Control Card PCB AFX8IF NSR System Used Working
1881 New Focus 8753 Intelligent Picomotor Driver Nikon NSR System Used Working
1882 New Focus 8752 Picomotor Ethernet Controller Nikon NSR System Used Working
1883 Nikon 4S013-355 Relay Control Card PCB IFIOPIF NSR System Used Working
1884 Nikon 4S013-355 Relay Control Card PCB IFIOPIF NSR System Used Working
1885 Cosel P150E-24-N Power Supply P150E-24 Used Working
1886 Nikon 4S013-284 Interface Board PCB IM-ENCD3 NSR-S205C Used Working
1887 Nikon 4S005-274 Reflectance Sensor RFLCT-XB NSR System Used Working
1888 Harmonic Drive Systems RH-11C-3001-E100D0 Servo Actuator Nikon NSR-S204B Used
1889 Harmonic Drive Systems RH-11C-3001-E100D0 Servo Actuator Nikon NSR-S204B Used
1890 Nikon 4S064-513 UIP/Server Computer FAU-036-02 Copper Cu Exposed NSR-S205C Used
1891 Nikon S-Pure Relay Lens NSR-S204B Step-and-Repeat Scanning System Used Working
1892 Nikon Verticle Relay Lens NSR-S204B Step-and-Repeat Scanning System Used Working
1893 Nikon S-Pure Revolver 4S013-284 NSR-S204B Step-and-Repeat Scanning Used Working
1894 Nikon ARB Blinds Unit 4S013-311 NSR-S204B Step-and-Repeat Scanning System Used
1895 Nikon 2FE 2nd Fly’s Eye Lens SHRINC Revolver NSR-S204B Used Working
1896 Nikon 4S064-513 UIP/Server Computer FAU-036-02 Copper Cu Exposed NSR-S205C Used
1897 Hamamatsu HC124-21 PMT Detector Assembly Used Working
1898 Hamamatsu HC124-21G PMT Detector Assembly Used Working
1899 Nikon Reflectance Lens Whole Mirror NSR-S204B Step-and-Repeat Scanning Used
1900 Mitsubishi MESLEC Programmable Controller PLC Used Working
1901 Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E
1902 Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E
1903 SEIKO Precision VP-4500 Thermal Video Printer TP-6530 Used Working
1904 Densei-Lambda DPS2800 Power Supply Used Working
1905 DNS Dainippon Screen CEPS-002 Power Supply Used Working
1906 Nikon 4S017-656-A Backplane Interface Board PCB S/G-MTHR NSR-S204B Used Working
1907 Nikon 4S017-656-A Backplane Interface Board PCB S/G-MTHR NSR-S204B Used Working
1908 Nikon 4S587-172 Switch Box TC-SW NSR-S205C Step-and-Repeat Exposure System Used
1909 Agilent E1709A Remote High Performance Receiver Nikon NSR System Used Working
1910 Panasonic WV-BM500 Video Monitor Nikon NSR-S204B Step-and-Repeat Scanning Used
1911 Panasonic WV-BM500 Video Monitor Nikon NSR-S204B Step-and-Repeat Scanning Used
1912 Komatsu KDP1640EHE-11 Disiplay Panel Nikon NSR-S204B Step-and-Repeat Used
1913 Nikon Field Revolver SHRINC NSR-S204B Step-and-Repeat Scanning System Used
1914 Nikon Power Supply Module 4S001-107 No Cover Used Working
1915 Nikon 4K177-955-3 RD Reticle Transfer Robot NSR-S204B Scanning System Used
1916 Nemic-Lambda EWS-100-12 Power Supply EWS100-12 Nikon NSR-S204B Scanning Used
1917 Nikon WD Wafer Stage Robot with Z Axis Motor NSR-S204B Scanning System Used
1918 Nikon 4S001-107 Power Supply Card PCB VDBC0002201 NSR System Used Working
1919 Nikon 4S001-107 Power Supply Card PCB VDBC0002201 NSR System Used Working
1920 Nikon 4S001-107 Power Supply Card PCB VDBC0002201 NSR System Used Working
1921 Tiris RI-CTL Reader Control Module Board PCB RI-CPU-0001-02 NSR System Used
1922 Tiris RI-CTL Reader Control Module Board PCB RI-CPU-0001-03 NSR System Used
1923 Edwards U20000919 iNIM Network Interface D37310000 Used Working
1924 Nikon 4K177-955-1 RD Reticle Transfer Robot NSR-S204B Scanning System Used
1925 Nikon 4K177-955-1 RD Reticle Transfer Robot NSR-S204B Scanning System Used
1926 Nikon 4K177-955-1 RD Reticle Transfer Robot NSR-S204B Scanning System Used
1927 Nikon 4S007-854-1 Power Supply Relay Board PCB ST-DRU NSR-S204B Used Working
1928 Nikon 4S018-473-2 Relay Control Board PCB RL-LIBHT1 NSR-S204B Used Working
1929 Nikon 4S013-188 Interface Board PCB LINK-RX NSR-S204B Scanning System Used
1930 Nikon 4S013-189 Link Interface Board PCB LINK-RZ NSR-S204B System Used Working
1931 Nikon Pellicle Particle Detector PPD 4S007-609 NSR-S204B Step-and-Repeat Used
1932 Nikon Pellicle Particle Detector PPD 4S007-609 NSR-S204B Step-and-Repeat Used
1933 Harmonic Drive Systems RH-11C-3001-E100AL DC Servo Actuator Nikon NSR-S204B Used
1934 Komatsu 491591162 Temperature Controller FR-531-B Used Working
1935 Komatsu 491591162 Temperature Controller FR-531-B Used Working
1936 Texas Instruments RI-STU-MB6A-02 RFM Board PCB RI-RFM-104B-01 Nikon NSR Used
1937 RECIF Technologies MOBBH0131D CPU Processor Board PCB Nikon NSR System Used
1938 RECIF Technologies STDBH0130C Interface Board Nikon NSR System Used Working
1939 RECIF Technologies INTAH0281A Interface Board PCB PCB0281A Nikon NSR System Used
1940 RECIF Technologies CPUAH0027A Processor Card PCB REC0027A Nikon NSR System Used
1941 RECIF Technologies PWRAH0168A Power Supply Board PCB Nikon NSR System Used
1942 Nikon Ceramic Load X TT Plate NSR System Used Working
1943 Mitutoyo 09AAB222A(1m) Linear Scale ST422 Nikon NSR-S307E DUV Scanning Used
1944 Mitutoyo 09AAB222A(1m) Linear Scale ST420 Nikon NSR-S307E DUV Scanning Used
1945 Nikon Pre1 and Reticle Library UTOPI-020MX NSR-S204B Step-and-Repeat System Used
1946 Nikon Reticle Loader Robot Elevator UTOPI-020SE NSR-S204B Step-and-Repeat Used
1947 RECIF Technologies CPUCH0027A Processor Card PCB REC0027A Nikon NSR System Used
1948 RECIF Technologies STDAH0347C Interface Board PCB PCB0347B NSR System Used
1949 Axcelis Technologies 531061 E84 Interface Board PCB Nikon NSR System Used
1950 RECIF Technologies STDAH0237A Pneumatic Output Board PCB Nikon NSR System Used
1951 RECIF Technologies INTBH0222 Interface Board PCB Nikon NSR System Used Working
1952 RECIF Technologies MOBJH0131D CPU Processor Board Nikon NSR System Used Working
1953 RECIF Technologies INTAH0540A Interface Board PCB Nikon NSR System Used Working
1954 RECIF Technologies STDBH0130C Interface Board PCB Nikon NSR System Used Working
1955 RECIF Technologies INTAH0235A Processor Motherboard PCB Nikon NSR System Used
1956 Texas Instruments RI-STU-MB6A-03 RFM Board PCB RI-RFM-104B-01 Used Working
1957 TDK RDH24-6RO Power Supply ZG010-2 Nikon NSR System Used Working
1958 TDK RDH24-6RO Power Supply ZG010-2 Nikon NSR System Used Working
1959 Nikon 4S013-448 Interface Board PCB IRC-A-PCB NSR System Used Working
1960 Nikon 4S013-448 Interface Board PCB IRC-A-PCB NSR System Used Working
1961 Nikon 4S013-448 Interface Board PCB IRC-A-PCB NSR System Used Working
1962 Nikon 4S013-448 Interface Board PCB IRC-A-PCB NSR System Used Working
1963 Vaisala HMPNIK-S3-A1B0A1EE12A1A3A Humidity and Temperature Transmitter NSR Used
1964 Nemic-Lambda EWS-50-5 Power Supply EWS50-5 Nikon NSR-S204B Scanning Used Working
1965 Nikon Wafer Transfer Robot WJ NSR-S205C Step-and-Repeat Exposure System Used
1966 Schott-Fostec 20750-2 Fiber Optic Light Source DCRII Nikon NSR-S307E DUV Used
1967 Schott 20800-2 Fiber Optic Light Source DCRIII Nikon NSR-S307E DUV Used Working
1968 A to Z Electronics 70512360100 SDT Module Nitto Denko MA3000-II Used Working
1969 Fuji Electric EFL-0.2E9-7 Single Phase RFI Filter Nitto Denko MA3000-II Used
1970 Oriental Motor ASD24B-ASD Driver Nitto Denko MA3000-II Wafer Mounter NEL Used
1971 Keyence BL-U2 Power Supply Unit Nitto Denko MA3000-II Wafer Mounter NEL Used
1972 Yamatake SAB10-TC04C1 4 Channel Analog Output Node Non-Insulated Type Used
1973 Oriental Motor K0160-011 Brake Reverse Pack Nitto Denko MA3000-II Used Working
1974 Oriental Motor K0162-011 Brake Reverse Pack Nitto Denko MA3000-II Used Working
1975 Yamatake SAB10-TM16J5A Smart Distributed System Nitto Denko MA3000-II Used
1976 Yamatake SAB10-TS16J5 Smart Distributed System Nitto Denko MA3000-II Used
1977 Yamatake SAB10-TA16J5A Smart Distributed System Nitto Denko MA3000-II Used
1978 ABB TB82PH1010100 pH Transmitter TB82 Used Working
1979 TDK S2091-86-001 Load Port Power Supply TAS300 Used Working
1980 Nemic-Lambda EWS-100-24 Power Supply EWS100-24 Nikon NSR-S204B Scanning Used
1981 Potter & Brumfield P40P42A12P1-24 Contactor Used Working
1982 Keyence LK-031 High-Precision Sensor Head Nikon NSR System Used Working
1983 Nikon 4S013-284 Interface Board PCB IM-ENDC3 NSR-S204B Used Working
1984 Nikon 4S013-284 Interface Board PCB IM-ENDC3 NSR-S204B Used Working
1985 Komatsu KDP1320LE-1 Control Panel Assembly 7821-40-3016 Nikon NSR-S204B Used
1986 Komatsu KDP1320LE-1 Control Panel Assembly 7821-40-3015 Nikon NSR-S204B Used
1987 Shinko 3ASSYC805000 Interface Relay Board PCB LDMIF Asyst VHT5-1-1 Used Working
1988 Shinko 3ASSYC805000 Interface Relay Board PCB LDMIF Asyst VHT5-1-1 Used Working
1989 Shinko 3ASSYC805000 Interface Relay Board PCB LDMIF Asyst VHT5-1-1 Used Working
1990 Olympus DV 163300 BL Motor Driver Board PCB TK-MFI Asyst Shinko VHT5-1-1 Used
1991 Olympus DV 163300 BL Motor Driver Board PCB TK-MFI Asyst Shinko VHT5-1-1 Used
1992 Olympus DV 163300 BL Motor Driver Board PCB TK-MFI Asyst Shinko VHT5-1-1 Used
1993 Olympus DV 163300 BL Motor Driver Board PCB TK-MFI Asyst Shinko VHT5-1-1 Used
1994 Shinko 3CL511A010000 Power Supply Board PCB NBC-C Asyst VHT5-1-1 Used Working
1995 Shinko 3CL511A010000 Power Supply Board PCB NBC-C Asyst VHT5-1-1 Used Working
1996 Pacific Scientific R36SSNA-R2-NS-NV-05 Brushless Servomotor Nikon NSR Used
1997 Shinko 3ASSYC808001 Interface Relay Board PCB M-BTC2 Asyst VHT5-1-1 Used Working
1998 Shinko 3ASSYC808001 Interface Relay Board PCB M-BTC2 Asyst VHT5-1-1 Used Working
1999 Shinko 3ASSYC808001 Interface Relay Board PCB M-BTC2 Asyst VHT5-1-1 Used Working
2000 Shinko 3ASSYC806300 OHT-Panel Board PCB M173A 1/2 Asyst VHT5-1-1 Used Working
2001 Shinko 3ASSYC806100 OHT Power Board PCB M171 Asyst VHT5-1-1 OHV Used Working
2002 Shinko 3ASSYC806100 OHT Power Board PCB M171 Asyst VHT5-1-1 OHV Used Working
2003 Shinko 3ASSYC806100 OHT Power Board PCB M171 Asyst VHT5-1-1 OHV Used Working
2004 Shinko 3ASSYC806600 Interface Board PCB M176 Asyst VHT5-1-1 OHV Used Working
2005 Shinko 3ASSYC806600 Interface Board PCB M176 Asyst VHT5-1-1 OHV Used Working
2006 Shinko 3ASSYC807901 Processor Interface Board PCB M-COM2 Asyst VHT5-1-1 Used
2007 Shinko 3ASSYC807901 Processor Interface Board PCB M-COM2 Asyst VHT5-1-1 Used
2008 Shinko 3ASSYC807901 Processor Interface Board PCB M-COM2 Asyst VHT5-1-1 Used
2009 Shinko 3ASSYC805600 Interface Relay Board PCB M166A Asyst VHT5-1-1 Used Working
2010 Shinko 3ASSYC805600 Interface Relay Board PCB M166A Asyst VHT5-1-1 Used Working
2011 Shinko 3ASSYC805500 Interface Processor Relay Board PCB M165A VHT5-1-1 Used
2012 Shinko 3ASSYC805500 Interface Processor Relay Board PCB M165A VHT5-1-1 Used
2013 Shinko 3ASSYC805400 Interface Relay Board PCB M164A Asyst VHT5-1-1 Used Working
2014 Shinko 3ASSYC805400 Interface Relay Board PCB M164A Asyst VHT5-1-1 Used Working
2015 Shinko 3ASSYC805400 Interface Relay Board PCB M164A Asyst VHT5-1-1 Used Working
2016 Shinko 3ASSYC805800 Power Supply Board PCB OHT-DD1 Asyst VHT5-1-1 Used Working
2017 Shinko 3ASSYC805800 Power Supply Board PCB OHT-DD1 Asyst VHT5-1-1 Used Working
2018 Shinko 3ASSYC805800 Power Supply Board PCB OHT-DD1 Asyst VHT5-1-1 Used Working
2019 Shinko 3FE113C005600 Interface Board PCB OHT-SENS Asyst VHT5-1-1 Used Working
2020 Shinko 3FE113C005600 Interface Board PCB OHT-SENS Asyst VHT5-1-1 Used Working
2021 Kokusai Electric CX1103 Tube Controller Operator Interface CX-2000 Bad Screen
2022 Shinko 3CL511A010100 Interface Board PCB R1-0063DBC Asyst VHT5-1-1 Used Working
2023 Shinko 3CL511A010100 Interface Board PCB R1-0063DBC Asyst VHT5-1-1 Used Working
2024 Shinko 3CL511A010100 Interface Board PCB R1-0063DBC Asyst VHT5-1-1 Used Working
2025 Shinko M173A 2/2 Operator Interface Board PCB with Key Asyst VHT5-1-1 Used
2026 Shinko M173A 2/2 Operator Interface Board PCB with Key Asyst VHT5-1-1 Used
2027 Shinko 3ASSYC806200 Interface Relay Board PCB M172 Asyst VHT5-1-1 Used Working
2028 Shinko 3ASSYC806200 Interface Relay Board PCB M172 Asyst VHT5-1-1 Used Working
2029 Shinko 3ASSYC805900 Interface Relay Board PCB M-169 Asyst VHT5-1-1 Used Working
2030 Shinko 3ASSYC805900 Interface Relay Board PCB M-169 Asyst VHT5-1-1 Used Working
2031 Shinko 3ASSYC805900 Interface Relay Board PCB M-169 Asyst VHT5-1-1 Used Working
2032 Shinko 3ASSYC805900 Interface Relay Board PCB M-169 Asyst VHT5-1-1 Used Working
2033 Shinko M173A 2/2 Operator Interface Board PCB no Key Asyst VHT5-1-1 Used Working
2034 Shinko M173A 2/2 Operator Interface Board PCB no Key Asyst VHT5-1-1 Used Working
2035 Kokusai Electric D2E01362 Processor Board PCB LCONT2/A0 Vertron Used Working
2036 Kokusai Electric D2E01362 Processor Board PCB LCONT2/A0 Vertron Used Working
2037 Kokusai Electric D2E01362 Processor Board PCB LCONT2/A0 Vertron Used Working
2038 Kokusai Electric D2E01362 Processor Board PCB LCONT2/A0 Vertron Used Working
2039 Kokusai Electric D2E01362 Processor Board PCB LCONT2/A0 Vertron Used Working
2040 Kokusai Electric CX1103 Tube Controller CX-2000 CX1103C-1 Used Working
2041 Kokusai Electric CX1103 Tube Controller CX-2000 CX1103C-2 Used Working
2042 Kokusai Electric D2E01309A CPU Board MCPU3 A/1 PCB Vertron Used Working
2043 Kokusai Electric D2E01309A CPU Board MCPU3 A/1 PCB Vertron Used Working
2044 Kokusai Electric D3E01195A Graphic Processor Board GRAPH PCB Vertron Used
2045 Kokusai Electric D94013A 2/2 Interface Relay Board PCB Used Working
2046 Kokusai Electric D94013A 2/2 Interface Relay Board PCB Used Working
2047 Kokusai Electric D94013A 2/2 Interface Relay Board PCB Used Working
2048 Kokusai Electric D3E01450 Interface Relay Board PCB EL-I/F Used Working
2049 Kokusai Electric D3E01450 Interface Relay Board PCB EL-I/F Used Working
2050 SVG Silicon Valley Group 851-8520-003 Stepper Motor Driver PCB Card 00-29 Used
2051 SVG Silicon Valley Group 851-8520-003 Stepper Motor Driver PCB Card 00-29 Used
2052 SVG Silicon Valley Group 851-8520-003 Stepper Motor Driver PCB Card 00-29 Used
2053 Perkin-Elmer 851-8520-003 Stepper Motor Driver PCB Card 851-7520-003 Used
2054 Perkin-Elmer 851-8520-003 Stepper Motor Driver PCB Card 851-7520-003 Used
2055 PULS ASM142.01 Power Supply Card PCB 4022.476.01151 Used Working
2056 Kniel System-Electronic 332-025-04 .02 Power Supply Card PCB 4022.436.72611 Used
2057 Kniel System-Electronic 330-086-04 .05 Power Supply Card PCB 4022.436.72601 Used
2058 Kniel System-Electronic 313-100-04 .05 Power Supply Card PCB 4022.476.01091 Used
2059 Olympus BJ524S592 DB DC Servo Motor E140S592 Asyst VHT5-1-1Transport System Used
2060 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8963-001G Used Working
2061 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8963-001G Used Working
2062 Perkin-Elmer 851-8242-006 Processor Interface Card PCB A5102 Used Working
2063 Perkin-Elmer 851-8242-006 Processor Interface Card PCB A5102 Used Working
2064 Perkin-Elmer 851-8242-006 Processor Interface Card PCB A5102 Used Working
2065 Perkin-Elmer 851-8242-006 Processor Interface Card PCB A5102 Used Working
2066 Perkin-Elmer 851-8242-006 Processor Interface Card PCB A5102 Used Working
2067 Perkin-Elmer 851-8552-004 Interface Relay PCB Card A5104 851-7552-003 Used
2068 Perkin-Elmer 851-8552-004 Interface Relay PCB Card A5104 851-7552-003 Used
2069 Perkin-Elmer 851-8552-004 Interface Relay PCB Card A5104 851-7552-003 Used
2070 Yaskawa Electric UTOPI-020MX Minertia Motor QM Series Nikon NSR-S204B Used
2071 Yaskawa Electric UTOPI-020MX Minertia Motor QM Series Nikon NSR-S204B Used
2072 Yaskawa Electric UTOPI-020MX Minertia Motor QM Series Nikon NSR-S204B Used
2073 Yaskawa Electric UTOPI-020MX Minertia Motor QM Series Nikon NSR-S204B Used
2074 Nikon NK2551 EPROM Sensor Board PCB NSR-S306C DUV System Used Working
2075 Nikon NK2551 EPROM Reciever Board PCB NSR-S306C DUV System Used Working
2076 Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S306C System Used
2077 Kokusai Electric D2E01306 PCMCIA PC Card Reader PCB CARD2-IF A/0 Vertron Used
2078 Kokusai Electric D2E01306 PCMCIA PC Card Reader PCB CARD2-IF A/0 Vertron Used
2079 Kokusai Electric D2E01306 PCMCIA PC Card Reader PCB CARD2-IF A/0 Vertron Used
2080 Kokusai Electric D2E01306 PCMCIA PC Card Reader PCB CARD2-IF A/0 Vertron Used
2081 Kokusai Electric N214-1003 Vertron Keypad Input Board PCB Used Working
2082 Kokusai Electric N214-1003 Vertron Keypad Input Board PCB Used Working
2083 Kokusai Electric N214-1003 Vertron Keypad Input Board PCB Used Working
2084 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8630-001 Used Working
2085 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8630-001 Used Working
2086 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8630-001 Used Working
2087 SVG Silicon Valley Group 879-8076-002 Interface Relay PCB Card ASML Used Working
2088 SVG Silicon Valley Group 879-8076-002 Interface Relay PCB Card ASML Used Working
2089 SVG Silicon Valley Group 879-8076-002 Interface Relay PCB Card ASML Used Working
2090 SVG Silicon Valley Group 851-8518-005 A/D Conversion Board PCB ASML 90S DUV Used
2091 SVG Silicon Valley Group 851-8518-005 A/D Conversion Board PCB ASML 90S DUV Used
2092 SVG Silicon Valley Group 851-8518-005 A/D Conversion Board PCB ASML 90S DUV Used
2093 SVG Silicon Valley Group 851-8514-007 Wafer Handler Interrupt Card PCB Used
2094 SVG Silicon Valley Group 851-8514-007 Wafer Handler Interrupt Card PCB Used
2095 SVG Silicon Valley Group 851-8514-007 Wafer Handler Interrupt Card PCB Used
2096 SVG Silicon Valley Group 851-9953-003 Interface Relay PCB Card ASML Used Working
2097 SVG Silicon Valley Group 851-9953-003 Interface Relay PCB Card ASML Used Working
2098 SVG Silicon Valley Group 851-9953-003 Interface Relay PCB Card ASML Used Working
2099 SVG Silicon Valley Group 851-8220-011 Interface Relay PCB Card 851-7220-008 Used
2100 SVG Silicon Valley Group 851-8220-011 Interface Relay PCB Card 851-7220-008 Used
2101 SVG Silicon Valley Group 851-8220-011 Interface Relay PCB Card 851-7220-008 Used
2102 SVG Silicon Valley Group 879-8077-002 Interface Relay PCB Card A5110 Used
2103 SVG Silicon Valley Group 879-8077-002 Interface Relay PCB Card A5110 Used
2104 SVG Silicon Valley Group 879-8077-002 Interface Relay PCB Card A5110 Used
2105 SVG Silicon Valley Group 879-8077-002 Interface Relay PCB Card A5110 Used
2106 Inficon 353-500 BPG400 Pirani Vacuum Gauge FL-9496 Balzers Lot of 2 Used Working
2107 Shinko 3ASSYC805500 Processor Interface Board PCB OHT-CPU VHT5-1-1 Used Working
2108 Olympus BJ524S590 DB DC Servo Motor E45S590 Asyst VHT5-1-1Transport System Used
2109 Olympus BJ524S590 DA DC Servo Motor E140S592 Asyst VHT5-1-1Transport System Used
2110 Olympus BJ524S592 DC DC Servo Motor E140S592 Asyst VHT5-1-1Transport System Used
2111 Olympus BJ524S590 CJ DC Servo Motor E45S590 Asyst VHT5-1-1Transport System Used
2112 Mitutoyo 09AAA790 Linear Scale ST320 Nikon NSR-S306C DUV Scanning Used Working
2113 SVG Silicon Valley Group 858-8021-004 Backplane Interface Board PCB A5100 Used
2114 SVG Silicon Valley Group 858-8021-004 Backplane Interface Board PCB A5100 Used
2115 AMAT Applied Materials 0040-80146 Gripper Claw Assembly Used Working
2116 AMAT Applied Materials 0040-80144 Gripper Claw 0040-84444 0040-03857 Used
2117 Nikon Fly’s Eye Field Lens NSR-S204B Used Working
2118 Nikon KBB17351 FE2 Fly’s Eye Lens NSR-S204B Step-and-Repeat System Used Working
2119 Yaskawa Electric BM9420423 Interface Board PCB GE-FV Nikon NSR System Used
2120 Yaskawa Electric UTOPI-100MX Minertia Motor RM Series Nikon NSR-S204B Used
2121 Yaskawa Electric UTOPI-020SC Minertia Motor QM Series Nikon NSR-S204B Used
2122 Nikon 4S018-649 Intterface Relay Board PCB LINK-RX2 NSR System Used Working
2123 Sharp LJ512U25 Interface Relay Board PCB Used Working
2124 Nikon 4S005-004 Sensor Board PCB NSR System Used Working
2125 Omron Z4LC-S28 Photoelectric Sensor Set Nikon NSR System Used Working
2126 Omron Z4LC-S28 Photoelectric Sensor Set Nikon NSR System Used Working
2127 Nikon RS Shock Absorber (RFC) NSR-S204B Step-and-Repeat Scanning Used Working
2128 Nikon 1-642-950-13 Pre2 Detector Interface Board PCB VB-001 NSR System Used
2129 Nikon DD-T98030C Pre2 Detector Interface Board PCB TPC-ML NSR System Used
2130 Texas Instruments MC-1010S Industrial CCD Video Camera Nikon NSR-S204B Used
2131 Nikon 4S005-394-1 Integrated Sensor Board PCB INTG-EE3 NSR System Used Working
2132 SVG Silicon Valley Group 80216A-01 Centering Device Sensors Board PCB Used
2133 Texas Instruments 780PIA Search Camera Used Working
2134 Nikon 4K578-494 SHRINC Fly’s Eye Box Revolver 4K578-468 NSR-S205C Used Working
2135 Pearl 0049-3 Stepping Motor Driver Controller Board PCB AT-01 TEL Lithius Used
2136 Pearl 0049-3 Stepping Motor Driver Controller Board PCB AT-01 TEL Lithius Used
2137 Nikon NG476103 NP Filter Unit B-BOX 45L-001 SUS NSR System Used Working
2138 Nikon User Interface OPD Display Panel NSR-S204B Scanning System Used Working
2139 Nikon Ceramic End Effector NSR-S307E 200mm DUV Scanning System Used Working
2140 THK RB5013 Linear Bearing Nikon NSR-S204B Step-and-Repeat Scanning System Used
2141 Nikon 4K578-435 SHRINC Revolver NSR-S204B Step-and-Repeat Scanning System Used
2142 SVG Silicon Valley Group 879-8258-001 Wafer Handler PCB Card Rev. C 90S Used
2143 SVG Silicon Valley Group 879-8258-001 Wafer Handler PCB Card Rev. C 90S Used
2144 SVG Silicon Valley Group 851-8514-007 Wafer Handler PCB Card Rev. B 90S Used
2145 SVG Silicon Valley Group 851-8514-007 Wafer Handler PCB Card Rev. B 90S Used
2146 SVG Silicon Valley Group 851-8514-007 Wafer Handler PCB Card Rev. B 90S Used
2147 SVG Silicon Valley Group 851-8514-006 Wafer Handler PCB Card Rev. D 90S Used
2148 SVG Silicon Valley Group 851-8514-006 Wafer Handler PCB Card Rev. D 90S Used
2149 SVG Silicon Valley Group 879-8258-001 Wafer Handler PCB Card Rev. A 90S Used
2150 SVG Silicon Valley Group 879-8258-001 Wafer Handler PCB Card Rev. A 90S Used
2151 Perkin-Elmer 879-8076-002 Interface PCB Card Rev. A ASML SVG 90S Lithograpy Used
2152 Perkin-Elmer 879-8076-002 Interface PCB Card Rev. A ASML SVG 90S Lithograpy Used
2153 SVG Silicon Valley Group 879-8077-002 Interface Relay PCB Card Rev. D 90S Used
2154 SVG Silicon Valley Group 879-8077-002 Interface Relay PCB Card Rev. D 90S Used
2155 SVG Silicon Valley Group 859-0832-007 Interface Relay PCB Card Rev. B 90S Used
2156 SVG Silicon Valley Group 859-0832-007 Interface Relay PCB Card Rev. B 90S Used
2157 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC SVG 851-8963-001G ASML 90S Used
2158 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC SVG 851-8963-001G ASML 90S Used
2159 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC SVG 851-8963-001G ASML 90S Used
2160 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC SVG 851-8963-001F ASML 90S Used
2161 SVG Silicon Valley Group 858-8148-007 Interface Relay PCB Card Rev. C 90S Used
2162 SVG Silicon Valley Group 858-8148-007 Interface Relay PCB Card Rev. C 90S Used
2163 SVG Silicon Valley Group 858-8164-001 Interface Relay PCB Card ASML 90S Used
2164 SVG Silicon Valley Group 858-8164-001 Interface Relay PCB Card ASML 90S Used
2165 SVG Silicon Valley Group 859-0832-007 Interface Relay PCB Card Rev. G Used
2166 SVG Silicon Valley Group 859-0832-007 Interface Relay PCB Card Rev. G Used
2167 SVG Silicon Valley Group 858-8150-001 Interface Relay PCB Card Rev. D Used
2168 SVG Silicon Valley Group 858-8150-001 Interface Relay PCB Card Rev. D Used
2169 Perkin-Elmer 879-8076-002 Interface PCB Card Rev. B ASML SVG 90S Used Working
2170 Sony 1-681-861-12 Processor PCB Card DPR-LS23 EP-GW Nikon 4S019-117 NSR Used
2171 Spectrum 260-00651 Processor VME PCB Card FRU 600-90051 Nikon NSR-S307E Used
2172 Sony 1-861-113-11 Processor PCB Card DPR-LS35 EP-GW Nikon 4S019-424 NSR Used
2173 Agilent Technologies E1845-60001 Control PCB Card Z4208A Nikon NSR-S307E Used
2174 Agilent Technologies E1845-60001 Control PCB Card Rev. B990713 NSR-S307E Used
2175 Agilent Technologies E1845-60001 Control PCB Card Nikon NSR-S307E Used Working
2176 Spectrum 260-00698 Processor PCB Card FRU 600-90072 Nikon NSR-S307E Used Working
2177 Spectrum 260-00698 Processor PCB Card FRU 600-90072 Nikon NSR-S307E Used Working
2178 SVG Silicon Valley Group 851-8518-004 A/D Conversion PCB Card Rev. J 90S Used
2179 SVG Silicon Valley Group 858-8150-001 Interface Relay PCB Card Rev. F Used
2180 SVG Silicon Valley Group 851-8440-009 Interface Relay PCB Card Rev. B 90S Used
2181 SVG Silicon Valley Group 851-8440-009 Interface Relay PCB Card Rev. B 90S Used
2182 SVG Silicon Valley Group 851-8440-009 Interface Relay PCB Card Rev. B 90S Used
2183 Perkin-Elmer 851-8618-004 Interface PCB Card A5167 Rev. J SVG ASML 90S Used
2184 SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card 90S Used
2185 SVG Silicon Valley Group 858-8163-003 Interface Relay PCB Card Rev. B 90S Used
2186 SVG Silicon Valley Group 858-8163-003 Interface Relay PCB Card Rev. B 90S Used
2187 SVG Silicon Valley Group 851-8233-004 Interface Relay PCB Card Rev. G 90S Used
2188 SVG Silicon Valley Group 851-8233-004 Interface Relay PCB Card Rev. G 90S Used
2189 SVG Silicon Valley Group 851-8233-004 Interface Relay PCB Card Rev. G 90S Used
2190 SVG Silicon Valley Group 851-8233-004 Interface Relay PCB Card Rev. E 90S Used
2191 SVG Silicon Valley Group 851-8233-004 Interface Relay PCB Card Rev. F 90S Used
2192 SVG Silicon Valley Group 859-0808-008 Interface Relay PCB Card Rev. G 90S Used
2193 SVG Silicon Valley Group 859-0808-008 Interface Relay PCB Card Rev. G 90S Used
2194 SVG Silicon Valley Group 859-0808-008 Interface Relay PCB Card Rev. B 90S Used
2195 SVG Silicon Valley Group 851-8518-005 A/D Conversion PCB Card Rev. B 90S Used
2196 Perkin-Elmer 851-8233-004 Interface Relay PCB Card Rev. A SVG ASML 90S DUV Used
2197 Perkin-Elmer 851-8518-004 A/D Conversion PCB Card Rev. A SVG ASML 90S DUV Used
2198 SVG Silicon Valley Group 859-0746-001 Interface Relay PCB Card Rev. B 90S Used
2199 SVG Silicon Valley Group 859-0746-001 Interface Relay PCB Card Rev. B 90S Used
2200 SVG Silicon Valley Group 859-8027-004 Interface Relay PCB Card Rev. G 90S Used
2201 SVG Silicon Valley Group 859-8027-004 Interface Relay PCB Card Rev. G 90S Used
2202 SVG Silicon Valley Group 851-8514-006 Wafer Handler PCB Card Rev. A 90S Used
2203 SVG Silicon Valley Group 858-8164-001 Interface Relay PCB Card Rev. G 90S Used
2204 Perkin-Elmer 851-8518-004 A/D Conversion PCB Card. Rev. G SVG ASML 90S DUV Used
2205 Perkin-Elmer 851-8518-004 A/D Conversion PCB Card. Rev. G SVG ASML 90S DUV Used
2206 Perkin-Elmer 851-9993 Processor PCB Card SVG 879-8079-002 90S Used Working
2207 Perkin-Elmer 851-8520 Stepper Motor Driver PCB Card 851-8520-003 Rev. M 90S Used
2208 Perkin-Elmer 851-8520-003 Stepper Motor Driver PCB Card SVG ASML 90S DUV Used
2209 Perkin-Elmer 851-8520-003 Stepper Motor Driver PCB Card Rev. K SVG 90S Used
2210 Perkin-Elmer 851-8520-003 Stepper Motor Driver PCB Card Rev. L SVG 90S Used
2211 Perkin-Elmer 851-9993 Interface PCB Card 879-8076-002 Rev. C SVG ASML 90S Used
2212 SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. F Used
2213 SVG Silicon Valley Group 851-8220-011 Interface Relay PCB Card Rev. A 90S Used
2214 SVG Silicon Valley Group 851-8220-011 Interface Relay PCB Card Rev. A 90S Used
2215 Perkin-Elmer 851-9953-002 Interface Relay PCB Card Rev. B SVG ASML 90S DUV Used
2216 SVG Silicon Valley Group 851-8300-005 Interface Relay PCB Card Rev. A 90S Used
2217 Perkin-Elmer 851-8242-006 Interface Relay PCB Card Rev. L SVG ASML 90S Used
2218 Perkin-Elmer 851-8242-006 Interface Relay PCB Card Rev. D SVG ASML 90S Used
2219 Perkin-Elmer 851-8242-006 Interface Relay PCB Card Rev. M SVG ASML 90S Used
2220 Sony 1-689-897-11 Laserscale Interface Board PCB DU-LS01 Nikon NSR-S306C Used
2221 Nikon Type 4A WT Relay AMP NSR-S204B Step-and-Repeat Scanning System Used
2222 Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR-S204B Used
2223 Nikon WS Shock Absorber (RFC) NSR-S204B Step-and-Repeat Scanning System Used
2224 Faulhaber 3557K012C Motor 2225U43G Nikon NSR-S204B Step-and-Repeat Used Working
2225 Copal PS8-102G Pressure Switch OM5 Nikon NSR-S204B Step-and-Repeat Used Working
2226 Copal PS8-102G Pressure Switch OL3 Nikon NSR-S204B Step-and-Repeat Used Working
2227 Nikon Manual Illumination Shutter NSR-S204B Step-and-Repeat Scanning Used
2228 Nikon Zoom Expander NSR-S204B Step-and-Repeat Scanning System Used Working
2229 SVG Silicon Valley Group 858-8148-007 Processor PCB Card Rev. C ASML 90S Used
2230 SVG Silicon Valley Group 858-8148-007 Processor PCB Card Rev. C ASML 90S Used
2231 SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev. C 90S Used Working
2232 SVG Silicon Valley Group 851-8300-005 Processor PCB Card Rev. E 90S Used Working
2233 SVG Silicon Valley Group 858-8148-006 Processor PCB Card A5170 Rev. J 90S Used
2234 SVG Silicon Valley Group 851-8300-005 Processor PCB Card Rev. K 90S Used Working
2235 SVG Silicon Valley Group 851-8300-005 Processor PCB Card Rev. K 90S Used Working
2236 SVG Silicon Valley Group 859-008-007 Processor PCB Card 859-0808-007 90S Used
2237 Nikon 4G746-201 Wafer Stage Fiducial Plate NSR System Used Working
2238 Nikon 4G746-083-1 Wafer Stage Fiducial Plate NSR System Used Working
2239 Nikon 4G746-103-2 AIS/BFP Plate NSR System Used Working
2240 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8630-001D ASML SVG 90S Used
2241 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8963-001D ASML SVG 90S Used
2242 SVG Silicon Valley Group 851-8514-006 Wafer Handler PCB Card Rev. C 90S Used
2243 Nikon Reticle Loader Unit NSR-S205C Step-and-Repeat Exposure System Used
2244 Nikon Reticle Loader Unit NSR-S205C Step-and-Repeat Exposure System Used Working
2245 TDK Corporation TAS300 300mm Wafer Load Port Copper No Transponder or Cover Used
2246 SVG Silicon Valley Group 851-9953-003 Processor PCB Card Rev. F ASML 90S Used
2247 SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev. A Used Working
2248 Perkin-Elmer 851-8552-004 Processor PCB Card Rev. F SVG ASML 90S Used Working
2249 Perkin-Elmer 851-8552-004 Processor PCB Card Rev. A SVG ASML 90S Used Working
2250 Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8963-001F ASML SVG 90S Used
2251 SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. H Used
2252 SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. M Used
2253 Perkin-Elmer 851-8618-004 Processor PCB Card A5167 Rev. B SVG ASML 90S Used
2254 Perkin-Elmer 851-9953-003 Processor PCB Card Rev. F ASML 90S DUV Used Working
2255 Perkin-Elmer 851-9953-003 Processor PCB Card Rev. F ASML 90S DUV Used Working
2256 SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. Q Used
2257 HVA High Vacuum Apparatus 11210-1003R-001 Gave Valve AMAT 0020-48595 Used
2258 HVA High Vacuum Apparatus 11211-1003R Gate Valve AMAT 0020-48595 Used Working
2259 Nikon WL4 Slider Rail NSR-S205C Step-and-Repeat Exposure System Used Working
2260 SVG Silicon Valley Group 859-0866-004 Processor PCB Card Rev. A ASML 90S Used
2261 SVG Silicon Valley Group 859-0866-004 Processor PCB Card Rev. A ASML 90S Used
2262 Nikon 4S008-117-A Sensor Interface Board PCB ALGAF-S/A-X4+ NSR System Used
2263 Nikon 4S008-116-A Sensor Interface Board PCB ALGAF-S/D-X4+ NSR System Used
2264 Nikon 4S008-116-A Sensor Interface Board PCB ALGAF-S/D-X4+ NSR System Used
2265 Nikon RETTD1096AAG-011 Eprom Sensor 101A9D10 NSR System Used Working
2266 SVG Silicon Valley Group 859-0866-004 Processor PCB Card Rev. C ASML 90S Used
2267 SVG Silicon Valley Group 859-8027-004 Processor PCB Card Rev. C ASML 90S Used
2268 SVG Silicon Valley Group 858-8150-001 Interface PCB Card Rev. C Used Working
2269 SVG Silicon Valley Group 859-0832-007 Interface PCB Card Rev. F 90S Used Working
2270 SVG Silicon Valley Group 851-9953-003 Processor PCB Card Rev. G ASML 90S Used
2271 SVG Silicon Valley Group 851-8233-004 Processor PCB Card Rev. B 90S Used Working
2272 SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. C ASML 90S Used
2273 SVG Silicon Valley Group 858-8163-002 Processor PCB Card Rev. G 90S Used Working
2274 SVG Silicon Valley Group 858-8164-001 Interface PCB Card Rev. E 90S Used Working
2275 Perkin-Elmer 851-8242-006 Processor PCB Card Rev. J SVG ASML 90S Used Working
2276 TEL Tokyo Electron 2981-600001-12 Ghost Terminator Board PCB Used Working
2277 TEL Tokyo Electron 2981-600001-12 Ghost Terminator Board PCB Used Working
2278 TEL Tokyo Electron 2981-600001-12 Ghost Terminator Board PCB Used Working
2279 TEL Tokyo Electron CPC-G227B01A-11 Oven I/O Board PCB TKB2121 Used Working
2280 TEL Tokyo Electron CPC-G227B01A-11 Oven I/O Board PCB TKB2121 Used Working
2281 TEL Tokyo Electron CPC-G227B01A-11 Oven I/O Board PCB TKB2121 Used Working
2282 TEL Tokyo Electron APC-T0010A-12 Analog Board TOB1010 Used Working
2283 TEL Tokyo Electron APC-T0010A-12 Analog Board TOB1010 Used Working
2284 TEL Tokyo Electron 2981-600619-11 Interface Connector Board PCB Used Working
2285 TEL Tokyo Electron 2981-600619-11 Interface Connector Board PCB Used Working
2286 Oriental Motor A6802-044 Interface Board PCB VEXTA Used Working
2287 Daifuku CLW-3735A Interface Board PCB Used Working
2288 Daifuku OPC-2695B Processor Interface Board PCB Used Working
2289 SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. G ASML 90S Used
2290 SVG Silicon Valley Group 859-0808-008 Processor PCB Card Rev. C 90S Used Working
2291 Perkin-Elmer 851-8242-006 Processor PCB Card Rev. N SVG ASML 90S Used Working
2292 Perkin-Elmer 851-8618-004 Processor PCB Card A5167 Rev. G SVG ASML 90S Used
2293 Perkin-Elmer 851-8618-004 Processor PCB Card A5167 Rev. K SVG ASML 90S Used
2294 SVG Silicon Valley Group 851-8440-008 Interface PCB Card A5101 90S Used Working
2295 SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. F ASML 90S Used
2296 Nikon Reticle Pre1 Barcode Assembly NSR-S205C Step-and-Repeat Exposure Used
2297 Nikon Reticle Pre1 Barcode Assembly NSR-S205C Step-and-Repeat Exposure Used
2298 Nikon Reticle Pre1 Barcode Assembly NSR-S205C Step-and-Repeat Exposure Used
2299 Nikon Chuck Bot Load Table 4S018-876 NSR System Used Working
2300 Asyst Technologies 04290-101 Load Lock Elevator 94-1119 Rev. B A-2000LL Used
2301 Asyst Technologies 04290-101 Load Lock Elevator 94-1119 A-2000LL Used Working
2302 Asyst Technologies 04290-101 Load Lock Elevator 06763-005 Copper A-2000LL Used
2303 Edwards C41317000 Right Angle Valve PV25PKA DBL-B Nikon NSR System Used Working
2304 Hamamatsu HC124-21 PMT Detector Assembly Nikon NSR System Used Working
2305 ILC Technology CXP300 Xenon Fiberoptic Lightsource CERMAX Nikon NSR System Used
2306 Nikon Pellicle Particle Detector PPD Assembly 4S005-204-G NSR-S205C Used Working
2307 Nikon Pellicle Particle Detector PPD Assembly No End Effector NSR-S205C Used
2308 Nikon Ceramic Load X TT Plate NSR-S205C Step-and-Repeat Exposure System Used
2309 Nikon Ceramic Load X TT Plate NSR-S307E DUV Scanning System Used Working
2310 Nikon 4S007-663-B FIAAF Sensor Board PCB FIAAF-SENSOR-A NSR-S204B Used Working
2311 Omron #0135 Processor Interface Board PCB Used Working
2312 Omron #0176 Interface Board PCB Used Working
2313 Omron #0176 Interface Board PCB Used Working
2314 Nikon WT Relay Amp Cables NSR-S204B Step-and-Repeat Scanning System Used Working
2315 Chiba Precision TC-214402G Servo Motor SFJ TORMAX Nikon NSR-S307E Used Working
2316 Yaskawa CLSR-64-N2CD-1 Linear Motor Controller Nikon 4S064-211-5 NSR-S204B Used
2317 Cosel AOU-01B Processor Interface Board PCB Used Working
2318 Cosel AOU-02A Processor Interface Board PCB AOU-03A Used Working
2319 Fujitsu FAS-360/14NP2 Power Supply 4T071-394 Nikon NSR-S620D Immersion Used
2320 Olympus NeoPlan40 Microscope Objective 0.63 Used Working
2321 Olympus NeoPlan10 Microscope Objective 0.25 Used Working
2322 Olympus Neo20 Microscope Objective 0.40 Used Working
2323 Olympus Neo5 Microscope Objective 0.1 Used Working
2324 Nikon 4S587-038 Linear Motor Controller SPA225A ADEXY NSR-S204B Used Working
2325 Fujitsu FAS-250/17NS2 Power Supply 4T070-244 Nikon NSR-S620D Immersion Used
2326 Fujitsu FAS-360/14NP2 Power Supply 4T070-852-1 Nikon NSR-S620D Immersion Used
2327 Vaisala HMT330 3E0A111BCAB100A01AAJAA1 Humidity & Temperature Transmitter Used
2328 Nikon VB-001 Pre2 Detector Sensor BI-76 NSR-S204B Step-and-Repeat Scanning Used
2329 Nikon 4T070-403 EXYF Amplifier SPA392C NSR-S620D ArF Immersion Scanner Used
2330 Nikon 4T070-668 FID-Y Amplifier KT002155-04 NSR-S620D ArF Immersion Scanner Used
2331 Nikon 4T070-379 RX Amplifier SPA391A NSR-S620D ArF Immersion Scanner Used
2332 TDK MSE378 Power Supply PCB Card 4S001-122-2 Nikon NSR-S620D ArF Immersion Used
2333 TDK MSE378 Power Supply PCB Card 4S001-122-2 Nikon NSR-S620D ArF Immersion Used
2334 Fujitsu FAS-125/38NS2 Power Supply 4T070-242-1 Nikon NSR-S620D Immersion Used
2335 Nikon 4T070-667 GCTX Amplifier KT002155-03 NSR-S620D ArF Immersion Scanner Used
2336 Nikon 4T070-360-1 EXX-B Amplifier SPA491B NSR-S620D ArF Immersion Scanner Used
2337 Nikon 4S025-570 Relay PCB Card IUPWR1-X8A NSR-S620D ArF Immersion Scanner Used
2338 Nikon 4S025-570 Relay PCB Card IUPWR1-X8A NSR-S620D ArF Immersion Scanner Used
2339 Nikon 4S025-570 Relay PCB Card IUPWR1-X8A NSR-S620D ArF Immersion Scanner Used
2340 Nikon 4S025-571 Relay PCB Card IUPWR2-X8A NSR-S620D ArF Immersion Scanner Used
2341 Nikon 4S025-571 Relay PCB Card IUPWR2-X8A NSR-S620D ArF Immersion Scanner Used
2342 Nikon 4S025-571 Relay PCB Card IUPWR2-X8A NSR-S620D ArF Immersion Scanner Used
2343 Nikon 4S025-572 Relay PCB Card IUPWR3-X8A NSR-S620D ArF Immersion Scanner Used
2344 Nikon 4S025-572 Relay PCB Card IUPWR3-X8A NSR-S620D ArF Immersion Scanner Used
2345 Nikon 4S025-572 Relay PCB Card IUPWR3-X8A NSR-S620D ArF Immersion Scanner Used
2346 AVAL DATA ACP-420 Processor PCB Card Nikon 4S025-569 NSR-S620D ArF Used Working
2347 AVAL DATA ACP-420 Processor PCB Card Nikon 4S025-569 NSR-S620D ArF Used Working
2348 AVAL DATA ACP-420 Processor PCB Card Nikon 4S025-569 NSR-S620D ArF Used Working
2349 AVAL DATA ACP-420 Processor PCB Card Nikon 4S025-569 NSR-S620D ArF Used Working
2350 Nikon 4S025-568 Procesor PCB Card IUDRV3-X8A NSR-S620D ArF Immersion Used
2351 Nikon 4S025-568 Procesor PCB Card IUDRV3-X8A NSR-S620D ArF Immersion Used
2352 Nikon 4S025-567 Processor PCB Card IUDRV2-X8A NSR-S620D ArF Immersion Used
2353 Nikon 4S025-567 Processor PCB Card IUDRV2-X8A NSR-S620D ArF Immersion Used
2354 Nikon 4S025-567 Processor PCB Card IUDRV2-X8A NSR-S620D ArF Immersion Used
2355 Nikon 4S025-566 Processor PCB Card IUDRV1-X8A NSR-S620D ArF Immersion Used
2356 Nikon 4S025-566 Processor PCB Card IUDRV1-X8A NSR-S620D ArF Immersion Used
2357 Nikon 4S025-420 Processor PCB Card IUCTRL-X8 NSR-S620D ArF Immersion Used
2358 Nikon 4S025-420 Processor PCB Card IUCTRL-X8 NSR-S620D ArF Immersion Used
2359 AVAL DATA 4S015-479 Processor PCB Card ACP-104ANK (e3A) Nikon NSR-S620D Used
2360 AVAL DATA 4S015-479 Processor PCB Card ACP-104ANK (e3A) Nikon NSR-S620D Used
2361 Nikon 4K912-116 Amplifier GOYO-ARX84aN8EK-CDABOX NSR-S620D ArF Immersion Used
2362 Nikon 4K912-116 Amplifier GOYO-ARX84aN8EK-CDABOX NSR-S620D ArF Immersion Used
2363 Nikon 4K912-116 Amplifier GOYO-ARX84aN8EK-CDABOX NSR-S620D ArF Used Working
2364 Jikco Nikon 4S588-280 Channel Box COX-10D Used Working
2365 Denso 593647-8210 Servo Driver PZTDRIVER Nikon 4S587-634 NSR System Used Working
2366 Nikon 4S025-350 Processor PCB Card RemoteIO-COM NSR-S620D ArF Immersion Used
2367 Nikon 4S015-501-1 Single Board Computer PCB STG41 NSR-S620D ArF Used Working
2368 Nikon 4S015-501-1 SBC Single Board Computer PCB STG71 NSR-S620D ArF Used Working
2369 Nikon 4S015-501-1 SBC Single Board Computer PCB STG71 NSR-S620D ArF Used Working
2370 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015-492 FOC-FP
2371 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015-492 FOC-FP
2372 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015-493 FOC-CP
2373 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015-493 FOC-CP
2374 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015-494 FOC-CP2
2375 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015-494 FOC-CP2
2376 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015-494 FOC-CP2
2377 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015-495 BodySP
2378 Advanet Advme7511A SBC Single Board Computer PCB Card Nikon 4S015-495 BodySP
2379 Advanet A6pci7508 SBC Single Board Computer PCB Card Nikon 4S015-496 FPC Used
2380 Advanet A6pci7508 SBC Single Board Computer PCB Card Nikon 4S015-496 FPC Used
2381 Advanet A6pci7508 SBC Single Board Computer PCB Card Nikon 4S015-496 FPC Used
2382 Nikon 4S025-354 Interface Board PCB RESET-SFK NSR-S620D ArF Immersion Used
2383 Nikon 4S025-354 Interface Board PCB RESET-SFK NSR-S620D ArF Immersion Used
2384 Advanet A6pci7508 SBC Single Board Computer PCB Card Nikon 4S015-497 KH-SP Used
2385 Advanet A6pci7508 SBC Single Board Computer PCB Card Nikon 4S015-497 KH-SP Used
2386 Advanet A6pci7508 SBC Single Board Computer PCB Card Nikon 4S015-497 KH-SP Used
2387 Advanet A6pci7508 SBC Single Board Computer PCB Card Nikon 4S015-497 KH-SP Used
2388 Nikon 4T070-336-1 RY-LDTop Amplifier SPA494C NSR-S620D ArF Immersion Used
2389 Nikon 4T070-359-1 EXX-F Amplifier SPA491A NSR-S620D ArF Immersion Used Working
2390 Nikon 4T070-334-1 RY-LUTop Amplifier SPA494A NSR-S620D ArF Immersion Used
2391 Nikon 4T070-340-1 RY-RDTop Amplifier SPA494G NSR-S620D ArF Immersion Used
2392 Nikon 4T070-339-1 RY-RUBtm Amplifier SPA494F NSR-S620D ArF Immersion Used
2393 Nikon 4T070-337-1 RY-LDBtm Amplifier SPA494D NSR-S620D ArF Immersion Used
2394 Nikon 4T070-341-1 RY-RDBtm Amplifier SPA494H NSR-S620D ArF Immersion Used
2395 Nikon 4T070-335-1 RY-LUBtm Amplifier SPA494B NSR-S620D ArF Immersion Used
2396 Nikon 4T070-338-1 RY-RUTop Amplifier SPA494E NSR-S620D ArF Immersion Used
2397 Harmonic Drive Systems RH-8C-6006-E100D0 Servo Actuator Nikon NSR-S205C Used
2398 Nikon 4S587-130-1 Linear Motor Controller SPA325Y NSR-S204B Step-and-Repeat Used
2399 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015-492 FOC-FP Used
2400 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015-492 FOC-FP Used
2401 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015-493 FOC-CP Used
2402 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015-493 FOC-CP Used
2403 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015-494 FOC-CP2
2404 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015-494 FOC-CP2
2405 Advanet Advme7511 SBC Single Board Computer PCB Card Nikon 4S015-495 BodySP Used
2406 Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
2407 Nikuni 25CLX15U4 3 Phase Induction Motor UG1312-A1AA Nikon NSR-S204B Used
2408 Delta Design 1935860-501 PXI-TC Interface Board PCB Rev. E Summit ATC Used
2409 Delta Design 1935860-501 PXI-TC Interface Board PCB Rev. E Summit ATC Used
2410 Delta Design 1935860-501 PXI-TC Interface Board PCB Rev. D Summit ATC Used
2411 Delta Design 1935860-501 PXI-TC Interface Board PCB Rev. A Summit ATC Used
2412 Harmonic Drive Systems RH-8C-3006-E100D0 Servo Actuator NSR-S205C BMU Unit Used
2413 Nikon NK2551 Sensor EPROM Receiver Board PCB NSR-S205C BMU Unit Used Working
2414 3Com 1647-010-010-2.00 Baseline Switch 2016 3C16470 AMAT Quantum X Used Working
2415 3Com 1647-010-010-1.00 Baseline Switch 2016 3C16470 AMAT Quantum X Used Working
2416 3Com 1647-010-000-1.01 Baseline 10/100 Switch 3C16470 AMAT Quantum X Used
2417 3Com 1647-010-010-1.01 Baseline Switch 2016 3C16470 AMAT Quantum X Used Working
2418 Shinko 3ASSYC010905 DC-DC Converter Board PCB OHT-P2 YEP-1789 Used Working
2419 Shinko 3ASSYC006802 Interface Board PCB OHT-G YEP-1735A Used Working
2420 Pneutronics 990-004765-001 Pneumatic PCB Card H-L-0-0-8-0 691-000115-000 Used
2421 Pneutronics 990-004765-001 Pneumatic PCB Card H-L-0-0-8-0 691-000115-000 Used
2422 Hitachi HT98313 Power Relay Board PCB BD13 Ver. A1 Omron MY2N-D2 Used Working
2423 Hitachi HT98312A Interface Connector Board BD12 Ver. A1 Used Working
2424 Hitachi HT98312A Interface Connector Board BD12 Ver. A1 Used Working
2425 Hitachi HT98822 Interface Connector Board BD14 Ver. A1 Used Working
2426 Nagano Japan Radio AHS100-12C Power Supply AHS100-12 Lot of 2 NSR-S205C Used
2427 Nagano Japan Radio AHS100-12C Power Supply AHS100-12 Lot of 2 NSR-S205C Used
2428 TDK RKW05-120 Power Supply NSR-S205C Step-and-Repeat Exposure System Used
2429 TDK RKW05-120 Power Supply NSR-S205C Step-and-Repeat Exposure System Used
2430 TDK RKW05-120 Power Supply NSR-S205C Step-and-Repeat Exposure System Used
2431 Nagano Japan Radio AHS150-12C Power Supply AHS150-12 Nikon NSR-S205C Used
2432 Nikon RBP-M16/MX4B1 Backplane Board PCB E100442M000 NSR System Used Working
2433 Nikon RBP-M16/MX4B1 Backplane Board PCB E100442M000 NSR System Used Working
2434 Nikon RBP-M16/MX4B1 Backplane Board PCB E100442M000 NSR System Used Working
2435 Densei-Lambda MA1206L Noise Filter Nikon NSR System Used Working
2436 Nikon RBP-21WH-I/NIK VME System Bus Backplane Board PCB NSR System Used Working
2437 Nikon RBP-21WH-I/NIK VME System Bus Backplane Board PCB NSR System Used Working
2438 Nikon 4S018-724-D Backplane Interface Board PCB ALGMTH-X4 NSR-S204B Used Working
2439 Nikon 4S018-870-B Backplane Interface Board PCB ALGMTH-X42 NSR-S204B Used
2440 Nikon 4S018-715-A Backplane Interface Board PCB OPDMTHX4 NSR-S204B Used Working
2441 Nikon SPS-001 Interface Board PCB FAN-ALM1 NSR-S205C Step-and-Repeat Used
2442 Nikon AFX8BB Backplane Interface Board PCB NSR-S620D ArF Immersion Scanner Used
2443 Nagano Japan Radio AHS100-12C Power Supply AHS100-12 Nikon NSR-S205C Used
2444 Nagano Japan Radio AHS150-12C Power Supply AHS-150-12 Nikon NSR-S205C Used
2445 Cosel ACE650F Power Supply AC6-ICCBB-00 Nikon NSR-S620D ArF Immersion Used
2446 Cosel ACE650F Power Supply AC6-IHHCB-00 Nikon NSR-S620D ArF Immersion Used
2447 Cosel ACE900F Power Supply AC9-NCCCCC-00 Nikon NSR-S620D ArF Immersion Used
2448 Nikon 660-CPCI07TNI4 Backplane Interface Board PCB PICMG2.0 NSR-S620D ArF Used
2449 Nikon 660-CPCI07TNI4 Backplane Interface Board PCB PICMG2.0 NSR-S620D ArF Used
2450 TDK-Lambda HWS150-5/A Power Supply Reseller Lot of 2 Nikon NSR-S620D ArF Used
2451 Nikon 4S013-223 Backplane Interface Board PCB LIUPWBPB NSR-S307E DUV Used
2452 Nikon 4S019-144 Backplane Interface Board PCB OPDMTHX4B1 NSR System Used Working
2453 Nikon 4S018-831 Backplane Interface Board PCB LIUBPB2X2 NSR System Used Working
2454 Nikon SPS-001 Interface Board PCB FAN-ALM1 NSR System Used Working
2455 Nikon STG-STC-33/5V2-X8 Interface Board PCB NSR-S620D ArF Used Working
2456 Nikon STG-STC-33/5V1-X8 Interface Board PCB NSR-S620D ArF Used Working
2457 Nikon FOC-STC-5V Processor Board PCB NSR-S620D ArF Immersion Scanner System Used
2458 Nikon STG-STC-33/5V1-X8 Interface Board PCB NSR System Used Working
2459 Nikon FOC-STC-5V Processor Board PCB NSR System Used Working
2460 Nikon STG-STC-33/5V2-X8 Interface Board PCB NSR System Used Working
2461 Nikon STG-STC-33/5V2-X8 Interface Board PCB NSR System Used Working
2462 Nikon SFK-MTR-X8 Backplane Interface Board PCB NSR System Used Working
2463 Nikon 4S018-749 Backplane Interface Board PCB STGMTRX4 NSR-S307E Used Working
2464 Nagano Japan Radio AHS100-12C Power Supply AHS100-12 Nikon NSR System Used
2465 Premium 0588.2 Power Supply PCB Card ASML 4022.471.84295 Used Working
2466 ASML SVG Silicon Valley Group 4022.471.88171 Power Supply PCB Card Used Working
2467 Premium 0588 Power Supply PCB Card ASML 4022.471.84293 Used Working
2468 Premium 0588.2 Power Supply PCB Card ASML 4022.471.84294 Used Working
2469 SBS Technologies PMC-Video S99158 PMC-Video PCB Card AMAT 0190-07656 Used
2470 SST Woodhead DNP-CPCI-3U-4 DeviceNet Pro 3U CompactPCI Interface PCB Card Used
2471 MKS Instruments AS00721-05 Power Supply PCB Card PWRS-0721 AMAT 0190-17081 Used
2472 SBS Technologies cPCI-100A-BP-S00002B Processor PCB Card AMAT 0190-07848 Used
2473 ETA Electric WRM15SX-U Power Source Nikon NSR-S205C Step-and-Repeat Used Working
2474 TDK RKW15-10RC Power Supply Nikon NSR-S205C Step-and-Repeat Exposure System Used
2475 ETA Electric WRM15SX-U Power Source Nikon NSR System Used Working
2476 TDK RKW15-10RC Power Supply Nikon NSR System Used Working
2477 Nikon 4S900-197 LD Module LDM790BT02 NSR-S620D ArF Immersion Scanner System Used
2478 Nikon WL4 Slider Rail NSR-S205C Step-and-Repeat Exposure System As-Is
2479 Nikon 4B701-247 Nozzle Return NSR-S620D ArF Immersion Scanner System Used
2480 Nikon 4S025-331 Control PCB Card EPDRV1-X8 NSR-S620D ArF Immersion Scanner Used
2481 TDK-Lambda RKW24-14R Power Supply Nikon NSR-S620D ArF Immersion Scanner Used
2482 Nikon 4K905-957-6 AVB System Pneumatic Active Vibration Isolation System Used
2483 Nikon 4K905-957-6 AVB System Pneumatic Active Vibration Isolation System Used
2484 Nikon 4K905-958-4 Pneumatic Valve Control Assembly NSR-S620D ArF Immersion Used
2485 Nikon 4S013-909 Interface Board PCB IU-X8A-A-R NSR-S620D ArF Immersion Used
2486 Nikon S-ARX481 Pneumatic Valve Control Assembly NSR-S307E DUV Scanning Used
2487 Nikon S-ARX481 Pneumatic Valve Control Assembly NSR-S307E DUV Scanning Used
2488 Sumitomo Heavy Industries X32Z1-0001-F Ac Servo Motor Nikon NSR-S205C Used
2489 Nikon 4B037-825-1 Servo Motor NSR-S205C Step-and-Repeat Exposure System Used
2490 Nikon XYV-LDX Load X Rail NSR-S205C Step-and-Repeat Exposure System Used Working
2491 Nikon K-ARX4B1 S-Pure Revolver IU-OSP NSR-S307E DUV Scanning System Used Working
2492 Nikon Z-X4/12 Load X Rail WLV-LDX NSR-S205C Step-and-Repeat Exposure System Used
2493 Nikon Z-4B1A Load X Rail XYV-LDX MSMA4ACA2A NSR-S307E DUV Scanning System Used
2494 Nikon Z-X4/12 Load X Rail WLV-LDX MSM4ACA2A NSR-S205C Step-and-Repeat Used
2495 TDK RKE48-32R Power Supply Nikon NSR System Used Working
2496 TEL Tokyo Electron 5085-412389-11 Scan Arm L&R DEV ASSY Lithius Used Working
2497 TEL Tokyo Electron 5085-412389-11 Scan Arm L&R DEV ASSY Lithius Used Working
2498 TEL Tokyo Electron AP9E-0858E IO Spin #01 Board PCB TKB7000 Lithius Used Working
2499 VAT 235154 Throttling Pendulum Vacuum Gate Valve 229351 650 Series Used Working
2500 Brooks Automation 013089-132-20 Load Port FIXLOAD V6 Untested Damaged As-Is
2501 Airgard Cyclone Fume Scrubber Exhaust Gas Abatement System UCB-201H CVD Used
2502 TEL Tokyo Electron PHP Pneumatic Control Panel ACT12-300 300mm Used Working
2503 Hokuyo Automatic PB9-10-Z-S Optical Transmission RPB9023 Shinko VHT5-1-1 Used
2504 Mitsubishi HC-PQ23BG2K AC Servo Motor BK2-09B-02MEKAK1 Shinko VHT5-1-1 OHV Used
2505 Mitsubishi HC-PQ23BG2K AC Servo Motor BK2-09B-02MEKAK1 Shinko VHT5-1-1 OHV Used
2506 Yaskawa Electric SGMM-A3C3SD11 AC Servo Motor Lot of 2 Shinko VHT5-1-1 OHV Used
2507 Yaskawa Electric SGMM-A3C3SD11 AC Servo Motor Lot of 2 Shinko VHT5-1-1 OHV Used
2508 Edwards D37215232 iNIM Network Interface Backplane Board PCB D37215235 Used
2509 RKC Instrument REX-B870-CS1B Temperature Controller TEL ACT12 Used Working
2510 Yamamoto EMD7 D1T0D Manometer 500 Pa Lot of 4 TEL Tokyo Electron ACT12 Used
2511 Asyst Shinko Motor Assembly Olympus BJ524S592 DA E140S592 DV217900 VHT5-1-1 Used
2512 Asyst Shinko Motor Assembly Olympus BJ524S001 CE E140 DV217900 VHT5-1-1 Used
2513 Horiba STEC SEC-7350BM Mass Flow Controller 20 SLM N2O SEC-7350 Used Working
2514 Horiba STEC SEC-7350BM Mass Flow Controller 30 LM N2 SEC-7350 Used Working
2515 Daihen WGA-50E-V RF Generator Stack TEL 3D80-001480-V2 Used Tested Working
2516 Daihen RGA-50C-V RF Power Generator TEL 3D39-050099-V4 Used Tested Working
2517 AMAT Applied Materials 633014711 Spindle Assembly 300mm Copper Only Refurbished Unlisted
2518 Novellus 61-30647478-00 Rev. 02 Spindle Yaskawa YSC-02D04B02 Copper Only Used Unlisted
2519 Novellus 61-294221-00 Rev. A Spindle Yaskawa YSC-02D04B021 Used Unlisted
2520 Novellus 04-306477-00 Rev. 01 Spindle Yaskawa YSC-02D04B02S Used Unlisted
2521 Novellus 61-30647478-00 Rev. 02 Spindle Yaskawa YSC-02D04B02 Used Unlisted
2522 MKS GHW50A-13DF3L0-006 Genisis RF Generator Rev. 00F Used Unlisted
2523 Kaijo 68101-A3T-UL Ultrasonic Generator HI MEGASONIC 600 Used Working
2524 Kyoto Denkiki KDS-30350WFX Dual Output DC Power Supply Hitachi 3-A20515-*A Used
2525 Kyoto Denkiki KDS-30350SFX DC Power Supply Hitachi 3-A20515-*B MU-712E New
2526 TDK Corporation TAS300 300mm Wafer FOUP Load Port Type E4A New Surplus
2527 TDK Corporation TAS300 300mm Wafer FOUP Load Port Type E4A New Surplus
2528 TeleFrank 013501-167-27 Modul ST32 Brooks FIXLOAD V6 Used Working
2529 Brooks Automation 162770-01 300mm Wafer Load Port VISION Untested As-Is
2530 LAM Research Corp 02-397379-00 2 Axis Indexer Trust Automation C-2030-D01 Rev. A Unlisted
2531 Edwards NRC970000 TPU Used Working Unlisted
2532 SMC INR-244-244T-670 Thermo-Con Removed from ACT 12-300mm Used Unlisted
2533 Edwards Y50100000000 iATLAS New Unlisted
2534 Cambridge 2T-80110G-NDHB-2 Filter ABSOLUTE Nikon NSR System Used Working
2535 Nikon 4S007-124-1Ⓐ Processor Board PCB WA-MPX NSR-1755G7A 4S007-124-1A Used
2536 Nikon 4S005-093 Interface Board PCB WALG-AMP-1 NSR-1755G7A Step-and-Repeat Used
2537 Nikon 4S020-046 A/D Converter Board PCB LC-SIG NSR-1755G7A Step-and-Repeat Used
2538 Nikon 4S017-095-1-Ⓒ Processor Board PCB IUINF2 4S017-095-1-C NSR-1755G7A Used
2539 Nikon 4S598-556 Stepping Motor Driver Board PCB D167 NSR-1755G7A Used Working
2540 Nikon 4S020-019-Ⓑ Processor Relay Board PCB 4S020-019-B NSR-1755G7A Used Working
2541 Nikon 4S020-105-1 Interface Board PCB WLEXPI/F NSR-1755G7A Step-and-Repeat Used
2542 Nikon 4S020-092-1 Processor Board PCB LIB-I/F NSR-1755G7A Step-and-Repeat Used
2543 Nikon 4S598-556 Stepping Motor Driver Board PCB D1672 NSR-1755G7A Used Working
2544 Nikon 4S020-091 Interface Board PCB MAIN-I/F NSR-1755G7A Step-and-Repeat Used
2545 Nikon D1670 B 20C Driver Board PCB NSR-1755G7A Step-and-Repeat G-Line Used
2546 Nikon 4S017-124 Processor Board PCB WLINLN NSR-1755G7A Step-and-Repeat Used
2547 Nikon WLV-ULX Unload X Rail MSM4ACA2A NSR-S205C Step-and-Repeat Exposure Used
2548 Nikon WLV-ULX Unload X Rail MSM4ACA2A NSR-S205C Step-and-Repeat Exposure Used
2549 Sony MD30-1G5C Power Supply Nikon NSR-1755G7A Step-and-Repeat G-Line System Used
2550 Nikon XYV-ULX Unload X Rail MSM4ACA2A NSR-S205C Step-and-Repeat Exposure Used
2551 Nikon XYV-ULX Unload X Rail MSM4ACA2A NSR-S205C Step-and-Repeat Exposure Used
2552 Nikon WLV-ULX Unload X Rail MSM4ACA2AX NSR-S205C Step-and-Repeat Exposure Used
2553 Nikon 2nd Group Zoom Lens NSR-S205C Step-and-Repeat Exposure System BMU Used
2554 Nikon 1st Relay Unit MAN-D34A10B NSR-S307E DUV Scanning System BMU Unit Used
2555 Nikon 1st Relay Unit MAN-D34R10B NSR-S205C Step-and-Repeat Exposure System Used
2556 Nikon Fold Mirror NSR-S205C Step-and-Repeat Exposure System BMU Unit Used
2557 Nikon 4S013-907 Interface Board PCB IU-X8A-RET NSR-S620D ArF Immersion Used
2558 Maxon Motor 252720 Servo Motor RET-MOT Nikon 4S602-490 NSR-S620D ArF Used
2559 Nikon Minertia Motor Assembly UGRMEM-01SAKOE MCSB1-05 NSR-S620D ArF Used Working
2560 Harmonic Drive Systems 0068471697 3rd Group Zoom Motor NSR-S205C Used Working
2561 Yaskawa RH-8D-6006-E100D0 DC Servo Actuator NSR-S205C 3rd Group Zoom Used
2562 HP Hewlett-Packard AH235A Sequence Processor rx2660 Nikon NSR-S620D Used Working
2563 Nikon Reticle Alignment Unit 218491 NSR-S205C Step-and-Repeat Exposure Used
2564 Nikon Reticle Alignment Unit 232842 4S602-385 NSR-S307E DUV Scanning System Used
2565 Nikon 4S005-394-1 Integrator Sensor INTG-EE3 NSR-S307E DUV Scanning System Used
2566 Nikon 4S005-399 Reflectance Sensor RFLCT-A4B1 NSR-S307E DUV Scanning System Used
2567 Nikon 4S005-274 Reflectance Sensor RFLCT-XB NSR-S205C Step-and-Repeat Used
2568 HP Hewlett Packard 10780C Remote Receiver NSR-1755G7A Step-and-Repeat Used
2569 Nikon 4S008-056 AIS Sensor Board PCB AISsns-X4 NSR-S205C Step-and-Repeat Used
2570 Nikon 4S007-149 Processor Board PCB BCODE NSR-1755G7A Step-and-Repeat Used
2571 Nikon Fly’s Eye Box MAN-D34R23B HEDS-5540 F14 RH-8C-3006-E100D0 NSR-S205C Used
2572 Sony XC-77 CCD Video Camera Module 90D Nikon NSR-1755G7A Step-and-Repeat Used
2573 Nikon Fly’s Eye Box MAN-D34R13B RH-8D-3006-E100D0 NSR-S307E DUV Scanning Used
2574 Fuji Electric SC-6N/UL Contactor 1NC3HO Nikon NSR-1755G7A Step-and-Repeat Used
2575 Ushio UU-0013-B Mercury Lamp Power Supply Nikon NSR-1755G7A Step-and-Repeat Used
2576 SBS Technologies 9000-32-029 SBC PCB Card AMAT Applied Materials Endura Used
2577 SBS Technologies CPCI-100-BP-S00002B Processor PCB Card AMAT Endura 5500 Used
2578 Anorad B801013A IAC/DSP 4 Axis Backplane Board PCB AMAT SEMVision cx Defect Used
2579 Opal 50312354000 Processor Board PCB AMAT SEMVision cx Defect Review System Used
2580 Anorad D55397 Nanomotion Backplane Board PCB AMAT SEMVision cX Defect Used
2581 Anorad 68712 Dual Motor Driver Backplane PCB C46717 AMAT Orbot WF 736 DUO Used
2582 Anorad B800275 Servo Amplifier X-Axis PCB Card AMAT SEMVision cx Defect Used
2583 Anorad B803222 Axis Encoder PCB Card Rev. B AMAT SEMVision cx Defect Review Used
2584 Opal 70512352000 SFI Board PCB Card AMAT Applied Materials VeraSEM Used Working
2585 Anorad B800155 Servo Amplifier Y-Axis PCB Card AMAT SEMVision cx Defect Used
2586 Anorad B802247 Axis Encoder PCB Card AMAT Applied Materials SEMVision cX Used
2587 Opal 30612550000 MIS2 Board PCB Card AMAT Applied Materials SEMVision cX Used
2588 Opal 50312575100 ETD Board PCB Card AMAT Applied Materials SEMVision cX Used
2589 Opal 50312560000 CCS Board PCB CCS21DR AMAT SEMVision cX Defect Review Used
2590 AMAT Applied Materials 30619025 PSD Board PCB SEMVision cX Defect Review Used
2591 AMAT Applied Materials 30614310 E23 Int. Board PCB SEMVision cX Defect Used
2592 AMAT Applied Materials 30614310200 E84 Int. Board PCB SEMVision cX Defect Used
2593 Opal 30612140200 Processor Board PCB VAGC AMAT SEMVision cX Defect Review Used
2594 Ultratech Stepper 03-15-04253 Power Driver Backplane Board PCB Ultratech Used
2595 Schroff 60800-381 VME Systembus 11-Slot Backplane Board PCB Used Working
2596 Motorola 3496B01A Adapter PCB Card 01-W3496B01 Used Working
2597 Schroff 60800-370 Adapter PCB Card Used Working
2598 Schroff 60800-370 Adapter PCB Card Used Working
2599 BICC VERO 243-39122C VME I/O Channel Backplane Board PCB Ultratech Stepper Used
2600 TDK TAS-IN8 Interface Board PCB TAS300 Used Working
2601 TDK TAS-IN8 Interface Board PCB TAS300 Used Working
2602 TDK TAS-IN8 Interface Board PCB TAS300 Used Working
2603 TDK TAS-CNEXT Interface Board PCB TAS300 F1 Used Working
2604 TDK TAS-CNEXT Interface Board PCB TAS300 F1 Used Working
2605 Delta Design 1912756-501 Interface Board PCB Summit ATC Thermal Control Used
2606 Delta Design 1906872-501 Input Sensor Board PCB Summit ATC Thermal Control Used
2607 Delta Design 1906872-501 Input Sensor Board PCB Summit ATC Thermal Control Used
2608 Delta Design 1906876-501 Output Driver PCA Board PCB Summit ATC Thermal Used
2609 DIP DIP-134-148 DeviceNet VME PCB Card 15049105 AMAT 0190-08860 Endura 5500 Used
2610 Delta Design 1937027-401 Interface Board PCB 1937028-501 Summit Lot of 2 Used
2611 Tegal 99-385-001 DC/DC Converter Board PCB Rev. 4 6500 HRe Dual Frequency Used
2612 Brooks Automation 10081 Processor Board PCB Rev. A Used Working
2613 Tegal 99-385-001 DC/DC Converter Board PCB Rev. A 6500 HRe Dual Frequency Used
2614 Tegal 99-385-008 DC/DC Converter Board PCB Rev. E 6500 HRe Dual Frequency Used
2615 Shinko HASSYC806402 Power Supply Board PCB OHT-CAP2 M174-1 Used Working
2616 Shinko HASSYC806402 Power Supply Board PCB OHT-CAP2 M174-1 Used Working
2617 Shinko HASSYC806402 Power Supply Board PCB OHT-CAP2 M174-1 Used Working
2618 Asyst Shinko HASSYC810600 Processor Board PCB LDMIF2 M202 Used Working
2619 Asyst Shinko HASSYC810600 Processor Board PCB LDMIF2 M202 Used Working
2620 Asyst Shinko HASSYC809600 Interface Board PCB MSCB M200 Used Working
2621 Asyst Shinko HASSYC809600 Interface Board PCB MSCB M200 Used Working
2622 Delta Design 1937031-401 Interface Board PCB 1937031-502 Summit Lot of 2 Used
2623 Muratec 3ASSYC805402 Interface Board PCB OHT-SRVC2 M164A Used Working
2624 Muratec HM2-G3224-522 Processor Board PCB OHT-CPU3C-G2-3 HM2-G3220-620 Used
2625 Muratec HASSYC812002 Power Relay Board PCB OHT-POW-S-B M214C Used Working
2626 Muratec 3ASSYC807906 Processor Board PCB M-COM2B M-157 Used Working
2627 Shinko 3ASSYC807903 Processor Board PCB M-COM2A M-157 Used Working
2628 Shinko 3ASSYC807903 Processor Board PCB M-COM2A M-157 Used Working
2629 Shinko 3ASSYC807903 Processor Board PCB M-COM2A M-157 Used Working
2630 Shinko 3ASSYC807903 Processor Board PCB M-COM2A M-157 Used Working
2631 Shinko 3ASSYC807903 Processor Board PCB M-COM2A M-157 Used Working
2632 Asyst Shinko HASSYC810601 Processor Board PCB LDMIF2A M202 Used Working
2633 Shinko Electric HASSYC806402 Recovery Board PCB M174-2 OHT-CAP2 Dual Module Used
2634 Shinko Electric HASSYC806402 Recovery Board PCB M174-2 OHT-CAP2 Dual Module Used
2635 Muratec HASSYC815700 Interface Board PCB Used Working
2636 Asyst Shinko HASSYC810401 Power Supply Board PCB OHT-POW2A M198A Used Working
2637 Asyst Shinko HASSYC810401 Power Supply Board PCB OHT-POW2A M198A Used Working
2638 Muratec HASSYC810603 Processor Board PCB LDMIF2C M202 Used Working
2639 Shinko HASSYC806402 Recovery Board PCB M174-1 OHT-CAP2 Single Module Used
2640 Shinko HASSYC806402 Recovery Board PCB M174-2 OHT-CAP2 Single Module Used
2641 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL THERMO Used Working
2642 Orion Machinery ETM832A-DNF-L-G3 Power Supply PELTHERMO Used Working
2643 Nikon 4T070-145 RX Amplifier SPA371C 4S013-684-1 Used Working
2644 Nikon 4S066-011-2 EXYC-R Amplifier SPA472F 4S013-684-1 Used Working
2645 Nikon 4S066-011 EXYC-R Amplifier SPA472F 4S013-684-1 Used Working
2646 Nikon 4S066-019-2 MSYC-L Amplifier SPA472G 4S013-684-1 Used Working
2647 Nikon 4S066-010-2 EXYC-L Amplifier SPA472E 4S013-684-1 Used Working
2648 Nikon 4S066-010 EXYC-L Amplifier SPA472E 4S013-684-1 Used Working
2649 Nikon 4S066-590-4 RY-LU Amplifier SPA474I 4S013-684-1 Used Working
2650 Nikon 4S066-014-3 CMTY-L Amplifier SPA476E 4S013-684-1 Used Working
2651 Nikon 4S066-593-4 RY-RD Amplifier SPA474L 4S013-684-1 Used Working
2652 Nikon 4S066-593-2 RY-RD Amplifier SPA474L 4S013-684-1 Used Working
2653 Nikon 4S066-590-2 RY-LU Amplifier SPA474I 4S013-684-1 Used Working
2654 Nikon 4S066-019 MSYC-L Amplifier SPA472G 4S013-684-1 Used Working
2655 Nikon 4S066-019-1 MSYC-L Amplifier SPA472G 4S016-684-1 Used Working
2656 Nikon 4S003-028 Interface Control Board PCB DCMD-L2 NSR System Used Working
2657 Nikon 4S003-028 Interface Control Board PCB DCMD-L2 NSR System Used Working
2658 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR-S205C Used Working
2659 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR-S205C Used Working
2660 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR-S205C Used Working
2661 Nikon 4S018-868 Interface Backplane Board PCB PPD3X4-MTH NSR System Used
2662 ASM Advanced Semiconductor Materials 2506564-21 Processor Board PCB Rev. A5 Used
2663 Kawaskaki C60C-A001 Robot Controller WHK00V1.11 Nikon 4S082-666-2 NSR-S205C Used
2664 Muratec HASSYC810301 Operator Interface PCB with Key M197 2/2 Used Working
2665 Asyst Shinko HASSYC810300 Operator Interface PCB with Key M197 2/2 Used Working
2666 Thermo Noran 700P135927 Microanalysys System VCI Rev. L 170A141807 Used Working
2667 Cognex 200-0028 VM-14 Vision Processor VME PCB Card VPM4104-01 4100 Rev. E Used
2668 Kokusai Electric T2DC6-11806 Hot N2 Unit TPG-Z-OHV 042-323-5400 Used Working
2669 Nikon 4S065-784 RX/RY TRM Amplifier CLSR-0102B-N2CA 4S013-684-1 NSR System Used
2670 AMAT Applied Materials 0100-91 Guiding Tube PCB Card 0120-93660 Quantum X Used
2671 KLA-Tencor Polarization Assembly 0071152-001 0037183-004 AIT Fusion UV Used
2672 KLA-Tencor Polarization Assembly 0041186-003 0037183-004 AIT Fusion UV Used
2673 KLA-Tencor Polarization Assembly 0081580-000 0037183-004 AIT Fusion UV Used
2674 Particle Measuring Systems 659510-100 Laser Control Unit FiberVac II AMAT Used
2675 Nikon Chuck Load Table 4S018-876 NSR-S307E DUV Scanning System Used Working
2676 Nikon Chuck Load Table 4S018-876 NSR-S205C Step-and-Repeat Exposure System Used
2677 Nikon Chuck Load Table 4S018-876 NSR-S205C NSR-S305B Step-and-Repeat System
2678 Yaskawa Electric ERCR-SS31-A001 Robot Controller Used Working
2679 Yaskawa Electric ERCR-SS23-A005 Robot Controller Used Working
2680 Sumitomo 4S587-245-2 ADE1 H Control Unit M28511 Nikon 4S013-373-1 NSR-S205C Used
2681 Nikon 4S586-947-2 Linear Motor Controller SPA236A 4S013-374 NSR-S205C Used
2682 Yaskawa Electric 4S587-668-1 Linear Motor Controller Nikon NSR-S205C Used
2683 Yaskawa Electric 4S586-959-5 Linear Motor Controller Nikon NSR System Used
2684 Yaskawa Electric 4S586-959-6 Linear Motor Controller Nikon NSR System Used
2685 Yaskawa Electric 4S587-408-1 Amplifier XU-DV0901V Nikon NSR-S307E Used Working
2686 Nikon Pellicle Particle Detector PPD 4S003-028 NSR-S204B Bent Arms As-Is
2687 Nikon 4S065-171 IU-IOP1 Controller 4S019-058 NSR-S307E DUV Scanning System Used
2688 Nikon 4S065-171 IU-IOP1 Controller 4S019-058 NSR-S307E DUV Scanning System Used
2689 Nikon 4S065-172-1 IU-IOP2 Controller 4S018-776 NSR-S307E DUV Scanning Used
2690 Nikon 4S065-172-1 IU-IOP2 Controller 4S018-776 NSR-S307E DUV Scanning Used
2691 Denso 593682-2030 Servo Driver PZTDRIVER Nikon 4S587-754 NSR-S205C Used Working
2692 Denso 593682-2030 Servo Driver PZTDRIVER Nikon 4S587-754 NSR-S307E Used Working
2693 Tec Izu Electronics VDBC0002202 Power Supply Board PCB Nikon NSR-S307E Used
2694 Nikon 4S018-923 Power Distribution Board PCB IU-PWR1-X4P NSR-S307E DUV Used
2695 Yaskawa Electric XU-CM5500 Robot Controller Nikon 4S064-734 NSR-S307E Used
2696 Yaskawa Electric XU-CM5500 Robot Controller Nikon 4S064-734 NSR-S307E Used
2697 Nikon 4S587-472-2 Linear Motor Controller SPA454B NSR-S307E DUV Scanning Used
2698 Tazmo NSR17 Robot Controller Nikon 4S064-549 NSR-S205C Step-and-Repeat Used
2699 Particle Measuring Systems FiberVac II Laser Control Unit Rev. D Used Working
2700 Particle Measuring Systems FiberVac II Laser Control Unit Rev. A Used Working
2701 Particle Measuring Systems FiberVac II Laser Control Unit Rev. B Used Working
2702 Particle Measuring Systems FiberVac II Laser Control Unit DC13733 Rev. F Used
2703 Particle Measuring Systems FiberVac II Laser Control Unit DC13733 Rev. B Used
2704 Particle Measuring Systems FiberVac II Laser Control Unit Rev. F Used Working
2705 Particle Measuring Systems FiberVac II Laser Control Unit Rev. C Used Working
2706 Nikon 4S015-002 Processor PCB Card NK852 NSR System Used Working
2707 Nikon 4S015-002 Processor PCB Card NK852 4S015-026 NSR System Used Working
2708 Nikon 4S017-107 Analog/Digital Converter PCB Card WL101 NSR System Used Working
2709 Nikon 4S014-001-2-Ⓒ Processor PCB Card MTRCNTI 4S014-001-2-C NSR System Used
2710 Nikon 4S020-104-1 Analog/Digital Converter PCB Card WLEXPCTL NSR System Used
2711 HP Hewlett-Packard 11691 J5 Y Comparator PCB Card 10762-60001 Nikon NSR Used
2712 HP Hewlett-Packard 11658 Comparator PCB Card 10762-60001 Nikon NSR System Used
2713 HP Hewlett-Packard 11684 J4 X Comparator PCB Card 10762-60001 Nikon NSR Used
2714 Nikon 4S017-037-1 Processor Board AIR CNCT NSR System Used Working
2715 HP Hewlett-Packard 10746A07169 J2 Binary Interface PCB Card Nikon NSR Used
2716 HP Hewlett-Packard 08245 J3 Fast Pulse Converter 10764-60008 A Nikon NSR Used
2717 HP Hewlett-Packard 08051 Fast Pulse Converter PCB Card Nikon NSR System Used
2718 Nikon 4S015-001-1Ⓗ Processor PCB Card NK862 4S015-001-1H NSR System Used Working
2719 Nikon 4S017-322-1 Processor PCB Card OPD CTRL 4S017-052-Ⓔ NSR System Used
2720 Nikon 4S020-103-1 Backplane Interface PCB Board 6WLMTR2 NSR System Used Working
2721 Nikon 4S017-236 Backplane Interface Board PCB STMTB NSR System Used Working
2722 Nikon 4S020-039-Ⓑ Backplane Interface Board PCB LC-MTHR NSR System Used Working
2723 Nikon 4S017-565 Backplane Interface Board PCB RI-5C1 MTH NSR System Used Working
2724 Nikon 4S017-232Ⓐ Backplane Interface Board PCB RALG-MTH NSR System Used Working
2725 TEL Tokyo Electron 1380-001253-13 Coat Wafer Spin Chuck PHI80 ACT12 200mm Used
2726 TEL Tokyo Electron 1380-001253-13 Coat Wafer Spin Chuck PHI80 ACT12 200mm Used
2727 Nikon 4S007-174-Ⓐ Processor PCB Card FIA I/F 4S007-174-A NSR System Used Working
2728 Nikon 4S010-002-1-Ⓒ Processor PCB Card LIA-CNT 4S015-024-1-Ⓓ NSR System Used
2729 Nikon 4S010-003-Ⓐ Processor PCB Card FIACNT 4S015-024-1-Ⓓ NSR System Used
2730 Nikon 4S010-003-Ⓐ Processor PCB Card FIACNT 4S015-024-1-Ⓓ NSR System Used
2731 Nikon 4S015-001-Ⓓ Processor PCB Card NK862 4S015-001-D NSR System Used Working
2732 Nikon 4S007-123Ⓑ Analog/Digital Converter PCB Card LIA-I/F NSR System Used
2733 Nikon 4S015-001-1ⓘ Processor PCB Card NK862 4S015-001-1I NSR System Used Working
2734 Nikon 4S015-001-1ⓘ Processor PCB Card NK862 4S015-001-1I NSR System Used Working
2735 Nikon 4S007-129Ⓒ Control PCB Card MOT-CTRL 4S007-129C NSR System Used Working
2736 Nikon 4S020-010-E Analog/Digital Converter PCB Card AFDRV NSR System Used
2737 Nikon 4S007-146 Processor PCB Card RPEM-PSD 4S007-146Ⓒ NSR System Used Working
2738 Nikon 4S007-523 Analog/Digital Converter PCB Card XYDRV2 NSR System Used Working
2739 Nikon 4S017-237 Processor PCB Card EPDRV2 NSR System Used Working
2740 Nikon 4S20-023A Processor Control PCB Card CNTRL-2 NSR System Used Working
2741 Nikon 4S020-029Ⓕ Processor PCB Card WGA-I/F 4S020-029F NSR System Used Working
2742 Nikon 4S020-023A Processor PCB Card CNTRL-2 NSR System Used Working
2743 Nikon 4S017-526 Processor PCB Card LC-DRV2 NSR System Used Working
2744 Nikon 4S020-022 Processor PCB Card CNTRL-1 NSR System Used Working
2745 Nikon 4S015-002 Processor PCB Card NK852 NSR Used Working
2746 Nikon 4S015-001-Ⓕ Processor PCB Card NK862 4S015-001-F NSR System Used Working
2747 Nikon 4S015-070 Processor PCB Card AWLCPU2 4S017-556Ⓑ NSR System Used Working
2748 Particle Measuring Systems FiberVac II Laser Control Unit Rev. E Used Working
2749 Particle Measuring Systems FiberVac II Laser Control Unit Used Working
2750 Nikon 4S017-220-1Ⓑ Backplane Interface Board PCB WAMTH-5 NSR System Used Working
2751 Nikon 4S020-021Ⓓ Backplane Interface Board PCB MTH-ARLFM NSR System Used Working
2752 Shinko Electric MA26501 Interface Board PCB Used Working
2753 Shinko Electric MA26501 Interface Board PCB Used Working
2754 Shinko Electric MA26501 Interface Board PCB Used Working
2755 Brooks Automation 134333 Sensor and LED IV Board PCB Rev. B Used Working
2756 Brooks Automation 148299 Shuttle IV Board PCB Rev. A 148298 Used Working
2757 Brooks Automation 013501-166-17AEZ02 Interface Board PCB Used Working
2758 Brooks Automation 013501-166-17AEZ02 Interface Board PCB Used Working
2759 Brooks Automation 013501-166-17AEZ02 Interface Board PCB Used Working
2760 Brooks Automation 013501-166-17AEZ02 Interface Board PCB Used Working
2761 Brooks Automation 013501-166-17AEZ02 Interface Board PCB Used Working
2762 Brooks Automation 013501-166-17AEZ02 Interface Board PCB Used Working
2763 Brooks Automation 013501-165-I4 AEZ02 Interface Board PCB Used Working
2764 dresden elektronik 5 328 01 00.250.02/B Processor Board PCB Used Working
2765 Brooks Automation 013501-185-I4 Interface Board PCB AEZ01 Used Working
2766 Nikon Power Distribution Unit NSR-S307E DUV Scanning System Used Working
2767 TEL Tokyo Electron 1D81-000132 Backplane Board PCB TYB31D-1/TC Unity II Used
2768 Aurora Biosciences 00006911 6K Breakout Board PCB Rev. A Used Working
2769 Aurora Biosciences 00006911 6K Breakout Board PCB Rev. C Used Working
2770 Aurora Biosciences 00006441 Sensor/Power Board PCB Used Working
2771 TDK TAS-IN12 Interface Board PCB TAS300 F1 Used Working
2772 Cosel LEP240F-48 U Power Supply Board PCB LEP240F Used Working
2773 Brooks Automation 134335 Keylatch IV Board PCB Rev. B3 Used Working
2774 Brooks Automation 173227 Interface Board PCB 140360 Vision LPM Load Port Spare
2775 Brooks Automation 173227 Interface Board PCB 140360 Vision LPM Load Port Spare
2776 TEL Tokyo Electron 2985-438909-W1 Develop Cup Assembly Clean Track ACT12 Used
2777 Nikon 4S064-513 NT/Linux Server Computer FAU-036-2 NSR System Used Working
2778 Yaskawa Electric USAHEM-02-TE53 DEV/COT Spin Motor TEL ACT12 Used Working
2779 Yaskawa Electric USAHEM-02-TE53 DEV/COT Spin Motor TEL ACT12 Used Working
2780 TEL Tokyo Electron 847 Adhesion Process Station 2985-429208-W4 ACT12 200mm Used
2781 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12-200 Used
2782 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12-200 Used
2783 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12-200 Used
2784 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12-200 Used
2785 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12 200mm Used
2786 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12 200mm Used Working
2787 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12 200mm Used Working
2788 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12 200mm Used Working
2789 Nikon 4S008-278 Interface Board PCB BSA-PZT NSR System Used Working
2790 Nikon 4S008-090 DC-DC Converter Board PCB LIUREG2 NSR System Used Working
2791 TEL Tokyo Electron 1D08-000089-11 Processor Board PCB Unity II Used Working
2792 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12-200 Used Working
2793 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12 200mm Used
2794 Asahi 130NK 3-2A AVIS2 ERG Amplifier Nikon 4S587-011-1 NSR System Used Working
2795 Asahi 130NK 3-2A AVIS2 ERG Amplifier Nikon 4S587-011-1 NSR System Used Working
2796 TEL Tokyo Electron 2981-600001-12 Ghost Terminator Board PCB ACT12-200 Used
2797 TEL Tokyo Electron 2981-600001-12 Ghost Terminator Board PCB ACT12-200 Used
2798 Nikon VFU-X80S Noise Filter NSR-S205C Step-and-Repeat Exposure System Used
2799 NTRON C7-01-5124-00-0 O2 Analyzer 5124BE-N1 Rev. N 5100 Nikon NSR System Used
2800 NTRON C7-01-5124-00-0 O2 Analyzer 5124B-N1 Nikon NSR System Used Working
2801 Nikon 4S587-013 9-Port Network Hub NSR System Used Working
2802 Nikon 4S587-013 9-Port Network Hub NSR System Used Working
2803 Nikon 4S587-013-1 9-Port Network Hub NSR System Used Working
2804 TEL Tokyo Electron PPC-T0006A-11 PLD (CHEMI) PCB T0B1006 2988-803062-11 ACT12
2805 TEL Tokyo Electron PPC-T0006A-11 PLD (CHEMI) PCB T0B1006 2988-803062-11 ACT12
2806 Panasonic MSM3AZA1N Servo Motor TEL Tokyo Electron Clean Track ACT12-200 System
2807 Panasonic MSM042A5F Servo Motor TEL Tokyo Electron Clean Track ACT12-200 Used
2808 Nikon 4S015-046C Processor PCB Card NK386SX NSR-S204B Step-and-Repeat Used
2809 Nikon Pneumatic Manifold Assembly VK332V-5GS-M5-F PSA4-102VP NSR-S204B Used
2810 Nikon 4S018-772 Backplane Interface Board PCB PWR-MTH NSR-S205C Used Working
2811 Muratec 3ASSYC804600 Processor Board PCB NBV-BC M161 Used Working
2812 Sanyo Denki PMM-MD-53030-10 Driver Board PCB PM Driver Used Working
2813 Sanyo Denki PMM-MD-53030-10 Driver Board PCB PM Driver Used Working
2814 Yaskawa XU-DL2110 Servo Drive Controller Nikon 4S064-521-2 NSR System Used
2815 Nikon Beam Matching Assembly NSR-S205C Step-and-Repeat Exposure System Used
2816 RKC Instrument REX-B871*NN-CS1B Temperature Controller TEL ACT12-300 Used
2817 RKC Instrument REX-B871*NN-CS1B Temperature Controller TEL ACT12-300 Used
2818 TEL Tokyo Electron 845 CPL Chill Plate Process Station ACT12-300 300mm Used
2819 TEL Tokyo Electron 845 CPL Chill Plate Process Station with CWH Cover ACT12-300
2820 TEL Tokyo Electron 847 Adhesion Process Station 2985-429208-W4 ACT12-300 Used
2821 TEL Tokyo Electron 847 Adhesion Process Station 2985-429208-W4 ACT12 300mm As-Is
2822 Nikon Fluid Valve Box 4K857-413 NSR-S620D ArF Immersion Scanner Used Working
2823 Nikon Fluid Valve Box 4K857-413 NSR-S620D ArF Immersion Scanner Used Working
2824 Nikon Water Filter System 4K894-072 NSR-S620D ArF Immersion Scanner System Used
2825 Nikon Power Distribution Unit NSR-S620D ArF Immersion Scanner System Used
2826 Nikon Sensor Box 4K957-706 NSR-S620D ArF Immersion Scanner System Used Working
2827 Nikon Chuck Load Table 4S018-876 SNC_I/F NSR-S207D NSR-S307E System As-Is
2828 Nikon Chuck Load Table 4S018-876 SNC_I/F NSR-S205C NSR-S305B System As-Is
2829 Nikon Internal Right-Elevator 4S013-467 NSR-S307E DUV Scanning Used Working
2830 Nikon Internal Right-Elevator 4S013-467 NSR-S307E DUV Scanning Used Working
2831 Nikon Internal Right-Elevator 4S013-467 NSR-S307E DUV Scanning System Used
2832 Solid State Equipment M20 Mask Washer evergreen Used Working
2833 SVG Silicon Valley Group 99-80333-01 End Station CPU PCB Card Rev. C 90S Used
2834 SVG Silicon Valley Group 99-80333-01 End Station CPU PCB Card Rev. C 90S Used
2835 SVG Silicon Valley Group 99-80304-02 Handler Interface Board PCB Rev. B 90S Used
2836 SVG Silicon Valley Group 99-80269 Shuttle Interface Board PCB 90S DUV Used
2837 TECO DES375-010-8 8-Port Pneumatic Manifold Board PCB HA010E1 Used Working
2838 TECO DES375-010-8 8-Port Pneumatic Manifold Board PCB HA010E1 Used Working
2839 TECO DES375-010-8 8-Port Pneumatic Manifold Board PCB HA010E1 Used Working
2840 TECO DES375-010-8 8-Port Pneumatic Manifold Board PCB HA010E1 Used Working
2841 TECO DES375-010-8 8-Port Pneumatic Manifold Board PCB Used Working
2842 TECO DES375-010-8 8-Port Pneumatic Manifold Board PCB Used Working
2843 TECO DES375-010-8 8-Port Pneumatic Manifold Board PCB Used Working
2844 Microbar S17-002-00 Interface Board PCB Rev. 02 Used Working
2845 Microbar S17-002-00 Interface Board PCB Rev. 02 Used Working
2846 SVG Silicon Valley Group 99-80266-01 Station CPU PCB Card Rev. K 90S DUV Used
2847 SVG Silicon Valley Group 99-80207-02 Spin Station Expansion Board PCB Used
2848 SVG Silicon Valley Group 99-80207-02 Spin Station Expansion Board PCB Used
2849 SVG Silicon Valley Group 99-80207-02 Spin Station Expansion Board PCB Used
2850 SVG Silicon Valley Group 99-80207-02 Spin Station Expansion Board PCB Used
2851 SVG Silicon Valley Group 99-80323-01 Vacuum Sensor Board PCB Rev. C Used Working
2852 SVG Silicon Valley Group 80166F3-01 IDX Station Processor CPU PCB Card Used
2853 SVG Silicon Valley Group 80166F3-01 VP Station Processor CPU PCB Card Used
2854 SVG Silicon Valley Group 99-80266-01 Coater Station CPU PCB Card Rev. J 90S Used
2855 SVG Silicon Valley Group 80166F3-01 DEV Station Processor CPU PCB Card Used
2856 SVG Silicon Valley Group 80166F3-01 DEV Station Processor CPU PCB Card Used
2857 SVG Silicon Valley Group 99-80266-01 Stack Bake Station CPU PCB Card Rev. L Used
2858 SVG Silicon Valley Group 99-80269-01 Shuttle Interface Board PCB Rev. E 90S Used
2859 SVG Silicon Valley Group 99-80266-01 Stack Bake Station CPU PCB Card Rev. C Used
2860 SVG Silicon Valley Group 99-80266-01 Coater Station CPU PCB Card Rev. M 90S Used
2861 SVG Silicon Valley Group 99-80170-01 Vacuum Sensor Board PCB Rev. F Used Working
2862 SVG Silicon Valley Group 99-80170-01 Vacuum Sensor Board PCB Rev. F Used Working
2863 SVG Silicon Valley Group 99-80315-01 Exhaust Flow Controller Board PCB 90S Used
2864 SVG Silicon Valley Group 99-80315-01 Exhaust Flow Controller Board PCB 90S Used
2865 SVG Silicon Valley Group 99-80315-01 Exhaust Flow Controller Board PCB 90S Used
2866 SVG Silicon Valley Group 99-80315-01 Exhaust Flow Controller Board PCB 90S Used
2867 SVG Silicon Valley Group 99-80266-01 CPU PCB Card Bentek 99-43006-01 90S Used
2868 SVG Silicon Valley Group 99-80266-01 CPU PCB Card Bentek 90S Used Working
2869 Microbar 11-0081-00 Sensor Interface MK2 Board PCB Rev. A Used Working
2870 Microbar 11-0081-00 Sensor Interface MK2 Board PCB Rev. A Used Working
2871 Microbar 11-0081-00 Sensor Interface MK2 Board PCB Rev. A Used Working
2872 Microbar 11-0081-00 Sensor Interface MK2 Board PCB Rev. A Used Working
2873 Microbar 11-0123-00 Power Conversion Board PCB Used Working
2874 Cosel UAW125S-24 Power Supply Board PCB Used Working
2875 Condor 02-32117-0001 Power Supply GPC80P Rev. E 080-40519 Used Working
2876 Kokusai Electric 5K164-2 Power Supply Board PCB Used Working
2877 Kokusai Electric 5K164-2 Power Supply Board PCB Used Working
2878 Kokusai Electric 5K164-2 Power Supply Board PCB Used Working
2879 Kokusai Electric 5K164-2 Power Supply Board PCB Used Working
2880 Kokusai Electric 5K164-2 Power Supply Board PCB Used Working
2881 Kokusai Electric 5K164-2 Power Supply Board PCB Used Working
2882 Kokusai Electric D2E01309A Processor CPU Board PCB MCPU3 D3E01486 Used Working
2883 Sharp D94013A 1/2 Processor Board PCB RUNTK5059JPZZ Used Working
2884 Sharp D94013A 1/2 Processor Board PCB RUNTK5059JPZZ Used Working
2885 Kokusai Electric D3E01195A Processor Board PCB GRAPH Used Working
2886 Kokusai Electric D3E01195A Processor Board PCB GRAPH Used Working
2887 Omron Z4LC-C28 Parallel Beam Line Sensor NSR-S307E DUV Scanning System As-Is
2888 Hamamatsu C9047-01 CCD Multichannel Detector Head Nikon NSR-S307E Used Working
2889 Nikon 4S587-180 Linear Motor Controller SPA325B NSR-S204B Step-and-Repeat Used
2890 Nikon 4K191-928 Pneumatic Control Unit SP1212W KBB18600-5 NSR-S205C Used Working
2891 Nikon BD Plan 20/0.4 Microscope Objective 210/0 Used Working
2892 AMAT Applied Materials 0190-05647 Serial Module Board PCB Rev. 001 Used Working
2893 AMAT Applied Materials 0190-02748 Flex Scanner Transition Module PCB Used
2894 AMAT Applied Materials 0190-02748 Flex Scanner Transition Module PCB Used
2895 Kawasaki 50999-2055R01 Processor PCB Card 1JP-51 Nikon NSR-S205C Used Working
2896 Kawasaki 50999-2055R01 Processor PCB Card 1JP-51 Nikon NSR-S205C Used Working
2897 Kawasaki 50999-2009R00 Processor PCB Card 1JB-51 Nikon NSR-S205C Used Working
2898 Kawasaki 50999-2145R10 Processor PCB Card 1GW-51 Nikon NSR-S205C Used Working
2899 Kawasaki 50999-1992R21 Processor PCB Card 1HA-12 Nikon NSR-S205C Used Working
2900 Kawasaki 50630-1052 Power Supply PCB Card S82W-620 Nikon NSR-S205C Used Working
2901 AMAT Applied Materials 0100-01228 Eight Slot VME Backplane Board PCB Used
2902 AMAT Applied Materials 0100-01223 Slit Valve Exclusive Interlock PCB Card Used
2903 SBS Technologies 900-009-30A Interface Board PCB CPWR-100 AMAT 0790-07907 Used
2904 Nikon 4S008-034 Processor Board PCB WLAIR NSR-S205C Step-and-Repat Used Working
2905 Lasertec C-100451A V Cell Shift PCB Card C-100450A Used Working
2906 Lasertec C-100753B Processor PCB Card EDGE DET Y C-100752B EDGE_DET2 Used
2907 Lasertec C-100687A Interface PCB Card ADDMX C-100686A Used Working
2908 Lasertec C-100849A Processor PCB Card DEF-PROC C-100848A Used Working
2909 Lasertec C-100311D Processor PCB Card ChanelLink RCV C-100310D Used Working
2910 Lasertec C-100280 Interface PCB Card COMTERM51 Used Working
2911 EBRAIN 650-FA03B Interface Board PCB Used Working
2912 SBS Technologies 9000-31-040 SBC Single Board Computer Sabre2 Rev. C0 Used
2913 SST Woodhead AMAT-DNP-CPCI-1 DeviceNet Pro to 2 Channel Interface PCB Card Used
2914 SBS Technologies cPCI-100-BP Processor PCB Card AMAT 0190-05410 Used Working
2915 DIP DIP-131-471 DeviceNet VME PCB Card 15049105 CDN491 AMAT 0660-01879 Used
2916 Lambda PDC60-269 Power Supply PCB Card Rev. B Used Working
2917 DIP DIP-131-444 DeviceNet VME PCB Card 15049105 CDN491 AMAT 0660-01879 Used
2918 Lasertec C-100957 Backplane Board PCB C-100956 Used Working
2919 Nikon 4S025-390 Backplane Board PCB STGX8_BPA NSR-S620D ArF Immersion Used
2920 Nikon 4S025-392 Backplane Board PCB STGX8_BB-AR NSR-S620D ArF Immersion Used
2921 Nikon 4S025-384 Backplane Board PCB STGX8_BB-RS NSR-S620D ArF Immersion Used
2922 Nikon 4S025-582 Backplane Board PCB STGX8-BPR NSR-S620D ArF Immersion Used
2923 Nikon 4S013-847-1 Interface Board PCB AVRX8-I/F NSR-S620D ArF Immersion Used
2924 Nikon 4S025-407 Processor PCB Card STGX8_AD2 NSR-S620D ArF Immersion Used
2925 Nikon 4S025-378 Processor PCB Card STGX8_SL NSR-S620D ArF Immersion Scanner Used
2926 Advanet Advme7510 SBC Single Board Computer PCB Card Nikon NSR-S620D Used
2927 Nikon 4S025-387 Processor PCB Card STGX8_ERG 4S025-221 NSR-S620D Used Working
2928 Nikon 4S025-340-1 Processor PCB Card STGX8_HT 4S025-391-1 NSR-S620D Used Working
2929 Nikon 4S025-340-1 Processor PCB Card STGX8_HT 4S025-380-1 NSR-S620D Used Working
2930 Nikon 4S013-535 Interface Board PCB STGVMERST-IF 4S063-067 NSR-S620D Used
2931 Nikon 4S013-840-2 Interface Board PCB RSX8_I/F NSR-S620D ArF Immersion Used
2932 Nikon 4S013-840-2 Interface Board PCB RSX8_I/F NSR-S620D ArF Immersion Used
2933 Nikon 4S025-128-1 Control Board PCB X8RSSB_CTRL NSR-S620D ArF Immersion Used
2934 Nikon 4S025-369 Processor Relay Board PCB X8RSSB_LUT NSR-S620D Used Working
2935 Nikon 4S025-370 Processor Relay Board PCB X8RSSB_LUB NSR-S620D Used Working
2936 Nikon 4S025-371 Processor Relay Board PCB X8RSSB_LDT NSR-S620D Used Working
2937 Nikon 4S025-372 Processor Relay Board PCB X8RSSB_LDB NSR-S620D Used Working
2938 Nikon 4S025-373 Processor Relay Board PCB X8RSSB_RUT NSR-S620D Used Working
2939 Nikon 4S025-374 Processor Relay Board PCB X8RSSB_RUB NSR-S620D Used Working
2940 Nikon 4S025-375 Processor Relay Board PCB X8RSSB_RDT NSR-S620D Used Working
2941 Nikon 4S025-376 Processor Relay Board PCB X8RSSB_RDB NSR-S620D Used Working
2942 Cosel SGYD7002B-1 Power Supply PCB Card Nikon 4S001-162 NSR-S620D Used Working
2943 Queensgate NS2601/B Controller PCB Card Nikon 4S025-412-1 NSR-S620D Used Working
2944 Keyence LT-5959 High-Accuracy Laser Confocal Displacement Meter Nikon NSR-S620D
2945 Nikon 4S554-351-3 Processor Board PCB AFU-S40-S10-4CT-N01 NSR-S620D Used Working
2946 Nikon 4S025-456 Processor Board PCB RS-LACS 4S025-487 NSR-S620D Used Working
2947 Queensgate Instruments NSPA02/B Preamp Nikon 4S288-370-1 NSR-S620D Used Working
2948 Setra 204100-50-NKC Pressure Transducer 204 ROHS Nikon 4S588-122 NSR-S620D Used
2949 Setra 204100-50-NKC Pressure Transducer 204 ROHS Nikon 4S588-122 NSR-S620D Used
2950 Nikon Reticle Stage Temperature Probe NSR-S620D ArF Immersion Scanner Used
2951 Nikon Reticle Stage Arm 4K821-767 4K821-768 4K890-895 NSR-S620D Used Working
2952 Kawasaki 1HZ-50 Backplane Board PCB TPB-S.V0 Used Working
2953 Kawasaki 1JA-50 Interface Board PCB SSC GT Used Working
2954 AMAT Applied Materials 0090-A8081 CLPS Collectors PS Unit PCB Card Used Working
2955 Opal 30612465 SPS Scintillator PS Unit PCB Card AMAT 0090-A1910 0100-A3391 Used
2956 AMAT Applied Materials 0100-A1251 CMI CDM Interface PCB Card 0100-A1603 Used
2957 Novellus 03-145147-00 Interface Autocal Board PCB Rev. B Used Working
2958 DIP Incorporated DIP-111-042 Analog I/O VME PCB Card CDN396 AMAT 0190-01270 Used
2959 Novellus 03-136531-00 Vector RF Interface Board PCB Rev. A Used Working
2960 VAT 87897-R1 Processor PCB Card 87 904D 65PM.AA.00 Used Working
2961 MKS Instruments Processor PCB Card ENI-C 2010-11-20-139 Rev. 00A Used Working
2962 TEL Tokyo Electron 1B80-002390-11 DN Board PCB DI48D064 AP9E-1430A Used
2963 TEL Tokyo Electron 1B80-002390-11 DN Board PCB DI48D064 AP9E-1430A Used
2964 Rudolph Technologies 200758 Rotate Arm A Axis Motor Board PCB Rev. C Used
2965 Rudolph Research A18088-C MP Isolated DC/DC Converter Board PCB Used Working
2966 Opal 30613435000 PIL Board PCB 1212 373562 Used Working
2967 TEL Tokyo Electron TPC-T0064A-11 Interface Board PCB TOB1064 Used Working
2968 TEL Tokyo Electron XPC-T0090A-11 Interface Board PCB TOB1092 Used Working
2969 TEL Tokyo Electron XPC-T0090A-11 Interface Board PCB TOB1092 Used Working
2970 Mitsubishi QH42P Input/Output Unit MELSEC-Q Used Working
2971 Nikon 4S013-380 Power Interface Board PCB NSR System Used Working
2972 Nikon 4S013-380 Power Interface Board PCB NSR System Used Working
2973 AMAT Applied Materials 0010-21740 TC Amplifier 300mm Assembly 0100-20352 Used
2974 Astex ABX-X490 Driver Board PCB Rev. B Used Working
2975 Nikon 4S008-093 Processer Board PCB STGX41SUB NSR System Used Working
2976 TEL Tokyo Electron 1B80-002393-12 CMC Add On Board (80/80) PCB Used Working
2977 TEL Tokyo Electron 1B80-002397-11 REG CN Board PCB PR300Z Used Working
2978 TEL Tokyo Electron 1B80-002397-11 REG CN Board PCB PR300Z Used Working
2979 RKC Instrument REX-B875-CS1A2 Temperature Controller Used Working
2980 TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 Board PCB 5044-000063-11 Used
2981 Nikon Detailed Error Code Handbook Version 1.4-2.4 NSR/MCS II Series Used
2982 Nikon 4S017-026 Test PCB Card SR-EX2 NSR System Used Working
2983 Asyst Shinko HASSYC817100 SBC Single Board Computer OHT-CPU3A-G2-3 Used Working
2984 Asyst Shinko HASSYC817100 SBC Single Board Computer TKN-x86 Used Working
2985 Asyst Shinko HASSYC817100 SBC Single Board Computer TKN-x86 Used Working
2986 Nikon 4S017-246 Control Panel Board PCB CTL-PANEL NSR System Used Working
2987 Nikon 4S017-051-1 Backplane Board PCB OPD-MTH NSR System Used Working
2988 Nikon 4S017-039 Display Board PCB ADDDISP NSR System Used Working
2989 Nikon 4S017-474 Interface Board PCB NSR System Used Working
2990 Nikon 4S013-013 Interface Board PCB NSR System Used Working
2991 Nikon TPB-H.VO Power Supply Board PCB PW H-407 NSR System Used Working
2992 Nikon TPB-H.VO Motherboard PCB MOTHER-404 NSR System Used Working
2993 Nikon TPB-H.VO Power Supply Board PCB PW L-405 NSR System Used Working
2994 Nikon NVC6B-M5 Camera Control Card NSR System Used Working
2995 Nikon NVCBB-2V5TBN Camera Control Card NSR System Used Working
2996 Nikon NVC6B-1V5YAN Camera Control Card NSR System Used Working
2997 Nikon Video Converter NSR System Used Working
2998 Keyence LT-5959 High-Accuracy Laser Confocal Displacement Meter Nikon NSR-S620D
2999 Keyence LT-9010 High-Precision Sensor Head Nikon 4S588-449 NSR System Used
3000 Nikon 4S017-040 Display Panel Board PCB DISP PANEL NSR System Used Working
3001 MOOG J814B0018 ERG Electro Pneumatic Regulator Nikon NSR-S620D Used Working
3002 Nikon 4S020-052 Processor Board PCB INTFRMT NSR System Used Working
3003 Nikon 4S020-053 Interferometer Backplane Board PCB NSR System Used Working
3004 SMC ERG AVIS Electro Pneumatic Regulator Nikon NSR-S620D ArF Immersion Used
3005 Ushio XS-10203AF-A Power Supply Nikon NSR-1755G7A Step-and-Repeat Used Working
3006 Nikon 8070-0257-02 Laser Beam Channel NSR-S620D ArF Immersion Scanner Used
3007 TDK (MSC001)-3 Power Supply Nikon 4S598-226 NSR System Used Working
3008 TDK (MSC001B)-2 Power Supply Nikon 4S598-827 NSR System Used Working
3009 TDK (MSC002) Power Supply Nikon 4S598-227 NSR System Used Working
3010 VAT 01034-KE11-AAR2 Valve Used Working
3011 Toflo Helical Flow Meter TOFCO Used Working
3012 Setra 204100-50-NK Pressure Transducer 204 Nikon 4S587-574 NSR-S306C Used
3013 Setra 204100-50-NK Pressure Transducer 204 Nikon 4S587-574 NSR-S306C Used
3014 Particle Measuring Systems 1000008735 FiberVac II Display Board PCB Rev. B Used
3015 Particle Measuring Systems 1000005103 Sensor Board PCB Rev. A Used Working
3016 Particle Measuring Systems 1000005257 Processor Board PCB 1000005256 Rev. F Used
3017 Particle Measuring Systems 1000009350 Driver Board PCB 1000009349-C Used Working
3018 Nikon 4S554-438 Sensor SAR-S40ASC13 NSR System Used Working
3019 Nikon 4S554-438 Sensor SAR-S40ASC13 NSR System Used Working
3020 JAE Japan Aviation Electronics 4S588-154 Sensor Nikon NSR System Used Working
3021 JAE Japan Aviation Electronics 4S588-151 Sensor Nikon NSR System Used Working
3022 JAE Japan Aviation Electronics 4S588-150 Sensor Nikon NSR System Used Working
3023 Hamamatsu H5784-30 Photosensor Module Used Working
3024 Unique-m.o.d.e. um4000_100_20 Laser Diode Used Working
3025 Sanyo Denki 103-7550-5012 Stepping Motor Step-Syn Nikon NSR-S1755G7A Used
3026 Nikon Pellicle Particle Detector PPD Head 4S005-146 NSR-1755G7A Used Working
3027 Mitsubishi F1-60MR Programmable Logic Controller PLC Nikon NSR-1755G7A Used
3028 TEL Tokyo Electron PHP Pressure Control Panel Ejector Drive/HP Cooling ACT12-200
3029 TEL Tokyo Electron 845 CPL Chill Plate Process Station ACT12 200mm Used Working
3030 TEL Tokyo Electron 845 CPL Chill Plate Process Station ACT12-200 Used Working
3031 TEL Tokyo Electron 848 TCP Transition Chill Plate Process Station Left ACT12-200
3032 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12-200 200mm
3033 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12-200 200mm
3034 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12-200 200mm
3035 Nikon 4S015-197 Processor PCB Card NK386SX4-NSC NSR-S205C Step-and-Repeat Used
3036 SVG Silicon Valley Group 46236-01 200mm Spin Chuck ASML 90S DUV Used Working
3037 SVG Silicon Valley Group 44794-01 300mm Spin Chuck ASML 90S DUV Used Working
3038 SVG Silicon Valley Group 80216A-01 Centering Device Sensors Board PCB 90S Used
3039 SVG Silicon Valley Group 80216A-01 Centering Device Sensors Board PCB 90S Used
3040 Nikon 4S013-352 Interface Board PCB NSR-S205C Step-and-Repeat Used Working
3041 Nikon 4S013-352 Interface Board PCB NSR-S205C Step-and-Repeat Used Working
3042 Nikon 4S013-352 Interface Board PCB NSR-S205C Step-and-Repeat Used Working
3043 Nikon 4S013-353 Interface Board PCB RBTLNK NSR-S205C Step-and-Repeat Used
3044 Nikon 4S013-353 Interface Board PCB RBTLNK NSR-S205C Step-and-Repeat Used
3045 Nikon 4S013-353 Interface Board PCB RBTLNK NSR-S205C Step-and-Repeat Used
3046 Panasonic MSM4ACA2AX AC Servo Motor Nikon NSR-S205C Step-and-Repeat Used Working
3047 Yaskawa Electric UTOPI-020MX Minertia Motor UGRMEM-01SAKOE Nikon NSR-S205C Used
3048 Yaskawa Electric UTOPI-020MX Minertia Motor UGRMEM-01SAKOE Nikon NSR-S205C Used
3049 Oriental Motor 2RK6GN-AW Reversible Motor 2GN120K Nikon NSR-S205C Used Working
3050 Oriental Motor PK566AWM 5-Phase Stepping Motor VEXTA Nikon NSR-S205C Used
3051 Yaskawa Electric UTOPI-020MX Minertia Motor UGRMEM-01SAKOE Nikon NSR-S307E Used
3052 Yaskawa Electric UTOPI-020MX Minertia Motor UGRMEM-01SAKOE Nikon NSR-S307E Used
3053 Panasonic NFMOET Interface Processor PCB Card FB30T-M Flip Chip Bonder Used
3054 Panasonic MCMHEB Interface Processor PCB Card FB30T-M Flip Chip Bonder Used
3055 Panasonic ELL2EA Interface Processor PCB Card FB30T-M Flip Chip Bonder Used
3056 Panasonic CMM1EB Processor PCB Card PCB3 FB30T-M Flip Chip Bonder Used Working
3057 Panasonic PRM7EW SBC Single Board Computer PCB Card FB30T-M Flip Chip Used
3058 Panasonic SCMYEB SBC Single Board Computer PCB Card FB30T-M Flip Chip Used
3059 Panasonic ELMKEA Processor PCB Card FB30T-M Create Flip Chip Bonder System Used
3060 Panasonic MTMDEX-O Backplane Board PCB FB30T-M Flip Chip Bonder System Used
3061 Panasonic PU13ELA Light Control PCB Card FB30T-M Flip Chip Bonder System Used
3062 Mitsubishi MR-H40AC-S73-P51 AC Servo Drive Panasonic FB30T-M Flip Chip Used
3063 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12-200 200mm Used
3064 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12-200 200mm Used
3065 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station ACT12 200mm
3066 TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12-200 Used
3067 Nikon 4S013-467 Interface Board PCB CSTLNK3 NSR-S307E DUV Scanning System Used
3068 SunX HL-AC1 Advanced Intelligent Sensor Nikon NSR-S307E DUV Used Working
3069 SunX HL-T1001AD Sensor Nikon NSR-S307E DUV Scanning System Used Working
3070 AMAT Applied Materials 0190-17938 CD-ROM Drive Assembly Sony CDU5212 Used
3071 Robitech 990-9168 Transducer PCB Card 859-0944 Used Working
3072 Robitech 990-9168 Transducer PCB Card 859-0944-002-3 Used Working
3073 Robitech 990-9131 Valve Module PCB Card 681-0181-009 980-2300 Series Used
3074 AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev A Used Working
3075 AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev. 1 Used Working
3076 Liebert datawave Operator Interface Panel 20-20072-5 Used Working
3077 SunX HL-T1001AP Sensor Nikon NSR-S307E DUV Scanning System Used Working
3078 Robitech 980-1023 Pressure Regulator Module 980-1024 R-900-60 Used Working
3079 Robitech 990-9131 Valve Module PCB Card 681-0181-009 980-2300 Used Working
3080 Robitech 990-9131 Valve Module PCB Card 681-0181-009 980-2300 Used Working
3081 Nikon NCPre2 Non-Contact Prealign 2 Unit NSR System Used Working
3082 Robitech 990-9168 Transducer PCB Card 859-0944-002 Used Working
3083 Robitech 990-9168 Transducer PCB Card 859-0944-002 Rev. C Used Working
3084 Robitech 980-1023 Pressure Regulator Module R-900-60 Used Working
3085 TECO DES375-010-8 8-Port Pneumatic Manifold Board PCB HA010E1 Used
3086 Condor 02-32117-0001 Power Supply GPC80P Rev. D 017-21226 Used Working
3087 TECO DES375-010-8 8-Port Pneumatic Manifold Board PCB Used
3088 Sanken HWM900-004 Power Supply Panasonic Flip Chip Bonder System Used Working
3089 AMAT Applied Materials 0190-12662 Drive Assemly Hard Disk w/Floppy CD-ROM Used
3090 Omron ZX-LDA11-N Laser Sensor Amplifier ZX Series Used Working
3091 Omron ZX-LD30VL Reflective Sensor Head ZX Series Used Working
3092 Omron E3G-L11 Distance-setting Photoelectric Sensor Reseller Lot of 4 Used
3093 Lasertec C-100320 Motor Drive Board PCB AutoLoader XYDRIVE Used Working
3094 TEL Tokyo Electron 3281-000133-11 Dry Air Cont D204 Board PCB Used Working
3095 Cosel PAA150F-5-N Power Supply PAA150F-5-R Used Working
3096 Cosel LDA30F-12 Power Supply Used Working
3097 Nikon 4S008-117-1 Sensor Interface Board PCB ALGAF-S/A-X4+ NSR System Used
3098 Nikon NK2551 EPROM Receiver Board PCB NSR System Used Working
3099 Nikon NK2551 EPROM Receiver Board PCB NSR System Used Working
3100 Nikon NK2551 EPROM Sensor Board PCB NSR System Used Working
3101 Nikon NK2551 EPROM Sensor Board PCB NSR System Used Working
3102 Nikon 4S008-116-A Optical Sensor Assembly ALGAF-S/D-X4+ NSR System Used Working
3103 Setra 204100-50-NK Pressure Transducer 204 Nikon NSR System Used Working
3104 Asyst Technologies 9700-6584-01 AdvanTag RFID Reader Set of 2 Rev. A Used
3105 Queensgate Instruments NSPA02/B Preamp POSR-ZRB Nikon 4S288-370-1 NSR-S620D Used
3106 Queensgate Instruments NSPA02/B Preamp POSR-ZLB Nikon 4S288-370-1 NSR-S620D Used
3107 Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. C Summit Used
3108 Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. C Summit Used
3109 Shinko 3ASSYC805401 Interface Processor Board PCB Asyst VHT5-1-1 Used Working
3110 Asyst Shinko HASSYC812000 Power Supply Board PCB OHT-POW-S VHT5-1-1 OHV Used
3111 Opal 50312570000 CVC Board PCB Used Working
3112 VAT 610PB-26NM-0002 Adaptive Pressure Controller PCB Card PB-2 Used Working
3113 KV Automation 4022.480.62992 Reticle Manifold Nikon Used Working
3114 Motorola 0708601 SBC Single Board Computer PCB Delta Design Summit ATC Used
3115 TEL Tokyo Electron 1B80-002394-11 PMC Add On Board (80/80) PCB Used Working
3116 Nikon 4S018-867 Interface Board PCB PPD3X4-I/F NSR System Used Working
3117 Nikon 4S018-867 Interface Board PCB PPD3X4-I/F NSR System Used Working
3118 Asyst Technologies 4002-5679-01 Linear Actuator Assembly Faulhaber 22B Used
3119 Asyst Technologies 4002-5679-03 Linear Actuator Assembly 9701-0135-03 Used
3120 Asyst Technologies 4002-5964-01 Linear Actuator Assembly Rev. A Used Working
3121 Aviza Technology 600058-01 Communication PCB Card Used Working
3122 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D Used Working
3123 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D Used Working
3124 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. F 5006-2101-0102 Used
3125 Asyst Technologies 3200-1065-04 Control Board PCB Rev. T Used Working
3126 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 6018-1001-10 H Used
3127 Asyst Technologies 3200-1065-04 Control Board PCB Rev. M Used Working
3128 Asyst Technologies 3200-1065-04 Control Board PCB Rev. M Used Working
3129 Asyst Technologies 3200-1065-04 Control Board PCB Rev. P Used Working
3130 Asyst Technologies 3200-1065-04 Control Board PCB Rev. P Used Working
3131 Asyst Technologies 3200-1071-01 Processor Board PCB Rev. A MICRO-G2 Used Working
3132 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. F 5006-2101-0102 Used
3133 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 6018-1001-11 Used
3134 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 6018-1001-11 Used
3135 Asyst Technologies 3200-1065-01 Control Board PCB Rev. H Used Working
3136 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D Nikon KAB11310/201M-2
3137 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 6108-1001-10 G Used
3138 Asyst Technologies 3200-1065-01 Control Board PCB 9700-5327-01 Used Working
3139 Asyst Technologies 3200-1065-01 Control Board PCB Rev. P 9700-5327-01 Used
3140 Asyst Technologies 3201-1100-03 Interface Board PCB Used Working
3141 Asyst Technologies 3201-1100-03 Interface Board PCB Used Working
3142 National FY-30CFTA1 HEPA Fan Blower Nikon NSR System Used Working
3143 Nikon Optical Assembly Maxon 252145 4S602-410 NSR System Used Working
3144 Brooks Automation TLG-I2-FL6M-01 Transponder Reader with Antenna FIXLOAD V6 Used
3145 Brooks Automation TLG-I2-FL6M-01 Transponder Reader with Antenna FIXLOAD V6 Used
3146 Brooks Automation TLG-I1-1000-S0-00-EB Transponder Reader w/Antenna FIXLOAD V6
3147 ASM Advanced Semiconductor Materials 2506823-01 Interface Board PCB Used Working
3148 ASM Advanced Semiconductor Materials 2506823-01 Interface Board PCB Used Working
3149 ASM Advanced Semiconductor Materials 2506696-21 Backplane Board PCB Used Working
3150 Oriental Motor UDK5214NW 5-Phase Driver and Motor Set PK566BW-N10 Used Working
3151 Motorola 01-W3269F SBC Single Board Computer PCB Rev. 21C Used Working
3152 Rudolph Technologies A16907 Sensor Board PCB A16223 Used Working
3153 ASM Advanced Semiconductor Materials 2519232-21C Interface Board PCB Used
3154 ASM Advanced Semiconductor Materials 2506602-21 Interface Board PCB Used Working
3155 ASM Advanced Semiconductor Materials 2506602-21 Interface Board PCB Used Working
3156 ASM Advanced Semiconductor Materials 2856735-21 Processor PCB Card 115ASM Used
3157 ASM Advanced Semiconductor Materials 2850125-21 Processor PCB Card Used Working
3158 Clippard 30-Port Valve Manifold EV-3M-24 Used Working
3159 ASM Advanced Semiconductor Materials 2850176-21 Backplane Board PCB Used Working
3160 ASM Advanced Semiconductor Materials 2850176-21 Backplane Board PCB Used Working
3161 ASM Advanced Semiconductor Materials 2850176-21 Backplane Board PCB Used Working
3162 ASM Advanced Semiconductor Materials 2506459-2 Backplane Board PCB Used Working
3163 ASM Advanced Semiconductor Materials 2506610-21 Interface Board PCB Used Working
3164 ASM Advanced Semiconductor Materials 2506416-21 Power Supply PCB Card Used
3165 ASM Advanced Semiconductor Materials 2548860-21 Processor PCB Card Used Working
3166 ASM Advanced Semiconductor Materials 2506629-21 Processor PCB Card Rev. 4-C Used
3167 ASM Advanced Semiconductor Materials 2506629-01 Processor PCB Card Rev. A Used
3168 Shinko SBX93-100133-11 Interface Board PCB SBX08-000053-11 SRCN1 Used Working
3169 Shinko SBX93-100133-11 Interface Board PCB SBX08-000053-11 SRCN1 Used Working
3170 TDK TAS-MAIN Processor Board PCB Rev. 5.30 TAS-CPU Used Working
3171 Yaskawa Electric UTOPI-020SE Minteria Motor QM Series Nikon NSR System Used
3172 Nikon Pneumatic Manual Regulator Box NSR System Used Working
3173 ASM Advanced Semiconductor Materials 2506564-21 Processor Board PCB Rev. A4 Used
3174 ASM Advanced Semiconductor Materials 2334801-21 Processor PCB Card Rev. B Used
3175 ASM Advanced Semiconductor Materials 2334801-21 Processor PCB Card Rev. A Used
3176 ASM Advanced Semiconductor Materials 1001-524-21 Processor PCB Card Rev. B Used
3177 ASM Advanced Semiconductor Materials 1001-524-21 Processor PCB Card Rev. A Used
3178 ASM Advanced Semiconductor Materials 2890224-21 Processor PCB Card Rev. A Used
3179 ASM Advanced Semiconductor Materials 2506661-21 Processor PCB Card Rev. B1 Used
3180 ASM Advanced Semiconductor Materials 2506572-21 Processor PCB Card Rev. A Used
3181 ASM Advanced Semiconductor Materials 2506513-21 Processor PCB Card Rev. A1 Used
3182 ASM Advanced Semiconductor Materials 2616351-21 Processor PCB Card Rev. B Used
3183 Nikon 4S013-379 Interface Board PCB STGX44 NSR System Used Working
3184 Nikon 4S013-394 Interface Board PCB STGX45 NSR System Used Working
3185 ASM Advanced Semiconductor Materials 2882639-21 Backplane Board PCB Rev. A Used
3186 ASM Advanced Semiconductor Materials 2616351-21 Processor PCB Card Rev. A Used
3187 ASM Advanced Semiconductor Materials 2548860-21 Processor PCB Card Rev. A Used
3188 ASM Advanced Semiconductor Materials 2506556-21 Processor PCB Card Used Working
3189 ASM Advanced Semiconductor Materials 2506475-21 Processor PCB Card Used Working
3190 ASM Advanced Semiconductor Materials 2506475-21 Processor PCB Card Used Working
3191 ASM Advanced Semiconductor Materials 2506475-21 Processor PCB Card Used Working
3192 ASM Advanced Semiconductor Materials 2506459-21 Backplane Board PCB Rev. A Used
3193 ASM Advanced Semiconductor Materials 2976285-21 Processor Board PCB Used Working
3194 Omron E2CA-AL4D Proximity Sensor Amplifier Assembly Used Working
3195 Omron E2CA-AL4D-3 Proximity Sensor Amplifier Assembly Used
3196 Cherokee International QT4A1 Power Supply Used Working
3197 Setra 204100-50-NK Pressure Transducer 204 Used Working
3198 DNS Dainippon Screen SL-2220-C-Z Power Control Module Used Working
3199 DNS Dainippon Screen SL-2220-C-Z Power Control Module Used Working
3200 DNS Dainippon Screen SL-2220-C-Z Power Control Module Used Working
3201 Kokusai Electric D1E01223B Processor PCB Card SCOM2A Zestone V DD-1203V Used
3202 Kokusai Electric D1E01223B Processor PCB Card SCOM2A Zestone V DD-1203V Used
3203 Omron Z4LC-C28 Parallel Beam Line Sensor Set With Controller Z4LC-S28 Used
3204 Omron Z4LC-C28 Parallel Beam Line Sensor Set With Controller Z4LC-S28 Used
3205 Omron Z4LC-C28 Parallel Beam Line Sensor Set With Controller Z4LC-S28 Used
3206 Omron Z4LC-C28 Parallel Beam Line Sensor Set With Controller Z4LC-S28 Used
3207 SMC 6-Port Pneumatic Manifold SZ3260-5LOZD-C4 SZ3360-5LOZD-C4 Lot of 2 Used
3208 Krone KS-2500 Differential Pressure Manometer 1000Pa 4-20mA Lot of 11 Used
3209 Nikon 4S017-184-1-Ⓐ Interface Board PCB WLICN NSR-1755G7A Step-and-Repeat Used
3210 Nikon 4S020-001 Interface Board PCB STG61 NSR-1755G7A Step-and-Repeat Used
3211 Nikon NVC6B-1V5YAN Inspection Camera NSR-1755G7A Step-and-Repeat Used Working
3212 Setra 207 Pressure Transducer Nikon NSR-1755G7A Step-and-Repeat G-Line Used
3213 Nikon Photoelectric Sensor NSR-1755G7A Step-and-Repeat G-Line System Used
3214 Nikon 2nd Relay Rear Optic Lens NSR-1755G7A Step-and-Repeat G-Line System Used
3215 Nikon 2nd Relay Front Optic Lens NSR-1755G7A Step-and-Repeat G-Line System Used
3216 Nikon ARB Blinds Unit MU-501C 4S554-001 Faulhaber 2251R012S NSR-1755G7A Used
3217 Nikon 2FE 2nd Fly’s Eye Lens NSR-1755G7A Step-and-Repeat G-Line System Used
3218 Trebor 2472-AD Heater untested as-is
3219 Nikon R1847-07 Photomultiplier Electro-optic Tube NSR-1755G7A Used Working
3220 Faulhaber 2251R012S Minimotor SA Nikon NSR-1755G7A Step-and-Repeat G-Line Used
3221 MFM Technology TW34X-0.50-3502 Stepping Motor SVG 99-49456-01 Used Working
3222 Nikon KBA 00650 ALCP Temperature Controller with Probe NSR-1755G7A Used Working
3223 Nikon 4S013-007 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used
3224 Nikon 4S013-008 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used
3225 Nikon 4S013-059-B Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used
3226 Nikon 4S013-010 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used
3227 Nikon 4S013-002 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used
3228 Nikon 4S013-001 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used
3229 Nikon 4S013-001 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used
3230 Opal 70317875300 SMC/M Vacuum PCB Card AMAT SEMVision cX Defect Review Used
3231 Motorola 01-W3527F SBC Single Board Computer PCB Rev. 21C Delta Design Summit
3232 Motorola 01-W3527F SBC Single Board Computer PCB Rev. 21D Delta Design Summit
3233 Nikon 4S005-088-Ⓐ Sensor Board PCB WLEXPS NSR-1755G7A Step-and-Repeat Used
3234 Nikon NVC6B-2V5TBN VRA Search CCD Camera NSR-1755G7A Step-and-Repeat G-Line Used
3235 Yaskawa Electric UGTMEM-01SNQ11 Mintertia Motor Nikon NSR-1755G7A Used Working
3236 Lenze E94S040S1N Servo Drive 94 SimpleServo 1.20 Used Working
3237 Lenze E94S040S1N Servo Drive 94 SimpleServo 1.21 Used Working
3238 Nikon 4S013-004 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used
3239 Nikon 4S013-005 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used
3240 TDK FAW12-1R3 Power Supply Lot of 3 Nikon NSR System Used Working
3241 ASM Advanced Semiconductor Materials 2519232-21 Interface Board PCB Used Working
3242 Omron GT1-AD08MX Analog Unit Lot of 2 Used Working
3243 Sierra Safety Technology DCR1-S1 Optical Flame Detector Rev. 1.3 Lot of 2 Used
3244 Sierra Safety Technology DCR1-S1 Optical Flame Detector Lot of 2 Used Working
3245 Jenoptik 013501-083-17B Interface Board PCB INFAB Used Working
3246 Jenoptik 013501-083-17B Interface Board PCB INFAB Brooks Automation Used
3247 Colman CYHC-62976-35-1 Motor SVG Silicon Valley Group 99-22401-01 Used Working
3248 Faulhaber 1616E012S Minimotor SA Nikon NSR-1755G7A Step-and-Repeat Used Working
3249 SVG Silicon Valley Group 99-38150-02 Shuttle IES Arm 9003 Used Working
3250 Nikon Fiber Optic Cable 16ft NSR-1755G7A Step-and-Repeat G-Line System Used
3251 Nikon 6″ Arm NSR-1755G7A Step-and-Repeat G-Line System Used Working
3252 Nikon Arm 6″ NSR-1755G7A Step-and-Repeat G-Line System Used Working
3253 RKC Instruments F700FD04-8*CN-NNN-4A Temperature Controller Lot of 2 Used
3254 RKC Instruments F700FD04-8*CN-NNN-4A Temperature Controller Lot of 2 Used
3255 RKC Instruments F700FD12-V*CN-NNN-4A Temperature Controller Lot of 2 Used
3256 Nikon 13-176 Interface Board PCB WGA2IV NSR-1755G7A Step-and-Repeat G-Line Used
3257 Yaskawa Electric TG-7SVE Minertia Motor UGRMEM-02SNQ33 Nikon NSR-1755G7A Used
3258 Yaskawa Electric UGTMEM-03SB2 Minertia Motor Mini Series Nikon NSR-175G7A Used
3259 Faulhaber 2251R012S Minimotor SA S8FLP10A Nikon NSR-1755G7A Used Working
3260 Kantum Electronics LP-2000SM Laser Power Supply Nikon NSR-1755G7A  Used Working
3261 Nikon Internal Elevator NSR-1755G7A Step-and-Repeat G-Line System Used Working
3262 DNS Dainippon Screen DS-1211-ANALOG(B) I/O PCB Module SN-2016-TRDS Used Working
3263 DNS Dainippon Screen DS-1211-ANALOG(B) I/O PCB Module SN-2016-TRDS Used Working
3264 Magnescale BL55-005NEA5T01 Laserscale Amp with Read Head Nikon NSR-S620D Used
3265 FEI Company 4022.192.71661 Processor PCB Card PVG/8I XL 830 DualBeam Used
3266 FEI Company 4022.192.71791 Interface Relay PCB Card SCB XL 830 DualBeam Used
3267 Philips 4022.192.71332 Processor PCB Card EBR FEI Company Used Working
3268 FEI Company 4022.192.72131 Processor PCB Card HVG/D1 XL 830 DualBeam Used
3269 FEI Company 4022.192.72131 Processor PCB Card HVG/D1 XL 830 DualBeam Used
3270 FEI Company 4022.192.70072 Processor PCB Card MOB XL 830 DualBeam FIB-SEM Used
3271 Philips 4022.192.71241 Processor PCB Card EBD FEI Company XL 830 DualBeam Used
3272 FEI Company 4022.192.71511 Processor PCB Card QDCR 7151 2 XL 830 FIB-SEM Used
3273 FEI Company 4022.192.72192 Processor PCB Card MDLN XL 830 DualBeam FIB-SEM Used
3274 FEI Company 4022.192.72192 Processor PCB Card MDLN XL 830 DualBeam FIB-SEM Used
3275 FEI Company 4022.192.72181 Processor PCB Card LNSA XL 830 DualBeam FIB-SEM Used
3276 FEI Company 4022.192.91281 Interface Relay PCB Card MRSF 1 XL 830 FIB-SEM Used
3277 FEI Company 4022.197.94765 Processor PCB Card SCDR 4022.192.71114 XL 830 Used
3278 FEI Company 4022.197.94765 Processor PCB Card SCDR 4022.192.71114 XL 830 Used
3279 FEI Company 4022.192.9204 Processor PCB Card UDTB/N XL 830 FIB-SEM Used Working
3280 FEI Company 4022.192.70141 Processor PCB Card MDAC XL 830 DualBeam FIB-SEM Used
3281 FEI Company 4022.192.70141 Processor PCB Card MDAC XL 830 DualBeam FIB-SEM Used
3282 Philips 4022.192.71781 Processor PCB Card PLCB 2 FEI Company XL 830 FIB-SEM Used
3283 FEI Company 4022.192.70094 Processor PCB Card HRDS 7009 XL 830 FIB-SEM Used
3284 FEI Company 4022.192.91343 Processor PCB Card DDCB XL 830 DualBeam FIB-SEM Used
3285 FEI Company 4022.199.38865 Backplane Board PCB 4022.192.90054 XL 830 Used
3286 FEI Company 18240 Ion Beam Analog Interface PCB Card IBOD XL 830 FIB-SEM Used
3287 FEI Company 4022.192.70072 Processor PCB Card MOB 7007 XL 830 FIB-SEM Used
3288 FEI Company 4022.192.70081 Processor PCB Card MIB XL 830 DualBeam FIB-SEM Used
3289 FEI Company 4022.192.7213 Processor PCB Card HVG/D XL 830 DualBeam FIB-SEM Used
3290 Phililps 4022.192.72182 Processor Relay PCB Card LNSA FEI Company XL 830 Used
3291 TEL Tokyo Electron G260610 Lithius Cot Cabinet Used Working Unlisted
3292 ECOSYS 203-10428-02 Guardian GS4 Used Working Unlisted
3293 TEL Tokyo Electron 1000071322 Dual Block Used Working Unlisted
3294 ASML Nikon Reticle ROB Remote Control Box 4022.470.0883
3295 Lam Research 16-418665-00A Heater Pedestal Assembly Novellus Used Working Unlisted
3296 Lam Research 16-418665-00A Heater Pedestal Assembly Novellus Used Working Unlisted
3297 Lam Research 16-418665-00A Heater Pedestal Assembly Novellus Used Working Unlisted
3298 LAM Research 02-287781-00 “15 Heater Pedestal Assembly Novellus Copper Only Used Unlisted
3299 TEL Tokyo Electron 39003T-80611 300mm Wafer Platen Used Working Unlisted
3300 LAM Research 02-2887782-00 Rev. B 15″ Heater Pedestal Assembly Used Working
3301 Novellus 02-402743-00 300mm Wafer Indexer Used
3302 KLA-Tencore SensArray Wireless High Temp-350 XPI 300mm Used Unlisted
3303 KLA-Tencore SensArray Wireless High Temp-350 XPI 300mm Used Unlisted
3304 Trebor International M50D Maxim High Purity Chemical Pump Refurbished Unlisted
3305 Lam Research 16-383780-02 F Shower Head from CVDPH Used Working Unlisted
3306 Lam Research 16-383780-02 F Shower Head from CVDPH Used Working Unlisted
3307 Tropel Lens Set of 5 Remove from KLA-Tencor AIT2 Used Working Unlisted
3308 TEL Tokyo Electron 2985-441068-W1 Cup Base Assembly Clean Track ACT12 Used
3309 AMAT Applied Materials – AC Power Box – Centura 300mm 1 of 9
3310 AMAT Applied Materials – Ultima X A – Centura 300mm 2 of 9
3311 AMAT Applied Materials – Monolith – Centura 300mm 3of 9
3312 AMAT Applied Materials – Ultima X B – Centura 300mm 4 of 9
3313 AMAT Applied Materials – SMC 1 – Centura 300mm 5 of 9
3314 AMAT Applied Materials – SMC 2 – Centura 300mm 6 of 9
3315 AMAT Applied Materials – RF Rack – Centura 300mm 7 of 9
3316 AMAT Applied Materials – Gas Box – Centura 300m 8 of 9
3317 AMAT Applied Materials – Factory Interface – Centura 300mm 9 of 9
3318 Rudolph Technologies MetaPulse 300mm Bay CU Parts Used Working Unlisted
3319 Tropel 11.25x and 14x Anamorphic Telescope Lens Assembly KLA-Tencor Surfscan AIT I Used Unlisted
3320 Sinfonia Technologies SBX92303986-2 LM-Arm Controller U026151001 CU Exposed Used Unlisted
3321 Comet 20056053 Rev. 00 RF Match Lam Research 27-433872-00 Used Working Unlisted
3322 Comet 20056053 Rev. 00 RF Match Lam Research 27-433872-00 Used Working Unlisted
3323 AE Advanced Energy R27-56558-00 RF Match w/ User Manual Used Unlisted
3324 AE Advanced Energy 27-368450-00 RF Match 3155162-037 C Used Working Unlisted
3325 Edwards Y07AB40E4 TCS Used Working Unlisted
3326 AMAT Applied Materials 0040-98491 Rev. 03 Siconic Clean Chiller Assembly 300mm Used Unlisted
3327 AMAT Applied Materials 0040-91179 Rev. 005 Heater Purge Ring Assembly 300mm Used Unlisted
3328 Thermo Neslab 622023991801 Heat Exchanger DIMAX 46000 Hours Tested Working
3329 Colandis 12626 FFU Fan Filter Unit KLA-Tencor 11301406028000 WEI/WBI Used
3330 TEL Tokyo Electron PTI Controller 1 Automated Exhaust System Set ACT12 Used
3331 TEL Tokyo Electron PTI Controller 2 Automated Exhaust System Set ACT12 Used
3332 Hitachi 300mm Wafer Handling Ceramic End Effector 1-5 Set of 5 M-712E Used
3333 Power-One HBAA-40W-A Power Supply Delta Design 1947972-001 Summit ATC Used
3334 Kollmorgen D101B-93-1210-014 PM Servomotor DDR AMAT Reflexion Spindle Motor Used
3335 Kollmorgen D101A-93-1215-001 PM Servomotor DDR AMAT Reflexion Dent to Rim Used
3336 Kollmorgen D101A-93-1215-001 PM Servomotor DDR AMAT Reflexion Discoloration Used
3337 Tegal CE1323-10101 AC Distribution Unit 6500 HRe Dual Frequency Plasma Etch Used
3338 STL Stewart Transformers 26kVA Transformer AIT 1360-00103 Untested As-Is
3339 STL Stewart Transformers 26kVA Transformer AIT 1360-00103 Used Working
3340 ASML Nikon Loader TUR Remote Control Box 4022.470.0892
3341 TEL Tokyo Electron System Maintenance Operator Panel Touch Screen Unity II Used
3342 ASML Nikon Loader FRM Remote Control Box 4022.470.0903
3343 AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Used Working
3344 Pearl Kogyo LP-2000-800KBX RF Power Generator Hitachi M-712E Used Working
3345 Kyoto Denkiki KDS-30350WFX Dual Output Power Supply Hitachi M-712E Used Working
3346 Hitachi Wafer Inspection Handling Robot I-900SRT Defect Inspection Tool Used
3347 Kokusai Electric Heater Tape REAC/B.G. Line Temperature Controller Used Working
3348 Hitachi PUMP 2 Controller Module M-712E Shallow Trench Etcher Used Working
3349 Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A Used Working
3350 AMAT Applied Materials 9010-01378ITL Celerity BF3 MFC Gas Panel Assembly Used
3351 AMAT Applied Materials 0020-48303 300mm Cleancoat Lower Shield Cu Refurbished
3352 Comet 20062704 Rev.00 Source Matching Network 0190-41240 Used Working Unlisted
3353 TDI Power 136957-R9590 Analyzer Corrector Magnet Power Supply SPS5610-R9590 Used Working Unlisted
3354 Lam Research 02-335815-00 Rev. A 15″ Heater Assembly Pedestal 101292-2611-007 CU Used Working Unlisted
3355 Lam Research 16-377679-00 Rev. B 15″ Heater Pedastal Assembly 118691-L20-023 B654 Used Unlisted
3356 Lam Research 16-377679-00 Rev. B 15″ Heater Pedastal Assembly 118691-L20-023 B654 Used Unlisted
3357 Lam Research 02-287782-00 Rev. B 15″ Heater Pedestal Assembly 118691-3610-016 B653 Used Unlisted
3358 TEL Tokyo Electron 3D10-100157-V5 BEL BTM Plate Base Assembly Used Unlisted
3359 TEL Tokyo Electron 3D10-100157-V5 BEL BTM Plate Base Assembly Copper Exposed Used Unlisted
3360 TEL Tokyo Electron 3D10-100157-V5 BEL BTM Plate Base Assembly Copper Exposed Used Unlisted
3361 TEL Tokyo Electron 3D10-100157-V5 BEL BTM Plate Base Assembly Copper Exposed Used Unlisted
3362 Daihen SMA-20B Microwave Waveguide Magnetron Assembly Hitachi M-511E Etcher Used
3363 AMAT Applied Materials 0100-01875 AC Distribution Board Used Working
3364 Ludl Electronic Products Motorized Microscope Stage X-Y Used Working
3365 Hitachi Kokusai Denki 3CD02233 Adjustment Board PCB P_MASTER Mikro Sonic Used
3366 Brooks Automation 812100065 Communication Board PCB 013501-165-I1 AEZ02 Used
3367 Brooks Automation 812100065 Communication Board PCB 013501-165-I1 AEZ02 Used
3368 Brooks Automation 812100065 Communication Board PCB 013501-165-I1 AEZ02 Used
3369 Brooks Automation 812100065 Communication Board PCB 013501-165-I1 AEZ02 Used
3370 Brooks Automation 812100090 Communication Board PCB 013501-185-I1 AEZ01 Used
3371 AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Rev B
3372 AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Rev C
3373 AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Used
3374 AMAT Applied Materials 0041-32575 300mm Titan Dampened Carrier CU Refurbished Unlisted
3375 TEL Tokyo Electron 3D05-350116-V1 Insulator Ring 417 New Unlisted
3376 Pentagon Technologies 500236841 Cleaned Housing Bottom CL-RE3Z10-20012-V1 New Unlisted
3377 Chamber Performance Services 16-179557D99 Rev. Q Plenium Gas Shim Refurbished Unlisted
3378 AMAT Applied Materials 0040-23891 Heater Assembly KSM-5213-2396 Used Working Unlisted
3379 Lam Research 716-175990S001 12″ Quartz Bell Jar Used Working Unlisted
3380 AMAT Applied Materials 0040-49965 Retaining Ring 300mm Titan Head New Unlisted
3381 Hitachi 1-A04824-01 Ground Electrode New Unlisted
3382 TEL Tokyo Electron 44018NP-809V BTM Pre Post Clean Electrode Used Working Unlisted
3383 AMAT Applied Materials 0021-43576 Shield, Outer TXZ, CVD Highlander New Unlisted
3384 TEL Tokyo Electron ES3D10-351468-V1 Plater Chamber BTM3 FC3 Refurbished Unlisted
3385 TEL Tokyo Electron ES3D10-150806-V CL Electrode Bottom CU Used Working Unlisted
3386 TEL Tokyo Electron ES3D10-250759-V1 Shield Depo Inner 170 WL FC3 New Unlisted
3387 AMAT Applied Materials 0040-91179 Heater Purge Assembly 300mm TXZ Used Working Unlisted
3388 AMAT Applied Materials 0040-91179 Heater Purge Assembly 300mm TXZ Used Working Unlisted
3389 AMAT Applied Materials 0040-91179 Heater Purge Assembly 300mm TXZ Used Working Unlisted
3390 AMAT Applied Materials 0190-35076 DC Power Supply VAD610014 Used Working Unlisted
3391 AMAT Applied Materials 0010-23802 Rev. 003 DC Power Supply 101143-04 Used Working Unlisted
3392 Lam Research 02-426825-00 Pedestal Assembly STN1 Brazed C3SOLA Used Working Unlisted
3393 TEL Tokyo Electron 78039NP-809V1 BTM Pre Post Clean Electrode Used Working Unlisted
3394 TEL Tokyo Electron 47044NP-809V1 BTM Pre Post Clean Electrode Used Working Unlisted
3395 TEL Tokyo Electron 2X022NP-809V1 BTM Pre Post Clean Electrode Used Working Unlisted
3396 Varian E11653330 Rebuild Platen Assy, Comp III, 300mm RE11334192 Refurbished Unlisted
3397 Varian E11653310R Rebuild Platen Assy, Comp III, 300mm RE11330860 Refurbished Unlisted
3398 Lam Research 02-287781-00 15″ Heater Assembly Pedestal Used Working Unlisted
3399 AMAT Applied Materials 0021-96593 Rev. 002 Cooling Plate Copper Only Used Working Unlisted
3400 AMAT Applied Materials 0021-96593 Rev. 002 Cooling Plate Copper Only Used Working Unlisted
3401 Hitachi High Technologies 1-A00893-90 Ground Electrode Single PC New Unlisted
3402 V-Tex 172B336x48DCPR03 Rollcam Slit Valve Copper Exposed Spare Unlisted
3403 MKS Instruments 253-13264 Exhaust Throttle Valve 253B JSP027-93 Copper Spare Unlisted
3404 V-Tex V055372 Rollcam Slit Valve 3D80-001812-V1 Spare Unlisted
3405 AMAT Applied Materials 0040-61317 Aluminum Oxide Coated Bell Jar 300mm Used Working Unlisted
3406 AMAT Applied Materials 0200-02597 300mm Internal Insulator Rev. 003 Refurbished Unlisted
3407 Hitachi 2-829543-03 Quartz Front Ring New Spare Unlisted
3408 AMAT Applied Materials 0010-51269 Electro Static Chuck Spare Unlisted
3409 AMAT Appled Materials 0040-96305 DRP2 Cooldown Chamber Pedestal Spare Unlisted
3410 KoMiCo S31A-CB-006-A Isolation Ring New Unlisted
3411 Hitachi CR-712T-A Clean Robot Copper Exposed Spare Surplus Unlisted
3412 KLA-Tencor 3240A-12-8024_SA Integral Wafer Non-Mod i3 300mm Wet 15-140c New Unlisted
3413 KLA-Tencor BT300-G4_SA BakeTemp SensorWafer Refurbished Unlisted
3414 V-Tex 172B336x48DCPR03 Rollcam Slit Valve Spare Surplus Unlisted
3415 Genmark Automation 5064340 Wafer Handling Robot 2LAC040864 As-Is Unlisted
3416 Lam Research 16-383780-01 CL CVDph Shower Head Used Working Unlisted
3417 Thermo Neslab HX75 Chiller BOM 386105021704 Not Working
3418 ASML Nikon RH Handling Box SHB-RH 4022.470.6295
3419 TEL ACT 8,12 Lambda DC Power Supply Module JWS100-24A working 24V 4.5A
3420 Hitachi KP-D50U CCD Camera & Colorado Video 620 X-Y Indicator Used Working
3421 Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B
3422 Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B
3423 Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B
3424 Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B
3425 Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B
3426 Edwards Y04601486 Combustor TPU Thermal Processing Unit Quench/Weir TS505 Spare
3427 Alloy Products 885574 Pressure Vessel HMDS Canister Tank ASML SVG 90S Trackmate
3428 Alloy Products 13-0148-00 Pressure Vessel CANISTER #3 Solvent Tank ASML SVG 90S
3429 Alloy Products 99-42795-01 Pressure Vessel CANISTER #2 Develop Tank ASML SVG 90S
3430 Alloy Products 99-40350-01 HMDS CANISTER Pressure Vessel Tank SVG 90S Trackmate
3431 Alloy Products 1A1/X1.8/1390/01 SOLVENT Pressure Vessel Tank SVG 90S Trackmate
3432 Alloy Products 1A1/X1.8/1600/01 SOLVENT Pressure Vessel Tank SVG 90S Trackmate
3433 Alloy Products 99-41124-01 Pressure Vessel SOLVENT CANISTER #4 SVG 90S Trackmate
3434 Alloy Products 99-41064-01 Pressure Vessel SOLVENT CANISTER #5 SVG 90S Trackmate
3435 FEI Company 4022.199.66793 Processor PCB Card DLCB/SN XL 830 FIB-SEM Used
3436 FEI Company 4022.192.57903 Backplane Board PCB XL 830 DualBeam FIB-SEM Used
3437 FEI Company 4022.199.52631 Power Supply PCB Card LHT/N XL 830 FIB-SEM Used
3438 FEI Company 18634-D Power Supply PCB Card BIAS,FPS XL 830 DualBeam FIB-SEM Used
3439 FEI Company 19174-E Power Supply PCB Card GAIN, FPS, +3KV XL 830 FIB-SEM Used
3440 FEI Company 4022.199.45262 Power Supply PCB Card LHN/2 XL 830 FIB-SEM Used
3441 VAT 26324-KA41-0001 HV Angle Valve V10 AMAT Applied Materials SEMVision cX Used
3442 FEI Company 4022.192.91323 Backplane Board PCB 4022.199.62131 XL 830 Used
3443 FEI Company 4022.192.9119 Backplane Board PCB 4022.199.70352 XL 830 FIB-SEM Used
3444 Densei-Lambda JWS150-5 Open Frame Power Supply JWT100-522 Lot of 2 Used Working
3445 KEL VPDEST2250 VME Bus J2 3 Slot Backplane Board PCB DNS FC-3000 Used Working
3446 KEL VPDEST1810 VME Bus J1 9 Slot Backplane Board PCB DNS FC-3000 Used Working
3447 DNS Dainippon Screen PC-99033D-0123 Processor PCB Card FC-3000 Wet Station Used
3448 Sanritz Automation SVP501-3-S Processor PCB Card 02EP3 DNS FC-3000 Used Working
3449 SunX FT-WA8 Sensor Set of 2 Nikon NSR-S307E DUV Scanning System Used Working
3450 SMC MQQTB28-31S-X93 Pneumatic Cylinder Metal Seal MQQTB28-13S-X93 Lot of 4 Used
3451 SOC Showa Optronics GLG5414 HeNe Laser Head Nikon NSR-S204B Step-and-Repeat Used
3452 Mycom PG104L-04 Processor PCB Card MY5211-047A DNS FC-3000 Used Working
3453 Glassman High Voltage PS/ER06N25.0YZ4 Power Supply AMAT 9090-00473 Used Working
3454 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 5006-2101-0101 Used
3455 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 6018-1001-10 G Used
3456 TDK TAS-LED Indicator Light Board PCB Rev. 5.11 300mm TAS300 Load Port Used
3457 TDK TAS-IN6 Backplane Interface Board PCB Rev. 1.10 TAS300 Load Port Used
3458 TDK TAS-RIN11 Backplane Interface Board PCB Rev. 1.30 TAS300 Load Port Used
3459 Nikon 4S587-469-3 Linear Motor Controller SPA452A 4S013-373-2 NSR System Used
3460 Komatsu Electronics NE-3122C-7L Recirculating Chiller ABBAA0009000 Used Working
3461 National Display Systems 90X0026 15in Touchscreen Monitor DM-X15/ZN Used Working
3462 Echelon 801-1047-51 Flash Control Module PCB 55010R-10 iH Sim TP/XF-78 Used
3463 Echelon 801-1047-01 Flash Control Module 55010-10 iH Sim TP/XF-78 Used Working
3464 Edwards D37232212 Processor Board PCB EGM TEG-DL1 Used Working
3465 Edwards D37232212 Processor Board PCB Used Working
3466 SVG Silicon Valley Group 859-8215-003 Control Board PCB 859-0954 Used Working
3467 SVG Silicon Valley Group 859-8210-004 Control Board PCB 859-0954 Used Working
3468 Edwards D37215232 iNIM Network Interface Backplane Board PCB Issue D Used
3469 Nikon 4S005-155 Interface PCB Card TEMP-AMP2 NSR-S306C Used Working
3470 Nikon 4S007-549 Power Control Card PCB HUMI-PWR NSR-S306C Used Working
3471 Nikon 4S008-072 Relay Control Card PCB ALCP-AD2X3 NSR-S306C Used Working
3472 KLA Tencor 0327320-000 19in Display Monitor Used Working
3473 Multimek 10-101250-RB Power Supply Used Working
3474 Tokyo Flow Meter EM-0100 Multi-Digitalmeter Lot of 10 Used Working
3475 SVG Silicon Valley Group 879-8073-002 Cap Gauge ASP Assembly Board PCB Spare
3476 SVG Silicon Valley Group 859-0955-002 Negative Driver Board PCB Card A5552 Spare
3477 SVG Silicon Valley Group 859-0757-001 Positive Driver Board PCB Card A5553 Spar
3478 SVG Silicon Valley Group 99-80184 Arm Vacuum Sensor Board PCB Working Spare
3479 Cyber Research 9700-7182-12 Processor PCB Card Working Spare
3480 AltiSys Communications P/I-P4BVLL SBC Single Board Computer PCB PC 2100 Spare
3481 Portwell PCI-2UP3 Backplane Board PCB Working Spare
3482 Nikon 4S782-443 ALCP Temperature Controller NSR-S204B Step-and-Repeat Spare
3483 Zygo 8040-0128-01 9mm ZMI Fiber Optic Pickup Nikon NSR-S620D Scanner Spare
3484 Zygo 8040-0128-01D 9mm ZMI Fiber Optic Pickup Nikon NSR-S620D Scanner Spare
3485 Zygo 8040-0130-01D 18mm ZMI Fiber Optic Pickup Nikon NSR-S620D Scanner Spare
3486 Kneil System-Electronic 313-021-04 .03 Power Supply PCB Card ASML 4022.436.7930
3487 SVG Silicon Valley Group 879-8073-002 Cap Gauge ASP Assembly Board PCB Spare
3488 EMACS 2000930112 Power Supply SP2-4300FA Working Spare
3489 SVG Silicon Valley Group Shuttle Arm Assembly 80184C2-02 No Arm Working Spare
3490 Sony 1-876-867-12 LD Module Processor PCB Card CT-LS01 Nikon NSR-S620D Spare
3491 Nikon CS017-011 Interface Board PCB NSR System Working Spare
3492 Sony 1-876-867-12 LD Module Assembly PCB Card Nikon 4S900-155 NSR-S620D Spare
3493 Nikon 4S900-155 LD Module LDM790A NSR-S620D ArF Immersion Scanner System Spare
3494 Nikon 4S900-157 LD Module LDM650A NSR-S620D ArF Immersion Scanner System Spare
3495 Sony 1-876-868-12 LD Module Backplane Board PCB MB-LS03 Nikon NSR-S620D Spare
3496 Power-One HBAA-40W-A Power Supply Delta Design 1923710-001 Working Spare
3497 Power-One HBAA-40W-A Power Supply Delta Design 1947972-001 Working Spare
3498 Nikon 4S013-495 Illusion Unit Backplane Board PCB ILC-UNIT-BP NSR System Spare
3499 Hamamatsu S9037-0902N Window-Less CCD Area Image Sensor FW08 388 Nikon NSR-S620D
3500 Nikon 4S554-488 Processor Board PCB AFU-S40-S10-4CT-N11 NSR-S620D Working Spare
3501 Advanet AGpci7500 SBC Single Board Computer PCB Card Nikon 4S015-265 NSR Spare
3502 Nikon 4S008-135 Processor PCB Card AF-PSDSUB-X3 NSR-S307E DUV Scanning Spare
3503 Nikon 4S008-089 Relay Control Board PCB 4S008-089 NSR-S307E DUV Scanning Spare
3504 Nikon 4S014-182 Interface Relay Board PCB AF-I/FX4A NSR-S307E DUV Scanning Spare
3505 Nikon 4S008-086 Relay Control Board PCB AF-MPXX4A NSR-S307E DUV Scanning Spare
3506 Nikon 4S008-187 Backplane Interface Board PCB AF-PSDX4B NSR-S307E DUV Spare
3507 Nikon 4S013-497 Processor PCB Card DSP-INF NSR System Working Spare
3508 Nikon 4S554-492 Processor Board PCB AFU-S40-S10-4CT-N11 NSR-S620D Working Spare
3509 AMAT Applied Materials 9010-02162 Beamline Exaust Box Quantum X Working Spare
3510 MKS Instruments 152H-P0 Automatic Pressure Controller Type 152 No Key Spare
3511 Sony 1-876-865-12 Processor PCB Card SV-LS02 Nikon 4S025-363 NSR-S620D Spare
3512 Yamatake DMC55CVR40001000 Processor PCB Card 81423445-001 0912Ne Nikon NSR-S620
3513 Yamatake DMC55CVR40001000 Processor PCB Card 81423445-001 0908Ne Nikon NSR-S620
3514 Yamatake DMC55CVR40001000 Processor PCB Card Nikon 4S014-253 NSR-S620D Spare
3515 Yamatake DMC55CVR40001000 Processor PCB Card Nikon NSR-S620D Working Spare
3516 Yamatake DMC55CVR40001000 Processor PCB Card Nikon 4S014-252 NSR-S620D Spare
3517 Yamatake DMC55CVR40001000 Processor PCB Card Nikon NSR-S620D Spare
3518 Yamatake DMC55CVR40001000 Processor PCB Card Nikon NSR-S620D Working Spare
3519 Yamatake DMC55CVR40001000 Processor PCB Card 4S014-263 Nikon NSR-S620D Spare
3520 Yaskawa CRC-023 Processor Board PCB UZZZ002250 Nikon NSR System Working Spare
3521 Yaskawa TYA79-4005-NQ Processor Board PCB JASP-CAA3CPB Nikon NSR System Spare
3522 Advanet AGpci7508 SBC Single Board Computer PCB Card Nikon 4S015-497 Spare
3523 Sony 1-876-863-11 Processor PCB Card DPR-LS52 Nikon 4S025-362 NSR-S620D Spare
3524 Nikon 4S008-135 Interface Board PCB AF-PSDSUB-X3 NSR-S204B Step-and-Repeat Used
3525 TDK RAW12-14R Power Supply Nikon NSR-S204B Scanning System Used Working
3526 Nikon 4S018-214-1 Interface Board PCB LM.I/F NSR-S204B Step-and-Repeat Used
3527 Nikon 4S018-214-1 Interface Board PCB LM.I/F NSR-S204B Step-and-Repeat Used
3528 Nikon 4S003-028 Interface Control Board PCB DCMD-L2 NSR-S204B Used Working
3529 Nikon 4S007-580-C Analog to Digital Interface Board PCB PPD-A/D NSR-S204B Used
3530 Nikon 4S007-580-C Analog to Digital Interface Board PCB PPD-A/D NSR-S204B Used
3531 Nikon 4S007-609 Interface Board PCB PPD-EXBDY NSR-S204B Step-and-Repeat Used
3532 CompactPCI 6600-CPCI07TNI4 Backplane Board PCB PICMG2.0 R3.0 NSR-S620D Spare
3533 Nikon 4S015-130 Interface Board PCB NK-C31D21 NSR-S204B Working Spare
3534 Hamamatsu C9047/48_CB-0306 Interface Processor Board PCB Nikon NSR-S205C Working Spare
3535 Hamamatsu C9047/48_SB_0210 Interface Board PCB Nikon NSR-S205C Working Spare
3536 Shinko Electric M223D Compact Servo Drive Board PCB Working Spare
3537 Nikon 4S011-012-1 Processor Card PCB AM6PDINS NSR System Working Spare
3538 Asahi 130NK 3-1A AVIS1 ERG AMP 4S587-016-1 Nikon NSR-S205C Working Spare
3539 Nikon 4S007-878-1 Backplane Interface Board PCB AF-I/FX21 NSR-S205C Working Spare
3540 Nikon 4S017-723-B Backplane Board PCB LD-MTH NSR System Working Spare
3541 Nikon 4S017-716 Backplane Board PCB PD-MTH NSR System Working Spare
3542 Nikon 4S013-464 Interface Link Board PCB RBTLNK3 NSR-S307E Working Spare
3543 Nikon 4S013-416 Interface Link Board PCB RBTMLNK2 NSR-S307E Working Spare
3544 Nikon 4S011-012 Processor Card PCB AM6PDINS NSR System Working Spare
3545 Nikon 4S017-702 Control Card PCB KENCTL NSR System Working Spare
3546 Nikon 4S014-077 Control Card PCB MTR-CTRL NSR System Working Spare
3547 Harmonic Drive RP-11-250-5B Hi-T Drive Step Motor Nikon NSR-S306C Spare
3548 Komatsu Electronics ABKAA0009300 Temperature & Humidity Controller SPA-1822-i-2 For Parts As-Is
3549 TEL Tokyo Electron TAB216/1/VT1-R Interface Board PCB CX08-050026-11 Working Spare
3550 Nikon 4S018-144 Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
3551 Sony 0-646-556-02 Laserscale Processor Card PCB DPR-LS12 Nikon NSR Working Spare
3552 Nikon 4S007-900-1G Interface Board PCB IU-X2A NSR-S204B Step-and-Repeat Used
3553 Nikon 4S081-539 Processor Card PCB 4S018-591 Nikon NSR Working Spare
3554 ESI Electro Scientific Industries 83108 Z VAC 9300 Interlock Board PCB Rev. C Spare
3555 Nikon 4S001-070-1 Power Supply Board PCB PW-NE NSR-S204B Step-and-Repeat Used
3556 Nikon 4S018-057-3 Processor Card PCB WL3SEN2 Nikon NSR Working Spare
3557 Nikon 4S014-114 Processor Control Card PCB LC-CTL3 Nikon NSR-S204B Working Spare
3558 Nikon NSR S204B 4S007-855 WL3CR PCB Board Working
3559 Nikon NSR S204B 4S018-568-A WL3PNL4 PCB Board Working
3560 Nikon NSR S204B Yaskawa XU-DL1600W30 Driver Board Working
3561 Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working
3562 Nikon 4S007-953-A Relay Card PCB WL3MOT5 NSR-S204B Step-and-Repeat Used Working
3563 Nikon NSR S204B Asahi 4S014-160A D2810 Linear Pulsemotor Cont. Board Working
3564 Nikon NSR S204B 4S018-531-1 WL3MTR-H6 PCB Board Working
3565 Nikon  NSR-S204B FPIF-IMAC Control Board 4S018-693 Working A20092
3566 Nikon NSR S204B Prealigner Assembly working
3567 HC30 Kashiyama HC30B Screw Drive Dry Vacuum Pump Untested As-Is
3568 HC60 Kashiyama HC60B Screw Drive Dry Vacuum Pump Used Untested As-Is
3569 TDK Corporation TAS300 300mm FOUP Load Port Type E3+ KLA-Tencor 0084604-005 New
3570 Nikon Model 300 Microscope Inspection System 010-4085-001 Untested Surplus
3571 Brooks Automation 162770-01 300mm Wafer Load Port VISION Working Spare
3572 Rudolph Technologies MetaPulse Cu 300mm BAY Vanguard Series Unlisted
3573 Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V6 Spare
3574 Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V6 Spare
3575 Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V6 Spare
3576 Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V7 Spare
3577 Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V8 Spare
3578 Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V6 Damage
3579 Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-0033
3580 TDB001 – Rudolph Technologies F30 – Mounter 2 – Crate 12/14
3581 TDB001 – Rudolph Technologies F30 – Carriage Assy Cable – Crate 14/14
3582 TDB001 – Rudolph Technologies F30 – AC Power Box – Crate 2/14
3583 TDB001 – Rudolph Technologies F30 – Misc Parts – Crate 3/14
3584 TDB001 – Rudolph Technologies F30 – Duct Unit – Crate 5/14
3585 TDB001 – Rudolph Technologies F30 – APC – Crate 8/14
3586 TDB001 – Rudolph Technologies F30 – Rudolph F-Series Inspection Module- Crate 10/14
3587 BPM00002 –  Inspection and Diverter – 3/5
3588 BPM00002 –  BPL – 1/5
3589 BPM00002 – BPR – 2/5
3590 PUF401 – Transfer Module – 00 of 27
3591 PUF401 – Loader Module – 00 of 27
3592 PUF401 – Misc. Parts 2
3593 PUF401 – Chiller PM2
3594 PUF401 – Chiller PM1
3595 PUF401 – Chiller PM4
3596 PUF401 – E-Rack 1 – 06 of 27
3597 PUF401 – E-Rack 2 – 07 of 27
3598 PUF401 – E-Rack 4 – 08 of 27
3599 PUF401 – 3MS Gas Cabinet
3600 PUF401 – C4H6 Gas Cabinet
3601 PUF401 – Zeomac Gas Cabinet
3602 PUF401 – Main Power Dist – 13 of 27
3603 PUF401 – Matching Box 1 – 14 of 27
3604 PUF401 – Process Mod 4 – 14 of 27
3605 PUF401 – Matching Box 2 – 15 of 27
3606 PUF401 – Process Mod 2
3607 PUF401 – Matching Box 4
3608 PUF401 – Process Mod – 18 of 27
3609 PUF401 – Utility Terminator
3610 PUF401 – Pump Rack (Part 2)
3611 PUF401 – Pump Rack
3612 Nanometrics FLX Series Operator Interface Display Panel and Keyboard Working
3613 TDK Corporation TAS300 300mm Wafer Load Port Type E3 Nanometrics FLX Working
3614 Shinwa T&H-ME2-1AAZZZZ-02 Temperature and Humidity Controller Tested As-Is
3615 Nikon  NSR-S204B Lens Assembly T-EXX3-05806 Working
3616 Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working
3617 Nikon NSR S204B Monocular Lens Assembly Working
3618 Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B
3619 Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B
3620 Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B
3621 Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B
3622 AMAT Applied Materials 419047R3-EY-ZCHD Chamber D CPI-VMO Untested As-Is
3623 AMAT Applied Materials 413124R8-EN-ZCHD Chamber D FEP Siconi Chamber CPI-VMO As-Is
3624 Kawasaki TS220-D511S Robot & Controller Assembly 50607-1222 Copper Exposed As-Is
3625 AMAT Applied Materials 409473 P4-ECH4 Chamber 4 CPI-VMO Untested As-Is
3626 Edwards NRD751000 Gas Abatement Unit HELIOS Exhaust No Combustion Head As-Is
3627 Kawasaki TS220-D511S Robot & Controller Assembly 50607-1222 Missing Drives As-Is
3628 Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working
3629 Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working
3630 AMAT Applied Materials 401493LCM1 Endura Chamber PVD As-Is
3631 AMAT Applied Materials 417631-P4-ECH4 Chamber 4 CPI-VMO Untested As-Is
3632 AMAT Applied Materials409473-PJ-ECH3 Chamber 3 CPI-VMO Copper  Untested As-Is
3633 AMAT Applied Materials 422499-P4-ECH1 Chamber 1 CPI-VMO Incomplete As-Is
3634 Advantest T2000 SoC Test System Untested As-Is – 1 of 2
3635 Advantest T2000 SoC Test System Untested As-Is – 2 of 2
3636 Advantest T2000 SoC Test System Untested As-Is – 1 of 2
3637 Advantest T2000 SoC Test System Untested As-Is – 2 of 2
3638 TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used
3639 TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used
3640 Sanyo Denki PMM-BD-5705-1 Servo Driver Pentasyn TEL Tokyo Electron P-8 Used
3641 TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working
3642 TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working
3643 TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working
3644 Asyst 03365-008 200mm Wafer Indexer Nikon OPTISTATION 3 Used Working
3645 Nikon 200mm Wafer Prealigner Assembly 2S013-076 Optistation 3 Used Working
3646 Nikon 200mm Wafer Handler Assembly Optistation 3 Inspection System Used Working
3647 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working
3648 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working
3649 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working
3650 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working
3651 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working
3652 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working
3653 Nikon Optistation 3 200mm Wafer Transfer  System SST55D3C020 V100E1 Used Working
3654 Lam Research 853-012350R002 Outer Gate Assembly Rev. H 4420 Etcher Used Working
3655 LAM 4420 Weldment Entrance & Exit Line 839-024563-100 Pair 839-024561-100
3656 TEL Tokyo Electron MA02702 LCD PCB Board ORN-3B ACT12 Used Working
3657 Nikon PCB Control Board SH-SNS 4S018-907 Used Working NSR-S307E
3658 Nikon 4S019-262 Interface Control Board PCB IU-DRV2-X4B1 NSR-S307E DUV Used
3659 Nikon PCB Control Board 4S013-481 Used Working NSR-S307E
3660 Nikon Control Board PCB 4S019-058 IU-CTRL1 Used Working NSR-S307E
3661 Applied Materials AMAT 0100-91086 Plasma Arc Current Board Used Working XR80
3662 Acromag IP Carrier PCB ASML AVME9675-2 4022.470-6639 Used Working
3663 Nikon NSR-S307E Asahi Liner Pulsemotor Controller D2990 working 4S014-178
3664 Nikon NSR-S307E XY-Link Controller Board 4S013-414-XYRLNK working
3665 Panasonic MSDA4ACA1A04 AC Servo Driver Used Working
3666 Fusion Semiconductor 238401 Process Chamber Control Card PWB Assembly Used
3667 TEL Tokyo Electron 837 PRA Process Block Robotics Arm Assembly ACT12-200 Used
3668 ASML 4022-436-1609 ASML Control Board working
3669 ASML 4022-471-6615 Analog I/O Board 4022-471-4087 working
3670 ASML Power Supply 4022.476.01511 Used Working
3671 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working
3672 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working
3673 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working
3674 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working
3675 ASML 5V Power Supply 4022.436.55291 Used Working
3676 MRC Materials Research A114571 Wafer Aligner Assembly Eclipse Star A114575 Used
3677 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Used Working
3678 LAM Research 853-024403-100-8 Orbital Gas Panel 4420 Etcher Assembly Untested
3679 LAM Research 853-024403-100-8 Orbital Gas Panel 4420 Etcher Assembly Untested
3680 LAM Research 853-24403-100-6 Orbital Gas Panel 4420 Etcher Assembly Untested
3681 LAM Research 853-024403-500-E1 Orbital Gas Panel 4420 Etcher Assembly
3682 LAM Research 853-024403-583-C Orbital Gas Panel 4420 Etcher Assembly Untested
3683 Koganei Vacuum Assembly SP1207W 4K191-631 Used Working
3684 Nikon 4S782-766 Stepper Controller Driver Used Working
3685 Nikon 4S782-766 Stepper Controller Driver Used Working
3686 AMAT Applied Materials 0040-48435 300mm Upper Chamber Adapter new
3687 AMAT Applied Materials 0040-52495 300mm Bottom Chamber Adapter new
3688 Schlumberger 740021410 DC Power Supply Rev.02 working
3689 Nikon KXG76830 Lamp Control Module 4S008-035 working
3690 Edwards D37420000 Local Control Module iTIM E73+A1+T1 Used Working
3691 Zellweger Analytics 2414-0017 Non-Intrusive Calibrator Digi-Cat Gauge New
3692 SEIKO Precision VP-4500 Thermal Video Printer working
3693 TEL Tokyo Electron 3D81-000071-VI PF-DB LM CONT PCB Board 3D80-000701-V1 Used
3694 Schlumberger 740021410 DC Power Supply Rev.00 working
3695 Schlumberger 740021410 DC Power Supply Rev.01 working
3696 Daihen AGA-50B2-V RF Generator DGP-120A2-V DC Power Supply Used Tested Working
3697 Westcor WE-100-1F/E-SCH DC Power Supply Rev.A working
3698 TDK DC Power Supply RGW48-32R Used Working
3699 STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 5 Used
3700 Nikon ALCP-MTHX3 Control PCB 4S018-837 Used Working
3701 JAE KT000227 6 Axis Vibration Measurement Unit JNP-002 Nikon 4S586-613 working
3702 JAE KT000227 6 Axis Vibration Measurement Unit JNP-002 Nikon 4S586-613 working
3703 JAE KT000227 6 Axis Vibration Measurement Unit JNP-002 Nikon 4S586-613 working
3704 Futaba HPS-FB6-2 Power Supply Module CZ0190 working 4S064-064
3705 Futaba HPS-FB6-2 Power Supply Module CZ0190 working 4S064-064
3706 Futaba HPS-FB6-2 Power Supply Module CZ0190 working 4S064-064
3707 Extreme Networks Summit48 15000 Network Switch Summit24 lot of 13 working 13011
3708 MagneTek GPD-506 E145918A AC Frequency Drive working
3709 Lambda LFS-52-5-44147-4 Regulated Power Supply Used Working
3710 Omron E5AX Digital Controller 303-030 for SVG860
3711 Lam 852-011201-001 Rev. J Exit Loadlock Assembly 853-012123-001 Rev. G Used
3712 Lam 852-011200-003-F-231 Entrance Loadlock 853-012123-001-E-230S 4420 Used
3713 Lam 852-011200-003-F-231 Entrance Loadlock 853-012123-001-E-230S 4420 Used
3714 LAM 4420 Etcher 852-011200-003 Rev.J Entrance Loadlock Assembly 853-012123-001
3715 Lam 4420 Etcher 852-011201-001 Exit Loadlock Rev.G 853-012123-001 Rev. E Used
3716 LAM Research  852-011200-583 Exit Loadlock Assembly 853-017637-002 Used Working
3717 LAM Research 852-011200-583 Exit Loadlock Assembly 853-017637-001-I Used Working
3718 LAM Research 852-011200-583 Exit Loadlock Assembly 853-017637-001-I Used Working
3719 LAM 4420 Etcher 852-017500-003-4 CRT-Keypanel Chassis Assembly 853-017505-001-C
3720 LAM Research 852-017500-001-D CRT-Keypanel Chassis Assembly 853-017505-001-B
3721 TEL Tokyo Electron 1D08-000139-11 Unity II TYB324-1/PC PCB Used Working
3722 TEL Tokyo Electron 1D08-000139-11 Unity II TYB324-1/PC PCB Used Working
3723 Lam Research 853-024403-100-10 Orbital Gas Panel Assembly 4420 Etcher As-Is
3724 LAM Research 853-024403-583-C-254 Orbital Gas Panel 4420 Etcher Assembly As-Is
3725 LAM Research 853-024403-583-C-254 Orbital Gas Panel 4420 Etcher Assembly As-Is
3726 LAM Research 853-024403-583-C-254 Orbital Gas Panel 4420 Etcher Assembly As-Is
3727 TEL Tokyo Electron 1D81-000129 Control PCB TYB222-1/SW Unity II Used Working
3728 Lam Research 853-012350-002-H-LL FDR Outer Gate Assembly 4420 Etcher Used
3729 LAM 4420 Etcher Outer Gate Assembly 853-140013-001-1-230S Working
3730 TEL Tokyo Electron 012-004123 Slit Valve Unity II Used Working
3731 Tokyo Electron TEL Unity II Slit Valve 012-004124 Used Working
3732 SMC INR-244-230W Power Supply 12inch THERMO-CON Used Working
3733 TEL Tokyo Electron 3281-000138-12 PCB-CTROL Board PCB P-8 FA1006K501A Used
3734 Nikon WD Wafer Stage Robot NSR-S204B Step-and-Repeat Scanning System Used
3735 Lam Research 4420 852-011061-103 Lower Chamber Assembly 853-025103-003 as-is
3736 Lam 4420 852-011061-503-C-CHMAIN Reaction Chamber Assembly untested as-is
3737 Nikon 4S001-060 Power Supply PW-NA PCB Board Working
3738 Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used
3739 Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used
3740 Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used
3741 LKT Automation DPCS-NGCAM Die Placement Checking System working
3742 Omron Z4LC-C28 Parallel Beam Line Sensor Working
3743 Kyoto Denkiki KDS-30350 DC Power Supply Hitachi M-511E Etcher Used Working
3744 SMC INR-244-216T Thermo-Con Power Supply RCP INR-244-244T-670 Used Working
3745 CFM Technologies IPA Vapor Level ASM 363-089-01 Rev. F Used Working
3746 GPI REAH-THI-400-MS Temperature Humidity Controller GCR-THI-4 SVG 90 Used
3747 Hitachi DT-01 PCB Board Rev. A Hitachi M-712E Dry Etcher Used Working
3748 Kniel System-Electronic CP 24.1 24V Power Supply Card ASML 4022.436.79311 Used
3749 LAM Research 852-011201-001-L-230 Harmonic Arm Drive Chamber 715-130092-008
3750 LAM Research 852-011201-583-A-LLMAIN Harmonic Arm Drive Chamber 715-130092-008
3751 LAM Research 852-011201-001-E-231 Harmonic Arm Drive Chamber 715-12101-8
3752 LAM Research 852-011200-003-L-230S Harmonic Arm Drive Chamber 853-012123-001-G
3753 View Engineering 2109385-511 Controller Assembly GA-365-1 2109380-507 Used
3754 Lam Research 853-012350-002-F-230 Outer Gate Assembly 4420 Etcher Used Working
3755 Vicor MP2-5701 Power Supply MegaPAC Rev. E Used Tested Working
3756 Vicor MP2-5701 Power Supply 3Ø MegaPAC Rev. D Used Tested Working
3757 Vicor MP2-5701 Power Supply 3Ø MegaPAC Rev. F Used Tested Working
3758 Vicor MP3-5804 Power Supply MegaPAC Rev. F Used Tested Working
3759 Vicor MP3-5804 Power Supply MegaPAC Rev. H Used Tested Working
3760 Vicor MP3-5804 Power Supply MegaPAC Rev. D Used Tested Working
3761 AMAT 9010-00163ITL XR80 Implanter Gas Box (Single) Used Working
3762 Edwards D37420000 Local Control Module iTIM E73+A1+T1 Used Working
3763 Hubbel A613RXX Electric Booster Heater Used Working
3764 Edwards D37215000 Vacuum Flash Module Used Working
3765 Hitachi 560-5533 Power Supply PCB Board NDEF-PS 564-5529 S-9300 SEM Used Working
3766 Novellus 03-10641-00 Loadlock Robot Rev.K Concept II Altus working
3767 Novellus 03-10641-01 Loadlock Robot Rev.L Concept II Altus working
3768 Yaskawa DDMQF-SR2232IR System Robot Controller RC/ELC1 SRC-II 005 Used Working
3769 Yaskawa DDMQF-SR2232IR System Robot Controller RC/ELC1 SRC-II 005 Used Working
3770 TEL Tokyo Electron 1D81-000140 PCB Board TYB41D-1/TMP Used Working
3771 TEL Tokyo Electron 1D81-000140 PCB Board TYB41D-1/TMP Used Working
3772 TEL Tokyo Electron 1D81-000120 PCB Board TYB419-1/PC Unity II Used Working
3773 TEL Tokyo Electron 2981-600514-11 EXT DIO Board 2908-600386-12 SP001 ACT12 Used
3774 TEL Tokyo Electron Unity 2 Left / Right 200mm cassette Loader Set
3775 Nagano Keiki CE10 Electronic Pressure Switch Lot of 10 Used Working
3776 Yaskawa VS2B Wafer Transfer Robot with Dual End Effector Used Working
3777 Tokyo Electron TEL DC/DC Converter Board 2981-600310-12 Used Working
3778 TEL Unity 2 TMP 8ch Control Board REX-B860-CS2 working
3779 TEL Untiy 2 PCB TYB41C-1 1D81-000128-11 working
3780 TEL Tokyo Electron 1D81-000133 ILK Control Card PCB TYB131-1/ILK Used Working
3781 TEL Tokyo Electron 1D81-0000-0096A9 Unity II Gas Board Used
3782 TEL Tokyo Electron MAIO Control Card 1D81-000099-C4
3783 TEL Tokyo Electron TCP Transition Chill Plate ACT12 300mm Used
3784 TEL Tokyo Electron 1D08-000131-11 Unity II TYB421-1/INL PCB Used
3785 TEL Tokyo Electron 846 CPL Chill Plate Process Station ACT12 200mm Used Working
3786 SMC INR-497-001B Dual Channel Thermo Chiller Tested Working
3787 TEL Tokyo Electron 2985-403942-W1 Left Motor Scan Assembly ACT 12 300mm Used
3788 Edwards Local Control Module D37420000 Used Working
3789 Edwards Y14204000 Temperature Management System TMS Used Working
3790 TEL ACT 12 300mm Develop Process Station Air Valve Assembly Untested AS-IS
3791 Edwards D37215000 Vacuum Pump Flash Module Used Working
3792 Oriental Motor 4054-LD4 5-Phase Servo Driver lot of 2 working
3793 TEL Tokyo Electron 1D08-000103 Unity II PCB TYB412-1/C Used Working
3794 TEL Tokyo Electron 1D81-000099-A6 Control PCB TVB111-1/MAIO Used Working
3795 TEL Tokyo Electron 1D81-000099-A6 Control PCB TVB111-1/MAIO Used Working
3796 TEL Tokyo Electron 1D81-000098-B4 Unity II DIO PCB TYB112-1/DIO Used Working
3797 TEL Tokyo Electron 1D81-000009218 Unity II PCB TYB 121-1/COM Used Working
3798 TEL Tokyo Electron 1D81-000126 PCB Control Board TYB311-1/PC Used Working
3799 TEL Tokyo Electron 1D81-000126 PCB Control Board TYB311-1/PC Used Working
3800 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Used Working
3801 Edwards D37310000 iNIM Base Network Interface 3X Cards 1X EGM Used Working
3802 AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working
3803 Orion Pelthermo ETM832A-DNF-L-G2 3000W Power Supply 1-Phase 208V Working
3804 Nikon 4S015-197 CPU Board NK386SX4-NSC Used Working
3805 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Used Working
3806 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Used Working
3807 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Used Working
3808 Koganei 4K199-395 Nikon Pneumatic Box Assembly NSR-S307E Used Working
3809 Koganei 4K199-395 Nikon Pneumatic Box Assembly NSR-S307E Used Working
3810 Mitsubishi AC Servo Drive MR-J2-20A-S12 working
3811 Mitsubishi AC Servo Drive MR-J2-20A-S12 working
3812 Mitsubishi MR-J2-10A-S12 AC Servo Drive MELSERVO Used Working
3813 Screen PC-97010 PMCDIV Interface SL-3020 VMEbus PCB Card Used Working
3814 Edwards D37420000 Local Control Module  Used Working
3815 TDK RDH24-6R0 DC Power Supply Used Working
3816 TDK RDH24-6R0 DC Power Supply Used Working
3817 Edwards NRY00T0000 VFB Purge Box P126X Used Working
3818 Omron Z4LC-C28 Parallel Beam Line Sensor Working
3819 Omron Z4LC-C28 Parallel Beam Line Sensor Working
3820 Orion Pelthermo ETM832A-DNF-L-G3 Power Supply 3000W 1-Phase 208V Working
3821 Mycom PG104L-04 Process Control VMEBus PCB Card PG-104 MY5211-047A Used Working
3822 Edwards U20000921 Network Interface 2X Cards 1X EGM Used
3823 KLA-Tencor CRS1010 Mirror Assembly 003087 000381 working
3824 Hitachi Interface PCB VMPM-02N Working
3825 Screen PC-97040A Control PCB HLS-MC1A Used Working
3826 Screen PC-97040A Control PCB HLS-MC1A Used Working
3827 Screen PC-97013B Interface PCB HLS-MC2 Used Working
3828 Screen PC-97013B Interface PCB HLS-MC2 Used Working
3829 Edwards D37420000 Local Control Module Used Working
3830 AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working
3831 AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working
3832 AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working
3833 Edwards D37420000 Local Control Module Used Working
3834 Hitachi ILP-02 PCB Used Working
3835 ADTEC AX-2000EUII-N RF Generator Tested Working
3836 ADTEC AX-2000EUII-N RF Generator 2000W Tested Not Working As-Is
3837 Edwards D37420000 Local Control Module Used Working
3838 Edwards D37420000 Local Control Module Used Working
3839 Edwards U20000920 Flash Module Network Interface D37310000 Used
3840 Edwards U20000924 iNIM D37310000 Network Interface Used Working
3841 Edwards A52844463 im Interface Module Used Working
3842 Edwards A52844463 im Interface Module Used Working
3843 Edwards A52844460 im Interface Module Used Working
3844 Edwards A52844460 im Interface Module Used Working
3845 JAE UT3-JAG4-L Touch Panel Monitor Used Working
3846 ADTEC AX-2000EUII-N RF Generator 2000W Tested Working
3847 ADTEC AX-2000EUII-N RF Generator 2000W Tested Working
3848 Marathon Electric BVL326TTDP4347ADL AC Electric Motor Series E As-Is
3849 Novellus 02-304871-00 Aluminum RF Match Untested As-Is
3850 Orion ETM832A-DNF-L-G3 Pel Thermo Power Supply 3000W 1-Phase 208V Working
3851 Varian E11086971 Signal Tower Controller Rev. D Used Working
3852 Hitachi Kokusai Denki Engineering U01200PMQA-DS1CE Ultrasonic Generator Used
3853 Hitachi Kokusai Denki Engineering U01200PMQA-DS1CE Ultrasonic Generator Used
3854 Allen Integrated Assemblies AP10204 PCB Assembly Used Working
3855 Kyoto Denkiki KDS-30350WF Dual Output DC Power Supply Hitachi M-712E Used
3856 Power-One HPM5J2J2K DC Power Supply Used Working
3857 Medtronic 1718A012 Y4 Surger Control MIE586 Temperature Chamber Used Working
3858 SMC VJ3233T 5-Port Pneumatic Solenoid Valve Block VJ3133T Lot of 2 Used Working
3859 SMC VJ3233T 5-Port Pneumatic Solenoid Valve Block VJ3133T Lot of 2 Used Working
3860 Nikon NSR S307E Photoelectric Sensor Module Z4LC-S28 Used Working
3861 Daihen RGA-50C-V RF Power Generator 5000W Tested Working
3862 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working
3863 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working
3864 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working
3865 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working
3866 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working
3867 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working
3868 Quantum Leap 3 9010-01181 ITL ENCO Load Lock Door Module Used Working
3869 Quantum Leap 3 9010-00299 ITL ENCO Load Lock Door Module Used Working
3870 Quantum Leap 3 9010-00299 ITL ENCO Load Lock Door Module Used Working
3871 Hitachi RYY-1 Interconnect PCB Working
3872 Hitachi BBDP2-01 Interconnect PCB Working
3873 Hitachi BBDP2-01 Interconnect PCB Working
3874 TEL Tokyo Electron 1264-G-5069-C-01 Triax New
3875 TEL Tokyo Electron 2910-100464-11 Shutter TEL ACT 12 New
3876 TEL Tokyo Electron 3D81-000020-V3 Power Supply PCB TYB514-1/I048 Lot of 2 Used
3877 VAT 12144-PA24-AFT1 Pneumatic Gate Valve ISO-160 Used Working
3878 TEL Tokyo Electron TE6036A7 Control Box M-511E Used Working
3879 Johnson Controls 97121400 Low Voltage Heat Exchanger DX-9100-8454 Used Working
3880 LAM 852-011061-203-5-231 Lower Reaction Chamber 853-011632-503B-231 4420 Used
3881 TDK RAW12-14R 12V DC Power Supply Used Working
3882 TEL Tokyo Electron Lithius Develop Process Station Used Working
3883 TEL Tokyo Electron Lithius Develop Process Station Used Working
3884 TEL Tokyo Electron Lithius Develop Process Station Used Working
3885 TEL Tokyo Electron Lithius Develop Process Station Used Working
3886 TEL Tokyo Electron Lithius Develop Process Station Used Working
3887 TEL Tokyo Electron Lithius Develop Process Station Used Working
3888 TEL Tokyo Electron Lithius Develop Process Station Used Working
3889 TEL Tokyo Electron Lithius Develop Process Station Used Working
3890 TEL Tokyo Electron Lithius Develop Process Station Used Working
3891 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used
3892 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used
3893 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used
3894 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used
3895 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used
3896 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used
3897 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used
3898 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used
3899 TEL Tokyo Electron Lithius Adhesion Process Station ADH Used Working
3900 TEL Tokyo Electron Lithius Adhesion Process Station ADH Used Working
3901 TEL Tokyo Electron Lithius Adhesion Process Station ADH Used Working
3902 TEL Tokyo Electron Lithius Low Temp Hot Plate Process Station LHP Used Working
3903 TEL Tokyo Electron Lithius Low Temp Hot Plate Process Station LHP Used Working
3904 TEL Tokyo Electron Lithius Low Temp Hot Plate Process Station LHP Used Working
3905 TEL Tokyo Electron Lithius Low Temp Hot Plate Process Station LHP Used Working
3906 Varian E1000 ISPM Closed Loop Module E11057020 working
3907 SVG 99-46616-01 Wafer Cassette Indexer 9021ESI Used Working
3908 TEL Tokyo Electron Y331-D204CE Thermo Generator Control Unit D204 Lot of 3 As-Is
3909 Hitachi M-511E Microwave Plasma Etching System Control Module Used Working
3910 Yaskawa XU-CM2500 Robot Controller OST7-01-031-3 Nikon Optistation 7 Working
3911 Neslab DiMAX Thermo Electron 622023991801 Thermo Chiller As-Is
3912 Neslab DiMAX Thermo Electron 622023991801 Thermo Chiller Tested Not Working
3913 Edwards U20000922 INIM Network Interface D3731000 Used Working
3914 Edwards U20000937 MCM & AIM Vacuum Interface Module Used Working
3915 IPX-500A BOC Edwards A409-14-977 Dry Pump Tested Working
3916 Edwards EPX180L Turbopump A419-41-152 needs rebuild as-is
3917 Fuji Seiki BV-4AX0-HF Exhaust Throttle Valve Used Working
3918 TEL Tokyo Electron ACT 8 Transition Stage Cover 2985-404501-1 used
3919 Sanken MLT-DCBOX5 Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working
3920 Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 10 SLM H2 Used
3921 Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 10 SLM H2 Used
3922 Owens Design 3464-003 Sapphire Tester Autoprober With Controller Used Working
3923 iL600N Edwards NRB4-47-945 Dry Vacuum Pump Copper Exposed Tested Working
3924 iL600N Edwards NRB4-47-945 Dry Vacuum Pump Copper Exposed Tested Working
3925 iL600N Edwards NRB4-47-945 Dry Vacuum Pump Copper Exposed Tested Working
3926 iL600N Edwards NRB4-47-945 Dry Vacuum Pump Copper Exposed Tested Working
3927 Neslab 386105021704 Recirculating Thermo Chiller HX-75A Tested Working
3928 Neslab 622023991801 DEI Water To Water Cooler Heat Exchanger Dimax Tested
3929 TEL Tokyo Electron LPC-T0008A-11 Load Port CONN Board 100-T0008A-11 ACT12 Used
3930 TEL Tokyo Electron LPC-T0007A-11 Load Port Add On Board T0B1007 ACT12 Used
3931 TEL Tokyo Electron LPC-T0007A-11 Load Port Add On Board T0B1007 ACT12 Used
3932 Takada FJ39EB-T010N0 Interface PCB TEL Tokyo Electron ACT12 Used
3933 RKC Instruments DSX-BOL-11-33A Temperature Controller PCB DSX-BOL Used Working
3934 Hitachi HA-024 DC/DC Conversion Board #05 PCB Board PHA-024-0 Used Working
3935 Hitachi HA-024 DC/DC Conversion Board #05 PCB Board PHA-024-0 Used Working
3936 TEL Tokyo Electron HA-012 DC/DC COV #02 PCB PHA-012-1 Lithius Used Working
3937 Hitachi ZVC018-0 Printed Circuit Board ZVC018-B00 PCB ZVC018-BA0 Used Working
3938 Hitachi ZVC018-0 Printed Circuit Board ZVC018-B00 PCB ZVC018-BA0 Used Working
3939 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working
3940 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working
3941 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working
3942 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working
3943 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working
3944 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working
3945 TEL Tokyo Electron AP9E-0318C-12 PCB Motor I/O Board ACT12 Assembly Used
3946 Takada FJ39EB-X010N0 CRA X Board PCB TEL Tokyo Electron ACT12 Used Working
3947 Daihen AGA-50B2-V RF Generator DGP-120A2-V DC Power Supply Tested As-Is
3948 Daihen AGA-50B2-V RF Generator DGP-120A2-V DC Power Supply Tested Not Working
3949 Daihen WGA-50E-V RF Power Generator Stack Tested As-Is
3950 Daihen RGA-50C-V RF Power Generator Tested As-Is
3951 Daihen AGA-27C-V RF Power Generator Tested Working
3952 Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V2 Used Tested Working
3953 Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V2 Used Tested Working
3954 Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V2 Used Tested Working
3955 Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V2 Used Tested Working
3956 Daihen AGA-50B2-V RF Generator DGP-120A2-V DC Power Supply Tested As-Is
3957 Diahen AGA-50G-V RF Power Generator Untested As-Is
3958 Diahen AGA-50G-V RF Power Generator Untested As-Is
3959 Daihen WGA-50E-V RF Power Generator Stack Tested Working
3960 Daihen WGA-50E-V RF Power Generator Stack Tested Working
3961 Daihen WGA-50E-V RF Power Generator Stack Tested Working
3962 Daihen WGA-50E-V RF Power Generator Stack Tested Working
3963 Daihen WGA-50E-V RF Power Generator Stack Tested Not Working
3964 Daihen WGA-50E-V RF Power Generator Stack As-Is
3965 Daihen RGA-50C-V RF Power Generator As-Is
3966 Opal 50312560000 CCS PCB Board AMAT Applied Materials SEMVision cX Used
3967 Opal 50312560000 CCS PCB Board AMAT Applied Materials SEMVision cX Used
3968 Opal 50412570 ETD PCB Board AMAT SEMVision cX Used Working
3969 Opal 50312540100 DVD PCB Board AMAT SEMVision cX Used Working
3970 Opal 3061255000 MIS2 PCB Board AMAT SEMVision cX Used Working
3971 Opal 30612530100 SRA3 PCB Board AMAT SEMVision cX Used Working
3972 Nikon NSR-S307E High-Powered Lens Assembly As-Is
3973 Nikon T-703018 Optics Table Assembly NSR-S307E Used Working
3974 Fortrend 120-1004 Wafer Load Station F-8025 Tested Working
3975 TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 200mm Used
3976 Fortrend 120-1004 Wafer Load Station F-8025 Copper Exposed Tested As-Is
3977 Fortrend 120-1004 Wafer Load Station F-8025 Copper Exposed Tested Working
3978 Fortrend 120-1004 Wafer Load Station F8025S Tested Working
3979 TEL Tokyo Electron IFB Interface Block Robotics Arm ACT12 200mm Used Working
3980 TEL Tokyo Electron ADH Adhesion Plate Process Station ACT12 200mm Used Working
3981 TEL Tokyo Electron IFB Interface Block Robotics Arm ACT12 200mm No End Effector
3982 TEL Tokyo Electron SHU Shuttle Slider Assembly ACT12 200mm Used Working
3983 Fortrend 120-1004 Wafer Load Station F8025 Tested Not Working
3984 TEL Tokyo Electron Fuse Block ACT12-200 200mm Used Working
3985 Oriental Motor A4839-9015KE 5-Phase Stepping Motor TEL ACT12 Used Working
3986 VAT 12148-PA24-AIG1 Pneumatic Gate Valve Used Working
3987 Hitachi Stage Control Unit 568-5521 S-9300 SEM Used Working
3988 Hitachi EVAC Control Unit 569-5517 S-9300 SEM Used Working
3989 Hitachi 560-5501 NCOLDCPS Power Supply Assembly S-9300 SEM Used Working
3990 HVA 11210-1003R-001 Gate Valve Used Working
3991 HVA 11210-1003R-001 Gate Valve Used Working
3992 VAT 0210X-CA24-BCU1 Rectangular Dual Slit Valve Used Working
3993 VAT 0210X-CA24-BCU1 Rectangular Dual Slit Valve 0210X-CA24-BIR1 Used
3994 VAT 0210X-CA24-BIR1 Rectangular Dual Slit Valve Used Working
3995 VAT 0360X-CA24-BCY1 Rectangular Dual Slit Valve Used Working
3996 BOC Edwards D38665000 Active Gauge Controller Nikon NSR Used Working
3997 TEL Tokyo Electron 2981-600409-11 PRA X Board PCB 2908-600409-11 ACT12 Used
3998 TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used
3999 TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used
4000 iQDP40 Edwards A532-40-905 Dry Vacuum Pump Tested Not Working As-Is
4001 TEL Tokyo Electron Interface Block Roller Clean Track ACT12 Used Working
4002 Oriental Motor A4509-048 5-Phase Driver PCB Used Working
4003 Oriental Motor A4509-048 5-Phase Driver PCB Used Working
4004 Oriental Motor A4509-048 5-Phase Driver PCB Used Working
4005 Oriental Motor A4509-048 5-Phase Driver PCB Used Working
4006 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working
4007 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working
4008 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working
4009 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working
4010 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working
4011 Haskris Company MW1 Recirculating Chiller Used Tested As-Is
4012 Tel Tokyo Electron HTEW-TA6-E-11 AC Control Board #06 TAB2300 Used Working
4013 TEL Tokyo Electron PBCP2-U250-01-I AC Power Box Cellesta As-Is
4014 HX+75A Thermo Electron 386105021704 Recirculating Chiller Neslab Tested Used
4015 HX+75A Thermo Electron 386105021704 Recirculating Chiller Neslab As-Is
4016 Nikon 4S007-994 Interface Board PCB AF-PSDX22-SUB NSR-S204B Step-and-Repeat Used
4017 Shimadzu TPB-60D (1) Turbomolecular Pump Controller TMP Power Unit Turbo Used
4018 Oriental Motor CSD5807N-P-A37 Servo Drive Vexta TEL Lithius Used Working
4019 TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working
4020 TEL Tokyo Electron TKB7000 IO SPIN #01 TBK7042 COT ASSY PCB Lithius Used Working
4021 TEL Tokyo Electron TKB7000 IO SPIN #01 TBK7042 COT ASSY PCB Lithius Used Working
4022 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working
4023 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working
4024 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working
4025 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working
4026 TEL Tokyo Electron TKB7000 IO SPIN #01 TKB7043 DEV ASSY PCB Lithius Used Working
4027 TEL Tokyo Electron TKB7000 IO SPIN #01 TKB7043 DEV ASSY PCB Lithius Used Working
4028 TEL Tokyo Electron OYDK-017A IO P-CHEM #01 PCB Lithius Used Working
4029 TEL Tokyo Electron OYDK-017A IO P-CHEM #01 PCB Lithius Used Working
4030 TEL Tokyo Electron OYDK-018B CONN P-CHEM #01 PCB Lithius Used Working
4031 TEL Tokyo Electron OYDK-018B CONN P-CHEM #01 PCB Lithius Used Working
4032 TEL Tokyo Electron WCPL/TRS Water Controlled Chill Plate Process Station Used
4033 TEL Tokyo Electron WCPL/TRS Water Controlled Chill Plate Process Station Used
4034 TEL Tokyo Electron WCPL/TRS Water Controlled Chill Plate Process Station Used
4035 TEL Tokyo Electron WCPL/TRS Water Controlled Chill Plate Process Station Used
4036 QMB250 Edwards A301-86-905 Vacuum Pump Mechanical Booster Damaged Untested As-Is
4037 Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used
4038 Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used
4039 TEL Tokyo Electron AQA-K09-TYP2 Chiller Used Tested Working
4040 SMC INR-497-001 Dual Channel Thermo Chiller Tested With Faults As-Is
4041 SMC INR-497-001B Dual Channel Thermo Chiller Galden Only Tested Used Working
4042 Bay Networks Model 5000 14 Card Slot Ethernet Web Host Used Untested As-Is
4043 RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter No display Used As-Is
4044 Digital Dynamics 27-10157-00 I/O Controller IOC V4.00 Used Working
4045 Mitutoyo 09AAA790 Linear Scale ST320 Lot of 2 Used Working
4046 Varian E1000 Power Box Assy. E11038270 working
4047 Edwards D37420000 Local Control Module iTIM E73+A1+T1 Reseller Lot of 10 Used
4048 Edwards NRY00T0000 P126X VFB Purge Box Rev. E Used Working
4049 Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Used Working
4050 SOC Showa Optronics Company GLG5415A HeNe Laser Head 20nW 632.8nm Used Working
4051 Cosel UAF500W-24 Power Supply 500 Watt F500-24 Used Working
4052 TEL Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used
4053 TEL Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius Used
4054 TEL Tokyo Electron IPC-T0053A-11 Photo Resist Switch Panel Lithius Used Working
4055 TEL Tokyo Electron HA-017 PCB BOARD IF FN #01 Used Working
4056 SMC Thermo-Con Power Supply INR-244-216V working
4057 Yamamoto EMP2SD210D Manometer Manosys EM-100 Receiver Used Working
4058 Granville-Phillips Degas Controller 307004 working
4059 Saginomiya LNE-ZN20-010 Pulse Converter Used Working
4060 Zellweger Analytics 2414-0017 Non-Intrusive Calibrator Digi-Cat Gauge Rev 7 Used
4061 TDK RKW24-27R Switching Power Supply Used Working
4062 AMAT Applied Materials 0240-61428 Quantum Leap Heat Exchanger Used Working
4063 Lam Research 810-17004-001 Solenoid Interlock PCB Board Rev. D Used Working
4064 Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y TEL Tokyo Electron Lithius Used
4065 Daikin DFS509TC1BR Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used
4066 Daikin DFS509TC1BL Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used
4067 Taiyo Machinery MP-300-R2 Mapping Unit TEL 5087-403663-11 Lithius Used Working
4068 Taiyo Machinery MP-300-R2 Mapping Unit TEL 5087-403663-11 Lithius Used Working
4069 TEL Tokyo Electron 5085-404811-11 Career Station Robot Assembly Lithius Used
4070 TEL Tokyo Electron 5085-404811-11 Career Station Robot Assembly Lithius Used
4071 Fuji Electric M-SPS1000RM-2F UPS TEL Tokyo Electron Lithius No Battery As-Is
4072 TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working
4073 TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working
4074 TEL Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used
4075 TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used
4076 TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used
4077 Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working
4078 Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working
4079 TEL Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DJ PHA-012-1 Lithius Used
4080 Densei-Lambda DPS2800 Power Supply TEL Tokyo Electron Lithius Used Working
4081 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4082 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4083 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4084 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4085 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4086 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4087 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4088 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4089 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4090 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4091 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4092 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4093 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4094 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used
4095 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 2 Lithius Used
4096 TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working
4097 TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working
4098 TEL Tokyo Electron 5087-403592-14 Cup Washer Holding Stage (2) CWH Lithius Used
4099 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4100 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4101 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4102 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4103 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4104 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4105 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4106 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4107 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4108 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4109 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4110 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
4111 Nicolet Instrument ECO8S FT-IR Infrared Spectrometer Used Working
4112 MRC A118144 Wafer Chuck Cylinder RF Assembly A116985 Eclipse Star S-A 00936 Used
4113 Panasonic LSC System Controller Unit BP225-MJ Used Working
4114 Panasonic LSC Automation Controller Module MINAS BP225-MJ Used Working
4115 SMC INR-244-216C Thermo-Con Power Supply RCP INR-244-244T-670 Used Working
4116 TEL Tokyo Electron 5085-404811-11 Career Station Robot No Effector Lithius Used
4117 TEL Tokyo Electron ZPC-T0036A-11 CONN PRA Z #01 Board PCB TOB1036 Lithuis Used
4118 TEL Tokyo Electron ZPC-T0036A-11 CONN PRA Z #01 Board PCB TOB1036 Lithuis Used
4119 TEL Tokyo Electron BPC-T0038A-11 CONN PRA Base #01 PCB TOB1038 Lithius Used
4120 TEL Tokyo Electron HTE-AC2-A-11 AC Control Board #002 PCB TAB1800 Used Working
4121 Nidec UGTMEM-01SNQ41 Minertai Motor Recitle Loader Robot X-Axis Used Working
4122 Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-005 Used
4123 VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve Used Working
4124 VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve Used Working
4125 VAT 1235154 Throttling Pendulum Vacuum Gate Valve Used Working
4126 VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve 1235154 Used Working
4127 VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve 1235154 Used Working
4128 GE Fanuc Series 90-30 PLC 5-Slot Controller IC693CPU313V Used Working
4129 TEL Tokyo Electron HA-014 CONN SPIN #02 PCB Board Lithius Used Working
4130 Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 TEL Lithius Reseller lot of 2 Used
4131 Hitachi HJ-7500-60EED Career Station Block Computer HF-W75F VGA TEL Lithius Used
4132 Taiyo Machinery MP-300-R2 Left Mapping Unit TEL 5087-403663-11 Lithius Used
4133 Tescom 44-3213H282-296 Manual Pressure Regulator Swagelok SS-45S8 Used Working
4134 SCU Seiko Seiki SCU-H2001K2 Turbomolecular Pump Control Unit Used Tested Working
4135 Microbar Trackmate Chemical Delivery Cabinet 4 Tanks WCDP025L1 Used Working
4136 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working
4137 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working
4138 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working
4139 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working
4140 TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working
4141 TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working
4142 TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working
4143 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working
4144 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working
4145 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working
4146 TEL Tokyo Electron OYDK-062 CONN CHEM #02 PCB Board Lithius Used
4147 TEL Tokyo Electron OYDK-062 CONN CHEM #02 PCB Board Lithius Used
4148 Opal 50317890000 SMC-Micro Board PCB Card Used Working
4149 Opal 70417880100 SMC-RS232 Card PCB AMAT Applied Materials Used Working
4150 Opal 70411560000 VCR/SMC Relay Board PCB AMAT Applied Materials Used Working
4151 AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used
4152 TEL Tokyo Electron 5085-407036-19 Process Block Robotics Arm PRA Lithius Used
4153 AMAT Applied Materials 0100-01487 PSD Board GRIPPER IN LL PCB Card Used Working
4154 TEL Tokyo Electron TPC-T0037A-11 CONN PRA THETA #01 PCB Board TEL Lithius Used
4155 TEL Tokyo Electron TPC-T0037A-11 CONN PRA THETA #01 PCB Board TEL Lithius Used
4156 TEL Tokyo Electron XPC-T0045A-11 CONN PRA X #02 PCB Board TOB1045 Lithius Used
4157 TEL Tokyo Electron OYDK-055 ANALOG PT #02 PCB Board Lithius Used Working
4158 TEL Tokyo Electron OYDK-053 ANALOG 1-5 #02 PCB Board Lithius Used Working
4159 VAT 12044-PA24-1002 Vacuum Gate Valve ISO160 TMP Pump Adapter TEL Unity II Used
4160 TEL Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Used Working
4161 TEL Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Used Working
4162 TEL Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Used Working
4163 TEL Tokyo Electron TKB7001 IO Spin #02 AP9Z-1521C PCB Board Lithius Used Working
4164 CKD AMC-D2-X1 Valve Control PCB AMC-D2 TEl Tokyo Electron Lithius Used Working
4165 CKD AMC-D2-X1 Valve Control PCB AMC-D2 TEl Tokyo Electron Lithius Used Working
4166 CKD AMC-D2-X1 Valve Control PCB AMC-D2 TEl Tokyo Electron Lithius Used Working
4167 Fisher Hamilton X54KL588PO Safeaire Fume Hood 54L Used Tested Working
4168 SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working
4169 Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working
4170 AMAT Applied Materials 9090-00732ITL Edwards Ion Gauge Module Used Working
4171 NTRON C7-01-5124-00-0 Model 5100 O2 Analyzer 5124B-N1 Used Working
4172 Sanyo Denki P20B13100FXSA2 Servo Motor TEL 5087-400478-15 Lithius DEV ASSY Used
4173 Panasonic MFA090HA5NSC AC Servo Motor Assembly TEL Lithius DEV COAT Used Working
4174 AMAT Applied Materials 0100-91087 Sol/Fil EXT Interface PCB Card Issue F Used
4175 AMAT Applied Materials 0100-90650 Wheel Current Board Issue B Used Working
4176 AMAT Applied Materials 0100-94078 Argon/Oxygen Bleed and Charge Monitor PCB Used
4177 AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Used Working
4178 AMAT Applied Materials 0100-00970 Spin Window PCB Card Used Working
4179 Hitachi DIO-02N Digital I/O Interface PCB Card Used Working
4180 Hitachi AIO-05N Analog I/O Interface PCB Card Used Working
4181 Tokyo Keiso SFC-M 6-Channel Flowmeter Signal Converter Controller TEL Lithius Used
4182 Tokyo Keiso SFC-M 6-Channel Flowmeter Signal Converter Controller TEL Lithius Used
4183 TEL Tokyo Electron OYDK-063 CONN ADH CHEM #02 PCB Board Lithius Used
4184 Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working
4185 Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working
4186 Nikon 4S008-152 Isolated DC/DC Converter Board PCB PRE2 PWSEP Used Working
4187 Nikon 4S008-152 Isolated DC/DC Converter Board PCB PRE2 PWSEP Used Working
4188 Nikon 4S018-869 Processor Board PRE2 I/0-1A PCB Used Working
4189 Nikon 4S018-869 Processor Board PRE2 I/0-1A PCB Used Working
4190 Nikon 4S018-869 Processor Board PRE2 I/0-1A PCB Used Working
4191 Nikon 4S018-869 Processor Board PRE2 I/0-1A PCB Used Working
4192 Chatillon BP15-400T III Mechanical Bench Scale Type 15 Used Working
4193 Mitsubishi MR-J2S-10B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used
4194 Mitsubishi MR-J2S-10B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used
4195 Mitsubishi MR-J2S-20B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used
4196 Mitsubishi Q173DV PLC SSCNET Channel Distribution PCB TEL PR300Z Used Working
4197 Omron S8PS-05005C 5V DC Power Supply Used Working
4198 Omron S8PS-10024C 24V DC Power Supply Used Working
4199 Hermos TLG-I1-1000-S0-00EB Transponder Reader TLG-RS232 Used Working
4200 Brooks TLG-I2-FL6M-01 Transponder Reader TLG-RS232 Used Working
4201 TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working
4202 TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working
4203 TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working
4204 TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working
4205 TeleFrank TZP80-2405/S AC-DC Converter TZP 80 Used Working
4206 ASML Nikon Reticle Power Supply 4022.470.0884 working
4207 TDK S2091-86-001 Load Port Power Supply TAS300 Used Working
4208 Hitachi ZVV020-2 Processor Board PCB Card I-900 CHPCMP ZVV020 I-900SRT Used
4209 Hitachi ZVV020-2 Processor Board PCB Card I-900 CHPCMP ZVV020 I-900SRT Used
4210 Hitachi ZVV016 Processor PCB Card I-900 EXBF2 I-900SRT Used Working
4211 Hitachi ZVV023 Processor PCB Card I-900 CELCMP2 I-900SRT Used Working
4212 Hitachi ZVV032 Processor PCB Card I-900 VINP2 I-900SRT Used Working
4213 Hitachi ZVV034 Processor PCB Card I-900 VEDIT2 I-900SRT Used Working
4214 Hitachi ZVV031 Processor PCB Card I-900 EXBF3 I-900SRT Used Working
4215 Hitachi ZVV031 Processor PCB Card I-900 EXBF3 I-900SRT Used Working
4216 Hitachi ZVV036 Processor PCB Card I-900 CLOCK1_2 I-900SRT Used Working
4217 Hitachi ZVV035 Processor PCB Card I-900 CLOCK3_2 I-900SRT Used Working
4218 Hitachi ZLJ070 Processor PCB Card I-900 CHPMEM2 I-900SRT Used Working
4219 Hitachi ZVV037 Control Board PCB Card I-900 HK3 MONIT2 I-900SRT Used Working
4220 Hitachi ZVV021 Control Board PCB Card I-900 HK3 TRANDEF I-900SRT Used Working
4221 Hitachi ZVV029 VME Interface Board I-900 EXBF-VME2 PCB Card I-900SRT Used
4222 Densan DVEVA-SH7750 ES4 VME Interface PCB Card I-900SRT Used Working
4223 TEL Tokyo Electron CPC-T0001A-13 Chemical I/O PPC-T0006A-11 2981-600001-12 Used
4224 SMC 3D80-000057-V1 2-Port Pneumatic Manifold SZ3A60K-5NLOZ-M-X31 Used Working
4225 Matsushita Seiki M-795 Pellicle Stand Alone Measurement System Used Working
4226 Nikon OPTISTATION 3 200mm Wafer Inspection Stage Assembly 2S700-587 Used Working
4227 SVG Silicon Valley Group 99-80270-01 Sensor Multiplexor PCB Board 90S Used
4228 SVG Silicon Valley Group 99-80270-01 Sensor Multiplexor PCB Board 90S Used
4229 SVG Silicon Valley Group 99-80270-01 SYS90 System I/O Board PCB Rev. G 90S Used
4230 SVG Silicon Valley Group 99-80271-01 Sensor Multiplexor PCB Board 90S DUV Used
4231 SVG Silicon Valley Group 99-80308-01 DC Distribution MCE Mount PCB 90S Used
4232 SVG Silicon Valley Group 99-80304-01 Handler Interface Board PCB 90S DUV Used
4233 Panasonic MF212 Camera Control Assy. TEL P-8 Prober
4234 Hitachi BBS208-4 System Interface LED Display Connection Board PCB Used Working
4235 IBM 57F2705 SBC Single Board Computer 57F2434ESD PCB Card SVG 90s DUV Spare
4236 Nikon KAB11040/3201-2 2S017-394-2 CCD-I/F PCB 4S018-546 OPTISTATION 3 Used
4237 Nikon KAB11040/3201-2 2S017-394-2 CCD-I/F PCB 4S018-546 OPTISTATION 3 Used
4238 Nikon 2S003-041 MIC-I/F PCB Board 4S003-058 OPTISTATION 3 Used Working
4239 TEL Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working
4240 SVG 28-543013-01-001 9038S/9037S Bake & Chill Station 28-43015-01 9044S 90S Used
4241 SVG Silicon Valley Group 99-4228-01 Vacuum Bake Station 150mm 9039 90S DUV Used
4242 SVG Silicon Valley Group 99-47988-01 Developer Coat Station 9032 90S DUV Used
4243 SVG Silicon Valley Group 99-51100-03 Developer Coat Station 9026 90S DUV Used
4244 Sanyo Denki PMM-BD-57035-7 Servo Card TEL Tokyo Electron 3286-000880-1 P-8 Used
4245 Sanyo Denki PMM-BD-57035-8 Servo Card TEL Tokyo Electron 3286-001590-1 P-8 Used
4246 SVG Silicon Valley Group 99-80268-01 System Power Supply Board PCB 90S DUV Used
4247 Hamamatsu H8008-01 Photomultiplier Tube H8008 Used Working
4248 Hamamatsu H8008-01 Photomultiplier Tube H8008 Used Working
4249 Shinko SCE93-100009-C1 Interface Board PCB SLPCN3A-1 SBX08-000032-11 Used
4250 Shinko SCE93-100009-C1 Interface Board PCB SLPCN3A-1 SBX08-000032-11 Used
4251 Shinko SCE93-100009-C1 Interface Board PCB SLPCN3A-1 SBX08-000032-11 Used
4252 Shinko M223D Compact Servo Drive PDS-D (PbF) Used Working
4253 Dynax F104-IO/1 In Out I/O Interface Board PCB DNX5191 Used Working
4254 Dynax F104-CPU Processor CPU Board PCB DNX5171 Used Working
4255 Dynax F104-CPU Processor CPU Board PCB DNX5171 Used Working
4256 Dynax F104-CPU Processor CPU Board PCB DNX5171 Used Working
4257 Mitsubishi FR-A024-0.4K Inverter FREQROL-A024 Parameter Unit FR-PU03E Used
4258 Delta Design 1941692-503 Pick and Place Interface Board PCB TLC-503 Used
4259 TEL Tokyo Electron OYDK-065 CONN EXT CHEM #02 PCB Board Lithius Used Working
4260 TEL Tokyo Electron OYDK-020 CNTR EXT CHEM #01 PCB Board Lithius Used Working
4261 TEL Tokyo Electron OYDK-064 RY EXT CHEM #02 PCB Board Lithius Used Working
4262 TEL Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Lithius Used Working
4263 Anorad B801857A Dual PI Interface Board PCB Used Working
4264 Shinko SCE93-100036-C1 LPCN-2A-1 Connection Board PCB SBX08-000040-11 Used
4265 Shinko SCE93-100036-C1 LPCN-2A-1 Connection Board PCB SBX08-000040-11 Used
4266 Shinko SCE93-100036-C1 LPCN-2A-1 Connection Board PCB SBX08-000040-11 Used
4267 Nikon 4S005-362-2 Optical Sensor Board PCB AF-SENSORX4 P19055AAB-A Used Working
4268 Nikon 4S005-362-2 Optical Sensor Board PCB AF-SENSORX4 P19055AAB-A Used Working
4269 Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A Used Working
4270 Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A Used Working
4271 Delta Design 1906872-501 Input Sensor Board PCB Used Working
4272 Delta Design 1906872-501 Input Sensor Board PCB Used Working
4273 Nikon 4S018-852-3AN BD92A Detector Board VME Card PCB DPR-LS22 1-677-707-14 New
4274 Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used
4275 Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used
4276 Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used
4277 Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used
4278 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. G Used Working
4279 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. G Used Working
4280 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. H Used Working
4281 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. D Used Working
4282 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. D Used Working
4283 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. B Used Working
4284 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. C Used Working
4285 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. C Used Working
4286 Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. E Used Working
4287 Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. E Used Working
4288 Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. F Used Working
4289 Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. F Used Working
4290 Delta Design 1906876-501 Output Driver PCA Board PCB Used Working
4291 Delta Design 1906876-501 Output Driver PCA Board PCB Used Working
4292 Delta Design 1906876-501 Output Driver PCA Board PCB Used Working
4293 Delta Design 1906876-501 Output Driver PCA Board PCB Rev. C Used Working
4294 Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. E Used Working
4295 Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. G Used Working
4296 TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working
4297 TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working
4298 TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working
4299 TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working
4300 Delta Design 1935860-501 PXI-TC Interface Board PCB 1935859-401 Used Working
4301 Delta Design 2001-586-000 Interface Backplane Board PCB 2001-586-002 Used
4302 Delta Design 2001-586-000 Interface Backplane Board PCB 2001-586-002 Used
4303 SMC 2TP-2B575 Thermo-Con Operator Panel PCB INR-244-244T-670 Used Working
4304 Nikon 4S013-488 Interface Board PCB RTX4B1 NSR-S307E Used Working
4305 Nikon 4S013-488 Interface Board PCB RTX4B1 NSR-S307E Used Working
4306 KV Automation 4022.480.63152 Reticle Manifold Nikon Used Working
4307 Opal 13811 Power Relay Board PCB Card 12488 12489 AMAT SEMVision Used Working
4308 Opal 13811 Power Relay Board PCB Card 12488 12489 AMAT SEMVision Used Working
4309 Opal 13811 Power Relay Board PCB Card 12488 12489 AMAT SEMVision Used Working
4310 TDK TAS-LED Load Port Indicator Light Board PCB TAS300 Used Working
4311 TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Loadport Used Working
4312 TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Loadport Used Working
4313 TMP Shimadzu TMP-3203LMC-K1 Turbomolecular Pump Used Tested Working
4314 Shinko SBX93-100003-C1 LPCN-1A-1 Connection Board PCB SBX08-000008-11 Used
4315 TEL Tokyo Electron E280-000014-12 Interface Board PCB Card E2B011-11/BP Used
4316 Shinko BX80-0001-40-V1 LM-ARM-CONT(CE) Controller SCE92300107 TEL T-3044SS Used
4317 Entegris SB300-06EN5-MAC 300mm FOUP Front Opening Unified Pod SB300 New Surplus
4318 TDK TAS300 300mm Wafer Load Port Type F1 AMAT 0190-11409 Used Working
4319 TEL Tokyo Electron 3D81-000046-V2 Interface PCB TYB61L-1/ELIF T-3044SS Used
4320 Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Used Working
4321 Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Used Working
4322 Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Used Working
4323 Nikon 4S019-235 Interface PCB Card C/D_I/F NSR-307E Used Working
4324 AMAT Applied Materials 9010-01382ITL SiF4 MFC Gas Panel Assembly Celerity Used
4325 AMAT Applied Materials 9010-01382ITL SiF4 MFC Gas Panel Assembly Celerity Used
4326 ChemWest 420518 Automated Slurry Filter Cabinet Copper Used Working
4327 Sendai Nikon Corp S58 HFE Active Temp Chiller 300mm NSR S307E Used Working
4328 Asyst Technologies 9700-5158-01 300mm Loadport SIMF-300FL Used Working
4329 Nikon 4S587-735 Motor Amplifier VCM AMP Used Working
4330 Sumitomo M28490 ZL Axis Linear Lift Elevator ZLaxisVCM1 NSR-S307E 300mm DUV Used Working
4331 Sumitomo M28491 ZR Axis Linear Lift Elevator ZRaxisVCM1 NSR-S307E 300mm DUV Used
4332 Sumitomo M28492 ZB Axis Linear Lift Elevator ZBaxisVCM1 NSR-S307E 300mm DUV Used
4333 Yaskawa 4K179-460 Linear Motor Assembly XELM-8DNNQX71 NSR-S307E 300mm DUV Used
4334 Yaskawa XELM-8DNNQZ71 Z Axis Motor Assembly 4K179-464 NSR-S307E 300mm DUV Used
4335 Yaskawa XELM-8DNNQY71 Y axis Motor Assebmly 4K179-462 NSR-S307E 300mm DUV Used
4336 Yaskawa XELM-8DNNQY72 Y Axis Motor Assembly 4K179-461 NSR-S307E 300mm DUV Used
4337 Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-3 NSR-S307E 300mm DUV As-Is
4338 Yaskawa ULVI-30-NQ11 Linear Lift Elevator 4K196-818-1 NSR-S307E 300mm DUV Used
4339 Yaskawa ULVI-30-NQ11 Linear Lift Elevator 4K196-818-1 NSR-S307E 300mm DUV Used
4340 Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E 300mm DUV As-Is
4341 Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Sheared Pin As-Is
4342 Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Cut Cables As-Is
4343 Sumitomo M28488 YL Axis Linear Lift Elevator YLaxisVCM1 NSR-S307E 300mm DUV Used
4344 Sumitomo M28489 Linear Lift Elevator YRaxisVCM1 NSR-S307E 300mm DUV Used
4345 Sumitomo M28487 Linear Lift Elevator XaxisVCM1 NSR-S307E 300mm DUV Used
4346 Asahi 752NK-T1 ERG-Box 4K191-818 Nikon NSR-S307E 300mm DUV Used
4347 Asahi 752NK-T1 ERG-Box 4K191-818 Nikon NSR-S307E 300mm DUV Used
4348 Asahi 752NK-T2 ERG-Box 4K197-784 Nikon NSR-S307E 300mm DUV Used
4349 Asahi 752NK-T2 ERG-Box 4K197-784 Nikon NSR-S307E 300mm DUV Used
4350 Yaskawa XU-DV9020V Linear Motor Amplifier 4S587-408-2 NSR-S307E 300mm DUV Used
4351 Yaskawa XU-DV9020V Linear Motor Amplifier 4S587-408-2 NSR-S307E 300mm DUV Used
4352 Corman Technologies CT-N800 CorNet ARCNET Diagnostic Hub 8-Port Used Working
4353 Corman Technologies CT-N806 CorNet ARCNET Diagnostic Hub 8-Port Used Working
4354 TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-300 w/Encloser Used
4355 TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-300 300mm Used Working
4356 TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 PCB Board 2908-600595-11 Used
4357 TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 PCB Board 2908-600595-11 Used
4358 TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 PCB Board 2908-600595-11 Used
4359 TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 PCB Board 2908-600595-11 Used
4360 Nikon 4S018-675-D-IO-1(3) Processor Board PCB J1PC103B Used Working
4361 Nikon 4S008-114 Processor Board PCB ALGAF-P/A-X4+ Used Working
4362 Nikon 4S008-181 Audio Video Processor Board PCB AV-I/FX4B Used Working
4363 Nikon 4S008-049-D Interface Board PCB alg-Z8277 Used Working
4364 Nikon 4S008-052-C Processor PCB Board X4-AIS A-554 NSR Series Used Working
4365 Nikon 4S013-482 Interface Board PCB STGX42B Used Working
4366 Nikon 4S013-393 Interface Connector Board STGX42A PCB Used Working
4367 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Damaged Connector As-Is
4368 JAE KT000983 6 Axis Vibration Measurment Unit Nikon 4S587-588 NSR-S307E DUV Used
4369 Nikon 4B043-726 Magnetic Linear Rail Assembly NSR-S307E 300mm DUV Used Working
4370 Nikon 4B043-727 Magnetic Linear Rail Assembly NSR-S307E 300mm DUV Used Working
4371 Nikon X88Z1-0001-D Linear AC Servo Motor Rail 4S604-212-3 NSR-S307E DUV Used
4372 Nikon 4B043-709 Linear Rail Assembly NSR-S307E 300mm DUV Scanning System Used
4373 Nikon 4B043-710 Linear Rail Assembly NSR-S307E 300mm DUV Scanning System Used
4374 Nikon 4S013-510 SPAIFX4B Board NSR-S307E Scanner Used Working
4375 Nikon 4S008-050 Power Amplifier MIS-POWAMPX4 Board NSR-S307E Used Working
4376 Nikon 4S008-050 Power Amplifier MIS-POWAMPX4 Board NSR-S307E Used Working
4377 Nikon 4S008-050 Power Amplifier MIS-POWAMPX4 Board NSR-S307E Used Working
4378 Agilent Z4381-68001-23-0433-00003 Phase Detector PCB Z4381A VME NSR-S307E Used
4379 Agilent 10898-68002 Dual Laser Axis PCB 10898A VME NSR-S307E Used Working
4380 Agilent Z4208-68001-23-0645-00010 Controller PCB Z4208B VME Card NSR-S307E Used
4381 Nikon 4S019-288-1 Laser Interface VME Card IFSIGCOR NSR-S307E 300mm DUV Used
4382 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 VME NSR-S307E Used
4383 Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S307E Used
4384 Agilent Z4208C Controller VME Board E1845-60001 Nikon NSR-S307E Used Working
4385 Agilent Z4208C Controller VME Board E1845-60001 Nikon NSR-S205C Used Working
4386 Agilent Z4208C Controller VME Board E1845-60001 Nikon NSR-S205C Used Working
4387 Agilent 10898-68002 Dual Laser Axis PCB 10898A VME NSR-S205C Used Working
4388 Agilent 10898-68002 Dual Laser Axis PCB 10898A VME NSR-S205C Used Working
4389 Agilent Z4381-68001-23-0421-00015 Phase Detector PCB Z4381A VME NSR-S205C Used
4390 Agilent Z4381-68001-23-0421-00015 Phase Detector PCB Z4381A VME NSR-S205C Used
4391 Agilent Z4208-68001-01-0306-00199 Controller PCB Z4208 VME NSR-S205C Used
4392 Nikon 4S019-288-1 Interface Board VME Card IFSIGCOR PCB NSR-S205C Used Working
4393 Nikon 4S019-288-1 Interface Board VME Card IFSIGCOR PCB NSR-S205C Used Working
4394 Sony 1-675-992-13 Laserscale PCB DPR-LS21 VME Card EP-GW NSR-S205C Used Working
4395 Sony 1-675-992-13 Laserscale PCB DPR-LS21 VME Card EP-GW NSR-S205C Used Working
4396 Sony 1-675-992-13 Laserscale PCB DPR-LS21 VME Card EP-GW NSR-S205C Used Working
4397 AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working
4398 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S307E DUV 300mm Used Working
4399 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S307E DUV 300mm Used Working
4400 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S307E DUV 300mm Used Working
4401 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S307E DUV 300mm Used Working
4402 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working
4403 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working
4404 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working
4405 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working
4406 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working
4407 Nikon 4S018-884-A Interface Board PCB C30-CPCI-IC NSR-S307E DUV 300mm Used
4408 Nikon 4S018-884-A Interface Board PCB C30-CPCI-IC NSR-S307E DUV 300mm Used
4409 Nikon 4S018-884-A Interface Board PCB C30-CPCI-IC NSR-S307E DUV 300mm Used
4410 Nikon 4S019-160 Interface Board PCB ALG-ACE2 NSR-S307E DUV 300mm Used Working
4411 Nikon 4S019-160 Interface Board PCB ALG-ACE2 NSR-S307E DUV 300mm Used Working
4412 Nikon 4S019-160 Interface Board PCB ALG-ACE2 NSR-S307E DUV 300mm Used Working
4413 Nikon 4S019-160 Interface Board PCB ALG-ACE2 NSR-S307E DUV 300mm Used Working
4414 Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S307E DUV 300mm Used
4415 Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S307E DUV 300mm Used
4416 Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S307E DUV 300mm Used
4417 Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S307E DUV 300mm Used
4418 Nikon 4S018-713-1 Interface Board PCB RASIG NSR-S307E DUV 300mm Used Working
4419 Nikon 4S018-713-1 Interface Board PCB RASIG NSR-S307E DUV 300mm Used Working
4420 Nikon 4S018-713-1 Interface Board PCB RASIG NSR-S307E DUV 300mm Used Working
4421 Nikon 4S018-713-1 Interface Board PCB RASIG NSR-S307E DUV 300mm Used Working
4422 Nikon 4S001-061 Power Supply Board PCB 518NB2-010 NSR-S307E DUV Used Working
4423 Nikon 4S001-061 Power Supply Board PCB 518NB2-010 NSR-S307E DUV Used Working
4424 Nikon 4S001-061 Power Supply Board PCB 518NB2-010 NSR-S307E DUV Used Working
4425 Nikon 4S018-716 Relay Control Board PCB OPDCTRL3 NSR-S307E DUV 300mm Used
4426 Nikon 4S001-060 Power Supply Board PCB DDP-024-A NSR-S307E DUV Used Working
4427 Nikon 4S001-060 Power Supply Board PCB DDP-024-A NSR-S307E DUV Used Working
4428 Nikon 4S001-082 Power Supply Board PCB DDP-047-A NSR-S307E DUV 300mm Used
4429 Nikon 4S001-082 Power Supply Board PCB DDP-047-A NSR-S307E DUV 300mm Used
4430 Nikon 4S015-265 Processor Board PCB AGPCi7500 NSR-S307E DUV 300mm Used Working
4431 Nikon 4S013-497 Processor Board PCB DSP-INF NSR-S307E DUV 300mm Used Working
4432 Nikon 4S018-935 Processor Board PCB EPDRVX4-MOPT NSR-S307E DUV 300mm Used
4433 Nikon 4S015-214 Processor Board PCB NK-C446-OPT NSR-S307E DUV 300mm Used Working
4434 Nikon 4S019-136 Processor Board PCB RMDRVX4B NSR-S307E DUV 300mm Used Working
4435 Nikon 4S019-136 Processor Board PCB RMDRVX4B NSR-S307E DUV 300mm Used Working
4436 Nikon 4S019-156 Processor Board PCB AVDRVX4B NSR-S307E DUV 300mm Used Working
4437 Nikon 4S019-156 Processor Board PCB AVDRVX4B NSR-S307E DUV 300mm Used Working
4438 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S307E DUV 300mm Used
4439 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S307E DUV 300mm Used
4440 Nikon 4S019-289 Processor Control Board PCB AFDRVX4B NSR-S307E DUV 300mm Used
4441 Nikon 4S015-192 Processor Board PCB NK-C44-60S NSR-S307E DUV 300mm Used Working
4442 Nikon 4S015-192 Processor Board PCB NK-C44-60S NSR-S307E DUV 300mm Used Working
4443 Nikon 4S019-155 Interface Control Board PCB WTDRVX4B NSR-S307E DUV 300mm Used
4444 Nikon 4S019-154 Processor Control Board BLDRVX4B NSR-S307E DUV 300mm Used
4445 Nikon 4S019-153 Relay Interface Board PCB WSDRVX4B NSR-S307E DUV 300mm Used
4446 Nikon 4S019-153 Relay Interface Board PCB WSDRVX4B NSR-S307E DUV 300mm Used
4447 Nikon 4S015-261 Processor Control Board PCB NK-C44-60R NSR-S307E DUV 300mm Used
4448 Nikon 4S015-261 Processor Control Board PCB NK-C44-60R NSR-S307E DUV 300mm Used
4449 Nikon 4S019-152 Relay Interface Board PCB RSDRVX4B NSR-S307E DUV 300mm Used
4450 Nikon 4S019-152 Relay Interface Board PCB RSDRVX4B NSR-S307E DUV 300mm Used
4451 Nikon 4S018-750 Relay Control Board PCB SPIOX4 NSR-S307E DUV 300mm Used Working
4452 Nikon 4S018-750 Relay Control Board PCB SPIOX4 NSR-S307E DUV 300mm Used Working
4453 Nikon 4S015-257 Processor Control Board PCB NK386SX6 NSR-S307E DUV 300mm Used
4454 Nikon 4K177-955-1 Robot Controller Tazmo RRW-07 V4.2 NSR-S204B Used Working
4455 Nikon 4S587-470-3 Linear Motor Controller SPA452B WYR NSR-S307E DUV Used Working
4456 Nikon 4S587-470-3 Linear Motor Controller SPA452B WYR NSR-S307E DUV Used Working
4457 Nikon 4S588-064 Linear Motor Controller SPA454C MSCL NSR-S307E DUV Used Working
4458 Nikon 4S588-064 Linear Motor Controller SPA454C MSCL NSR-S307E DUV Used Working
4459 Nikon 4S588-064 Linear Motor Controller SPA454C 4S013-373-2 NSR-S307E DUV Used
4460 Nikon 4S588-472-2 Linear Motor Controller SPA454B MSCR NSR-S307E DUV Used
4461 Nikon 4S588-472-2 Linear Motor Controller SPA454B MSCR NSR-S307E DUV Used
4462 Nikon 4S588-087-1 Linear Motor Controller SPA355C RF NSR-S307E DUV Used Working
4463 TEL Tokyo Electron HA-009 Digital In Out Board EXT DIO #02 PCB Used Workin
4464 Jenoptik Infab 013501-083-17B Interface Board PCB Brooks 013501-090-17 Used
4465 Nikon 4S003-028 Relay Resistor Board PCB DCMD-L2 NSR-S306C Used Working
4466 Jenoptik Infab 812100038 131-25 Interface Board PCB 013501-130-17I1 AEz1 Used
4467 Jenoptik Infab 812100038 131-25 Interface Board PCB 013501-130-17I1 AEz1 Used
4468 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used
4469 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used
4470 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used
4471 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30B TAS300 Load Port Used
4472 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30B TAS300 Load Port Used
4473 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. C Used Working
4474 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. C Used Working
4475 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. D Used Working
4476 Mean Well PD-2512 Power Supply PCB PD-25-R3 Reseller Lot of 2 Used Working
4477 Delta Design 1941692502 Pick and Place Interface Board PCB Rev. F V1.47 Used
4478 Yamatake C40L6D0AS050D0 SDC40L Digital Indicating Controller SDC40 Used Working
4479 Nikon 4S588-087 Linear Motor Controller SPA355B 4S013-374-2 NSR-S307E DUV Used
4480 Nikon 4S588-087 Linear Motor Controller SPA355C 4S013-374-2 NSR-S307E DUV Used
4481 Nikon 4S587-624 AVIS1 ERG AMP SEA141A NSR-S205C Step-and-Repeat Used Working
4482 Nikon 4S065-531 Control Module LZR-OPT-2 NSR-S620D ArF Immersion Used Working
4483 TCE IZU 4S064-957 Power Supply Nikon NSR-S307E DUV Used Working
4484 TCE IZU 4S064-957 Power Supply Nikon NSR-S307E DUV Used Working
4485 Nikon Air Sampling Regulator Assembly Nikon NSR-S204B Step-and-Repeat Used Working
4486 Nikon Air Sampling Regulator Assembly Nikon NSR-S204B Step-and-Repeat Used Working
4487 Agilent 10897-60002 Laser Axis Control PCB Card Rev. C 10897B VMEbus Nikon Used
4488 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
4489 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
4490 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
4491 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
4492 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
4493 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
4494 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used
4495 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used
4496 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used
4497 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
4498 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
4499 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
4500 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
4501 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
4502 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
4503 Nikon 4S001-090 Power Supply PCB Board TDK MSE182P NSR-S204B Used Working
4504 Nikon 4S001-090 Power Supply PCB Board TDK MSE182P NSR-S204B Used Working
4505 Nikon 4S001-090 Power Supply PCB Board TDK MSE182P NSR-S204B Used Working
4506 Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S205C Used
4507 Nikon 4S001-093 Power Supply Board PCB PW-NK NSR Used Working
4508 Nikon 4S001-093 Power Supply Board PCB PW-NK NSR Used Working
4509 Nikon 4S018-922-B Control Board PCB IU-DRV1-X4P NSR-S307E Used Working
4510 Nikon 4S018-768 Processor Board PCB NA-IOP-I/F PRT M-040 Used Working
4511 Nikon 4S019-461 Processor Card PCB BSA-OTH CAB03NK037 NSR Used Working
4512 Nikon 4S019-460 Processor Card PCB BSA-MOT CAB03NK036 Used Working
4513 Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat Used
4514 Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat Used
4515 Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat Used
4516 Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat Used
4517 Nikon 4S014-145 Interface Bus Board PCB AF-BUSX2 NSR-S204B Step-and-Repeat Used
4518 Nikon 4S014-145 Interface Bus Board PCB AF-BUSX2 NSR-S204B Step-and-Repeat Used
4519 Nikon 4S015-172-1 Processor Card PCB NK-C441-1-50 NSR-S205C Used Working
4520 Nikon 4S018-867 Proccesor Control Card PCB PPD3X4-I/F NSR 4S015-227 Used Working
4521 Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S205C Step-and-Repeat Used
4522 Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S205C Step-and-Repeat Used
4523 Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S205C Step-and-Repeat Used
4524 Nikon 4S025-356 IO-COM4 Interface Card PCB 4S013-768 NSR-S620D Used Working
4525 Nikon 4S025-356 IO-COM4 Interface Card PCB 4S013-768 NSR-S620D Used Working
4526 Nikon 4S019-061 Processor Card PCB AVDRVX4VE NSR-S205C Step-and-Repeat Used
4527 Nikon 4S019-061 Processor Card PCB AVDRVX4VE NSR-S205C Step-and-Repeat Used
4528 Nikon 4S001-065 Power Supply Card PCB MSE182C NSR Used Working
4529 Nikon 4S013-510 Interface Card PCB SPAIFX4B NSR-S307E DUV Used Working
4530 Nikon 4S013-510 Interface Card PCB SPAIFX4B NSR-S307E DUV Used Working
4531 Nikon 4S014-178 Linear Pulsemotor Control Card PCB ULYCNT NSR-S307E DUV Used
4532 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
4533 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
4534 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
4535 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
4536 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
4537 Nikon 4S015-499-FP Single Board Computer PCB NK-MPC7457 NSR-S620D Used Working
4538 Nikon 4S015-499-FP Single Board Computer PCB NK-MPC7457 NSR-S620D Used Working
4539 Nikon 4S025-072-1 Processor Card PCB IF2X8-HUB NSR-S620D Immersion Used Working
4540 Nikon 4S025-072-1 Processor Card PCB IF2X8-HUB NSR-S620D Immersion Used Working
4541 Nikon 4S025-563 Interface Control Card PCB AFX8IF NSR-S620D Immersion Used
4542 Nikon 4S001-142 Power Supply Relay Card PCB AFX8PW NSR-S620D Used Working
4543 Nikon 4S018-705 Relay Board PCB RL-LøHSP NSR-S204B Step-and-Repeat Used Working
4544 Nikon 4S015-501-1 Single Board Computer PCB STG51 NSR-S620D Used Working
4545 Nikon 4S015-501-1 Single Board Computer PCB STG51 NSR-S620D Used Working
4546 Nikon 4S019-645-1 Processor Control Card PCB AFX6BD1-MAIN NSR-S620D Used Working
4547 Nikon 4S018-767 Interface Control Board PCB L.TEMPX4 NSR Used Working
4548 Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-01 55010-10 Used Working
4549 Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-51 55010R-10 Used Working
4550 MKS Instruments 621C-02TBFHV Baratron Pressure Transducer USED
4551 FESTO D6P-40-810-PPVA-B LINEAR DRIVE USED
4552 Horiba STEC SMT-2000 Mass Flow Controller NEW
4553 Lam Research 810-017031R0004 ADIO A0 PCB Card Rev. B Refurbished
4554 Brooks Automation 6600-17 ROBOT (Semitool) USED
4555 G&L PUMP 2SVC1G2F0H PUMP WITH BALDOR MODEL CM3155 MOTOR USED
4556 Persy’s Engr 39-A Shutter Paddle Moly
4557 METRICON 2010-LT1 Melles Griot NeNe Laser O5-LHP-488-339 NEW
4558 Micro Pump L18754 0100 PUMP HEAD W/RYTON GEARS USED
4559 K-PATENTS PR-03-A62-HSS Sensor with 10-32 Port for N2 Purge USED
4560 K-PATENTS PR-03-A62-HSS Sensor with 10-32 Port for N2 Purge USED
4561 MICROPUMP WE5002/ICS PVDF WET END REPL. Part for 70900-87 USED
4562 Watlow DB2C-2060-C0S0 Power Supply Reseller Lot of 4 Used Working
4563 EAO 31-810.005 Alarm Buzzer Switch Reseller Lot of 13 New Surplus
4564 Swagelok 6L-LD8-BBXX Diaphragm Valve Semitool 72015-20 Reseller Lot of 3 New
4565 Cutler-Hammer CE15RN3 AC Magnetic Contactor Series A1 Used Working
4566 Malema M60 Flow Switch M60-T21-01-005 M60-6*T-SS-SP ASM 70961-25 Lot of 10 Used
4567 Omron E2E-X1-E1 Inductive Level Sensor E2E ASM 73018-05 Reseller Lot of 26 New
4568 Futurestar 400-015 Digital Flow Meter Sensor ASM 72056-51 Reseller Lot of 10 New
4569 METTLER TOLEDO 61326-10 INPRO 7202 Inductive Conductivity Sensor 7200 Series NEW
4570 ION 4210un Inline Ultra Clean Nitrogen Ionizer w/Isostat Technology NEW
4571 Allied Motion T61105-59 Motor Brushless DC Servo NEW
4572 A01 7011 Cvr. Arm HSG, RDC HGT w/Align P/N 715-021771-002
4573 Powerware PW5119 1000 Universal Power Supply 05144032-5501 New Surplus
4574 PRECISION FLOW DEVICES 401 Mass Flow Controller USED
4575 USHIO HB-251B/A-AF/HB-25103BY Lamp Housing & Controller
4576 Ushio Control Unit HB-25103BY-C
4577 ESI ES-2002 Nebulizer 100Ul NEW
4578 White Knight CP20-150 Shuttle Adapter for AP200 New
4579 Air Products Pigtail for B2H6/N2 cabinet 809-470790604A
4580 Schumacher 1495-3174 ELOI Overlay NEW
4581 Air Products 287-607455 Redundant Power Supply Distribution Board Assy. USED
4582 Air Products 1495-3154A Inlet Pigtail Keyed 1/2in FVCR New Surplus
4583 ASM Advanced Semiconductor Materials 2103-0397 Bulk Cabinet Spill Cable 4503427 New Surplus
4584 ASM 122972 Right Pneumatic Solenoid Harness CG400 New Surplus
4585 Sensor Technics 839-607828 Pressure Transducer SQ00503 Reseller Lot of 2 New
4586 ASM Advanced Semiconductor Materials 2103-3615 Cabinet Spill Cable 4500642 New Surplus
4587 Air Products 809-470313915A Process Out Stick SF6 O2/He CHF3 CF4 New
4588 Air Products 0010-30002 Plis Interface Box USED
4589 MICRONIX PV-1648 Rev.3 I/0 board, w/16 inputs & 48 digital outputs NEW
4590 Air Products 809-807198 Surge Protection Kit New Surplus
4591 ARCOM AIM104-ANALOG-I/O I/O Board, w/standoffs 16 analog, 8 digital NEW OTHER
4592 SMC VV3Q11-ULB970194-10 Pneumatic Manifold ASM 287-606437 Lot of 2 New
4593 Air Products 809-607699 Relay/Solenoid Digi. output board NEW
4594 Air Products 809-47031395A Process Out Stick N2O New Surplus
4595 Air Products 14295 6 System I/O PCB AP14295 6 USED
4596 Air Products 287-607997 Monochrome Display Kit NEW
4597 Air Products 1495-3104A CG Pigtail RES-A-OUTLET 1/4 MVCR New Surplus
4598 Air Products 1491-3113-1A Manifold, Main SG 100 NEW
4599 Entegris Pressure Transducer 41501-110G-F04-B12-A-S3
4600 Fujikin FPR-71-19.05-CJN Valve MO1052644UFV056 Lot of 3 New
4601 Control Concepts IE-110 Active Tracking Filter Islatrol Elite New Surplus
4602 White Knight AP-200 Pump Rebuild Tool Set KC-AP20-170
4603 Infineion Technologies Valve Control Box KC-VCB
4604 Allen-Bradley 32 Point Sourcing Input Card KC-1746-IB32
4605 Lam Research 843-022046-001 Kit, crtsy, cal, te NEW
4606 Lam Research 843-022046-001 REV B Kit, crtsy, cal, te NEW
4607 Air Products 46635 2Cyl AXO-AP1510SHM-HBr,HCl NEW
4608 Air Products 214463 2Cyl AXO-AP1402TSMA-WF6 214463 NEW
4609 Air Products 214468 Gas Line Assembly – PCV 1 Press Reg NEW
4610 Air Products 807-470626502A 2Cyl AXO-AP1510SM-NH3 NEW
4611 Lam Research 810-017031R0004 ADIO A0 PCB Card Rev. C Refurbished
4612 Daeil Systems BE-1000L Flexible Bellows NW50 Lot of 6 Used Working
4613 Daeil Systems BE-1000L Flexible Bellows NW50 Lot of 6 Used Working
4614 Daeil Systems NW100B Bellows ID 3-3/4″ Length 9-3/4″ Lot of 15 Used Working
4615 Daeil Systems NW100B Bellows ID 3-3/4″ Length 9-3/4″ Lot of 15 Used Working
4616 Daeil Systems NW100B Bellows ID 3-3/4″ Length 9-3/4″ Lot of 15 Used Working
4617 UNIT Instruments UFC-1661 MFC MASS FLOW CONTROLLER 750cc SC14 PID FW: 1.02 New
4618 Aera FC-D980C MFC Mass Flow Controller 50SCCM C5F8 New
4619 TEL Tokyo Electron Stainless Steel Cup Kit ACT 8 Used
4620 Lytron MCS MCS10603-M01 MODULAR COOLING SYSTEM Used
4621 TEL Tokyo Electron 3210-201270-11 (TS) Card Holder R (S) Used Working
4622 ATMI BRC-22A INTEGRATED REFILL CONTROLLER USED
4623 Omron CV500-BC101 Base Unit 10 Slot USED
4624 TERADYNE 829-660-00 ROUND CIRCUIT BOARDS USED
4625 TERADYNE 829-661-00 ROUND CIRCUIT BOARDS USED
4626 KoMiCo 020-518-ID200 Upper Sub Electrode Used Working
4627 Fuji Electric AH22 Command Switch ZRB3 ZWM ZWH Lot of 29 New
4628 KUMKANG QUARTZ KK20050628021 SHADOW RING 8in FLAT (MXP) USED
4629 DS Techno Company S3T40542 UPPER ELECTRODE, 10T NEW
4630 AMAT Applied Materials 0010-10328 SHELL ASSY, 200MM, OXIDE ESC USED
4631 TEL Tokyo Electron 1885-022352-17 Electron Bottom, PC NEW
4632 AMAT Applied Materials 0040-38515 PS-P-ESC (KAP-PS08F) NEW OTHER
4633 Fujikin LAPE76000 VALVE, PNEU DIAPH, 140 PSIG, 1/4 NEW
4634 Fujikin LAPFV000 VALVE, MNL, DIAPH, 1/4 CR, 1/4 TURN NEW
4635 AMAT Applied Materials 0050-14645 TEOS Supply Line New Surplus
4636 AMAT Applied Materials 0050-26666 DPD + Dump Weldment Foreline New Surplus
4637 AMAT Applied Materials 0050-33171 Weldment Angle Pipe New Surplus
4638 AMAT Applied Materials 0150-21033 CABLE ASSY, N2 HEATER NEW
4639 AMAT Applied Materials 0150-21025 CABLE ASSY, BAKEOUT NEW
4640 Brooks Automation 001-1984 Robot Teach Pendant Used Working
4641 AMAT Applied Materials 0150-21030 CABLE ASSY, HEATER NEW
4642 AMAT Applied Materials 0150-21026 CABLE ASSY, HEATER NEW
4643 AMAT Applied Materials 0200-01086K SST Focus Ring (Modified) New
4644 AMAT Applied Materials 0150-21029 CABLE ASSY, CH-4, BAKEOUT NEW
4645 AMAT Applied Materials 0150-21028 CABLE ASSY, CH-3, HEATER NEW
4646 AMAT Applied Materials 0151-21032 CABLE ASSY, CONVENIENCE OUTLET NEW
4647 AMAT Applied Materials 0150-21024 CABLE ASSY, CH-1, HEATER NEW
4648 Tosoh 805-302-QC-62R-290 Ceramicoat Bell Jar PC-II Used Working
4649 Tosoh SET-805-016-AG Dished Ring Used Working
4650 Tosoh 805-055-AG-62A01 Aluminum Vectra Bowl Shield Used Working
4651 Tosoh 805-140-TM-62-192 Pedestal PC-II w/Fit Used Working
4652 Tosoh 805-058-SG-62 SS Lower Pedestal Cover Used Working
4653 Tosoh 805-140-TM-62R-192 Pedestal PC-II w/Fit Used Working
4654 Tosoh 805-076-SG-62R-16 SST Shutter Disc Used Working
4655 Tosoh 805-240-SA-62R-170 Dark Space Shield Used Working
4656 AMAT Applied Materials 0200-00177 INSERT RING, SILICON, 200MM FLAT, SI/QTZ NEW
4657 AMAT Applied Materials 0010-20422 ENDURA J SHIELD TREATMENT 8in USED
4658 AMAT Applied Materials 0020-26822-A Shield Low Knee Used Working
4659 AMAT Applied Materials 0620-02279 Power Cord 18AWG 115VAC 24″ New Surplus
4660 Tosoh SET-805-262KR-TG 8″ HOT AL Kit Endura 5500 Used Working
4661 Tosoh SET-805-462KR-AA Adapter Shield WB Tin Used Working
4662 Unknown E17324100 FOR USE ON VISTA HC ONLY USED
4663 MKS Instruments 9515-0104 Rev. A Heated Angle Pipe WCSH-0120-0000 Used Working
4664 Ebara 280953 EMERGENCY OFF SWITCH USED
4665 AMAT Applied Materials 310651R02 SPACER RING USED
4666 Seiko Seiki 17-97ZT0003 CIRCUIT BOARD USED
4667 Seiko Seiki 17-942T0013 CIRCUIT BOARD USED
4668 Seiko Seiki P005Y008 Z831-3S1 CIRCUIT BOARD USED
4669 Edwards N03615000 CABLE 208V, PO17 KIT NEW
4670 TEL Tokyo Electron CT2910-427302-11 Pipe Support W0298 Reseller Lot of 31 New
4671 TEL Tokyo Electron 2910-427303-11 Holder Tube Reseller Lot of 16 New Surplus
4672 TEL Tokyo Electron 2910-353438-11 Holder Tube Lot of 15 New Surplus
4673 Spears 857-012C 1.25″ Viton Union Socket Reseller Lot of 40 New Surplus
4674 AMAT Applied Materials 0020-25199 Pio Sensor Cover Nut Lot of 8 New Surplus
4675 AMAT Applied Materials 0021-20199 SPACER SHIM, G TYPE, ENCAPSULATED MAGNET NEW
4676 TEL Tokyo Electron MD-9253092 COVER ASSY UTILITY NEW
4677 Nor-Cal NW-25B-100 Bored Flange NW-25 x 1″ Reseller Lot of 40 New Surplus
4678 Nor-Cal NW-50B-100 Bored Flange NW-50 x 1″ Reseller Lot of 72 New Surplus
4679 AMAT Applied Materials / M.E.C. Tech 0200-00177 INSERT RING SI/QTZ 200MM SILICON FLAT USED
4680 AMAT Applied Materials / SOLMICS CO. 0200-00177 “INSERT RING SI/QTZ 200MM SILICON FLAT USED
4681 AMAT Applied Materials 0200-10447 SNT INSERT RING NEW
4682 AMAT Applied Materials / KumKang Quarts Co. 0200-00849 L SHAPED SHADOW RING 63RA E-MAX USED
4683 AMAT Applied Materials KS0201 / KS0202 / KS0203 8″ ADVANCED 101 TIN KIT ENDURA USED
4684 AMAT Applied Materials KMC-HSMA-HE ENDURA 5500 8″ ESC TYPE HOT ALUMINUM Kit  USED
4685 Teradyne 885-206-00 Rev. L Test Probe Cable Used Working
4686 Teradyne 876-142-00 Hood Fan New Surplus
4687 ADCMT 763100386 7451T DIGITAL MULTIMETER, NO POWER USED
4688 BoBoo Hi-Tech Vespel Focus Ring 200mm New
4689 ATMI CAMP-000235 CANISTER NEW
4690 Applied Materials 0200-35335 Ceramic Capture Ring
4691 AMAT Applied Materials 0020-39137 B Ceramic Dome DPS Poly Refurbished
4692 Applied Materials 0200-10325 Ceramic Dome DPS Metal
4693 Applied Materials S3M21152 Poly RG FOCUS RING Used
4694 APPLIED MATERIALS 0200-39141 FOCUS RING Used
4695 Applied Materials 0021-04319 TV Liner EMAX Kit Clean Used
4696 AMAT Applied Materials Ceramic Injector EMAX Kit Lot of 58 New Surplus
4697 APPLIED MATERIALS EMAX SLIT LINER EMAX KIT New
4698 APPLIED MATERIALS SET-E832-001-Q-62 SPACER PIPE, QUARTZ, EMAX New
4699 APPLIED MATERIALS SHADOW RING QUARTZ New
4700 AMAT Applied Materials 0200-10415 QUARTZ FOCUS RING MXP+ Poly Kit USED
4701 AMAT Applied Materials 0200-00410 QUARTZ GDP SUPER E OXIDE KIT USED
4702 AMAT Applied Materials 0200-10447 SHADOW RING NEW
4703 AMAT Applied Materials 0200-00176 QUARTZ SHADOW RING USED
4704 AMAT Applied Materials 0200-01086 MODIFIED QUARTZ FOCUS RING NEW
4705 AMAT Applied Materials S3M21437 SHADOW RING USED
4706 MSR Material Support Resources 0307082-306 Flame Polish Shadow Ring Refurbished
4707 Lam Research 715-11986-018 ASSY ELECTRODE, ESC 8″ USED
4708 Lam Research 718-094523-282 ASSY ELECTRODE, ESC 8″ USED
4709 AMAT Applied Materials 0200-39834 ESC ASSY 195MM USED
4710 AMAT Applied Materials 0200-35851 POLYMIDE PEDESTAL ASSY USED
4711 Aera FC-980C FLOW CONTROLLER USED
4712 Liberty 10236834 Manometer Reader Cable DB15M/DB15F Reseller Lot of 94 New
4713 AMAT Applied Materials 0190-40071 INTERFACE CARD USED
4714 AMAT Applied Materials 0190-40072 INTERFACE CARD USED
4715 Lam Research 715-495014-001 LINER TRANSITION MANIFOLD ADAPTER USED
4716 MKS Instruments 328A-13114 PRESSURE TRANSDUCER USED
4717 Tylan General FC-2952 PRESSURE CONTROLLER 200 SCCM USED
4718 TEL Tokyo Electron S3T41205 Upper Electrode AceCo S33-960 Used Working
4719 Lam Research KP00-716-330892-007 Ceramic Shower Head (PTX) Refurbished
4720 DS Techno Company KMC-QD-026 SMOOTH QUARTZ DISK USED
4721 APPLIED MATERIALS 0021-15670 DEPOSITION RING
4722 Lam Research 1289-98-42-004 CHAMBER LINER NEW
4723 Lam Research 1001867-07-03-0007 GAS INJECTION RING NEW
4724 Lam Research R Edge Ring (PTX) Refurbished
4725 AMAT Applied Materials LINER TV 200MM SHADOW RING QTZ USED
4726 TEL Tokyo Electron 01012 Ceramic Ring Shield DRM USED
4727 Lam Research 716-330915-001 RING Insulator CERAMIC USED
4728 TEL Tokyo Electron Limited Quartz Ring Holder SCCM Used Working
4729 TEL Tokyo Electron 804090752 CERAMIC RING USED
4730 TEL Tokyo Electron 1805-320142-11 INTEGRATED BASE RING USED
4731 TEL Tokyo Electron B31D10-313620-11 CLAMP, UPPER NEW
4732 TEL Tokyo Electron B31810-329158-11 INSULATOR UPPER NEW
4733 TEL Tokyo Electron B31805-320140-11 COVER RING INNER NEW
4734 TEL Tokyo Electron 1810-121991-11 PLATE UPPER NEW
4735 TEL Tokyo Electron B31810-121990-11 PLATE UPPER NEW
4736 TEL Tokyo Electron 1805-320140-11 COVER RING INNER USED
4737 TEL Tokyo Electron B31D16-000050-11 GAS BOX UME-ARDC-PC2 NEW
4738 Lam Research 716-140118-001 Focus Ring ESC 8″
4739 New Lam Research 839-443215-502 Electrode Silicon L Res. Small Hole 8
4740 Lam Research 715-140125-001 Lower Baffle Lot of 5 New
4741 MATTSON TECHNOLOGY 544-00016-00 Plate Grid RF
4742 Lam Research 716-140069-001 Upper Ceramic Insulator Ring
4743 LAM 839-440562-518 ASSY, ESC, DUAL ZONE CLG
4744 APPLIED MATERIALS 0270-00249 ELECTROSTATIC CHUCK COVER
4745 LAM SUS-CRR-0320 RING CONFINEMENT CERAMIC
4746 APPLIED MATERIALS 0400-50414 EMAX DOOR LINER
4747 Hitachi 568-5521 ST.CNT. Circuit Board PCB Used
4748 Lam Research 53T40618 UPPER DEPO SHIELD NEW
4749 Lam Research 715-495014-001 Liner Transition Manifold Adaptor NEW OTHER
4750 TEL / ACECO 1D10-202204-12 / S33-960 ELECTRODE, UPPER (Vespel Inserts) USED
4751 APPLIED MATERIALS AMP-490-EX-041 CATHODE LINER SUPER E KIT
4752 AMAT Applied Materials 0200-10325-P2 Ceramic Dome Used Working
4753 TEL Tokyo Electron 1D10-313620-11 Upper Clamp (ME) New Surplus
4754 Lam Research TA2004 4N5 GRADE TITANIUM VECTRA, SET 1 COIL 5 CUPS 5 PINS NEW
4755 Lam Research 715-140124-001 Facing Plate/Electrode 715-140125-001 Lot of 3 Used
4756 VAT 89387-R1 KIT VALVE ACTUATOR WITH GATE NEW
4757 Aera FC-D980C MFC MASS FLOW CONTROLLER 2SLM O2 NEW
4758 Daihen CMC-10 Tuning Control Unit (x2) USED
4759 AMAT Applied Materials 0020-20558 Slit Valve Blank New Surplus
4760 AMAT Applied Materials 0200-10027 Inner Ceramic Ring Lot of 4 New Surplus
4761 AMAT Applied Materials 0200-10087 Outer Ceramic Ring Lot of 3 Refurbished
4762 AMAT Applied Materials SET-805-762KR-AG 8″ PIK PRE CLEAN II KIT NEW
4763 AMAT Applied Materials 805-016-AG-62-017 8″ SHIELD NEW
4764 Tosoh Quartz 04813-002 BELL JAR QTZ NEW
4765 AMAT Applied Materials 0010-36476 RING 8″ NEW
4766 AMAT Applied Materials 04080-00001 8″ COHERENT TI CHAMBER KIT NEW
4767 AMAT Applied Materials 04079-0001 8″ COHERENT TI CHAMBER KIT NEW
4768 AMAT Applied Materials 0200-27190 SHIELD UPPER NEW
4769 AMAT Applied Materials 0270-03714 Dual Blade Robot Lifting Frame New
4770 Lam Research 716-330892-507 Ceramic Focus Ring Base Used Working
4771 KLA-Tencor 710-658909-001 Processor PCB Card KLA XYI A Used Working
4772 KLA-Tencor 710-658956-00 Mass Memory 2 PCB Card Used Working
4773 KLA-Tencor 710-658807-00 VIF Board PCB Card Used Working
4774 Applied Materials 0021-04319 Throttle Valve Liner
4775 AMAT Applied Materials 0021-04316 Throttle Valve Flat Bottom Refurbished
4776 Applied Materials 0021-04317 Flap Bottom Main Throttle Valve
4777 Applied Materials 0021-04313 Throttle Valve Flat Bottom
4778 TEL Tokyo Electron 1810-225156-12 Upper Plate Ring Y203 Used Working
4779 Applied Materials 0200-02384 SI Insert Ring, Lapping Type
4780 APPLIED MATERIALS 0090-09258 POLYMIDE PEDESTAL ASSY
4781 AMAT Applied Materials 0020-19002 Door Slit Buffer New
4782 Lam Research 718-098591-001 VAT 65 Paddle Pendulum Valve Gate
4783 New Applied Materials 0020-35957 Saphire Window Spacer BK Regulator Platter
4784 Lam Research 718-098592-001 VAT 65 Locking Ring
4785 Applied Materials 0021-04312 Throttle Valve Flat Top
4786 APPLIED MATERIALS S3M22110 LOCKING RING DPS POLY
4787 APPLIED MATERIALS S3M22109 PADDLE, DPS POLY
4788 Lam Research 715-495014-001 Chamber Liner Trans Lam 9600 DFM Kit
4789 APPLIED MATERIALS 0400-50414 DOOR LINER
4790 KoMiCo Depo Shield Ring Coated (DRM) Used Working
4791 Lam Research 715-140126-001 Facing Upper Baffle
4792 Varian E17096640 FRAME WINDOW DOOR EL NEW
4793 Varian E17259990 MASK KIT VARIAN ION IMPLANT NEW
4794 Swagelok JNW2FC40-5.20 Flexible Coupling KF40 New
4795 Novellus Systems 04-121341-00 KIT CHAMBER HEAT SHIELD SPD-SX NEW
4796 Novellus 06-262X Sapphire Plate 06-2624 06-2625 06-2626 06-2629 Lot of 7 New
4797 Edwards D37215000 Vacuum Pump Flash Module Lot of 2 Used Working
4798 Edwards D37215000 Vacuum Pump Flash Module Lot of 2 Used Working
4799 Novellus Systems 02-147430-00 PET MODULE TEACHING JIGS USED
4800 Edwards D6315020 Flash module only NEW
4801 Edwards C10517490 Trapped Viton O-Ring NW50 Reseller Lot of 80 New Surplus
4802 Edwards U20000953 MCM Interface module
4803 Edwards D37215020 Vacuum Flash Module D37215010 Enclosure Used Working
4804 Ebara 321400RE; 280365 Emergency off pump control box 1-with hookup kit NEW
4805 New Dip-Proofing Technologies DPI52S25 208 Voltage Dip-Proofing Inverter DPI52S
4806 IDS International Design Services 1421MDC Display Panel Spectre 600 New
4807 Optimation GCM1010 Rev. A VMB CPU Board PCB Missing Components As-Is
4808 Seiko Seiki P025Z—G231-3DC Processor Board PCB Used Working
4809 ACECO / TEL Tokyo Electron DS33-960 / 6P-009283-024 SHOWER HEAD 8 16-3/4″ O.D. USED
4810 TERADYNE 961-128-00-S POWER SUPPLY USED
4811 ACECO / TEL Tokyo Electron S33-915 DRM BELLOWS COVER KIT USED
4812 TEL Tokyo Electron 3210-2012-70-11 CARD HOLDER R(S) NEW
4813 Power-One SPM5C1C1E1E1H1S240 Modular Power Supply
4814 Astec 080-25862-1850 Power Supply Powertec 9R5-600-381-23-S1850 Teradyne Used Working
4815 Cambridge 3805 Compound Balance CVD Belt CB3-94-184-21-24 12×3/16″ x 301″ New
4816 Johnson Matthey TSQUALWDX-05635 Target DRUM FOR SPUTTER USED
4817 Applied Ceramics 911-02094A Spacer Insulator (Lifter) Lot of 16 New Surplus
4818 SCI BOC 5386249-001 Gas Cabinet Control Box SpecraSafe Used Working
4819 Lam Research / Force Computers 880-12536-101 PCB – SASI Board USED
4820 Lam Research / Force Computers 880-12537-101 PCB – CPU Board USED
4821 SCI BOC 5386278-001 Gas Cabinet Control Box SpecraSafe Used Working
4822 SCI BOC 5386249-001 Gas Cabinet Control Box SpecraSafe As-Is
4823 Lam Research 715-028552-001 RING CLAMP UPPER ELECTRODE NEW
4824 Varian E11318970 Dual PFG Cage.MAX Y-TILT Upgrade Kit New Surplus
4825 BOC Edwards A52844460 Interface Module
4826 Novellus Systems 06-2568 CENTERING RING, 200MM PLATEN WALL ISOLATION USED
4827 Novellus Systems 01-4433 TRANS SERVER Rev. C NEW
4828 Novellus 02-275852-00 Gas Valve Manifold New Surplus
4829 Semitool 23868-01 PCB 502 LT 300mm FOUP Door Open/Close Limit Board New
4830 Air Products 839-607349C Pneumatic Air Controller ChemGuard New Surplus
4831 Pfeiffer TCP600 TURBOMOLECULAR PUMP CONTROL UNIT USED
4832 Swagelok SS-FJ325L32 SL32-240 BRAIDED STEEL HOSE 2″ I.D. New
4833 TEL Tokyo Electron J3 Cable Assembly New Surplus
4834 Nikon 45061-663 DC POWER UNIT Used
4835 TEL Tokyo Electron J4 Cable Assembly New Surplus
4836 TEL Tokyo Electron J12 Cable Assembly New Surplus
4837 Lam Research 853-331021-002 ASSY, Q/C, EDS WDO, TCP9400DFM New
4838 TEL Tokyo Electron 2981-600373-13 CUI BOARD USED
4839 Yokogawa 370186 CHART RECORDER LR8100E New
4840 Nikon 4S017-912-A Control Board PCB PPD-CTL New Surplus
4841 SEIKO SEIKI SCU-H1000C STP CONTROL UNIT STP-H1000C
4842 TEL / ACECO 1D10-101475-11 / S33-1040 Baffle Plate UNITY-II DRM 200mm USED
4843 KoMiCo Bellows Cover A&B (DRM) Refurbished Working
4844 KoMiCo Bellows Cover A&B (DRM) Refurbished Working
4845 KoMiCo Drum Kit 5 Piece Upper Electrode Depo Ring Bellows Covers Refurbished
4846 Ebara 217011E Dry Pump Interface Used Working
4847 Alcatel MDP5011 / H2856 32 Vacuum Pump Type MDP 5011 Ceramic USED
4848 AMAT Applied Materials 0020-33810 Outer Blocker DPS-Poly Kit Lot of 4 New Surplus
4849 KoMiCo Coated Depo Ring Shield (DRM) Used Working
4850 TEL / ACECO 1D10-202204-12 / S33-960 Upper Electrode UNITY-II DRM 200mm USED
4851 MDA Scientific ChemKey Various Gases O3 H2SO4 HCL HBr Reseller Lot of 18 Used
4852 TEL Tokyo Electron 1D16-000049-11 Gas Cabinet UME-ARDC-PC1 New
4853 TEL Tokyo Electron 1810-121926-12 Upper Insulator 320 New
4854 TEL Tokyo Electron 1810-150010-11 Shield Box P2 G2L + Hi-Temp New
4855 TEL Tokyo Electron 1810-350080-11 Box Base and Cover Set PCV P1 PCV P2 A-RDC New
4856 TEL Tokyo Electron 1810-250048-11 Cover Set I/L P1 P2 A-RDC New
4857 TEL Tokyo Electron 1810-250052-11 Upper Gas Block P1(A-RDC) New Surplus
4858 TEL Tokyo Electron 1810-250053-11 Upper Gas Block P2(A-RDC) New Surplus
4859 TEL Tokyo Electron 1D85-050321-12 Power Supply Assembly A-RDC New Surplus
4860 TEL Tokyo Electron 1810-329535-11 Focus Ring New
4861 TEL Tokyo Electron 1810-3500068-11 Gas L A-RDC-2E Block New Surplus
4862 TEL Tokyo Electron 1810-350076-11 Float A-RDC-2E Block New Surplus
4863 TEL Tokyo Electron 1810-431718-11 Gas Pipe Inlet (3.6) G2L Reseller Lot of 4 New
4864 TEL Tokyo Electron 1810-329582-11 G2L Baffle Plate New Surplus
4865 TEL Tokyo Electron 1810-122007-11 G2L Cooling Plate New Surplus
4866 TEL Tokyo Electron 1D81-000092-1C BOARD ASSY TYB121-1/COM NEW
4867 TEL Tokyo Electron 1810-122006-11 G2L UEL Body New Surplus
4868 Anelva M-430HG Ionization Gauge
4869 Brooks Automation TT1ENR2-1-TVS-ES-BROOKS8 Robot Teach Pendant 112017 Used
4870 AMAT Applied Materials 0020-42262 MANIFOLD GAS W/MIXER EC, WXZ Rev. 002
4871 Swagelok DTO-SONG3000-13AC PTU SERIES ULTRAHIGH – PURITY PRESSURE TRANSDUCER NEW
4872 Swagelok SS-8BK-1C-K10 Stainless Body Kit Reseller Lot of 2 New Surplus
4873 Powerspec Electronics 02-00407-01 Power Supply Module Electroglas PSMD Used
4874 Parker 45100069EV High Purity Regulator Valve SQ140E1003PVXFSFMFEV New
4875 EOL TouchSystems 450261-000 Rev.M.1 RJD 4090 Monitor New Surplus
4876 Ebara DVP-REM1A Dry Vacuum Pump Control Panel P-V801B Used Working
4877 Tylan General FC-2952MEP5-T FLOW CONTROLLER 2900 50SCCM CF4
4878 Tylan General FC-2952MEP5-T FLOW CONTROLLER 2950 50SCCM CF4
4879 Horiba STEC SEC-7440M Mass Flow Controller MFC SEC-7440 2 SLM Ar Refurbished
4880 SPM Inc. SPM-AMR-2P-G Stainless Steel & PPS Ring 8″ New Surplus
4881 AMAT Applied Materials 0020-23811 8″ COHERENT PLATE USED
4882 AMAT Applied Materials 0242-88366 Kit – Slit Liner Door, Clamp Lid, Viton/Kalrez NEW OTHER
4883 Ebara 213663 Pump Control Interface Module AMAT P5000 Used Working
4884 Cyberoptics 990-0306-01 Wafer Leveling System WaferSense ALS300A Used Working
4885 Lam Research 839-360312-005 Adaptor TMP N2 PRG1.3K TMP New Surplus
4886 Varian Semiconductor Equipment E17284870 Wafer Lift Arm End Effector Used
4887 Varian Semiconductor Equipme E17089262 Shield End Adapters Lot of 3 Used Working
4888 ECI Systems 04-055583-00 Remote Module Kit TW Monitor Used Working
4889 Tescom 64-5061KRV30-048 VALVE New
4890 Parker 45300853 Manual Regulator Valve 917 Veriflo Reseller Lot of 19 Used Working
4891 Tescom 44-3264JRL1-032 Manual Regulator Valve Lot of 3 New
4892 Parker 45200931EV Regulator Valve w/ Gauge SQ420E100W3PVXFS8FMF Used Working
4893 Air Liquide EIN-5000-MD MONITOR ANNUNCIATOR
4894 Parker Veriflo 45400076 REGULATOR 4-PORT LOT OF 2 NEW
4895 AP Tech AP1402TSHMA 2PW FV4 MV4 3.70 Valve Assembly AP3510 AP64S Used Working
4896 Millipore LR300 Channel Display Controller Matheson S602-0050 New
4897 Parker 45600994 Diaphragm Valve 945YLP/LPFSFFFKCTECH New Surplus
4898 SEMI GAS SYSTEMS GSM-468 GAS SAFETY MONITOR NEW
4899 Parker PV-10-6324-00-03 Pneumatic Diaphragm Valve New
4900 Millipore 70901-11 FILTER HOUSING 10″ SS NEW
4901 Yaskawa SGDA-04VS Servo Drive SERVOPACK Used Working
4902 Emoteq BH02301-AF06-HBEE Brushless Servo Motor ASM T61105-12 New Surplus
4903 Hathaway Corporation BH02301AF04HBE Brushless Servo Motor ASM T61105-2 New
4904 AMAT Applied Materials 0020-09787 Electrode Ground New Surplus
4905 MATTSON TECHNOLOGY 544-00023-00 CAP QUARTZ TUBE
4906 Mattson Technology 544-00016-00 Plate Grid RF
4907 Panasonic MSM021A1FE AC Servo Motor
4908 Tegal 33-527-001 BELLOW CHUCK NEW OTHER
4909 ENI 31-515-002 RF MATCH MW-10TM1 USED
4910 Tegal 40-606-004 CERAMIC LINER HRE2 USED
4911 Tegal CC1326-00100 STRIPPER CHAMBER USED
4912 Tegal CR1324-00100 STRIPPER SOURCE USED
4913 Applied Ceramics 95-00056A Quartz Cover Clamp New Surplus
4914 Tegal / APPLIED CERAMICS 40-588-003B / 95-00054A INSULATOR OUTER NEW OTHER
4915 Tegal 41-238-008 RF SHIELD REACT FILL NEW OTHER
4916 Tegal 37-231-602 CHUCK RING USED
4917 ENI MW-10-21191 MATCHWORK CONTROL UNIT USED
4918 Tegal 46-952-002 STRIPPER GAS PANEL USED
4919 Ceyon Technology REM125-5C RFID External Antenna EA125-C New Surplus
4920 Ceyon Technology REM125 RFID Multi-Port Reader New Surplus
4921 AMAT Applied Materials 0021-35989 LID PLATE, TxZ VIEW LID USED
4922 Ceyon Technology TRA-5 RFID Tag Reader Arbiter New Surplus
4923 AMAT Applied Materials 0040-81919 Lower Chamber DPS MEC
4924 Ebara 217089A Dry Pump Interface Used Working
4925 Yaskawa Electric VS2A Wafer Transfer Robot Used Working
4926 Amphenol Aerospace MS3116F8-43 Connector Reseller Lot of 15 New Suplus
4927 Tescom 64-2841KRV10 Regulator Valve New Surplus
4928 Lam Research 715-495014-001 LINER TRANSITION MANIFOLD Chamber Liner Trans USED
4929 Parker 45400638EV Manual Regulator Valve SQ420E502PFS8FMEV New
4930 V-Tex V060780 Gate Valve Heated Type ROLLCAM 236×46 Refurbished
4931 DONALDSON P510172-016-002 POV PURGE HOOD FILTER COMPRESS AMBIANT AIR FILTER USED
4932 MKS Instruments S2H10769 Vacuum Throttle Valve Used Working
4933 Parker 45800522 Manual Regulator Valve SQ60SA2PFSMMTH New Surplus
4934 Aera FC-980 Mass Flow Controller MFC 2 SLM NH3 Used Working
4935 Aera FC-980 Mass Flow Controller MFC 2 SLM SiH4 Used Working
4936 Millipore SSC01A4BVM5S PRESSURE TRANSDUCER Lot of 2 NEW
4937 Aera FC-980 Mass Flow Controller MFC 2 SLM O2 Used Working
4938 STEC SEC-7440MC-302 Mass Flow Controller MFC SEC-7440 20 SCCM O2 Used Working
4939 Horiba STEC SEC-7440M Mass Flow Controller MFC SEC-7440 5 SLM N2 Used Working
4940 Accurate Gas Control Systems AG108 PARALLEL JACKET CONNECTION KIT NEW
4941 HAKKO ELECTRONICS GD-80E0/0 MONITOR NEW OTHER
4942 Parker Bayside RT90-015-021LE MultiDrive Gearhead Refurbished
4943 HIS Hoffman Instrumentation PN50 Manual Valve DIN 1.4408 800 CF8M Lot of 3
4944 Krom Schroder BCU 480-10.5.1LW3GBCB1/1 Burner Control Unit New
4945 Parker 45100483 Regulator Valve HFR902W3PXFSFFFV Lot of 5 New
4946 Parker 54014245 Manual Regulator Valve HFR902S3PX8TY Veriflo Lot of 5 New
4947 DongjooAP APS65R(CW) Ball Valve Scotch Type Yoke Actuator Sy-Pa Used
4948 MDA Scientific 856000 Exhaust Gas Monitor EGM Used
4949 Lam Research 715-330889-002 LAM 9600 Ring Ground Chamber
4950 Optimation GCM1010 VMB CPU Board PCB ASM 5267917-001 Used Working
4951 Optimation GMC2501 I/O Board Rev.C
4952 AFE Technologies 1060 Datapanel Interface Touch Screen Used Working
4953 Axcelis 17394930 Entrance Beam Tunnel Aperture New Surplus
4954 Kaijo FA Unit Remote Controller Used Working
4955 Kaijo Main Unit (Drive) Remote Controller Used Working
4956 Kokusai Dengyo PMC-1000 Program Loader Reseller Lot of 2 Used Working
4957 Kaijo FN515-TU001 Manual Teaching Unit Omron
4958 AMAT Applied Materials 0020-34017 MXP Polyimide ESC 0010-30724 0090-09299 Used
4959 AceCo CS33-155N Aluminum Cathode Upper Electrode Lot of 7 New
4960 Lam Research 853-190023-001 ASSY, HARD DISK ,IDE W/CONTROLLER, PCB USED
4961 Lam Research 853-190023-001 ASSY, HARD DISK ,IDE W/CONTROLLER, PCB USED
4962 SANWA MMP10 PUMP USED
4963 Blackston Ultrasonics 910217 WJ TANK NEW
4964 Honeywell DGR150-6U-A000-20-US-000 DIGITAL GRAPHIC RECORDER DGR100 USED
4965 Kokusai Electric 2DI-10035-03 LIFT ASSEMBLY NEW OTHER
4966 Delta DC Power Inc. S27505CTX1 Power Supply 1375W Used Working
4967 AceCo CS33-155N Aluminum Cathode Upper Electrode Lot of 7 New
4968 Applied Ceramics 91-01394A Heat Shield 200 mm SNNF 1/4″ Silicon USED
4969 Controlotron 995T CDU Hand Controller Used Working
4970 ASM S33-2178 Eagle 300mm Susceptor Pedestal Ace Co New
4971 AMAT Applied Materials 9010-01545 S2S Softdrive SAF305-045 Used Working
4972 ACE CO S33-2178 ASM 300mm Pedestal New
4973 ACE CO S33-2178 ASM 300mm Pedestal New
4974 AMAT Applied Materials 0010-08061 300mm Preclean Viewpoint Assembly New
4975 Fortrend 120-1004 Wafer Loader F-8025 Used
4976 Nikko Materials 500084725 Chamber Plate Assembly New
4977 Daihen RGA-50C-V Power Generator Used Working
4978 AMAT Applied Materials 0010-07476 300mmPVD Chamber Clear Lid Viewport Used
4979 Olympus Objective MSPlan 20 IC 20 0.46 Used Working
4980 Olympus Objective MSPlan 10 IC 10 0.30 Used Working
4981 Olympus Objective MSPlan 5 IC 5 0.13 Used Working
4982 Bio-Rad Objective 3.5/0.06 BA0569 Used Working
4983 Bio-Rad Objective 30/0.50 BA0546 Used Working
4984 AMAT Applied Materials 0270-00877 300mm Endura Lifting Tool New
4985 Edwards D37215000 Vacuum Flash Module Lot of 3 Used Working
4986 Edwards U20000618 Tool Interface Module Used Working
4987 Edwards U20000617 Tool Interface Module Used Working
4988 Edwards Tool Interface Module used
4989 Rippey Eclipse 500137185 Polisher MCFEM-38-18XN-0?310 Used Working
4990 ASML 4022.471.5200 SHB Limms Safety Unit Used Working
4991 ASML 4022.471.5200 SHB Limms Safety Unit Used Working
4992 Panasonic MSD021V AC Servo Driver Used Working
4993 Edwards A52844460 Interface Module Used Working
4994 Edwards A52844460 Interface Module Used Working
4995 Edwards U20000480 Tool Interface Module Used Working
4996 TEL Robot Controller TEL T-3044SS used working
4997 TEL Robot Controller TEL T-3044SS used working
4998 MKS 123714-G1 GBR1B24CR1 GBROR InSitu Flow Verifier used working
4999 TMC 21-26692-02 Active Piezoelectric Vibration Control System STACIS 2000 used
5000 TMC 21-26692-02 Active Piezoelectric Vibration Control System STACIS 2000 used
5001 Kokusai Zestone DD-1203V Tweezer used working
5002 Novellus 02-168108-00 Sesioc Sioc Bath Module used working
5003 Sumitomo 4S587-599 ADE1 V Control Unit M28558 4S013-373-2 DA-Z Z12 Z3 used works
5004 MKS 123714-G1 GBR1B24CR1 GBROR InSitu Flow Verifier used working (no cables)
5005 VAT 02112-AE24-AAJ1 Rectangular Slit Valve ASM Epsilon 3200 used working
5006 SMC MDUB63-60DM-F7BV Cylinder TEL T-3044SS used working
5007 TEL 3D80-000009-V4 Wafer Transfer Servo Assembly SPCBUA2-20-16-ZV T-3044SS used
5008 TEL 3D80-000009-V4 Wafer Transfer Servo Assembly SPCBUA2-20-16-ZV T-3044SS used
5009 TEL 3D80-000008-V4 Wafer Transfer Servo Assembly SPCBUA2-20-40-ZV T-3044SS used
5010 Sanyo Denki PZ0B030AFS1P00 BL Super PZ Servo Amplifier Sigmameltec RTS-500 used
5011 Sigmameltec RTS-500 CDL Dispense Cart used working
5012 Millipore INGENTPU0 Single Resist Pump Cart RTS5000 Sigmameltec RTS-500 used
5013 Millipore INGEN2PU0 Single Resist Pump Cart SH5M055R9 RTS5000 Sigmameltec used
5014 TBK RH700C Robot Hand Controller (Lot of 3) Sigmameltec RTS-500 used working
5015 SVG 99-80207-02 Circuit Board Spin Station Expansion Rev H used working
5016 SVG 99-80207-02 Circuit Board Spin Station Expansion Rev A used working
5017 SVG 99-803-336-01 Circuit Board Nikon Interface Rev O used working
5018 SVG 80164B Circuit Board EXH FLOW CNTRL SVG 90-S used working
5019 SVG 99-80315-01 Circuit Board Exhaust Flow Controller Rev A SVG 90-S used works
5020 SVG 99-80210C3-01 Controller PCB 99-80299-01 Chill Plate A/D Rev 52 90-S used
5021 SVG 99-80308-01 Circuit Board DC Distribution MCE Mount SVG 90-S used working
5022 SVG 99-80267-01 Circuit Board Shuttle Interface Rev E SVG 90-S used working
5023 SVG 80166F2-01 Station CPU BD Circuit Board SVG 90-S used working
5024 Bay Pneumatic BES-4235 Circuit Board SVG 90-S used working
5025 Zygo Controller Sigmameltec RTS-500 used working
5026 Rietschle Thomas 2688VEF22-A01 Pneumatic Pump used working
5027 Rietschle Thomas A2688VEF22-A02 Pneumatic Pump used working
5028 Rietschle Thomas A2688VEF22 Pneumatic Pump used working
5029 Pioneer Magnetics PM2974A-3-5 DC Power Supply Used Working
5030 AMAT Applied Materials 0100-20454 Controller Backplane 0130-20454 Rev 005 used
5031 AMAT Applied Materials 0100-00579 System AC Distribution 0130-00579 Rev 002 used
5032 AMAT 0100-00611 Smoke & Water Leak Detector 0130-00611 Rev 005 used working
5033 AMAT Applied Materials 0190-01270 Rev 005 Circuit Board CDN396 15039603 used
5034 AMAT Applied Materials 0660-01865 Circuit Board CDN391 15039103 used working
5035 Omron S8PS-10024C Power Supply (Lot of 10) used working
5036 Omron S8PS-05005C Power Supply (Lot of 11) used working
5037 Nikon Optistation 3 Wafer Handling Assembly used untested as-is
5038 Nikon 4S080-626 PCB Circuit Board LC-PRES2A 4S007-770-D Rev F NSR-S204B used
5039 Nikon 4S007-770-D PCB Circuit Board LC-PRES2B 4S080-627 Rev F NSR-S204B used
5040 Nikon 4S007-876-A Circuit Board SHRINC-INTF-3 Rev B NSR-S204B used working
5041 Nikon 4S007-945 Circuit Board STGX3A Rev C NSR-S204B used working
5042 Nikon 4S007-787-2A Circuit Board AVIS-I/F2 Rev B NSR-S204B used working
5043 Nikon 4S007-902-1 Circuit Board X2A-STGA/D NSR-S204B used working
5044 Nikon 4S007-668-A Circuit Board FIAAF-PROCESS-A Rev F 4S008-092 NSR-S204B used
5045 Nikon 4S007-667-A Circuit Board FIAAF-PROCESS-D Rev H 4S008-092 NSR-S204B used
5046 Maxon 44.060.000-00.09-071 Motor used working
5047 Yaskawa SGM-01U312C AC Servo Motor 100W 200V 0.87A r/min 3000 used working
5048 Omron V640-HAM11 Amplifier Unit 18706V (Lot of 2) used working
5049 Oriental Motor PK564AW-P50 Vexta 5-Phase Stepping Motor (Lot of 4) used working
5050 Shinko SBX08-000003-11 PCB Circuit Board LPCN-1A-1 SBX93-100003-C1 EC working
5051 Shinko SBX08-000003-11 PCB Circuit Board LPCN-1A-1 SBX93-100003-C1 EC working
5052 Shinko SBX08-000003-11 PCB Circuit Board LPCN-1A-1 SBX93-100003-C1 EC working
5053 Shinko SBX08-000003-11 PCB Circuit Board LPCN-1A-1 SBX93-100003-C1 EC working
5054 SMC MDBG63-90-A53L Cylinder (Lot of 4) used working
5055 Densei-Lambda PWB-769E Power Supply used working
5056 Densei-Lambda PWB-769E Power Supply used working
5057 Cosel UAW125S-12 Power Supply (Lot of 2) used working
5058 Densei-Lambda PWB-769F Power Supply used working
5059 SMC AFM40-02B Mist Separator Module (Lot of 6) used working
5060 Advantest BLM-027101 PCB Circuit Board PLM-827101AA1 M4542AD used working
5061 Advantest BLL-029622 Over Current PCB Circuit Board M4542AD used working
5062 Advantest BLH-024180 PCB Circuit Board M4542AD used working
5063 Advantest BLH-024180 PCB Circuit Board M4542AD used working
5064 Contec 7065 PCB Circuit Board COM-2(PC)F Advantest M4542AD used working
5065 MIS AS-8320 PCB Circuit Board Advantest M4542AD used working
5066 MIS AS-8320 PCB Circuit Board Advantest M4542AD used working
5067 Advantest BLC-029524 PCB Circuit Board M4542AD used working
5068 Advantest BLC-029524 PCB Circuit Board M4542AD used working
5069 Advantest BLB-025841 Circuit Board PLB-420972BB2 M4542AD used working
5070 Edwards 2xIGX/100L Control TIM Used Working
5071 Edwards 2xIGX/100L Control TIM Used Working
5072 Advantest BLB-027895 Circuit Board PLB-420972BB2 M4542AD used working
5073 Advantest BLB-027895 Circuit Board PLB-420972BB2 M4542AD used working
5074 Hitachi HT94218A PCB Circuit Board PM1 Version A used working
5075 Hitachi RYX-1 Time Delay Relay PCB Circuit Board used working
5076 Hitachi BBS507-1 PCB Circuit Board used working
5077 Hitachi PTPA-01 PCB Circuit Board (Lot of 2) used working
5078 TEL 3D81-000045-V2 Circuit Board TYB62H-1/OPF2 T-3044SS used working
5079 TEL 3D81-000040-V2 Circuit Board TYB61F-1/SYS1 T-3044SS used working
5080 TEL 3D81-000041-V2 Circuit Board TYB62G-1/SYS2 T-3044SS used working
5081 TEL 3D81-000044-V3 Circuit Board TYB61K-1/OPF1 T-3044SS used working
5082 TEL 3D81-050028-V1 Circuit Board PF-DB LM CONT TEL T-3044SS used working
5083 TEL 2L81-050048-92 Circuit Board TYB62B-9/LM-LF TEL T-3044SS used working
5084 TEL 2L81-050048-15 Circuit Board TYB62B-1/LM-LF TEL T-3044SS used working
5085 TEL 2L81-050054-V1 Circuit Board TYB514-1/I048-LF TEL T-3044SS used working
5086 TEL 2L08-050053-11 Circuit Board TYB513-1/IOGS-LF TEL T-3044SS used working
5087 TEL 3D08-000019-12 Circuit Board TYB513-1/IOGS TEL T-3044SS used working
5088 Edwards Control TIM 2xGX600L/2xIGX6?00M Used Working
5089 Thermo Noran 170A141781 MADC BD 512-068800 700P135927 AMAT SemVision cX used
5090 Thermo Noran 170A141759-D ADSP BD 700P135927 Rev E AMAT SemVision cX used works
5091 Thermo Noran 170A117357-E I/O BD 700P135927 Rev E AMAT SemVision cX used working
5092 Thermo Noran 170A141759-D ADSP BD 700P135927 Rev I AMAT SemVision cX used works
5093 Thermo Noran 170A141807 I/O/M BD 700P135927 Rev I AMAT SemVision cX used working
5094 Motorola 01-W3508F Circuit Board MVME 147-010A 700P135927 Rev E AMAT used works
5095 Thermo Noran 170A141798-B VCI Linear Power Supply Board 700P135927 Rev I used
5096 Thermo Noran 170A141798-A VCI Linear Power Supply Board 700P135927 Rev E used
5097 Thermo Noran 67446 Power Supply BD67447C 700P135927 Rev E AMAT SemVision cX used
5098 A to Z Electronics Opal 50312445000 Teach Pendant Controller AMAT SemVision cX
5099 Edwards U20000924 Network Interface Module iNIM Used Working
5100 Edwards U20000924 Network Interface Module iNIM Used Working
5101 Edwards U20000924 Network Interface Module iNIM Used Working
5102 Edwards U20000924 Network Interface Module iNIM Used Working
5103 Opal 50312445000 Teach Pendant Controller AMAT SemVision cX used as-is
5104 Opal 50312445000 Teach Pendant Controller AMAT SemVision cX used as-is
5105 Jikco COX-B7A Monitor Alarm Box Nikon 4S587-736 NSR-Series used working
5106 Nikon 4S065-418 Power Supply Interface Module Nikon NSR-Series used working
5107 Nikon 4S065-417 Power Supply Nikon NSR-Series used working
5108 Nikon 4S065-417 Power Supply Nikon NSR-Series used working (no cables)
5109 PI E-501.00X PTZ Servo Controller E-501.00 LVPZT-Amplifier Nikon NSR-Series used
5110 Nikon 4B056-800 Rotary Lens Assy KNB47138 K-00101 Nikon NSR-Series used working
5111 Edwards D37215000 Vacuum Flash Module (Lot of 2) used working
5112 TEL T-3044SS Loader Module Control Chassis used working
5113 Sigmameltec RTS-500 Wafer Transfer Robot used as-is untested
5114 AMAT EPDU Power Distribution Box AMAT SemVision cX used working
5115 Edwards U20000922 Tool Interface Module Used Working
5116 Edwards U20000922 Tool Interface Module Used Working
5117 Edwards U20000922 Tool Interface Module Used Working
5118 Sigmameltec RTS-500 CLC202 Power Supply 6 5/16� Tube used working
5119 Sigmameltec RTS-500 CLC203 Power Supply 6 6/16� Tube used working
5120 Sigmameltec RTS-500 CLC204 Power Supply 7 �� Tube 4 �� used working
5121 Sigmameltec RTS-500 CLC201 Power Supply 1 7/8� Tube used working
5122 Koganei CS-TBDA20x120-5W CS-TBDA20x80 Air Cylinder (Lot of 2) used as-is
5123 Nikon NSR-S204B S00305B0 Support & Mounting Flexor used working
5124 Yaskawa ULSI-1A-NQ14 Linear Magnet Motor �Y� Nikon NSR-S204B used working
5125 Yaskawa ULSI-1A-NQ14 Linear �Y� Magnet Motor Nikon NSR-S204B used working
5126 Edwards Sprecher LA7-40-1753 IQDP On Off Motor Disconnect Switch (Lot of 2) used
5127 TEL T-3044SS Load Port used working
5128 Zellweger Analytics 2414-0017 Digi-Cat Non-Intrusive Calibrator DD-1203V used
5129 Killark Sieger 16431AAEB HKGL0795 HKB0495 Kokusai Zestone DD-1203V used working
5130 Tokyo Keiso F05-282666 F05-282665 F05-281974 H2O Zestone DD-1203V used as-is
5131 Particle Measuring System 310 MiniNet Minienviornment Used Working
5132 Watlow Anafaze TLME010DDDDDDDD Thermal Monitor Temp Switch TLM-8 (Lot of 3) used
5133 Omron SDV-FH2 Voltage Sensor (Lot of 3) used working
5134 Omron G9SA-301 Safety Relay Unit G9SA 24 VAC/DC (Lot of 4) used working
5135 Omron H3Y-2 Timer H3Y (Lot of 4) used working
5136 Sayama Trading SP-480/SA 2-Channel Readout Controller (Lot of 3) used working
5137 Omron APR-S Phase Reversal Relay (Lot of 5) used working
5138 Omron H3YN-21 Timer H3YN (Lot of 7) used working
5139 Nemic-Lambda JWS 150-24/A Power Supply 100-240VAC~2.1A 50/60Hz 24V (Lot of 6)
5140 Omron MY2N-D2 LY2N-D2 MY4N-D2 G2R-2-SND Relay (Lot of 147) used working
5141 Motortronics CSD-410-N Variable Frequency Drive CSD Series used working
5142 Hitachi CB100A SECS Interface Kokusai Zestone DD-1203V (no memory card) used
5143 MKS 123714-G1 InSitu Flow Verifier GBR1B24CR1 GBROR ASM Epsilon 3200 used works
5144 Seiko Precision VP-4500 Thermal Video Printer (no cables) AMAT SemVision cX used
5145 Seiko Precision VP-4500 Thermal Video Printer (no cables) AMAT SemVision cX used
5146 Seiko Precision VP-4500 Thermal Video Printer (no cables) AMAT SemVision cX used
5147 DIP 15049105 Circuit Board CDN491(c) AMAT 0190-08860 Rev 003 Endura 300mm used
5148 Asyst Hine Design 04630-003 Load Elevator Indexer GaSonics 94-1175 used working
5149 Sharp ZM-94T LCD Terminal 12� TFT Color Display Nitto Denko MA3000II used works
5150 Nunome Electric NES 2000 Dry-Type Transformer Nitto Denko MA3000II used working
5151 Koganei MF400-03 Mist Filter R600-03 Regulator Assy Nitto Denko MA3000II used
5152 SMC ITV2030-322BL E/P Regulator used working
5153 Nitto Denko MA3000II Wafer Chuck Vacuum Assembly used working
5154 Nitto Denko MA3000II Wafer Slider used working
5155 SMC VQ1100Y-5 VQ1300Y-5 VQ1200Y-5 9 Slot Pneumatic Solenoid Valve Manifold used
5156 SMC VQ1100Y-5 VQ1400Y-5 VQ1200Y-5 7 Slot Pneumatic Solenoid Valve Manifold used
5157 Axcelis 595731 Module Power Distribution 5125B6W Pin & Sleeve Inlet & Cable used
5158 Nikon 4K802-253 Robot Elevator 4S013-464 RBTLNK3 Nikon NSR-S307E used working
5159 Nikon 4K802-253 Robot Elevator 4S013-464 RBTLNK3 Nikon NSR-S307E used working
5160 Axcelis Technologies 697221 Power Supply GEMINI Working Spare
5161 Axcelis 557841 Chuck Heater Current Monitor PCB Rev A Fusion PS3 used working
5162 Axcelis 462091 Rotator Control PWB Assy Rev A Fusion PS3 used working
5163 SMC VXZ2240 Solenoid Valve used working
5164 Axcelis 595731 Module Control Fusion PS3 590561 RPC320027 used working
5165 Axcelis CRPC320024DRAW Module Control Fusion PS3 RPC320027 590561 used working
5166 Nikon NSR-S204B Main Body Reticle Stage Viewing Assembly used working
5167 Sigmameltec RTS-500 Linear Developer Dispenser SGM-01U312C SGMP-01U312 used
5168 Sigmameltec RTS-500 Linear Developer Dispenser SGMP-01U312 SGM-01U312C used
5169 Sigmameltec RTS-500 Linear Developer Dispenser SGMP-01U312 SGM-01U312C as-is
5170 Hitachi M-712E 2M130 Microwave Component Assembly IDX 13175 used as-is
5171 TDK TAS-MAIN Rev.4.30B Circuit Board TAS-CPU Rev.2.10 TAS-300 F1 used working
5172 VAT F03-112035/4 Slit Valve Novellus Concept 2 Altus used working
5173 VAT F03-109760/1 Slit Valve Novellus Concept 2 Altus used working
5174 Novellus 02-10511-00 Module Controller 486/50/8M Concept 2 Altus used working
5175 Tylan General CDL-21S06 Baratron Capacitance Manometer Concept 2 Altus used
5176 Granville Phillips 275821 Differential Output Amplifier (Lot of 3) used working
5177 MDC KIV-075-P Pneumatic Vacuum Inline Valve KF-16 (Lot of 2) used working
5178 TeleFrank TZP80-2405/S AC-DC Converter w/ Cable Brooks Fixload V6 used working
5179 Jenoptik 012854-010-26 FIXMAP Module MC 02 Brooks Fixload V6 used working
5180 Modern Industries 02605315 Actuator Motor used working
5181 THK LM Guide Actuator KR Sigmameltec RTS-500 used working
5182 THK LM Guide Actuator KR 40� Sigmameltec RTS-500 used working
5183 THK LM Guide Actuator KR 56� Sigmameltec RTS-500 used working
5184 THK LM Guide Actuator KR 56�Sigmameltec RTS-500 used as-is
5185 Edwards iQDP Pump Cables Angle Plug (Lot of 3) used as-is
5186 Edwards iQDP Pump Cables (Lot of 7) used as-is
5187 Nikon 4S007-692-1 Circuit Board WL3PRE2 Rev E Nikon NSR-S204B used working
5188 Nikon 4S018-679 Circuit Board RL-LIBHT2 Nikon NSR-S204B used working
5189 Asahi D2810 Linear Pulsemotor Controller 4S014-160A Nikon NSR-S204B used working
5190 Nikon 4S007-855 Rev B Circuit Board WL3CR Nikon NSR-S204B used working
5191 ENI MW Controller RFC-6-01 Used Working
5192 ENI MW Controller RFC-6-01 Used Working
5193 ENI MW Controller RFC-6-01 Used Working
5194 Nikon 4S018-568-A Rev B Circuit Board WL3PNL4 Nikon NSR-S204B used working
5195 Nikon 4S013-188 Rev A Circuit Board LINK-RX Nikon NSR-S204B used working
5196 Nikon NSR-S204B Piezo Electric Sensor & Cable Main Body used working
5197 Ushio CD0022 Hot Plate Heater Shinko Acteq AKH220EUS Sigmameltec RTS-500 used
5198 Hamamatsu C9047-01 Nikon NSR-S307E Beam Matching Unit Used Working
5199 Ntron C7-01-5124-00-0 O2 Analyzer 5124B-N1 Nikon NSR-S205C No Connectors As-Is
5200 Yaskawa XU-CM2500 Robot Controller Nikon KAB11320/201B-0 used working
5201 Nikon IU-IUC NSR-S205C Beam Matching Unit Optics Assembly used as-is
5202 CKD FSM-N-050-6A Pressure Sensor NSR-S205C (Lot of 5) used working
5203 CKD FSM-N-050-6A Pressure Sensor (Lot of 4) NSR-S205C used working
5204 Mitutoyo-09AAB215-Linear-Scale-ST420-Lot-of-4-NSR-S205C-used-working
5205 Nikon 4S587-579A 5 Port Hub NSR-S205C used working
5206 QI NS2300/E Controller & Cable 4S288-214-1 NSR-S205C used working
5207 Queensgate Instruments NS2300/E Controller 4S288-214-1 NSR-S205C used working
5208 Mitutoyo 09AAA790 Linear Scale ST320 (Lot of 5) NSR-S205C used working
5209 Jikco COX-10D Channel Box 4S588-280 Nikon NSR-S205C used working
5210 TDK RDH24-6RO Power Supply Lot of 2 Nikon NSR-S205C No Screws Used Working
5211 TDK RDH24-6RO Power Supply Lot of 2 Nikon NSR-S205C Used Working
5212 TDK RDH24-6RO Power Supply Lot of 2 Nikon NSR-S205C Untested As-Is
5213 Nikon 4S008-207 Circuit Board EP-EE3 Rev D Nikon NSR-S205C used working
5214 Nikon 4S013-363 Circuit Board BLECX4 Rev A Nikon NSR-S205C used working
5215 Nikon 4S013-364 Circuit Board BLPWX4 Rev A Nikon NSR-S205C used working
5216 Nikon 4S013-364 PCB Circuit Board BLPWX4 Rev A Nikon NSR-S205C used working
5217 Nikon 4S018-531-1 PCB Circuit Board WL3MTR-H6 Rev B Nikon NSR-S204B used working
5218 Yaskawa XU-DL1600W30 Rev A Circuit Board 4S014-165 Rev E 4S014-166-1 used works
5219 Yaskawa XU-DL1600 Rev A Circuit Board Nikon NSR-S204B used working
5220 Yaskawa XU-DL1600W30 Rev A Circuit Board 4S014-165 Rev C 4S014-166-1 008137-C
5221 Nikon 4S018-679 Circuit Board RL-LIBHT2 Nikon NSR-S204B used as-is
5222 Nikon KXG72147 Power Supply 4S007-854-1 PAA50F-24 Nikon NSR-S204B used working
5223 Nikon 4S007-854-1 Power Supply KXG72147 PAA50F-24 Nikon NSR-S204B Used Working
5224 Nikon 4S015-046H Circuit Board NK386SX Nikon NSR-S204B used working
5225 Yaskawa XU-DL1600W30 Rev A Circuit Board 008121-C 4S014-165 Rev C 4S014-166-1
5226 Nikon Wafer Loader Control Panel NSR-S204B Used Working
5227 Nikon IU-RA Optical Assembly IU-RT NSR-S204B Beam Matching Unit Untested As-Is
5228 Nemic-Lambda NES 240-24 Power Supply Reseller Lot of 3 Used Working
5229 KLA-Tencor 0024496-001 Scan Exhaust Blower Assembly 0033003-002 Used Working
5230 Dolan-Jenner A-241P Fiber-Lite 150 Watt Regulated Power Supply KLA Used Working
5231 Dolan-Jenner A-241P-RS-232 Fiber-Lite 150 Watt Regulated Power Supply KLA-Tencor
5232 KLA Tencor AIT UV 0071141-003 Assy 0033116-002 0090847-001 Used Working
5233 KLA-Tencor 0071141-003 Assembly 0033116-000 AIT UV 0090847-000 Used Working
5234 KLA-Tencor 0023504-002 Polarization Assembly 0037183-005 0071152-001 Used
5235 KLA-Tencor AIT UV 0038216-001 MMD Power Unit, AIT Module used working
5236 KLA-Tencor 0025040-001 Pneumatic Actuator Rev. AC KLA AIT UV used working
5237 KLA-Tencor AIT UV 0071149-001 Preamplifier Optical Assy 0024747-000, 0023745-000
5238 KLA-Tencor-0026346-001-Optical-Assembly-AC-8380-1-used-working
5239 KLA-Tencor 0023936-001 Power Assy LPM AIT UV Missing Panels Used Working
5240 KLA-Tencor 0023936-001 Power Assy LPM AIT UV Used Working
5241 SMC ISE4L-01-25 Pressure Switch (Lot of 4) used working
5242 Digi (1P)50001008-01 SCSI Terminal Server STS 1008 AMAT SemVision cX 300mm used
5243 Tropel 140315 Scan Lens KLA-Tencor AIT UV+ (pneumatic inlet needs replaced) used
5244 SMC ZSE4-01-25 Pressure Switch used working
5245 Nemic-Lambda NES 240-24 Power Supply used working
5246 Duet 1DT12006 PC Splitter AMAT Quantum X used working
5247 Duet 1DT12006 PC Splitter AMAT Quantum X used working
5248 Nikon K-ARX4B1 Optic Assembly IU-2ZM Nikon NSR-S307E Beam Matching Unit used
5249 Sumitomo X88D1-0001 Linear Motor Driver 4S587-651 Nikon NSR-S307E used working
5250 KLA-Tencor Stage (no chuck) 0049592-003 0023835-002 AIT-UV used as-is
5251 Fujitsu FAS-360/14NP2 Amplifier 4T070-410 Nikon NSR-Series used working
5252 KLA-Tencor AIT UV 0033743-000 Illumination Optics Casting Assembly used as-is
5253 KLA-Tencor AIT UV 0033743-000 Illumination Optics Casting Assembly used as-is
5254 KLA-Tencor AIT UV 0033743-000 Illumination Optics Casting Assembly used as-is
5255 Yaskawa XU-DL1930 Amplifier 4S587-775 Nikon NSR-S307E used working
5256 KLA-Tencor 386090 Distribution Circuit Board S8000 AIT UV Used Working
5257 KLA-Tencor 0071151-000 APS UV Preamplifier BD Circuit Board AIT UV used working
5258 KLA-Tencor 0041186-003 Rev AB 2D PSF DRVR Assy Circuit Board AIT UV used working
5259 KLA-Tencor 0052196-008 Rev AB MMD Analog Circuit Board AIT UV Used Working
5260 STEC SEC-7330M Mass Flow Controller MFC NO 10CCM SEC-7330 Used Working
5261 STEC SEC-7330M Mass Flow Controller MFC NH3 10CCM SEC-7330 Used Working
5262 HD Hokuto Denko HZ3000 Automatic Polarization System Used Working
5263 Furon 1104249 Pneumatic Valve UPM2-646/4NC used working
5264 Grundfos CRN5-10 B-P-G-E-HQQE Pump A96581463P20527 AMAT Quantum X Used Working
5265 Grundfos CRN5-10 A-P-G-E-HUUE Pump A96511917P10444 AMAT Quantum X Used Working
5266 Nikon NSR-S205C F3WA-022E TFC M22 Refrigerator Chamber TC-Rack used as-is
5267 Aera FC-D980C Mass Flow Controller MFC 10 CCM O2 Used Working
5268 Aera FC-D980C Mass Flow Controller MFC 200 CCM SF6 Used Working
5269 Aera FC-D980C Mass Flow Controller MFC 500 CCM CL2 Used Working
5270 Aera FC-D980C Mass Flow Controller MFC 200 CCM HBr Used Working
5271 Aera FC-D980C Mass Flow Controller MFC 30 CCM HBr Used Working
5272 Aera FC-D980C Mass Flow Controller MFC 100 CCM Cl2 Used Working
5273 Oriental Motor UDX5107N Super Vexta 5-Phase Driver used working
5274 Oriental Motor UD2115B Super Vexta 2-Phase Driver Used Working
5275 Nemic-Lambda EWS50-5 Power Supply Reseller Lot of 2 Used Working
5276 RKC REX-F7 Temperature Controller K 0~400 °C Used Working
5277 Shinko SBX08-000040-11 PCB Circuit Board LPCN-2A-1 SCE93-100036-C1 Used Working
5278 Shinko SBX08-000040-11 PCB Circuit Board LPCN-2A-1 SCE93-100036-C1 Used Working
5279 Shinko SBX08-000004-11 PCB LPCN-3A SBX93-100004-C1 Used Working
5280 Brooks Automation 002-6878-02 Circuit Board PCB 002-8700-10 Used Working
5281 SMC NCDRA1BS50-180 Rotary Actuator Used Working
5282 Siemens 002-8276-02 Circuit Board Reseller Lot of 11 Used Working
5283 SMC NCDRA1BS50-UIA000039 Cylinder Rotary Actuator Used Working
5284 SMC NCDRA1BS50-UIA000039 Rotary Actuator Cylinder used working
5285 SMC NCDRA1BS50-UIA000039 Cylinder Rotary Actuator Used Working
5286 SMC VQ1301NY-5 Pneumatic Solenoid Valve Manifold Lot of 5 Used Working
5287 MKS Instruments Stainless Steel Dampening Bellow Pipe HPS Used Working
5288 Sunx FX-301P-NK2 Photoelectric Sensor FX-300series Lot of 15 Used Working
5289 Fuji Seiki 1012851 Pneumatic Throttle Valve Used Working
5290 KLA SL 300 URSA Cannon Camera 655-057368-00 655-057367-00 used working
5291 Edwards 2 Port Exhaust Purge Regulator (no exhaust line out/in cables) used
5292 Edwards 2 Port Exhaust Purge Regulator (no exhaust line out/in cables) used
5293 Agilent E1709A Remote High Performance Receiver (Lot of 4) used working
5294 Edwards NRY16H101 NRY191000 Eason Control Enclosure used working
5295 Edwards NRY16H101 NRY191000 Eason Control Enclosure used working
5296 Etel DSB2P123-111E-000H Digital Servo Amplifier DSB2 RECIF SPP300A used working
5297 Vicor VI-NU4-EM FlatPAC Power Supply used working
5298 Vicor VI-NUB-EM FlatPAC Power Supply used working
5299 RECIF PCB0115A Circuit Board used working
5300 Tokyo Electron Interface Rollers and Interface Bracket TEL ACT12 used working (3 PCS)
5301 Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working
5302 Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working
5303 Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working
5304 Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working
5305 Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working
5306 Profort PF810-ASE Teach Pendant RS-232C used working
5307 Cognex In-Sight 3400 Vision Controller 800-5809-1 D Used Working
5308 Opal 50312570000 PCB CVC Board AMAT SemVision cX 300mm used working
5309 Opal 50312570000 PCB CVC Board AMAT SemVision cX 300mm used working
5310 ASML 4022.471.7496 Circuit Board S31 used working
5311 ASML 4022.471.7729 Circuit Board used working
5312 ASML 4022.471.5751 Circuit Board used working
5313 ASML 4022.471.7493 Circuit Board used working
5314 ASML 4022.471.7493 Circuit Board used working
5315 ASML 4022.471.5239 Circuit Board used working
5316 ASML 4022.471.7092 Circuit Board used working
5317 ASML 4022.471.5752 Circuit Board used working
5318 ASML 4022.471.5242 Circuit Board used working
5319 ASML 4022.471.5765 Circuit Board CTMR used working
5320 Agilent Z4201-20002 PCB Z4401 PC RPIU used working
5321 Agilent Z4207-60005 PCB Z4207 NC2 used working
5322 ASML 4022.471.6850 Circuit Board S15 used working
5323 Agilent Z4207-20006 Circuit Board Z4207 NC4 used working
5324 Agilent Z4206-60004 Circuit Board Z4206A I/O Card used working
5325 ASML 4022.471.5616 Circuit Board S08 used working
5326 Agilient Z4207-60003 Circuit Board Z4207 NC1 used working
5327 Agilent Z4207-20006 Circuit Board Z4207 NC3 used working
5328 ASML 4022.471.5533 Circuit Board VME64E used working
5329 ASML 4022.471.5533 Circuit Board VME64E used working
5330 ASML 4022.471.6240 Circuit Board used working
5331 ASML 4022.437.1312 Circuit Board [Broken Tab] used working
5332 AD TEC AX-2000EUII-N RF Generator used untested sold as-is
5333 AD TEC AX-2000EUII-N RF Generator used untested sold as-is
5334 Novellus Interlock Gamma 2130 PCB Used Working
5335 Novellus I/O Interface Gamma 2130 PCB 03-169462-00-REV A 26-169462-00-REV A
5336 Novellus Systems 26-166313-00 Rev. 6 Interface Gamma 03-166313-00 Rev. A Used
5337 Yaskawa Sumitomo Controllers Reseller Lot of 4 Not Working As-Is
5338 Nikon 4S008-278 PCB Circuit Board BSA-PZT Used Working
5339 Kokusai U01200PMQA-DS1CE Mikro Sonic Ultrasonic Generator Used Working
5340 Kokusai U01200PMQA-DS1CE Mikro Sonic Ultrasonic Generator Used Working
5341 Novellus 01-8146070-00 Digital Controller Used Working
5342 Novellus 01-8130508-00 Digital Controller Used Working
5343 Vexta A193201 Motor PK243M-01BA Used Working
5344 Newport A18602 VGM-1 Vertical-Drive Gimble Opitical Mount Used Working
5345 Vexta PX243M-01AA Stepping Motor Used Working
5346 Nikon 2S701-009 PCB Circuit Board 2S020-061 Used Working
5347 Nikon MCR2S700-598 PCB Circuit Board Used Working
5348 Aridyne G592-A Inverter Duty Motor Series 2000 Used Working
5349 Nikon 4S013-474 PCB Circuit Board RLIOP-I-F3 Used Working
5350 Nikon 4S017-714 PCB Circuit Board AIR-I/F Used Working
5351 Nihon Koshuha AMC-39D-4502A-00 450MHz RF Automatching Tuner used working
5352 Nihon Koshuha AMC-39D-4502A-00 450MHz RF Automatching Tuner used working
5353 Kyoto Denkiki KDS-30350W High Voltage Power Supply Used Working
5354 Kyoto Denkiki KDS-30350W High Voltage Power Supply Used Working
5355 Kyoto Denkiki KDS-30350W High Voltage Power Supply Used Working
5356 Kyoto Denkiki KDS-30350WF Voltage Power Supply Used Working
5357 Kyoto Denkiki KDS-30350WF Voltage Power Supply Used Working
5358 Hitachi EC2 Transformer Unit M-712E Used Working
5359 AMAT Applied Materials 9090-01162 Energy Control Chassis PXP41L Used Working
5360 Daihen SMA-20B Microwave Assembly Hitachi M-712E used working
5361 Daihen SMA-20B Microwave Assembly Hitachi M-712E used working
5362 Nihon Koshusha HFS-450-020-5 450MHz 2kW Generator Hitachi MU-712E used working
5363 Nihon Koshusha HFS-450-020-5 450MHz 2kW Generator Hitachi MU-712E used working
5364 Hitachi Kokusai Denki U01200PMQA-DS1CE Ultrasonic Generator Used Working
5365 Kyoto Denkiki KDS-30350SF High Volatage Power Supply Hitachi MU-712E used works
5366 Kyoto Denkiki KDS-30350SF High Volatage Power Supply Hitachi MU-712E used works
5367 Kyoto Denkiki KDS20170W Step-Down Power Supply Used Working
5368 Nihon Koshuha AMC-CTA7-00A RF Automatching Controller Hitachi MU-712E used works
5369 Nihon Koshuha AMC-CTA7-00A RF Automatching Controller Hitachi MU-712E used works
5370 Hitachi I0TC-02N PCB Circuit Board M-712E Etcher used working
5371 Hitachi I0TC-02N PCB Circuit Board M-712E Etcher used working
5372 Hitachi VMPM-02N PCB Circuit Board Used Working
5373 Astec Ampss Power Module AA80M-300L-015S Lot of 13 New
5374 Edwards NGW414000 Stainless Steel Gate Valve KF-40 Used Working
5375 Kyoto Denkiki KDS-30350WFX High Voltage Power Supply Used Working
5376 Fuji PS-1105U Momentary Line Drop Protector Hitachi M-712E used working
5377 NSK EE0408C59-25 Drive Used Working
5378 Lexel 00-145-061 Laser 85-S Used Working
5379 Kokusai Electric CQ-1501A Accuron Used Working
5380 Kokusai Electric CQ-1501A Accuron Used Working
5381 Yaskawa Electric DR1-08AC Servo Drive N00A SERVOPACK TEL Unity II Used Working
5382 Yaskawa Electric DR1-08AC Servo Drive N00A SERVOPACK TEL Unity II Used Working
5383 General Laser LMC-2007 PC CO2 Laser Marker Used As-Is
5384 Tokyo Electronic LCD 1012X Touch Screen BE6138A2 Used Working
5385 Hitachi M-712E Pre-aligner and Control Assembly Used Working
5386 Giddings and Lewis 401-56452-00 Centurion Micro DSM Servo Drive DSM015 Used
5387 AMAT Applied Materials 0190-24298 Endura Cable Rev. 002 Used Working
5388 NSK EMB014CF1-05 Drive Used Working
5389 NSK EMB014CF1-05 Drive Used Working
5390 Hitachi BBE11-01 PCB Circuit Board used working
5391 Hitachi BBE11-01 PCB Circuit Board used working
5392 Daikin Industries 3D80-00711-V2 Control Unit UBRP4C91IN Used Working
5393 Hitachi EC1 Transformer Unit M-712E Used Working
5394 Hitachi EC1 Transformer Unit M-712E Used Working
5395 Hitachi EC1 Transformer Unit M-712E Used Working
5396 Lexel Laser 00-143-502 V-06 Controller 85 S Used Working
5397 Hitachi MU-712E Chamber Vacuum Process1 Controller Used Working
5398 Hitachi MU-712E Chamber Vacuum Process1 Controller Used Working
5399 Hitachi MU-712E Chamber Vacuum Pump1 Controller Used Working
5400 Hitachi MU-712E Chamber Vacuum Pump1 Controller Used Working
5401 Hitachi DT-01 A PCB Circuit Board Used Working
5402 Hitachi RYY-1 PCB Circuit Board Used Working
5403 Hitachi 98218 PCB Circuit Board Rev. A Used Working
5404 Hitachi HT96611A Circuit Board ASN1 Version G Hitachi M-712E used working
5405 Hitachi HT94217 Circuit Board CPU0 Version G1 Hitachi M-712E used working
5406 Hitachi HT94217 Circuit Board CPU0 Version G1 Hitachi M-712E used working
5407 Hitachi HT94217 Circuit Board CPU0 Version G1 Hitachi M-712E used working
5408 Hitachi HT94218A Circuit Board PM1 Version I1 Hitachi M-712E used working
5409 Hitachi HT94218A Circuit Board PM1 Version I1 Hitachi M-712E used working
5410 Hitachi HT94218A Circuit Board PM1 Version I1 Hitachi M-712E used working
5411 Hitachi HT94218A Circuit Board PM1 Version I1 Hitachi M-712E used working
5412 Hitachi HT94219B Circuit Board DIO2 Version A Hitachi M-712E used working
5413 Hitachi HT94219B Circuit Board DIO2 Version A Hitachi M-712E used working
5414 Hitachi MU-712E BR Control Box Used Working BBBS-11 DI0-01N AI0-02N ILB-02
5415 Hitachi MU-712E BR Control Box Used Working BBBS-11 DI0-01N AI0-02N ILB-02
5416 Hitachi Chamber EC2 Temperature Controller Used Working
5417 Hitachi Chamber EC2 Temperature Controller Used Working
5418 Horiba CS-15MF1-11-115A-QU-P Chemical Solution Monitor CS-100 used working
5419 Hitachi VME Microcomputer MU-712E Used Working
5420 Hitachi MU-712E 7200 DC Power Supply Unit Used Working
5421 Hitachi MU-712E 7200 DC Power Supply Unit Used Working
5422 Daihen CMC-ADP2 Microwave Auto Tuner Box Used Working
5423 Komatsu 20016470 Temperature Controller AIC-7-12-UC-D Used Working
5424 Komatsu 20016470 Temperature Controller AIC-7-12-UC-D Used Working
5425 Edwards D37310000 Network Interface Flash Module Used Working
5426 Edwards D37310000 Network Interface Flash Module Used Working
5427 Edwards D37310000 Network Interface Flash Module Used Working
5428 Edwards D37310000 Network Interface Flash Module Used Working
5429 Edwards NGR409000 Devicenet Interface Module Used Working
5430 Edwards NGR409000 Devicenet Interface Module Used Working
5431 Edwards U20001107P Eason Control Box Working Surplus
5432 Edwards U20001107P Eason Control Box Working Surplus
5433 Edwards U20001107P Eason Control Box Working Surplus
5434 Stec SEC-4550M Mass Flow Controller HCI 30 SLM used working
5435 Hitachi MU-712E Chamber Vacuum Pump2 Controller Used Working
5436 Hitachi MU-712E Chamber Vacuum Pump2 Controller Used Working
5437 Hitachi MU-712E Chamber Vacuum Pump2 Controller Used Working
5438 Hitachi MU-712E Tank4 Rinse Controller Used Working
5439 Hitachi MU-712E Tank6 Rinse Controller Used Working
5440 Hitachi MU-712E Tank1 Via Clean Controller Used Working
5441 Hitachi MU-712E Tank5 Nitric Clean Controller Used Working
5442 Hitachi MU-712E Tank3 Trench Clean Controller Used Working
5443 Hitachi MU-712E Tank2 Rinse Controller Used Working
5444 Orion Pelthermo ETC902-NSCP-L Used Working
5445 Hitachi MU-712E Inverter Vacuum Pump Used Working
5446 Hitachi MU-712E Inverter Vacuum Pump Used Working
5447 Hitachi MU-712E Inter-lock Box Assembly Used Working
5448 Kokusai T1DC1-04426-001 Electric Cassette Loader CX1209P Used Working
5449 Asyst 9700-6584-05 Reticle Loader ATR-9000 Rev. E Used Working
5450 AMAT Applied Materials 0090-91409ITL Chassis XR80 Used Working
5451 Nikon Circuit Board 4S018-786-A C30-I/F-X4 Nikon NSR-S205C Control Rack working
5452 AMAT AM9090-00923 Processor Vacuum Control Chassis Rev B Quantum X PRA Rack used
5453 Edwards D38665000 Active Gauge Controller DeviceNet AMAT Quantum X PRA Rack used
5454 Edwards D38665000 Active Gauge Controller DeviceNet AMAT Quantum X PRA Rack used
5455 AMAT 9090-01144 PROCR & LL SENSOR CHASSIS Rev A AMAT Quantum X PRA Rack working
5456 AMAT 0100-94078 Argon Oxygen Bleed and Charge Monitor Circuit Quantum X works
5457 MKS AS00348-02 eDiagnostics System Interface Blue Box 4000x AMAT Quantum X used
5458 Nikon Circuit Board 4S001-082 PW-NJ Nikon NSR-S307E Control Rack working
5459 Nikon Compact Advanet Circuit Board 4S015-265 AGPCi 7500 NSR-S307E working
5460 Nikon Circuit Board 4S013-497 DSP-INF NSR-S307E Nikon Control Rack working
5461 Nikon Circuit Board 4S015-214 NK-C446-OPT Nikon NSR-S307E Control Rack working
5462 Nikon Circuit Board 4S015-192 NK-C44-60S Nikon NSR-S307E Control Rack working
5463 Nikon Circuit Board 4S019-155 WTDRVX4B Nikon NSR-S307E Control Rack working
5464 Nikon Circuit Board 4S019-153 WSDRVX4B Nikon NSR-S307E Control Rack working
5465 Nikon Circuit Board 4S019-152 RSDRVX4B Nikon NSR-S307E Control Rack working
5466 Nikon Circuit Board 4S018-714-1 STIFMEMX4A Nikon NSR-S307E Control Rack working
5467 Nikon Circuit Board 4S018-750 SPIOX4 Nikon NSR-S307E Control Rack working
5468 Nikon Circuit Board 4S015-257 NK386SXD6 Nikon NSR-S307E Control Rack working
5469 Nikon Circuit Board 4S015-164 NK-C441-1 Nikon NSR-S307E Control Rack working
5470 Nikon NSR-S307E Control Rack OPD Chassis 4S001-060 4S018-716 4S015-119 working
5471 Nikon Circuit Board 4S001-064 MSE182B 2EA00E182B Nikon NSR-S205C Control Rack
5472 Nikon Circuit Board 4S018-786-A C30-I/F-X4 Nikon NSR-S205C Control Rack working
5473 Kawasaki Robot Controller C60C-A001 4S211-553-2 4S082-666-2 Nikon NSR-S205C
5474 Nikon NSR SEA141A 4S587-624 AVIS1 ERG AMP 4S013-374-2 Nikon NSR-S205C used works
5475 Sumitomo ADE1 H Control Unit 4S587-598 M28557 Nikon NSR-S307E used working
5476 Unit Mass Flow Controller UFM-8165 0190-08932-002 50L N2 working
5477 Millipore Tylan Mass Flow Controller FC-2900M 20SCCM HE 2900 working
5478 Berkeley Servo Motor MTR 300w BRSH. W/STD ENCOR.R2 100-000-721-01 Novells C3
5479 Glassman Power Supply PS/EX010P02.5 working
5480 Pearl Kogyo Controller APU500 Hitachi M-5113 Etcher working
5481 Edwards W65521611 Pressure Sensor Barocel 655AB Trans 10TR CAJON 8VCR used works
5482 Edwards W65511611 Pressure Sensor Barocel 655AB Trans 1TR CAJON8VCR used working
5483 J.C. Schumacher Source Temperature Control 1443-0100-D TCU 100 working
5484 Hitachi S-9300 Etcher 564-5507 NSGVA Circuit Board working
5485 Hitachi S-9300 Etcher 568-5559 PS DISP Circuit Board working
5486 Hitachi S-9300 Etcher 560-5546 AMHS Circuit Board working
5487 Hitachi S-9300 Etcher 560-5546 AMHS Circuit Board working
5488 Hitachi S-9300 Etcher 560-5547 AMHS Circuit Board working
5489 Hitachi S-9300 Etcher V-DSP240/SP SDS-9725 Circuit Board working
5490 Melec Circuit Board KP1178-4 C-820A Hitachi S-9300 Etcher working
5491 Hitachi S-9300 Etcher 560-5502 NOMAFC working
5492 Hitachi S-9300 Etcher 568-5502 568-5503 560-5512 IMSUB Circuit Board working
5493 Hitachi S-9300 Etcher 560-5506 NE-Q Circuit Board working
5494 Hitachi S-9300 Circuit Board 568-5589 PASUB working
5495 Nikon Circuit Board 4S017-716 PD-MTH working
5496 ZX370 Zynx Circuit Board 700-0102-001 PC0052-01 KLA AIT working
5497 Schroff Stepper Drive Circuit Board 0100-00003 SN0JMI1 working
5498 Nikon Circuit Board 4S017-723 LD-MTH NSR working
5499 Nikon Circuit Board 4S018-368 SVGL-MTHR NSR working
5500 Ultra Circuit Board ECNZY96 57/334/38 working
5501 KLA Tencor Circuit Board 369470 FAB 319457 KLA AIT working
5502 KLA Tencor Circuit Board 327484 60-500455-006 KLA AIT working
5503 KLA-Tencor Circuit Board 261408 184574 KLA AIT working
5504 KLA-Tencor Circuit Board 363251 184578 KLA AIT working
5505 KLA-Tencor Circuit Board 289787 289779 KLA AIT working
5506 KLA-Tencor Circuit Board 285293 285307 KLA AIT Working
5507 KLA-Tencor Circuit Board MRV2/VID 521-0201 KLA Tencor AIT
5508 Logitech Diamond Smoothing Block 316 1ACCA-01710 new surplus
5509 MDG039 SL-3020 Screen Circuit Board PMCDIV PC-97010 DNS Screen FC-3000
5510 DNS SL-1011 Screen Circuit Board HLS-VME2 PC-97002A DNS Screen FC-3000
5511 DNS SL-1011 Screen Circuit Board HLS-VME2 PC-97002A DNS Screen FC-3000
5512 DNS SL-3010 Screen Circuit Board COMDIV PC-97009 DNS Screen FC-3000
5513 PC-97010 M4COM PC-97002A 252SE PC-97009 DNS Screen FC-3000 Chassis used working
5514 PC-97010 M4COM PC-97002A 252SE PC-97009 DNS Screen FC-3000 Chassis used working
5515 MKS Mass-Flo Controller 1479A-22931 10000 SCCM He H2 Mass Flow Controller
5516 DigitalView Display Screen AV-7010-0120-A4 Varian VIISta working
5517 MKS Automatic Pressure Controller 152F-PO Type 152 working
5518 NK8601A Nikon Circuit Board 4S015-227 Nikon NSR S205C used working
5519 NK386SX4-NSC Nikon Circuit Board 4S015-197 Nikon NSR-S205C working
5520 NSR 17 Tazmo Robot Controller 4S064-549 Nikon NSR-S205C Wafer Loader working
5521 NK8601A RBT_I/F Nikon Circuit Board ASSY 4S015-227 4S018-778-4 working
5522 PWM-DRV1 Nikon Circuit Board 4S018-707-2 Nikon NSR-S205C Wafer Loader working
5523 AMAT Filteration Control Chassis 9090-01060 0100-01938 0100-01937 AMAT Quantum X
5524 AMAT Filteration Control Chassis 9090-01060 0100-01938 0100-01937 AMAT Quantum X
5525 Horiba STEC SEC-4400M Mass Flow Controller SiH2Cl2 500 SCCM SEC-4400 used works
5526 Ebara 305W Turbo Molecular Pump Controller Used Working
5527 Ebara 305W Turbo Molecular Pump Controller Used Working
5528 Horriba STEC SEC-4500M Mass Flow Controller H2 10SLM used working
5529 HoribaSTEC SEC-4500M Mass Flow Controller H2 20 SLM SEC-4500 used working
5530 2005 Noah Precision Tank Bath SVG 90 S Fluid Temperature Controller
5531 2005 Noah Precision Tank Bath SVG 90 S Fluid Temperature Controller discolored
5532 MSE181E TDK Power Supply working
5533 03-188692D01 ASM Epsilon 3200 HW INTRL E3000 Circuit Board REV C working
5534 03-141884-01 03-320460D01 ASM Epsilon 3200 MFC I F Board 11 Circuit Board REV D
5535 Vero PK60-III Monovolt Power Supply 116-010065J 15V 4A ASM Epsilon 3200 used
5536 Gespac 03-322711A03 PCB Gesout-3 ASM Epsilon 3200 used working
5537 Ebara ET600W Turbo Molecular Pump Controller Used Working
5538 Ebara ET600W Turbo Molecular Pump Controller Used Working
5539 Gespac GESADC-12A 9310 PCB GESPCB-334 ASM Epsilon 3200 03-323114A03 used working
5540 Agilent 24208A Circuit Board Nikon NSR-S205C Amplifier Rack PCB Control Chassis
5541 Nikon Sony EP-GW Circuit Board 4S018-852-3 1-677-707-13 BD29A Nikon NSR-S205C
5542 Applied Materials Interlock Select Circuit Board 0100-00629 AMAT Endura RF Rack
5543 Applied Materials Interlock Select Circuit Board 0100-00629 AMAT Endura RF Rack
5544 AMAT 0100-01698 Source Magnet Control PCB Quantum X Beamline Rack used working
5545 AMAT daq Mk11 type H 0090-90967 Circuit Board AMAT Quantum X working
5546 AMAT daq Mk11 type H 0090-90967 Circuit Board AMAT Quantum X working
5547 AMAT Turbo Pump Interface 0100-01326 Circuit Board AMAT Quantum X working
5548 AMAT Enhanced Purge I/Lock 0100-01925 Circuit Board AMAT Quantum X working
5549 AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working
5550 VAT 03112-LH24-ABG1 Slit Valve A-510093 ASM Epsilon 3200 (working)
5551 Applied Materials 0130-76117 Circuit Board Gen Rack Indicator Rev 009 working
5552 AMAT Applied Materials Gripper Claw 300mm 0040-84443 0040-80142 0040-03857
5553 AMAT Applied Materials Gripper Claw 300mm 0040-84444 0040-80144 0040-80146
5554 Kyosan DC High Voltage Power Supply WB0214 working
5555 Kyosan DC High Voltage Power Supply WB0214 working
5556 Kyosan DC High Voltage Power Supply WB0214 working
5557 Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used
5558 Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used
5559 Power-One 405-324-00A (S471) Power Supply RPM5EDEDEFS471 Lot of 9 Used Working
5560 Power-One 405-235-00A (S378) Power Supply RPM5D5D5D5D5D5S?378 Lot of 3 Used works
5561 Power-One 405-239-00A (S382) Power Supply RPM5CUCTCTBES382 Lot of 2 Used Working
5562 AMAT Applied Materials Electrode 0020-80664 0190-90758 0020-80667 working
5563 Hitachi 564-5501 Circuit Board CHR IF Hitachi S-9380 working
5564 Hitachi 564-5501 Circuit Board CHR IF Hitachi S-9380 working
5565 Hitachi 568-5567 Circuit Board FA-I/O Hitachi S-9380 working
5566 Hitachi 568-5567 Circuit Board FA-I/O Hitachi S-9380 working
5567 Hitachi 568-5567 Circuit Board FA-I/O Hitachi S-9380 working
5568 Hitachi 549-5501 Circuit Board SIO/DIST Hitachi S-9380 working
5569 Hitachi 549-5501 Circuit Board SIO/DIST Hitachi S-9380 working
5570 Hitachi 564-5528 Circuit Board PS DISP Hitachi S-9380 Scanning Electron Microsco
5571 Hitachi 564-5528 Circuit Board PS DISP Hitachi S-9380 Scanning Electron Microsco
5572 Hitachi 564-5528 Circuit Board PS DISP Hitachi S-9380 Scanning Electron Microsco
5573 Edwards IGX6 Dry Vacuum Pump
5574 Edwards NRB2-46-358 Dry Vacuum Pump IGX6/100L 200V new surplus
5575 Tylan FC-2979MEP5-WM Mass Flow Control 1.5 SLPM O2 used working
5576 Tylan FC-2979MEP5-WM Mass Flow Control 2 SLPM AR used working
5577 TEL Regulator Cart Assembly new working
5578 Nikon 2S014-038-2 Circuit Board MCR 25700-598 working
5579 Nikon Optistation 7 Control Panel 2S017-450 SW-1/F1 KAB11050/3201A-0
5580 Nikon Optistation 7 Control Panel 2S017-450 SW-1/F1 KAB11050/3201A-0
5581 Nikon Optistation 7 Circuit Board LDR-I/F KAB11320/3201-0 4S013-318 working
5582 Nikon Optistation 7 Circuit Board LDR-I/F KAB11320/3201-0 4S013-318 working
5583 Nikon Optistation 7 Circuit Board POWER-I/F KAB11000/3901-0 4S013-317 working
5584 Nikon Optistation 7 Circuit Board POWER-I/F KAB11000/3901-0 4S013-317 working
5585 Nikon Optistation 7 Control Panel 2S017-449-(2S701-476) SW-I/F3 KAB 11050/3202B
5586 Nikon Optistation 7 Control Panel 4S013-314 MOTOR-I/F KAB11005 KAB11005/502-0
5587 Nikon Optistation 7 Control Panel 4S013-314 MOTOR-I/F KAB11005 KAB11005/502-0
5588 Nikon Optistation 7 Control Panel 4S013-314 MOTOR-I/F KAB11005 KAB11005/502-0
5589 Novellus 02-15005-00 Module Controller AMAT 3260-0210 Used Working
5590 Nikon 2S013-179 4S013-309-1 PCB MCR-I/F KAB11000/3803-0 Optistation 7 used works
5591 Nikon 2S013-179 4S013-309-1 PCB MCR-I/F KAB11000/3803-0 Optistation 7 used works
5592 ASML 4022.471.5463 Circuit Board working
5593 ASML 4022.471.6555 Circuit Board working
5594 Nikon 4S017-489-2 Circuit Board S12-EX3 working
5595 NSK EE0408C05-25 Motion Controller Used Working
5596 NSK EE0408C05-25 Motion Controller Used Working
5597 Motorola 97911132 Circuit Board MVME 340B working
5598 Motorola 97911132 Circuit Board MVME 340B working
5599 AMAT Applied Materials 0110-20458 Circuit Board 0100-20458 0130-20458 0100-00210
5600 Nikon Optistation 7 24098-1146 Arched Holder Z Axis KAB11240/A230-2 KAB11240/A24
5601 Nikon Optistation 7 24098-1146 Arched Holder Z Axis KAB11240/A230-2 KAB11240/A24
5602 Nikon Optistation 7 24098-1146 Arched Holder Z Axis KAB11240/A230-2 KAB11240/A24
5603 Opal 50312570000 Circuit Board CVC Board AMAT SemVision cX 300mm used working
5604 Opal 50312570000 Circuit Board CVC Board AMAT SemVision cX 300mm used working
5605 Horiba STEC SEC-4400M Mass Flow Controller HC I 500 SCCM used working
5606 STEC SEC-4400M Mass Flow Controller N2 5 SLM working
5607 STEC SEC-7340BM Mass Flow Controller N2 10 LM working
5608 Horiba STEC SEC-7330M Mass Flow Controller N2 1 LM working
5609 Horiba STEC SEC-4500M Mass Flow Controller He 10SLM SEC-4500 used working
5610 Horiba STEC SEC-7340BM Mass Flow Controller O2 10 LM working
5611 STEC SEC-7330M Mass Flow Controller O2 1 LM working
5612 SAM SFC480C Mass Flow Controller He 20 CCM working
5613 UNIT UFC-8160 Mass Flow Controller N2 50 SLM working
5614 Bronkhorst EL-FLOW Mass Flow Controller F-201C-HAD-88-V N2 10 Inlet used working
5615 AMAT RadiSys WHC DR 300 0650-A0750 Computer 2A01640AA4A AMAT SemVision cX works
5616 A to Z Electronics 50312460100 ETPS Assy AMAT SemVision cX used working
5617 Lambda PA-3N-4-6SG-001 AC DC Power Supply AMAT SemVision cX working
5618 Lambda PA-3N-4-6SG-001 AC DC Power Supply AMAT SemVision cX working
5619 Lambda PA-3N-4-6SG-001 AC DC Power Supply AMAT SemVision cX working
5620 Opal A to Z Electronics 50312350000 Controller 50312354000 Applied Material
5621 Opal A to Z Electronics 50312350000 Controller 50312354000 Applied Material
5622 Nikon NSR-S205C Robot Elevator UGQMEN-02MN041 4S018-649 4S013-349 working
5623 Nikon Right Wafer Cassette Carrier Elevator NSR-S204B Wafer Loader used working
5624 Yaskawa Electronics Corp. XV-RCM2500T-4 Robot Rail Nikon Optistation 7 working
5625 Yaskawa Electric XU-RCM5010 Robot Nikon NSR-S307E no end effector working
5626 TEC IZU Electronics VDBC0002201 Power Supply Nikon NSR-S307E Wafer Loader works
5627 Opal 50312560000 Circuit Board CCS Board AMAT SemVision cX 300mm used works
5628 Opal 50312575100 Circuit Board ETD Board AMAT SemVision cX 300mm used working
5629 Opal 50312575100 Circuit Board ETD Board AMAT SemVision cX 300mm used working
5630 Opal 50312575100 Circuit Board ETD Board AMAT SemVision cX 300mm used working
5631 Opal 50312575100 Circuit Board ETD Board AMAT SemVision cX 300mm used working
5632 Opal 50312540200 Circuit Board DVD Board AMAT SemVision cX 300mm used working
5633 Opal 50312540200 Circuit Board DVD Board AMAT SemVision cX 300mm used working
5634 Opal 50312540200 Circuit Board DVD Board AMAT SemVision cX 300mm used working
5635 Opal MIS2 Board 30612550000 Circuit Board AMAT SemVision cX 300mm used working
5636 Opal 30612530100 Circuit Board SRA3 Board AMAT SemVision cX 300mm used working
5637 Opal 30612530100 Circuit Board SRA3 Board AMAT SemVision cX 300mm used working
5638 AMAT SemVision PCB1248913 Circuit Board 13811 Applied Materials SemVision cX works
5639 AMAT SemVision PCB1248913 Circuit Board 13811 Applied Materials SemVision cX works
5640 AMAT SemVision PCB1248913 Circuit Board 13811 Applied Materials SemVision cX works
5641 Oram Power Supply LPS850 AMAT SemVision cX working
5642 Oram Power Supply LPS850 AMAT SemVision cX working
5643 Oram Power Supply LPS850 AMAT SemVision cX working
5644 Oram Power Supply LPS850 AMAT SemVision cX working
5645 Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used
5646 Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used
5647 Xycom Automation Pro Face 1502-C000000000B0A Touch Screen XT 1502 Axcelis Fusion
5648 TEL ECC2 Controller MC Rack 3D80-00766-V2 TEL T-3044SS
5649 TEL ECC2 Controller MC Rack 3D80-00766-V2 TEL T-3044SS
5650 TEL ECC2 Controller MC Rack 3D80-00766-V2 TEL T-3044SS
5651 Nikon WL3M0T5 Circuit Board 4S007-953-A Nikon NSR-S204B Wafer Loader working
5652 Nikon WL3SEN4 Circuit Board 4S018-567-A Nikon NSR-S204B Wafer Loader used works
5653 Power Ten Supply 5800R-20/10 61987 working
5654 National Display Systems 90X0077 Display DM-3S12/ZN Used Working
5655 Lexel 00-143-502 Y-11 Laser 85-S working
5656 Asyst 9700-8106-01 SMIF-300FL Load Port 300FL S2.1 HAMA ROX Rev A used working
5657 Control Concepts 3095-1017 SCR Power Controller 0190-03672 working
5658 LAM Research 852-017750-001 Remote used untested as-is
5659 LAM Research 852-017750-001 Remote used untested as-is
5660 LAM Research 852-017750-001 Remote used untested as-is
5661 LAM Research 852-017750-001 Remote used untested as-is
5662 Oriental Vexta FMLM5120W-GFB22 DC Motor GFB5610 working
5663 AMAT 0090-91694 PCB Chassis AMAT Quantum working
5664 Pioneer Magnetics PM 2973A-2-5 Power Supply 119151 (Lot of 6) working
5665 Lucas Labs OVDS-7010 Reference Module working
5666 Sankan Electric MLT-DCBOX5 Power Supply (Lot of 5) untested
5667 Sankan Electric MLT-DCBOX5 Power Supply (Lot of 5) untested
5668 Nikon Z-4B1A-A1402 Wafer Loader Cassette Elevator Nikon NSR-S307E Wafer Loader
5669 Nikon 4S588-085 IHS Linear Motor Controller SPA256B Nikon NSR-S307E Wafer Loader
5670 Nikon 4S587-469-3 Linear Motor Controller SPA452A Nikon NSR-S307E Wafer Loader
5671 Nikon 4S587-625 AVIS2 ERG AMP SEA241A Nikon NSR-S307E used working
5672 Nikon 4S018-693 FPIF-IMAC Circuit Board Nikon NSR-S307E Wafer Loader working
5673 Digital Dynamics 27-053660-00N Sioc Power Supply working
5674 Digital Dynamics 27-053660-00N Sioc Power Supply working
5675 TDK RAW24-16R Power Supply RAW350W 47A05648F (lot of two) working FA107-1
5676 TDK RAW24-16R Power Supply RAW350W 47A05648F (lot of two) working FA107-1
5677 Edwards VAT B90002031 Pneumatic Gate Valve used working
5678 AMAT 9010-01381 Celerity Gas Card GeF4 AMAT Quantum X Gas Box working
5679 AMAT 9010-01381 Celerity Gas Card GeF4 AMAT Quantum X Gas Box working
5680 AMAT 9010-01379 Celerity Gas Card AsH3/PH3 AMAT Quantum X Gas Box working
5681 AMAT 9010-01379 Celerity Gas Card AsH3/PH3 AMAT Quantum X Gas Box working
5682 AMAT 9090-00846 Beamline Power Distribution Unit Rev C AMAT Quantum X used works
5683 AMAT 1140-00J07 PX80L Beamline 24V PSU Control Chasis AMAT Quantum X Cntrl Rack
5684 AMAT 0100-01844 Charge Voltage Circuit Board AMAT Quantum X Beamline Contrl Rack
5685 Power-One RPM5C5C5C5C5C5CS652 Power Supply working
5686 Power-One RPM5C5C5C5C5C5CS652 Power Supply working
5687 TDK RAW12-14R Power Supply RAWA175W working
5688 TDK RAW12-14R Power Supply RAWA175W working
5689 Hitachi High Tech. 3-843646-01 Ceramic Insulation Ring Hitachi M-712 Etcher new
5690 Hitachi High Tech. 3-843646-01 Ceramic Insulation Ring Hitachi M-712 Etcher new
5691 Hitachi High Tech. 2-A00624-90 300mm DZ-ISL Electrode Cover Hitachi M-712 Etcher
5692 Hitachi High Tech. 2-A00624-90 300mm DZ-ISL Electrode Cover Hitachi M-712 Etcher
5693 Hitachi High Technologies 3-849438-90 M712 Earth Shield Hitachi M-712 Etcher new
5694 Hitachi High Technologies 3-849438-90 M712 Earth Shield Hitachi M-712 Etcher new
5695 Hitachi High Technologies 3-849438-90 M712 Earth Shield Hitachi M-712 Etcher new
5696 Hitachi D4EX35890 Kokusai Teach Pendant working
5697 TDK RAW24-16R Power Supply RAW350W Nikon NSR-S205C used working
5698 STEC SEC-7350BM Mass Flow Controller N2O 20 LM working
5699 HoribaSTEC SEC-7340BM Mass Flow Controller H2 10 LM working
5700 STEC UR-7340MC Air Pressure Regulator 0-500kpa 5LM working
5701 Edwards 1570 Pressure Monitor Analog Out 100V W60730000 used working
5702 Edwards 1570 Pressure Monitor Analog Out 100V W60730000 used working
5703 Edwards 1570 Pressure Monitor Analog Out 100V W60730000 used working
5704 Sanyo Denki PMM-BA-6503-8 Drive used working
5705 HiTek 0090-91806 Power Supply AMAT Quantum X PRA Rack used working
5706 Daifuku OPC-2603A PCB Kokusai Vertron used working
5707 Daifuku OPC-2603A PCB Kokusai Vertron used working
5708 Daifuku OPC-2603A PCB Kokusai Vertron used working
5709 Daifuku OPC-2603A PCB Kokusai Vertron used working
5710 Daifuku OPC-2603A PCB Kokusai Vertron used working
5711 ASM 2411148-01 Rev-A Circuit Board
5712 ASM 2911779-21 Rev-A Circuit Board ESIGMA WK0705
5713 ASM 2541130-01 Rev-A Circuit Board
5714 ASM 2853957-21 Rev-A1 Circuit Board 2506-491-01 Rev-A
5715 TEL TKB7031 Circuit Board IO Spin MTR #02 TEB207-12 OGSI EC80-000157-12
5716 TEL TKB7031 Circuit Board IO Spin MTR #02 TEB207-12 OGSI EC80-000157-12
5717 TEL HA-015 Circuit Board RY CHEM #02 working
5718 TEL HA-015 Circuit Board RY CHEM #02 working
5719 Omron G9SC-140-TE2 Circuit Board RY Spin MTR #02 working
5720 Omron G9SC-140-TE2 Circuit Board RY Spin MTR #02 working
5721 AMAT Applied Materials 0090-91685ITL Chassis Used Working
5722 Sanyo Denki PM-UPD1S07-20 PM Driver working
5723 Sanyo Denki PM-UPD1S07-20 PM Driver working
5724 TEL E280-000004-14 Circuit Board TEL T-3044SS Etcher working
5725 TEL EC80-000163-21 Circuit Board TEB110-11/PCL ECC2 Controller TEL T-3044SS used
5726 TEL EC80-000163-21 Circuit Board TEB110-11/PCL ECC2 Controller TEL T-3044SS used
5727 TEL EC80-000117-21 Circuit Board TEB108-11 SIO TEL T-3044SS Etcher working
5728 TEL E280-000011-13 Circuit Board E2B003-11/PSD ECC2 TEL T-3044SS used working
5729 TEL E280-000011-13 Circuit Board E2B003-11/PSD ECC2 TEL T-3044SS used working
5730 TEL E280-000015-13 Circuit Board E2B012-11 FDEX TEL T-3044SS Etcher working
5731 TEL A202989 Circuit Board TEL T-3044SS Etcher working
5732 TEL A202989 Circuit Board TEL T-3044SS Etcher working
5733 TEL 967106-00 Circuit Board TEL T-3044SS Etcher working
5734 TEL 967106-00 Circuit Board TEL T-3044SS Etcher working
5735 TEL E280-000016-32 Circuit Board E281-000016-32 TEL T-3044SS Etcher working
5736 TEL E280-000008-11 Circuit Board TEL T-3044SS Etcher working
5737 TEL E280-000008-11 Circuit Board TEL T-3044SS Etcher working
5738 TEL 3D81-000046-V2 Circuit Board TYB61L-1/ELIF TEL T-3044SS Etcher working
5739 TEL 3D81-000046-V2 Circuit Board TYB61L-1/ELIF TEL T-3044SS Etcher working
5740 TEL 3D81-000046-V2 Circuit Board TYB61L-1/ELIF TEL T-3044SS Etcher working
5741 TEL Circuit Board ASSY 3D81-000040-V2 3D81-000041-V2 3D81-000020-V3 TEL T-3044SS
5742 TEL Circuit Board ASSY 3D81-000040-V2 3D81-000041-V2 3D81-000020-V3 TEL T-3044SS
5743 TEL Circuit Board ASSY 3D81-000040-V2 3D81-000041-V2 3D81-000020-V3 TEL T-3044SS
5744 TEL Circuit Board ASSY 3D81-000044-V3 3D81-000045-V2 3D81-000020-V3 TEL T-3044SS
5745 Meiden UT203 001A SW100 Router TEL T-3044SS Etcher working
5746 Systech Berkeley BX-RSS-2-A Gateway AMAT Quantum X Factory Interface working
5747 Berkeley 300-000-666 Circuit Board PX13C AMAT Quantum X Factory Interface
5748 Tripp Lite Minicom Phantom MX II KVM Switch 1SU52022 Rev. 1.1 AMAT Quantum X
5749 AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working
5750 AMAT AS01391-21 Circuit Board CDN391R 01391-01 AMAT Endura 300mm working
5751 AMAT AS01391-21 Circuit Board CDN391R 01391-01 AMAT Endura 300mm working
5752 AMAT 0100-00574 Circuit Board PVD IMP Chamber Interlock AMAT Endura 300mm
5753 DIP 0190-01270 Circuit Board DIP-216-075 AMAT Endura 300mm working
5754 TEL T-3044SS PS1 T-HV Conditioner Box TEL T-3044SS Etcher working
5755 TEL T-3044SS PS2 T-HV Conditioner Box TEL T-3044SS Etcher working
5756 TEL T-3044SS PS1 RF Pump Box TYB62F-1/PUMP TEL T-3044SS Etcher working
5757 TEL T-3044SS PS1 RF Pump Box TYB62F-1/PUMP TEL T-3044SS Etcher working
5758 TEL T-3044SS PS2 RF Pump Box TYB62F-1/PUMP TEL T-3044SS Etcher working
5759 TEL T-3044SS PS2 RF Pump Box TYB62F-1/PUMP TEL T-3044SS Etcher working
5760 TEL T-3044SS PS Controller 3D81-000065-V1 3D81-000067-V1 TEL T-3044SS Etcher
5761 AMAT Applied Materials 0010-22911 PVD Chamber Power Controller AMAT Endura
5762 Yaskawa Nikon OptiStation 7 XU-CM2500 Power Supply KAB11320/201B-0 working
5763 Yaskawa Nikon OptiStation 7 XU-CM2500 Power Supply KAB11320/201B-0 working
5764 Yaskawa Nikon OptiStation 7 XU-CM2500 Power Supply KAB11320/201B-0 working
5765 Nikon KAB11010/3101-0 Power Box OptiStation 7 working
5766 Nikon KAB11010/3101-0 Power Box OptiStation 7 working
5767 Pearl Kogyo ES7-IIA High Voltage Power Supply Hitachi MU-712E used working
5768 Pearl Kogyo ES7-IIA High Voltage Power Supply Hitachi MU-712E used working
5769 Pearl Kogyo ES7-IIA High Voltage Power Supply Hitachi MU-712E used working
5770 AMAT Applied Materials 0010-25151 ESIP Encore II TAN Controller AMAT Endura
5771 AMAT Applied Materials 0021-41520 Source Chamber Top AMAT Endura 300mm
5772 AMAT Applied Materials 0010-22568 Source Chamber Top CPI-VMO AMAT Endura 300mm
5773 AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO AMAT Endura 300mm
5774 AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO AMAT Endura 300mm
5775 AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO AMAT Endura 300mm
5776 AMAT 0010-22567 Source Chamber Top CPI-VMO AMAT Endura 300mm used as-is
5777 AMAT Applied Materials 0010-25068 Source Chamber Top CPI-VMO AMAT Endura 300mm
5778 AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Control Rack
5779 AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Control Rack
5780 TEL 3D80-000766-V3 ECC2 Controller MC Rack TEL T-3044SS Module Cont Box working
5781 TEL 3D80-000766-V3 ECC2 Controller MC Rack TEL T-3044SS Module Cont Box working
5782 TEL 3D80-000766-V3 ECC2 Controller MC Rack TEL T-3044SS Module Cont Box working
5783 TEL 3D81-000063-V5 PCB TYB517-1/I0AS TEL T-3044SS Etcher used working
5784 TEL 3D81-000063-V5 PCB TYB517-1/I0AS TEL T-3044SS Etcher used working
5785 TEL 3D81-000063-V5 PCB TYB517-1/I0AS TEL T-3044SS Etcher used working
5786 TEL 3D81-000063-V5 PCB TYB517-1/I0AS TEL T-3044SS Etcher used working
5787 TEL 3D81-000067-V1 Circuit Board TEL T-3044SS Etcher working
5788 TEL 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher working
5789 TEL 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher working
5790 TEL 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher working
5791 TEL 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher working
5792 TEL 3D81-000020-V3 Circuit Board TEL T-3044SS Etcher working
5793 TEL 3D81-000020-V3 Circuit Board TEL T-3044SS Etcher working
5794 TEL 3D81-000020-V3 Circuit Board TEL T-3044SS Etcher working
5795 TEL 3D81-000018-V2 Circuit Board TYB512-1/IOMT TEL T-3044SS Etcher working
5796 TEL 3D81-000017-V3 Circuit Board TYB511-1/IOAS TEL T-3044SS Etcher working
5797 TEL 3D81-000097-V1 Circuit Board TYB514-1/IO48 TEL T-3044SS Etcher working
5798 TEL 3D81-000097-V1 Circuit Board TYB514-1/IO48 TEL T-3044SS Etcher working
5799 TEL 3D81-000097-V1 Circuit Board TYB514-1/IO48 TEL T-3044SS Etcher working
5800 TEL 3D81-000097-V1 Circuit Board TYB514-1/IO48 TEL T-3044SS Etcher working
5801 TEL 3D81-000097-V1 Circuit Board TYB514-1/IO48 TEL T-3044SS Etcher working
5802 AMAT Applied Materials 0200-08569 Insulator Quartz 300mm PCII 2.0 New Surplus
5803 AMAT Applied Materials 0100-00546 Circuit Board AMAT Endura 300mm working
5804 TEL 3D81-000099-V1 Circuit Board TYB622-1/GAS2 TEL T-3044SS Etcher working
5805 TEL 3D81-000004-V2 Circuit Board TYB622-1/GAS2 TEL T-3044SS Etcher working
5806 TEL 3D81-000100-V1 Circuit Board TYB61E-1/PS1 TEL T-3044SS Etcher working
5807 TEL 3D81-000100-V1 Circuit Board TYB61E-1/PS1 TEL T-3044SS Etcher working
5808 AMAT Quantum Leap 2 Process Module Load Lock Door 9010-00299 300mm working
5809 AMAT Quantum Leap 2 Process Module Load Lock Door 9010-00299 300mm working
5810 TEL 3D81-000037-V2 Circuit Board TYB62D-1/PS2 TEL T-3044SS Etcher working
5811 TEL 3D81-000036-V2 Circuit Board TYB61E-1/PS1 TEL T-3044SS Etcher working
5812 TEL 3D81-000036-V2 Circuit Board TYB61E-1/PS1 TEL T-3044SS Etcher working
5813 TEL 3D81-000101-V1 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working
5814 TEL 3D81-000101-V1 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working
5815 TEL 3D81-000101-V1 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working
5816 TEL 3D81-000101-V1 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working
5817 TEL 3D81-000030-V2 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working
5818 TEL 3D81-000030-V2 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working
5819 TDK RAW24-31R Power Supply 47A08020F TEL T-3044SS Etcher working
5820 Daifuku CRM-3418A Circuit Board DNS Screen FC-3000 working
5821 Omron S8PS-30024C Power Supply Lot of 10 used working
5822 AMAT Applied Materials 9090-00115 Chassis Monitor PCB 0100-01-252 AMAT Quantum X
5823 DIP 294-2 Device Net I/O Block 9090-00273 AMAT Quantum X Process Module working
5824 DIP 294-2 Device Net I/O Block 9090-00273 AMAT Quantum X Process Module working
5825 DIP 294-2 Device Net I/O Block 9090-00273 AMAT Quantum X Process Module working
5826 AMAT Applied Materials 9090-01166 Battery Pack PX32K AMAT Quantum X
5827 AMAT Applied Materials 9090-01166 Battery Pack PX32K AMAT Quantum X
5828 AMAT Applied Materials 9090-00641 Battery Pack AMAT Quantum X Process Module
5829 AMAT 9090-01168 Electrostatic Chuck DC Power Supply PX32J Rev C Quantum X
5830 AMAT 9091-00639 Electrostatic Chuck DC Power Supply PX32J AMAT Quantum X
5831 AMAT 9090-01168 Electrostatic Chuck DC Power Supply PX32J Rev A AMAT Quantumx X
5832 AMAT 9090-01247 Beam Current Measurement Module RX/TX AMAT Quantum X
5833 Progressive Technologies 12612G01 Exhaust Blower Sentry 1000 TEL Act 12
5834 AMAT 9090-00442 Decel PSU Resistor Assembly A 9010-01409 AMAT Quantum X used
5835 TEL Shutter DEPO Y-AL SE 3D10-100843-V1
5836 Fujikin FCSP7102-4WS1-F2L-A3-NFN Mass Flow Controller P7000 T-3044SS used works
5837 Fujikin FCSP7102-4WS1-F30-A3-NFN Mass Flow Controller P7000 T-3044SS used works
5838 Fujikin FCS-4WS-798-F30#B Mass Flow Controller O2 FCS6 TEL T3044SS used working
5839 Fujikin FCS-4WS-798-F30#B Mass Flow Controller CH2F2 FCS3 TEL T-3044SS used work
5840 Fujikin FCS-4WS-798-F1L#B Mass Flow Controller NF3 FCS11 TEL T-3044SS used works
5841 Fujikin FCS-4WS-798-F1L#B Stec Mass Flow Controller FCS10
5842 Fujikin FCS-4WS-798-F850#B Mass Flow Controller O2 FCS7 TEL T-3044SS used works
5843 Fujikin FCS-4WS-798-F39B#B Mass Flow Controller C4F8 TEL T-3044SS used working
5844 Mykrolis Tylan FC-2979MEP5-WM Stec Mass Flow Controller 2979M
5845 Panasonic DV83090HA513 AC Servo Driver
5846 Sanyo Denki PY2B050C62S8P01 Servo Amplifier used working
5847 Leybold Vacuum 15730 Vacuum Trigger Sensor TTR211S AMAT Quantum X used working
5848 Leybold Vacuum 15730 Vacuum Trigger Sensor TTR211S AMAT Quantum X used working
5849 AMAT 9090-00785 Electrode Assembly Rev B AMAT Qauntum X used working
5850 Inficon 399-001 Vacuum Switch VSA100A AMAT Quantum X Process Module used working
5851 Inficon 399-001 Vacuum Switch VSA100A AMAT Quantum X Process Module used working
5852 Inficon 399-001 Vacuum Switch VSA100A AMAT Quantum X Process Module used working
5853 TDK RAW24-31R Power Supply 47A08020F TEL T-3044SS Etcher working
5854 AMAT Applied Materials 0200-00673 8
5855 AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm
5856 AMAT Applied Materials 0021-12764 Upper Shield 8in SIP-TA AMAT Endura 200mm
5857 AMAT Applied Materials 0020-08465 Shield Clamp Ring 8in AL-Sprayed 200mm S
5858 AMAT Applied Materials 0021-12762 Lower Shield 8in BESC SIP TA AMAT Endura 200mm
5859 AMAT Applied Materials 0021-12763 Inner Shield 8in SIP TA AMAT Endura 200mm
5860 RKC TEL 3D80-000090-V5 Power Supply Temperature Controller RCB-12 TEL T-3044SS
5861 RKC TEL 3D80-000090-V5 Power Supply Temperature Controller RCB-12 TEL T-3044SS
5862 Kuroda SPCBUA2-20-40-ZV Wafer Robot TEL 3D80-000008-V4 No End Effector Used
5863 Kuroda SPCBUA2-20-40-ZV Wafer Robot TEL 3D80-000008-V4 No End Effector Used
5864 TEL T-3044SS 3D80-000009-V4 Wafer Transfer Arm Cylinder SPCBUA2-20-16-Z?V
5865 Edwards Helios 500032061 EPI Bypass Valve Assembly
5866 Edwards Helios Y12201027 Combustion Chamber Head 500052685
5867 Edwards Helios Y12201000 Combustion Chamber Head 500052685
5868 Edwards Helios 500052685 Combustion Chamber Head
5869 Edwards Helios Y12501169 Combustion Chamber Head 500052685
5870 Novellus Vector 03-417236-00 PCA GEN II FE INTF PCB C3VCTR 300mm
5871 Novellus 15-311164-00 Ring Wafer Lift 300mm STD ID EC VCTR Novellus Vector
5872 Novellus 16-132590-00N SHWRHD Pinned 300mm WLDMNT Novellus Vector
5873 Nikon 4S019-058 PCB 1U-CTRL1 Nikon NSR-S307E
5874 AMAT Applied Materials 9090-00314 Circuit Board daq Mk11 Type H
5875 Agilent Z4381-60001 Phase Detector Circuit Board Nikon NSR
5876 Nikon 4S586-946-1 SPA136A VCM Amplifier SPA136A Nikon NSR Series
5877 Nikon 4S065-474 Control Circuit Board Assembly 4S008-292 Nikon NSR-S205C working
5878 Novellus C3 Vector Cable Kit 03-252223-00 03-291555-00 (7 In All) See Specifics
5879 AMAT Applied Materials 0240-03251 Mounting Chiller Lines Kit AMAT Endura 300mm
5880 ASM 1045-426-01 SGL Element SUSC Heater Emerald being sold as is
5881 SMC XLD-50-X638 Angle Isolation Valve 3D80-000326-V1 TEL T-3044SS
5882 Hinds MOD 4022-436-87411 Dual PEM Control Card ASML
5883 ASML 4022 471 5284 Circuit Board
5884 ASML 4022 436 7114 Circuit Board
5885 ASML 4022 437 0576 Circuit Board
5886 ASML 4022 437 2993 PW CD Circuit Board
5887 Kniel 4022 436 23221 5V Power Supply CP 5.32/VME ASML
5888 Kniel 4022 436 79311 24V Power Supply CP 24.1 ASML
5889 Kniel 4022 436 86091 15V Power Supply CP 15.7,5 ASML
5890 PULS 4022 47602011 Power Supply AP 377.500 ASML
5891 Prodrive 4022 471 7412 PADC 100/16 Amplifier 6001-0303-5701 ASML
5892 Kniel 4022 436 87251 5V/+-15V Power Supply FPM 0811 ASML
5893 Kniel 4022 430 14741 15V Power Supply CP 15.3,5 ASML
5894 Kniel 4022 436 86131 Power Supply CP 12.5 ASML
5895 Kniel 4022 436 86882 Power Supply CPD 8.1,5/1 ASML
5896 Kniel 4022 436 74321 3,3V Power Supply CP 3,3.20 ASML
5897 Kniel 4022 436 72642 5V Power Supply CP 5.70/VME ASML
5898 Agilent 4022 470 78501 Z4382A Combiner Interface Circuit Board N1224-60003 ASML
5899 Agilent 4022 470 81312 Z4207C Number Cruncher ASML
5900 Agilent 4022 470 06582 Z4206A I/O Card Circuit Board Z4206-60003 ASML
5901 ASML 4022 471 5658 Circuit Board ASML
5902 Acromag AVME9675-2 Circuit Board ASML
5903 Kniel 4022 436 55291 5V Power Supply CP 5.20/VME ASML
5904 Kniel 4022 436 43072 5V Power Supply CP 5.77/VME ASML
5905 Kniel 4022 436 57831 3,3V Power Supply CP 3,3.10 ASML
5906 Power One 4022 471 7726 Power Supply DGP12U5S12 ASML
5907 ASML 4022 471 7488 Power Supply S18 ASML
5908 ASML 4022 471 7488 Power Supply S26 ASML
5909 RGI Raster Graphics Inc 6000700-09A Circuit Board working
5910 Computer Recognition Systems 8946BC302 VME Overlay Interface Circuit Board Works
5911 Bio-Rad PME SIO-1 Circuit Board Working 002-1-23158-100
5912 BIO-RAD Y5301267 Micromeasurements AIMS DC Servo Board Issue B
5913 Bio-Rad Y5304803 Micromeasurements RATS Interface Board Issue C
5914 Bio-Rad Y5304901 Micro Measurements DSF VME Interface Board Issue B
5915 Blue Wave Systems DV44-50 Circuit Board 4022 471 6181
5916 ASML 4022 471 4042 Circuit Board
5917 ASML 4022 471 4684 Circuit Board
5918 CTI 0190-12086 P300 Cryopump On-Board 8113212G001 P300 Module Being Sold As Is
5919 CTI 0190-27350 P300 Cryopump On-Board 8113192G001 P300 Module Being Sold As Is
5920 CTI 0190-27350 P300 Cryopump On-Board 8113192G001 P300 Module Being Sold As Is
5921 CTI 8116250G001 P300 Cryopump On-Board 8113192G001 P300 Module Being Sold As Is
5922 CTI 0190-12085 P300 Cryopump On-Board 8113162G001 P300 Module Being Sold As Is
5923 CTI 0190-12085 P300 Cryopump On-Board 8113162G001 P300 Module Being Sold As Is
5924 AE Advanced Energy 27-368450-00 Navigator RF Match 3155162-037 B Used Working
5925 AE Advanced Energy 27-368450-00 Navigator RF Match 3155162-037 B Used Working
5926 AMAT Applied Materials 0100-01445 Circuit Board 0120-00415 AMAT System
5927 A18079-C A/D Converter Board Circuit Board
5928 Applied Materials 0020-26374 Clamp Middle Shield 300MM SIP CU En Revision 006
5929 HORIBA STEC SEC-7340BM Mass Flow Controller NF3 2 LM working
5930 HORIBA STEC SEC-7330M Mass Flow Controller O2 300 CCM working
5931 HORIBA STEC SEC-7330M Mass Flow Controller NH3 1 LM working
5932 HORIBA STEC SEC-7340BM Mass Flow Controller N2O 2 LM working
5933 HORIBA STEC SEC-7340BM Mass Flow Controller N2 5 LM working
5934 Horiba STEC SEF-8240SM-UC Mass Flow Meter SEF-8240 BTBAS 200 CCM working
5935 Testo 645 Probe Handheld Digital Readout 0560 6450 new surplus
5936 Yamada AD-25TT Pulsation Damper 100 PSI 030852879EMIA working
5937 Yamada AD-25TT Pulsation Damper 100 PSI 030852879EMIS sold as is
5938 Yamada AD Series Pulsation Damper 100 PSI used working
5939 Yamada AD-25TT Pulsation Damper 100 PSI 030852879EMIS sold as is
5940 AMAT Source Assy Encore 2 Cu 300mm c 0010-27504 0190-13851 Vexta BXM6400-B1
5941 Hitachi Kokusai Electric DN-150A Gate Drive Unit Rev 1 used working
5942 Hitachi Kokusai Electric DN-150A Gate Drive Unit Rev 1 used working
5943 Hitachi Kokusai CX3202 Furnace Gas Controller Rev A used working
5944 Hitachi Kokusai CX3202 Furnace Gas Controller Rev A used working
5945 TEL ES3D10-2500934-V1 Focus Ring, 360-302 T3.4 new surplus
5946 TEL ES3D10-250834-V1 CEL, OX T10-75-C912 (COC-N) new surplus
5947 TEL ES3D10-150450-V1 Ring, BTM Shield EXHP-UP new surplus
5948 TEL ES3D05-350119-V1 Insulator, ESC EXHP-UP new surplus
5949 TEL ES3D05-250476-V1 Holder, Insulator 290-381-9Q new surplus
5950 Kokusai Electric Heater 1 & Heater 2 Temperature Controller Hitachi Zestone Used
5951 Kokusai Electric Heater 1 & Heater 2 Temperature Controller Hitachi Zestone Used
5952 Soshin Electric NF2080A-RQ EMI Filter 250 VAC 50 A 50/60 Hz lot of 14 used works
5953 Hitachi Kokusai Pump Alarm Kokusai Zestone DD-1203V 300mm used working
5954 Hitachi Kokusai Pump Alarm Kokusai Zestone DD-1203V 300mm used working
5955 Kokusai Zestone DD-1203V 300mm Relay Switch Chassis used working
5956 Panasonic Omron HC H4CE-L-DC24V H3Y-4 Relay (Quantity 18)(Quantity 7) working
5957 Kokusai Zestone DD-1203V 300mm Control Chassis IL100A(32) used working
5958 Kokusai Zestone DD-1203V 300mm Control Chassis IL100A(32) used working
5959 Kokusai IL100B (32) Control Chassis Zestone DD-1203V 300mm used working
5960 Kokusai IL100B (32) Control Chassis Zestone DD-1203V 300mm used working
5961 Kokusai Zestone DD-1203V 300mm Control Chassis IL100(32) used working
5962 AMAT 0010-11228 Revision 05 PVD Magnet, LP-3.7.3 300mm Endura 300mm new surplus
5963 Nikon Air Sampling Regulator Assembly Nikon NSR-S204B used working
5964 Panasonic ADKB400BPFADA AC Servo Drive w/ KJIU0304 DPKSU5V-0 PCB Lot of 14 as-is
5965 NSK EMLZ10CF1-01 Servo Drive used working
5966 NSK EMLZ10CF1-01 Servo Drive used working
5967 ASML 4022.480.66105 Lamp Module Twinscan XT:1250 used as-is
5968 MRC Eclipse Asyst 368789-0 Theta Arm Set with Controller Board 06764 802 Used
5969 ASML 4022.470.5314 Module SHB-BF II Twinscan XT:1250 used working
5970 ASML 4022.470.2016 Error Display Module Twinscan XT:1250 used as-is
5971 ASML 4022.480.47751 AT RS Interface Box SU 4022.480.4775 XT:1250 used working
5972 Agilent N1204C Precision Horizontal Beam Bender ASML 4022.481.25862 used working
5973 MRC Eclipse Asyst 06764 001 Vacuum Arm Assembly With Arm Controller Board 023092
5974 Tokyo Electron Limited TEL T-3044SS Process Chamber Parts Kit used working
5975 Tokyo Electron Limited TEL T-3044SS Process Chamber Parts Kit used working
5976 TEL BX80-000063-11 ECC2 Module Controller Box MC Rack T-3044SS used working
5977 Fujikin FPR-SDA-21-6.35UGF-APD Type NC Diaphragm Valve 091400 Lot of 12 used
5978 Fujikin FPR-SDAT-21-6.35UGF-APD Type NC Diaphragm Valve 091404 Lot of 12 used
5979 Fujikin 467000 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 15 used
5980 Fujikin FCS-4WS-798-F30#B Mass Flow Controller NF3 FCS2 TEL T-3044SS used works
5981 Fujikin FCS-4WS-798-F2L#B Mass Flow Controller CF4 CO2 FCS4 TEL T-3044SS used
5982 Fujikin FCS-4WS-798-F160#B Mass Flow Controller TEL T-3044SS used works
5983 Fujikin FCS-4WS-798-F2L#B Mass Flow Controller Ar TEL T-3044SS used working
5984 MKS 51B13TCA2BA100 13.332 kPa Trip Baratron Pressure Switch Lot of 2 used works
5985 MKS 51B13TCA2BA700 93.324 kPa Trip Baratron Pressure Switch Lot of 2 used works
5986 TEL 2L81-050065-V1 PCB TYB517-1/IOAS-LF TEL T-3044SS Etcher used working
5987 TEL 2L81-050065-V1 PCB TYB517-1/IOAS-LF TEL T-3044SS Etcher used working
5988 TEL 2L81-050065-V1 PCB TYB517-1/IOAS-LF TEL T-3044SS Etcher used working
5989 Tokyo Electron Limited 3D81-050029-V1 PCB TYB62D-4/PS2 TEL T-3044SS Etcher used
5990 Tokyo Electron Limited 3D81-050029-V1 PCB TYB62D-4/PS2 TEL T-3044SS Etcher used
5991 Tokyo Electron Limited 3D81-000036-18 PCB TYB61E-1/PS1 TEL T-3044SS Etcher used
5992 Tokyo Electron Limited 3D81-000036-18 PCB TYB61E-1/PS1 TEL T-3044SS Etcher used
5993 Tokyo Electron Limited 3D81-050030-V1 PCB TYB622-1/GAS2 TEL T-3044SS Etcher used
5994 Tokyo Electron Limited 3D81-050030-V1 PCB TYB622-1/GAS2 TEL T-3044SS Etcher used
5995 Tokyo Electron Limited 3D81-050030-V1 PCB TYB622-1/GAS2 TEL T-3044SS Etcher used
5996 TEL 3D80-001488-V2 Power Supply & Distribution Assy T-3044SS Etcher used working
5997 Digital Electronics GLC2300-TC41-24V Pro-Face 6� Touch Panel 2980070-12 used
5998 ASML 4022.470.8445 SSD PCB Module ASML Twinscan XT:1250 used working
5999 Edwards C31315000 Isolation Valve w/ D02384000 Pirani Gauge used working
6000 Edwards C31315000 Isolation Valve w/ D02182000 Pirani Gauge used working
6001 Edwards C31315000 Isolation Valve w/ D02182000 Pirani Gauge used as-is
6002 Edwards W65531611 Barocel Pressure Sensor 655AB TRANS 100TR CAJON8VCR used works
6003 ASML 4022.470.8086 RSLNSCOOSB PCB Module 4022.471.7071 Twinscan XT:1250 used
6004 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working
6005 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working
6006 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working
6007 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working
6008 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working
6009 MRC Eclipse Front Plane Heat 300mm Used Working
6010 Kokusai Zestone F630300350-C059 Wafer Transfer Assembly F630300350-C058 as-is
6011 Kokusai 30″ Linear Actuator Rail Assembly Kokusai Zestone DD-1203V used working
6012 Kokusai FC630300350-C05?7 Wafer Transfer Assembly Zestone DD-1203V used as-is
6013 Nissan Tanaka 30WVU Super Torr Manual Regulator Valve 466QU Lot of 3 used works
6014 ANSUL AutoPulse 442R Agent Release Control System used working
6015 ANSUL AutoPulse 442R Agent Release Control System used working
6016 ANSUL AutoPulse 442R Agent Release Control System used working
6017 ANSUL AutoPulse 442R Agent Release Control System used working
6018 ANSUL AutoPulse 442R Agent Release Control System used working
6019 Watec WAT-902H2 Supreme CCD Camera w/ Computar H3Z4512CS-IR Lens & Cables used
6020 Oriental Motor K0366-D Brushless DC Motor Driver Lot of 2 used working
6021 Chatillon Type 15 Mechanical Bench Scale Model BP15 400T 400 lbs capacity used
6022 Tadin TAL 815200000 TadiGuard Process and Machine Enchancer 006 Used Working
6023 KLA-Tencor 0071149-001 UV Preamplifier Optical Assembly KLA AIT UV+ used as-is
6024 KLA-Tencor 0071149-001 UV Preamplifier Optical Assembly KLA AIT UV+ used as-is
6025 MKS Instruments 153D-20-40-2 Throttle Control Valve Type 153 used working
6026 Edwards U20001186 Interface Module Applied Smart Pump MOD 410 AIM & EASON used
6027 Edwards U20001186 Interface Module Applied Smart Pump MOD 410 AIM & EASON used
6028 MRC Eclipse A120024 Remote Sputtering System Used Working
6029 Hitachi M-511E 200mm Wafer Cassette Ergo Loader used working
6030 Hitachi M-511E Main Body Control Panel UI TE6036A7 used working
6031 Hitachi M-511E Main Body Control Panel UI TE6036A7 used working
6032 Aera TC FC-D980C Mass Flow Controller CI2 100 CCM w/ CA-98D9-Z Adapter used
6033 MRC Eclipse 022469 Asyst Gas PLC Controller used working
6034 Hitachi M-05A2LS-400K RF Matching Box & Cable Hitachi M-511E used working
6035 Aera TC FC-D980C Mass Flow Controller HBR 183.23 SCCM w/ CA-98D9-Z Adapter used
6036 Aera TC FC-D980C Mass Flow Controller HBR 27.485 SCCM w/ CA-98D9-Z Adapter used
6037 Aera TC FC-D980C Mass Flow Controller CL2 458.076 SCCM w/ CA-98D9-Z Adapter used
6038 Hitachi AO08-2 PCB Hitachi M-511E MC Unit used working
6039 Hitachi AO08-2 PCB Hitachi M-511E MC Unit used working
6040 Hitachi AO08-2 PCB Hitachi M-511E MC Unit used working
6041 Hitachi PME02-1 PCB Hitachi M-511E MC Unit used working
6042 Hitachi PME02-1 PCB Hitachi M-511E MC Unit used working
6043 Hitachi EPDI-I PCB Hitachi M-511E MC Unit used working
6044 Hitachi EPDI-I PCB Hitachi M-511E MC Unit used working
6045 Hitachi AI16-2 PCB Hitachi M-511E MC Unit used working
6046 Hitachi AI16-2 PCB Hitachi M-511E MC Unit used working
6047 Hitachi D024-2 PCB Hitachi M-511E MC Unit used working
6048 Hitachi D024-2 PCB Hitachi M-511E MC Unit used working
6049 Hitachi D024-2 PCB Hitachi M-511E MC Unit used working
6050 Hitachi DIS1-2 PCB Hitachi M-511E MC Unit used working
6051 Hitachi DIS1-2 PCB Hitachi M-511E MC Unit used working
6052 Hitachi MM512-1 PCB Hitachi M-511E MC Unit used working
6053 Hitachi MM512-1 PCB Hitachi M-511E MC Unit used working
6054 Hitachi LAN1-4 PCB Hitachi M-511E MC Unit used working
6055 Hitachi LAN1-4 PCB Hitachi M-511E MC Unit used working
6056 Hitachi SBC09-2 PCB Hitachi M-511E MC Unit used working
6057 Hitachi SBC09-2 PCB Hitachi M-511E MC Unit used working
6058 Hitachi RS06-2 PCB Hitachi M-511E MC Unit used working
6059 Hitachi RS06-2 PCB Hitachi M-511E MC Unit used working
6060 Hitachi PM02-1 PCB Hitachi M-511E MC Unit used working
6061 Hitachi IL36-I PCB Hitachi M-511E MC Unit used working
6062 Hitachi IL36-I PCB Hitachi M-511E MC Unit used working
6063 Fuji Seiki 1011037 Butterfly Valve Hitachi M-511E used working
6064 Nor-Cal CSVP-1502-CF 1.5 Right Angle Vacuum Poppet Valve 2.75″ OD used working
6065 Nikon 4S005-206-F PPD-CCD Area Image Sensor PCB NSR Series used working
6066 OneAC 009-166 Power Supply FT1115 MRC Eclipse Used Working
6067 Gasonics 90-2607 PCB Controller Board Rev. F Used Working
6068 Gasonics 90-2607 Display Decoder Rev. A Used Working
6069 Gasonics 90-2607 Display Decoder Rev. A Used Working
6070 Gasonics 90-2650 PCB Controller Board Rev. A Used Working
6071 Gasonics 90-2608 PCA Load Lock Interface Rev. B Used Working
6072 Gasonics 90-2608 PCA Load Lock Interface Rev. B Used Working
6073 Gasonics 90-2608 PCA Load Lock Interface Rev. B Used Working
6074 Omron Sysmac C200HX Programmable Controller C200HX-CPU54 Used Working
6075 Schlumberger 97847502 Blanking Generator PCB 40847502 IDS 10000 used working
6076 Schlumberger 97847513 High Voltage Control PCB IDS 10000 used working
6077 Schlumberger 97847530 Vacuum Control PCB IDS 10000 used working
6078 Schlumberger 97861135 IFA2 PCB 40861135 IDS 10000 used working
6079 Schlumberger 97851134 Image Processor PCB IDS 10000 used working
6080 Schlumberger 97847532 Vacuum Interlock PCB 40847532 IDS 10000 used working
6081 Schlumberger 97847521 Double Gated Integrator PCB 40851121 IDS 10000 used
6082 CPS 5003ANDCB5 HV High Voltage Power Supply 2kV 5mA IDS 10000 used working
6083 Whedco 78003981/9501 Intelligent Motor Controller IMC-4230-1-B IDS 10000 used
6084 Bertan PMT-50CP-1 High Voltage Power Supply 5KV 500�A IDS 10000 used working
6085 Bertan PMT-05CN-1 High Voltage Power Supply 500V 8mADC IDS 10000 used working
6086 Lasertec 3P-548 AO Drive-F PCB 2 pcs & AO Drive PS used working
6087 Delta Design 1909502-501 Control PCB Summit ATC Handler used working
6088 Delta Design 1909502-501 Control PCB Summit ATC Handler used working
6089 Delta Design 1909502-501 Control PCB Summit ATC Handler used working
6090 Delta Design 1909502-501 Control PCB Summit ATC Handler used working
6091 Delta Design 1909502-501 Control PCB Summit ATC Handler used working
6092 Delta Design 1909502-501 Control PCB Summit ATC Handler used working
6093 Delta Design 1909502-501 Control PCB Summit ATC Handler used working
6094 Delta Design 1909502-501 Control PCB Summit ATC Handler used working
6095 Delta Design 1943355-502 High Voltage PCB Lot of 2 Summit ATC Handler used
6096 Delta Design 1943355-502 High Voltage PCB Lot of 2 Summit ATC Handler used
6097 Delta Design 2001-586-000 Bus Interface PCB used working
6098 Delta Design 2001-586-000 Bus Interface PCB used working
6099 Delta Design 1900769-501 Vacuum Sensor x8 PCB Lot of 2 Summit ATC Handler used
6100 Delta Design 1900769-501 Vacuum Sensor x8 PCB Lot of 2 Summit ATC Handler used
6101 Delta Design 1900769-501 Vacuum Sensor x8 PCB Lot of 2 Summit ATC Handler used
6102 Delta Design 1906880-501 MBX RS232/RS485 Summit ATC Handler used working
6103 Delta Design 1906876-501 Output Driver PCB Lot of 2 Summit ATC Handler used
6104 Delta Design 1906876-501 Output Driver PCB Lot of 2 Summit ATC Handler used
6105 Delta Design 1906876-501 Output Driver PCB Lot of 2 Summit ATC Handler used
6106 Delta Design 1906876-501 Output Driver PCB Lot of 2 Summit ATC Handler used
6107 Delta Design 1941692502 PCB Summit ATC Handler used working
6108 Delta Design 1941692502 PCB Summit ATC Handler used working
6109 Delta Design 1941692502 PCB Summit ATC Handler used working
6110 Delta Design 1906872-501 Input Sensor BD PCB Summit ATC Handler used working
6111 Delta Design 1906872-501 Input Sensor BD PCB Summit ATC Handler used working
6112 Delta Design 1906872-501 Input Sensor BD PCB Summit ATC Handler used working
6113 Delta Design 1906872-501 Input Sensor BD PCB Summit ATC Handler used working
6114 Delta Design 1906872-501 Input Sensor BD PCB Summit ATC Handler used working
6115 Delta Design 1941692-503 PCB Summit ATC Handler used working
6116 Delta Design 1941692-503 PCB Summit ATC Handler used working
6117 DNS Electronics SL-2121 Terminal SM Unit FC-3000 used working
6118 DNS SL-2210-FC-A Network Control Module FC-3000 Lot of 2 used working
6119 Hitachi 569-5559 N-EVCN PCB Hitachi S-9380 SEM Lot of 2 used working
6120 Hitachi 568-5569 NPSDAMP PCB Hitachi S-9380 SEM used working
6121 Hitachi 569-5560 EM DIST PCB Hitachi S-9380 SEM used working
6122 Hitachi 549-5500 TMPL-IF PCB Hitachi S-9380 SEM used working
6123 Hitachi 549-5500 TMPL-IF PCB Hitachi S-9380 SEM used working
6124 Hitachi 569-5566 CNCORD PCB Hitachi S-9380 SEM used working
6125 Hitachi 569-5566 CNCORD PCB Hitachi S-9380 SEM used working
6126 Hitachi Ion Pump Power Supply Hitachi S-9380 SEM used working
6127 AMAT 0100-01439 FOLC Loop Controller PCB Quantum X used working
6128 KLA Tencor 363251 AIT 1 PCB 4 Channel Motor Control Rev AC Circuit Board Used
6129 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working
6130 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working
6131 DIP 15049105 DeviceNet PCB CDN481 AMAT 0190-08860 Reflexion EFEM used working
6132 SBS 0390-1239B IP Carrier & Modules cPCI-100-BP AMAT 0190-07848 used working
6133 SBS 0390-1239B IP Carrier & Modules cPCI-100-BP AMAT 0190-07848 used working
6134 Aichi Tokei Denkil ND20-PATAAC-4 Flow Meter Lot of 6 used working
6135 Opal 70512360100 SDT Transformer Assembly AMAT SemVision cX used working
6136 Opal 70512360100 SDT Transformer Assembly AMAT SemVision cX used working
6137 Nikon 2S017-450 SW-I/F1 Control Panel PCB 2S701-457 KAB11050/3201A-0 used
6138 Nikon 4S003-056-1 MCR-DRV PCB 2S003-056-1 KAB11000/3801-0 used working
6139 Hitachi RYX-2 PCB Hitachi M-511E used working
6140 Hitachi RYX-2 PCB Hitachi M-511E used working
6141 Nikon 2S017-394-2 CCD-I/F PCB 4S018-546-A KAB11040/3201-2 used working
6142 Marvel 2 Matrox PCB 521-0201 Rev C Circuit Board KLA AIT 1 Tencor Used Working
6143 DNS WNA Unit Assembly DNS FC-3000 Wet Station used working
6144 Jenoptik Infab 812100019 Interface PCB 013501-083-17 Lot of 2 used working
6145 Tokin LF-310KA Noise Filter Lot of 4 used working
6146 VAT 15040-PA24-0002 Gate Valve (missing flange face) used untested
6147 VAT 15040-PA24-0002 Gate Valve used working
6148 VAT 15040-PA24-0002 Gate Valve used working
6149 Vicor MX7-5701-EL Power Supply 4kW MegaPac used working
6150 Orion ETM832A-DNF-L-G2 Power Supply Temperature Control Pel Thermo used working
6151 KLA Tencor 261408 PCB 4 Channel Motor Control Rev. B Circuit Board Used Working
6152 Orion ETM832A-DNF-L-G3 Power Supply Temperature Control Pel Thermo used working
6153 Kokusai Electric FB001-DS Noise Filter DNS FC-3000 used working
6154 Opal 70317875300 SMC/M Vacuum Board PCB AMAT SemVision cX used working
6155 Opal 70317875300 SMC/M Vacuum Board PCB AMAT SemVision cX used working
6156 Opal 70317875300 SMC/M Vacuum Board PCB AMAT SemVision cX used working
6157 Opal 70411560000 VCR/SMC Relay Board PCB AMAT SemVision cX used working
6158 Opal 70411560000 VCR/SMC Relay Board PCB AMAT SemVision cX used working
6159 Opal 50317890000 SMC-Micro Board PCB AMAT SemVision cX used working
6160 Opal 50317890000 SMC-Micro Board PCB AMAT SemVision cX used working
6161 Opal 50317890000 SMC-Micro Board PCB AMAT SemVision cX used working
6162 Opal 70417880100 SMC-RS232 Card PCB AMAT SemVision cX used working
6163 Opal 70417880100 SMC-RS232 Card PCB AMAT SemVision cX used working
6164 Opal 70417880100 SMC-RS232 Card PCB AMAT SemVision cX used working
6165 Edwards QDP80/QMB1200 Control Box Novellus Concept II Altus used working
6166 AMAT Load Lock Internal Transfer Unit Assembly SemVision cX used working
6167 Opal 50312480000 CDM Monitoring Unit 30612485100 AMAT SemVision cX used working
6168 Nemic-Lambda YM-95-774 Power Supply RWS30A-5 AMAT SemVision cX used working
6169 Nemic-Lambda YM-95-774 Power Supply RWS30A-5 AMAT SemVision cX used working
6170 Nemic-Lambda YM-95-774 Power Supply RWS30A-5 AMAT SemVision cX used working
6171 Lucas Labs CAT Power Distribution & Control Module Lucas Labs CAT new surplus
6172 Opal 30612470000 Column Power Supply Unit CPSU AMAT SemVision cX used working
6173 A to Z Electronics 50312410000 Scintillator 8KV Splitter AMAT SemVision cX used
6174 A to Z Electronics 50312420000 U_COL 8KV Splitter AMAT SemVision cX used working
6175 Tasei Denki TD-13659 Blue Power Transformer TE1B-720-EN-NF DNS FC-3000 used
6176 KLA-Tencor 0071143-003 Polarizer Assembly KLA AIT UV+
6177 Hitachi BBS208-4 PCB Hitachi M-511E used working
6178 SMC PCB 60-600455-006 Circuit Board Used Working
6179 Nikon 4S018-728 REX-DRV PCB Nikon NSR-Series used working
6180 KLA-Tencor 0033116-002 Lens Assembly KLA-Tencor AIT UV+ used working
6181 Novellus Heater Blanket Controller Eurotherm 808 Concept II Altus used working
6182 Matsushita SI016-16C NAIS Micro Control Unit FP-M used working
6183 Powerware 1000P2HVSE Uninterruptible Power Supply Prestige EXT Used Working
6184 Novellus 15-032939-00 Ceramic Rings Concept II Altus Lot of 5 used untested
6185 KLA-Tencor 525227 Video Switch PCB Module KLA-Tencor AIT UV+ used working
6186 KLA-Tencor 525227 Video Switch PCB Module KLA-Tencor AIT UV+ used working
6187 KLA-Tencor 525227 Video Switch PCB Module KLA-Tencor AIT UV+ used working
6188 Yaskawa LNFB-2152HY EMI Line Filter AC 250V 1PH 15A Lot of 5 used working
6189 Hitachi S-9380 SEM Power Distribution Box used working surplus
6190 Ulvac GST-03L Ion Pump Controller Schlumberger IDS 10000 used untested as-is
6191 TEL 3D81-000096-V1 PCB TYB513-1/IOGS TEL T-3044SS used working
6192 Hermos TLG-I1-1000-S0-00EB Transponder Reader TLG-RS232 Cables & Antenna used
6193 Nikon Pneumatic Regulator Assembly Nikon NSR-Series used untested as-is
6194 Opal 30612150000 HTRC Board PCB AMAT SemVision cX used working
6195 Hitachi 569-5550 Power Supply Amplifier S-9380 SEM used
6196 VAT 07512-UA24-0002 Slit Valve used working
6197 MCSI 68800901 CPU PCB Brooks BL 200 used working
6198 AMAT Load Lock Internal Transfer Unit Head AMAT SemVision cX used working
6199 CKD AMD11-X1262 Valve TEL ACT 12 Lot of 4 used working
6200 Tescom 44-3262JR91-082 Manual Pressure Regulator used working
6201 Tescom 44-2662-R92-067 Manual Pressure Regulator used working
6202 Tescom 449-265-0RR9 Pressure Regulator w/ Gauge used working
6203 Hitachi EMI Noise Filter Chassis S-9380 SEM used working
6204 Hitachi EMI Noise Filter Chassis S-9380 SEM used working
6205 Internix PF810-ASEHT Hand Controller Teach Pendant Hitachi M-712E used working
6206 Anelva 954-7700 Vacuum Pressure Sensor Switch Hitachi M-712E used working
6207 Soshin NF3200C-RQ2 EMI Noise Filter TEL T-3044SS used working
6208 Force 100019 Single Board Computer VME SYS68K/CPU-6A Alphasem SL9021 used
6209 ADCOS GN/BK K100VA3 Encoder PCB VME A-100 Alphasem SL9021 used working
6210 ADCOS GN/WH K100VA3 Encoder PCB VME A-100 Alphasem SL9021 used working
6211 ADCOS K100 VIT VME PCB VIT-12 Alphasem SL9021 Die Bonder used working
6212 Alphasem AS 313-0-06 Distributor Servo System PCB VME used working
6213 KLA 710-061475-001 IS 300 Backplane PCB Starlight SL 300 Ursa(IS) used working
6214 KLA 700-060463-001 SAF Preamp Assembly Starlight SL 300 Ursa(IS) used working
6215 KLA 710-057727-00 Turret Assembly Starlight SL 300 Ursa(IS) used working
6216 LEAG 90228 3 Axis Servo Drive TFM-060-06-05-99 Alphasem SL9201 used working
6217 Nikon 4S018-675-D-IO-1(3) PCB & Auxiliary PCB CS017-011 Nikon NSR-Series used
6218 Nikon 4S018-675-D-IO-1(4) PCB Nikon NSR Series used working
6219 Nikon 36020133 EXT-INTLOCK ASK PCB Nikon NSR Series used working
6220 Nikon CS013-025 PCB CHBR-IF Nikon NSR Series used working
6221 Nikon 4S019-073-1 PCB CHBCPU-1 Nikon NSR-S307E Series used working
6222 Nikon 36020131 IOP-I/F ASK PCB Nikon NSR Series used working
6223 OKAYA 3SUP-H10H-ER-4 Noise Filter Nikon NSR Series used working
6224 Nikon 4S014-082-AM6PDCTL PCB Circuit Board Used Working
6225 Hitachi Wafer Transfer Robot Hitachi M-712E EFEM used working
6226 Delta Design Power Summing Module Lot of 13 used working
6227 NEC LCD175VX+ 17� Monitor w/ FastPoint 902-317-01-01 Touchscreen used working
6228 Watlow Anafaze TLME010DDDDDDDD Thermal Limit Monitor Temp Switch Lot of 14 used
6229 Nikon 4S014-014 PCB Circuit Board DCM86-L2 Used Working
6230 Power One HBAA-40W-A Power Supply Summit ATC Handler Lot of 12 used working
6231 National Instruments PXI-8175 Embedded Controller PCB Summit ATC Handler used
6232 National Instruments PXI-6527 Digital I/O PCB Summit ATC Handler used working
6233 National Instruments PXI-6527 Digital I/O PCB Summit ATC Handler used working
6234 National Instruments PXI-6713 Analog Output PCB Summit ATC Handler used working
6235 National Instruments PXI-6713 Analog Output PCB Summit ATC Handler used working
6236 National Instruments PXI-6031E Multifunction I/O PCB Summit ATC Handler used
6237 National Instruments 745749-01 PXI-1002 4-Slot Compact PCI Chassis used working
6238 V-Tex 172B336X48DCPR03 Door Slit Valve Rollcam Hitachi M-712E Etcher used
6239 HoribaSTEC SEC-7330M Mass Flow Controller CHF3 200CCM Lot of 2 used working
6240 HoribaSTEC SEC-7330M Mass Flow Controller Ar 500CCM Lot of 2 used working
6241 HoribaSTEC SEC-7330M Mass Flow Controller SF6 200CCM Lot of 2 used working
6242 Horiba STEC SEC-7330M Mass Flow Controller CF4 400CCM Lot of 2 used working
6243 Patlite STPB-T Assorted Signal Towers LME-TFBL & LE-FBP Lot of 13 used working
6244 Hitachi AIO-02N Analog I/O PCB Hitachi M-712E used working
6245 Hitachi IOTU-01N I/O PCB IOCN-01A Hitachi M-712E used working
6246 Hitachi ILP-02 Relay PCB Hitachi M-712E used working
6247 Hitachi DIO-01N Digital I/O PCB Hitachi M-712E used working
6248 Hitachi BD12 BD13 BD14 I/F PCB Set Hitachi M-712E used working
6249 Hitachi BBPS-11 Interface PCB Hitachi M-712E used working
6250 SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Wafer Chuck Hitachi M-712E used
6251 Simco 4009180 Vision Controller Ionizer w/ Richmond 30� & 12� Emitters used
6252 Simco 4009180 Vision Controller Ionizer w/ Richmond 30� & 12� Emitters used
6253 Simco 4009180 Vision Controller Ionizer w/ Richmond 30� & 12� Emitters used
6254 Asahi Kogyosha 36020223A EXT-INTLOCK PCB Nikon NSR Series used working
6255 Asahi Kogyosha 36020230 I/F PCB Nikon NSR Series used working
6256 Asahi Kogyosha 36020167 Chamber-I/F PCB Nikon NSR Series used working
6257 Nikon 4S017-702 PCB Circuit Board KENCTL Used Working
6258 Hitachi BBBA-02 Interface PCB Hitachi M-712E used working
6259 Hitachi BBB14-01 Interface PCB Hitachi M-712E used working
6260 Devicenet 10150603 16�6� Cables Lot of 25 used working
6261 Devicenet 10150603 20� Cables Lot of 13 used working
6262 Devicenet 10150721 10� Cables Lot of 13 used working
6263 Nikon 4S018-928 PCB COM-CTRL Nikon NSR-S205C used working
6264 Turck JBBS 57-E811 Bus Stop Devicenet Lot of 15 used working
6265 Nikon 4S018-775-1 PCB X_I/F Nikon NSR-205C used working
6266 Nikon 4S018-776 Y_I/F PCB Nikon NSR-205C used working
6267 Nikon 4S019-029 PRE1_I/F PCB Nikon NSR-205C used working
6268 Nikon 4S003-028 PCB DCMD-L2 Nikon NSR-205C used working
6269 Simco 4010729 Vision i Controller Summit ATC Handler used working
6270 Simco 4010729 Vision i Controller Summit ATC Handler used working
6271 Simco 4010729 Vision i Controller Summit ATC Handler used working
6272 Nikon 4S017-714 PCB AIR-I/F Circuit Board Used Working
6273 Devicenet 10150603 13�2� Cables Lot of 9 used working
6274 Teknic SST-1500-103 Servo Stepper Drive Lot of 5 Summit ATC Handler used working
6275 Teknic SST-3000-829 Digital Servo Drive Delta Design 2100840 Lot of 4 used
6276 Teknic SST-3000-829 Digital Servo Drive Delta Design 2100840 Lot of 4 used
6277 Sumitomo Electric 52131010C Drive Board PCB 1494V-0 Nikon NSR-205C used working
6278 Sumitomo Electric 52131001A D/A Board PCB 794V-0 Nikon NSR-205C used working
6279 NSK EE0408C59-25 Motion Controller used working
6280 Motorola 01-W3866B54B Embedded Controller MVME 162-262 & Add-On PCB�s used
6281 DNS MY5211-214 PCB PG-104L-05 DNS FC-3000 used working
6282 Nikon 4S013-358 Circuit Board RLIOP-I/F Nikon NSR-S205C used working
6283 Nikon 4K802-997 Robot Elevator 4S013-464 PCB RBTLNK3 NSR-S307E used working
6284 Nikon 4K802-997 Robot Elevator 4S013-464 PCB RBTLNK3 NSR-S307E used working
6285 Nikon NSR-S307E Wafer Loader Port Wafer Holder Keyence Copal used working
6286 TDK RKW48-32R Power Supply 48VDC 32A Nikon NSR-S307E used working
6287 TDK RKE48-32R A Power Supply 48VDC 19.2A 32A Nikon NSR-S307E used working
6288 TDK RKW24-27R Power Supply 24VDC 27A Nikon NSR-S307E Used Working
6289 MKS Instruments Stainless Steel Flex Bellows Pipe Tubing 4.5” Lot of 3 HPS Used
6290 Nikon 4B028-878 Pneumatic Control Box SP1178W NSR-S204B Main Body used working
6291 Nikon 4B028-878 Pneumatic Control Box SP1178W NSR-S204B Main Body used working
6292 Yaskawa 4S587-294 Amplifier XU-DV0802H Nikon NSR-S205C used working
6293 Yaskawa 4S587-288 Linear Motor Controller XU-DL1910 Nikon NSR-S205C used
6294 Nikuni 25CLX15U5 MLTC Centrifugal Pump w/ 25CLX15U6 Motor Nikon NSR-S205C used
6295 Nikuni 25CLX15U5 MLTC Centrifugal Pump w/ 25CLX15U5 Motor Nikon NSR-S205C used
6296 Hitachi BBS511-1 Circuit Board AMAT SemVision cX used working
6297 Hitachi BBS511-1 Circuit Board AMAT SemVision cX used working
6298 Hitachi HT94222A Circuit Board used working
6299 Hitachi HT94222A Circuit Board used working
6300 Hitachi HT94222A Circuit Board used working
6301 Hitachi BBS210-2 Circuit Board used working
6302 Hitachi BBS210-2 Circuit Board used working
6303 Hitachi BBS205-3 PCB Circuit Board TEL T-3044SS used working
6304 Hitachi BBS205-3 PCB Circuit Board TEL T-3044SS used working
6305 AMAT 0110-01717 Issue B Circuit Board used working
6306 AMAT 0110-01717 Issue B Circuit Board used working
6307 AMAT 0110-01717 Issue B Circuit Board used working
6308 AMAT 0110-01717 Issue B Circuit Board used working
6309 Sunx LA-A1 Beam Sensor LA-310D LA-310P used working
6310 Millipore CPOKN3489A Pendant Controller Used working
6311 TeleFrank 013501-186-27 Modul Interlock Brooks Fixload 6 Load Port used working
6312 A to Z Electronics Opal 50312450000 Circuit Board AMAT SemVision cX 300mm used
6313 AMAT SemVision cX 33093004 L2 Column Interface Box used working
6314 TeleFrank 013501-171-27 Modul Interlock Brooks Fixload 6 Load Port used working
6315 Hermos TLG-RS232 Transponder Reader ASC-I1 TLG-I1-AMAT-R1 Brooks Fixload 6 used
6316 TeleFrank 013501-177-27 Modul Interlock Brooks Fixload 6 Load Port used working
6317 SVG 99-38150-02 Shuttle IES Arm 9003 Lithography with Horizontal Guide Used
6318 Jenoptik ILFA 013501-063B 013501-130-17I1 013501-064-25 PCB used working
6319 Jenoptik ILFA 013501-063B 013501-130-17I1 013501-064-25 PCB used working
6320 Nikon 4S018-917-1 Circuit Board Y_I/F2 Nikon NSR-S307E used working
6321 Nikon 4S013-494 Circuit Board PWR_MTH3 Nikon NSR-S307E used working
6322 Nikon 4S019-162 Circuit Board PPD3T-MTH Nikon NSR-S307E used working
6323 Nikon 4S019-260 Circuit Board RLSP-I/F_SMIF 4S015-227 Nikon NSR-S307E used
6324 Nikon 4S013-473 Circuit Board SPIOP-MTH Nikon NSR-S307E used working
6325 Nikon 4S019-012 Circuit Board LM-PWM-DRV Nikon NSR-S307E used working
6326 Nikon 4S013-474 Circuit Board RLIOP-I/F3 Nikon NSR-S307E used working
6327 Nikon 4S013-474 Circuit Board RLIOP-I/F3 Nikon NSR-S307E used working
6328 SVG 99-38150-02 Shuttle IES Arm 9003 Lithography Step and Scan Used Working
6329 Nikon 4S013-413 Circuit Board SPRLNK Nikon NSR-S307E used working
6330 SMC SZ3A60K-5LOZ-C4 Pneumatic Solenoid Valve Manifolds SZ3A60K-5LOZ-M Lot of 4
6331 Asyst 06764-001 Arm Controller Board 02423-001 used working
6332 Asyst 06764-001 Arm Controller Board 02423-001 used working
6333 Asyst 06764-002 Arm Controller Board 02423-001 used working
6334 GaSonics 06763-005 48V Control Board PCB Aura 2000LL used working
6335 AMAT 0100-00925 Process Sensor Issue A VME used working
6336 GaSonics A90-031-03 Plasma Lamp Failure Detection PCB Rev. E used working
6337 GaSonics A90-031-03 Plasma Lamp Failure Detection PCB Rev. E used working
6338 Jenoptik Infab 812100019 Load Port Circuit Board Brooks Fixload V6 used working
6339 TDK TAS-IN6 Circuit Board TDK TAS 300 F1 used working
6340 TDK TAS-RIN11 Circuit Board TDK TAS 300 F1 used working
6341 TDK TAS-RIN16 Circuit Board TDK TAS 300 F1 used working
6342 TDK TAS-RIN16 Circuit Board TDK TAS 300 F1 used working
6343 TDK TAS-RIN8 Circuit Board TDK TAS 300 F1 used working
6344 TDK TAS-RIN8 Circuit Board TDK TAS 300 F1 used working
6345 TDK TAS-IN8 Circuit Board TDK TAS 300 F1 used working
6346 TDK TAS-IN8 Circuit Board TDK TAS 300 F1 used working
6347 TDK TAS-IN8 Circuit Board TDK TAS 300 F1 used working
6348 TDK TAS-IN12 Circuit Board TDK TAS 300 F1 used working
6349 TDK TAS-IN14 Circuit Board TDK TAS 300 F1 used working
6350 TDK TAS-IN14 Circuit Board TDK TAS 300 F1 used working
6351 TDK TAS-CNEXT Circuit Board TDK TAS 300 F1 used working
6352 TDK TAS-CNEXT Circuit Board TDK TAS 300 F1 used working
6353 TDK TAS-IN6 Circuit Board TDK TAS 300 F1 used working
6354 Maxon Motor 44.060.000-00.09-071 Shuttle Motor Used Working
6355 Asyst 013501-16-17AEZ02 PCB 812100064 3FL, BBMAP, A-TAG, 1 BUTT Load Port used
6356 iQDP Extension Cables iQDP Pump Lot of 10 used working
6357 iQDP Extension Cables iQDP Pump Lot of 7 used working
6358 DNS FC-3000 Indexer Assembly 300mm used working
6359 Hitachi CR-712T-AC Clean Robot Hitachi M-712E used untested as-is
6360 Tokyo Electron 3D08-000038-11 Circuit Board TYB62E-1/RF TEL T-3044SS used
6361 Tokyo Electron 3D08-000038-11 Circuit Board TYB62E-1/RF TEL T-3044SS used
6362 SVG PLC A121208 Programmable Logic Controller Lithography Step and Scan Used
6363 Tokyo Electron 3D08-000039-11 Circuit Board TYB62F-1/PUMP TEL T-3044SS used
6364 Tokyo Electron 3D08-000063-11 Circuit Board TYB517-1/IOAS TEL T-3044SS used working
6365 Tokyo Electron 3D08-000063-11 Circuit Board TYB517-1/IOAS TEL T-3044SS used working
6366 Tokyo Electron 3D08-000063-11 Circuit Board TYB517-1/IOAS TEL T-3044SS used working
6367 Tokyo Electron 3D08-000063-11 Circuit Board TYB517-1/IOAS TEL T-3044SS used working
6368 Tokyo Electron 3D08-000063-11 Circuit Board TYB517-1/IOAS TEL T-3044SS used working
6369 Tokyo Electron 3D08-000020-12 Circuit Board TYB514-1/IO48 TEL T-3044SS used
6370 Tokyo Electron 3D08-000020-12 Circuit Board TYB514-1/IO48 TEL T-3044SS used
6371 Tokyo Electron 3D08-000020-12 Circuit Board TYB514-1/IO48 TEL T-3044SS used
6372 Applied Materials Quantum Leap 3 Process Module Wheel used working
6373 TEL Tokyo Electron OYDK-057 CONN Analog #02 Circuit Board used working
6374 TEL Tokyo Electron OYDK-057 CONN Analog #02 Circuit Board used working
6375 SVG Lithography MueTec Full Tilt Station KH-1 Step and Scan System Used Working
6376 Simco 4009180 Vision Controller Summit ATC Handler used working
6377 RECIF SA MOBJH0131D Motherboard PCB CPUCH0027 DNS FC-3000 used working
6378 Nikon 4S008-005-A PCB STGX23A Nikon NSR-S204B used working
6379 Nikon 4S013-313 PCB BLECX3 Nikon NSR-S204B used working
6380 Nikon 4S007-901-1 PCB X2A-STGP/H Nikon NSR-S204B used working
6381 Vexta B1583-D51KBLM Servomotor With Gear Head 5GD20K-H1 Used Working
6382 Vexta B1583-D51KBLM Servomotor With Gear Head 5GD20K-H1 Used Working
6383 Vexta B1583-D51KBLM Servomotor With Gear Head 5GD20K-H1 Used Working
6384 Nikon 4S007-945 PCB STGX3A Nikon NSR-S204B used working
6385 Nikon 4S007-770-D PCB LC-PRES2A 4S080-626 Nikon NSR-S204B used working
6386 Nikon 4S007-843-F PCB EX-AIS Nikon NSR-S204B used working
6387 Nikon 4S007-865A PCB Circuit Board SR8-XY2 Rev E Nikon NSR-S204B used working
6388 Nikon 4S018-650 PCB RTEXX3 Nikon NSR-S204B used working
6389 Nikon 4S013-310 PCB BLX3 Nikon NSR-S204B used working
6390 Nikon 4S013-415 PCB YLNK NSR-Series used working
6391 Nikon 4S013-415 PCB YLNK NSR-Series used working
6392 Nikon 4S013-448 PCB Lot of 3 NSR-Series used working
6393 Nikon 4S013-448 PCB Lot of 3 NSR-Series used working
6394 Nikon 4S013-418 PCB RBTRLNK Nikon NSR-S204B used working
6395 Nikon NSR-S204B Piezo Electric Sensor Main Body used working
6396 Sony 1-677-707-13 PCB DPR-LS22 4S018-852-3 Nikon NSR-S307E used working
6397 Nikon 4S001-112 SALG Power Source Nikon NSR-S307E used working
6398 Keyence FS-V1 PS-T2 Sunx SC-MIL SC-T8J Beam Sensor Kit Nikon NSR-S307E used
6399 Keyence FS-V1 PS-T2 Sunx SC-MIL SC-T8J Beam Sensor Kit Nikon NSR-S307E used
6400 Yaskawa XELM-8DNNQY72 Axis 4K179-461 Nikon NSR-S204B used working
6401 Yaskawa XELM-8DNNQX71 Axis 4K179-460 Nikon NSR-S204B used working
6402 Nikon RMN1000 Rotary Encoder Assembly Theta Stage Motor NSR-S204B Main Body used
6403 Mitutoyo 09AAA790 Linear Scale ST320 Nikon NSR-S204B Main Body Lot of 4 used
6404 Asyst 9700-6584-05 Advan Tag RFID Reader ATR-9000 w/ Sensor & Power Cable used
6405 SOC Showa Optronics Company GLG5415A HeNe Laser Head 20nW 632.8nm used working
6406 Sumitomo M28488 YL Axis VCM1 4K191-749 Nikon NSR-S205C used
6407 Sumitomo M28488 YL Axis VCM1 4K191-749 Nikon NSR-S205C used
6408 Sumitomo M28489 YR Axis VCM1 4K191-750 Nikon NSR-S205C used
6409 Sumitomo M28489 YR Axis VCM1 4K191-750 Nikon NSR-S205C used
6410 Sumitomo M28487 X Axis VCM1 4K191-748 Nikon NSR-S205C used
6411 Sumitomo M28487 X Axis VCM1 4K191-748 Nikon NSR-S205C used
6412 Nikon NSR-S307E Photomask Reticle Handling Unit Main Body used untested as-is
6413 TDK RAW24-7R3 Power Supply DC 24V 7.3A AC 100-240V Nikon NSR-S307E used working
6414 Totuku CDL1806A/R 18� Autoscan Display CDL1806A Hitachi S-9380 SEM used working
6415 Totuku CCL182/r 18� Color Autoscan Display CDL1808A Hitachi S-9380 SEM used
6416 Hitachi 569-5569 PCB AVG(3) Hitachi S-9380 SEM Scanning Electron Microscope used
6417 Hitachi 564-5525 PCB Power Supply SEM-DCPS Hitachi S-9380 SEM used working
6418 Tokyo Keiso IR-2000 Compact Indicating Totalizer Lot of 9 NSR-S204B used working
6419 Tokyo Keiso IR-2000 Compact Indicating Totalizer Lot of 9 NSR-S204B used working
6420 Asahi 4K191-817-1 ERG-Box 752NK-T2 Nikon NSR-S205C used working
6421 Sumitomo 4K191-753 ZB Axis VCM1 M28492 NSR-S205C Main Body used working
6422 Sumitomo 4K191-751 ZL Axis VCM1 M28490 NSR-S205C Main Body used working
6423 Sumitomo 4K191-752 ZR Axis VCM1 M28491 NSR-S205C Main Body used working
6424 Nikon 4S001-070-01 Power Supply Board PW-NE NSR-S204B Control Rack used working
6425 Nikon 4S018-675 PCB Circuit Board D-IO-1 NSR-Series used working
6426 Kulicke & Soffa 01471-4008-000-04 PCB 1471 Auto Wire Bonder used working
6427 Kulicke & Soffa 835-4554-0 PCB Circuit Board 1471 Auto Wire Bonder used working
6428 Kulicke & Soffa 1471-4012-100-01 PCB 1471 Auto Wire Bonder used working
6429 Kulicke & Soffa 835-4510-0 PCB Circuit Board 1471 Auto Wire Bonder used working
6430 Kulicke & Soffa 01483-4046-000-75 PCB 1471 Auto Wire Bonder used working
6431 Nikon 4S064-820-1 Wafer Loader User Interface NSR-S307E used working
6432 Nikon 4S064-419-4 Wafer Loader User Interface NSR-S307E used working
6433 Nikon 4S064-419-4 User Interface NSR-S307E used working (missing bottom cover)
6434 Lambda 00500003 Power Supply SX502S-5KV-NEG-DC-AIR-1370 Axcelis Fusion PS3 used
6435 Panasonic MSDA4ACA1A04 AC Servo Driver used working
6436 Axcelis 668111 Plasma Gen Int BD Board PCB Fusion PS3 used working
6437 Axcelis 552771 Plasma Gen Int BD Board PCB Fusion PS3 used working
6438 SMC VV5Q11-ULB980022 Pneumatic Solenoid Valve Manifold Lot of 3 used working
6439 Panasonic MSMA021A1E AC Servo Motor used working (missing a connection plug)
6440 SAM CLD4H1G-RAY39 HVA HV1 HV2 HV3 HV4 HV5 HV6 HV7 HV8 Lot of 9 used working
6441 Fujikin FCS-4WS-798-F1L#B Mass Flow Controller H2/He TEL T-3044SS used working
6442 Fujikin FCS-4WS-798-F30#B Mass Flow Controller TEL T-3044SS used working
6443 Fujikin FCS-4WS-798-F1L#B Mass Flow Controller TEL T-3044SS used working
6444 Fujikin FCS-4WS-798-F2L#B Mass Flow Controller TEL T-3044SS used working
6445 Fujikin 467000 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 12 used
6446 Hitachi Metals SAM U18SVS-2H-0106-F40F40-G P-768 0~0.6 MPa Lot of 9 used working
6447 Omron F3SJ-A0570P30-D Safety Light Curtain Receiver Sti Type 4 ESPE used working
6448 GaSonics 94-2807 48L Control Board PCB 09316-805 Aura 2000LL used working
6449 GaSonics A89-013-01 Control Panel PCB LED & Interface PCA G0-2670 Lot of 4 used
6450 Hitachi CB100A SECS Interface Kokusai Zestone DD-1203V (no memory card) used
6451 AMAT 0200-08301 Rev 03 Deposition Ring 300mm BESC Ceramic w/ Bead Blast new
6452 Kokusai Electric CX3-GPLON BTBAS Control Module T2DC6-12220 used working
6453 Kokusai Electric CX3-GPLON BTBAS Control Module T2DC6-12220 used working
6454 Kokusai Electric CX3-GPLON BTBAS Control Module T2DC6-12220 used working
6455 Kokusai Electric T2DD7-18040 (Gate-Ox) Control Panel CX3-GPLON used working
6456 Kokusai Electric T2DD7-18040 (Gate-Ox) Control Panel CX3-GPLON used working
6457 Schumacher 1471-0110A Spill Detector SDM used working
6458 Kokusai Electric Z-3B Variable Tap Management Table used working
6459 Allied Telesyn AT-AR410 Branch Office Router AR410 used working
6460 Allied Telesyn AT-AR410 Branch Office Router AR410 used working
6461 AMAT 0021-11486 Rev 004 Disk Shutter SNNF BESC 300mm new surplus
6462 AMAT 0021-11486 Rev 004 Disk Shutter SNNF BESC 300mm new surplus
6463 Mitsubishi A950GOT-LBD-H011 Graphic Operation Terminal 539-55170 GOT used works
6464 Mitsubishi A950GOT-LBD-H011 Graphic Operation Terminal 539-55170 GOT used works
6465 Fujitsu 8890-D962 Power Supply Unit SPA376EP Rev 1A Nikon NSR-S307E used working
6466 Fujitsu 8890-D962 Power Supply Unit SPA376EP Rev 2A Nikon NSR-S307E used working
6467 Soshin HF3250C-TOA EMI Filter Kokusai Zestone DD-1203V 300mm used working
6468 Soshin HF3250C-TOA EMI Filter Kokusai Zestone DD-1203V 300mm used working
6469 Soshin HF3250C-TOA EMI Filter Kokusai Zestone DD-1203V 300mm used working
6470 Soshin HF3250C-TOA EMI Filter Kokusai Zestone DD-1203V 300mm used working
6471 AMAT 0010-19026 STD PVD DEAGAS Chamber Quartz Viewport Lid Rev 004 new surplus
6472 Yaskawa DRI-08AC Servopak Servo Drive Used Working
6473 Oriental Motor USM540-402W Speed Control Motor Lot of 4 Used Working
6474 SVG ASML 879-8074-002-B Cap Gauge Assembly Used Working
6475 SVG ASML 879-8074-003B Cap Gauge Assembly Used Working
6476 Aera FC-D985CT-BH Mass Flow Controller O2 20 CCM used working
6477 Aera FC-D985CT-BH Mass Flow Controller Ar 500 CCM used working
6478 Aera FC-D985CT-BH Mass Flow Controller CI2(0.837) 150 CCM used working
6479 Aera FC-D985CT-BH Mass Flow Controller NF3(0.500) 50 CCM used working
6480 Aera FC-D985CT-BH Mass Flow Controller SF6 300 CCM used working
6481 Aera FC-D985CT-BH Mass Flow Controller cyclo-C5F8(.149?) 50 CCM used working
6482 Densei Lambda PS-1201U Momentary Line Drop Protector As-Is
6483 Aera FC-D985CT-BH Mass Flow Controller HBR 200 CCM used working
6484 TDK RBW24-27R Power Supply used working
6485 Agilent Z4208C Controller Circuit Board Nikon NSR-S205C used working
6486 Sankan Electric MLT-DCBOX5 Power Supply used working
6487 Kokusai Zestone DD-1203V SPCONV10A Converter PCB Rev 1 Lot of 4 used working
6488 Kokusai Zestone DD-1203V SPCONV10A Converter PCB Rev 1 Lot of 4 used working
6489 Kokusai Zestone DD-1203V SPCONV10A Converter PCB Rev 1 Lot of 4 used working
6490 Kokusai Zestone DD-1203V SPCONV10A Converter PCB Rev 1 Lot of 4 used working
6491 Kokusai Zestone DD-1203V SPCONV10A Converter PCB Rev 1 Lot of 4 used working
6492 Kokusai Zestone DD-1203V SPCONV10A Converter PCB Rev 1 Lot of 4 used working
6493 Kokusai Zestone DD-1203V SPCONV10A Converter PCB Rev 1 Lot of 4 used working
6494 Hitachi 569-5535 Circuit Board SDCPS Hitachi S-9380 Main Body used working
6495 Hitachi 569-5535 Circuit Board SDCPS Hitachi S-9380 Main Body used working
6496 Hitachi 564-5531 Circuit Board MHV Hitachi S-9380 Main Body used working
6497 Hitachi 568-5590 Circuit Board ST.Sensor Hitachi S-9380 Main Body used working
6498 Hitachi 568-5590 Circuit Board ST.Sensor Hitachi S-9380 Main Body used working
6499 Hitachi 568-5590 Circuit Board ST.Sensor Hitachi S-9380 Main Body used working
6500 Hitachi 569-5510 Circuit Board ST Sensor Hitachi S-9380 Main Body used working
6501 Hitachi 569-5558 Circuit Board N-VSCN Hitachi S-9380 Main Body used working
6502 Hitachi 569-5516 Circuit Board ME I/F Hitachi S-9380 Main Body used working
6503 Hitachi 569-5505 Circuit Board ST Sense Hitachi S-9380 Main Body used working
6504 Hitachi 569-5505 Circuit Board ST Sense Hitachi S-9380 Main Body used working
6505 Hitachi 569-5505 Circuit Board ST Sense Hitachi S-9380 Main Body used working
6506 Hitachi 569-5561 Circuit Board AlarmIF4 Hitachi S-9380 Main Body used working
6507 Hitachi 569-5561 Circuit Board AlarmIF4 Hitachi S-9380 Main Body used working
6508 Hitachi 564-5538 Circuit Board PE.HV Hitachi S-9380 Main Body used working
6509 Hitachi 569-5567 Circuit Board COL-CN2 Hitachi S-9380 Main Body used working
6510 Hitachi 569-5567 Circuit Board COL-CN2 Hitachi S-9380 Main Body used working
6511 Hitachi S-9380 EVAC Control Panel (No Keys) used working
6512 Hitachi S-9380 EVAC Control Panel (No Keys) used working
6513 Hitachi S-9380 Stage Control, Control Panel (No Keys) used working
6514 Hitachi S-9380 Stage Control, Control Panel (No Keys) used working
6515 Parker Veriflo 45700277PA Pressure Regulator SQ140E1002P6UPG used working
6516 Parker Veriflo 45700277PA Pressure Regulator SQ140E1002P6UPG used working
6517 Kokusai Zestone DD-1203V Stop SW Unit used working
6518 Kokusai Zestone DD-1203V Controller CX1229-1 Rev AB used working
6519 Kokusai Zestone DD-1203V Controller CX1229-1 Rev AB used working
6520 Kokusai Zestone DD-1203V Controller CX1229-1 used working
6521 Kokusai Zestone DD-1203V Controller CX1229-1 used working
6522 Kokusai Zestone DD-1203V Controller CX1229-1 Rev A used working
6523 Kokusai Zestone DD-1203V Controller CX1229B-1 used working
6524 Trek 13402H Model 321 Electrostatic Voltmeter Rev. B KLA Quantox XP used working
6525 KLA Model 64060 Distribution Module KLA Quantox XP used working
6526 KLA 51-0270 Light Board Module KLA Quantox XP used working
6527 Cosel R25A-24 Power Supply 24V 1.1A Kokusai Zestone DD-1203V used working
6528 Cosel R25A-24 Power Supply 24V 1.1A Kokusai Zestone DD-1203V used working
6529 Power-One Convert Select 240 LWN 2660-6 AC-DC/DC-DC Converter used working
6530 AMAT Quantum X 9090-00879 Gas Interlock Chassis Module Rev. B used working
6531 Tripp Lite Minicom Phantom MX II KVM Switch 1SU52073/R Rev. 1 AMAT Quantum X
6532 3Com 3C16470 Baseline Switch 2016 16-Port AMAT Quantum X used working
6533 KLA-Tencor AIT UV 547220 Keyboard Breakout, AIT2 Rev. AA used working
6534 KLA-Tencor AIT UV 547220 Keyboard Breakout, AIT2 Rev. AA used working
6535 KLA-Tencor AIT UV 0051060-001 Rev. AA Dual UI BD, AIT UV PCB 0039856- used works
6536 KLA-Tencor AIT UV 0051060-001 Rev. AA Dual UI BD, AIT UV PCB 0039856- used works
6537 KLA 0025040-001 Pneumatic Actuator Rev. AC KLA AIT UV used working
6538 KLA 0025040-001 Pneumatic Actuator Rev. AC KLA AIT UV used working
6539 KLA-Tencor AIT UV 0049727-001 Robot Distribution PCB Rev. AA used working
6540 KLA-Tencor AIT UV 0024496-000 Scan Exhaust Blower Assembly used working
6541 KLA-Tencor AIT UV 0024496-000 Scan Exhaust Blower Assembly used working
6542 KLA-Tencor AIT UV 0052412-001 GPIO Circuit Board Rev. AA used working
6543 KLA-Tencor AIT UV 0052412-001 GPIO Circuit Board Rev. AA used working
6544 KLA-Tencor AIT UV 0052412-001 GPIO Circuit Board Rev. AA used working
6545 KLA-Tencor AIT UV 0051493-002 Timing Generator PCB 0018283-002 Rev AC used works
6546 KLA-Tencor AIT UV 0081472-002 APS Control Board PCB 0030269- Rev AA used working
6547 KLA-Tencor AIT UV 0081472-002 APS Control Board PCB 0030269- Rev AA used working
6548 KLA-Tencor AIT UV 261408 4 Channel Motor Control PCB Rev. AE used working
6549 KLA-Tencor AIT UV 261408 4 Channel Motor Control PCB Rev. AE used working
6550 KLA-Tencor AIT UV 261408 4 Channel Motor Control PCB Rev. AE used working
6551 KLA-Tencor AIT UV 363251 4 Channel Motor Control PCB Rev. AC used working
6552 KLA-Tencor AIT UV 0081473-001 Remote IO PCB Rev. AB used working
6553 KLA-Tencor AIT UV 0081473-001 Remote IO PCB Rev. AB used working
6554 KLA-Tencor AIT UV 0081473-001 Remote IO PCB Rev. AB used working
6555 KLA-Tencor AIT UV 0038289-002 Circuit Board Rev. AB used working
6556 KLA-Tencor AIT UV 0038289-002 Circuit Board Rev. AB used working
6557 KLA-Tencor AIT UV 0039978-001 Assy, M2/M3 Servo Box Rev. AA used working
6558 KLA-Tencor AIT UV 0039978-001 Assy, M2/M3 Servo Box Rev. AA used working
6559 KLA-Tencor 0031598-001 AOD Driver UNIV AIT PCB Assembly KLA AIT UV+ used working
6560 KLA-Tencor AIT UV 0023936-001 Power Assy LPM Rev. AA used working
6561 KLA-Tencor AIT UV 0023936-001 Power Assy LPM Rev. AA used working
6562 KLA-Tencor AIT UV 0023936-001 Power Assy LPM Rev. AA used working
6563 KLA-Tencor AIT UV 0052196-007 MMD Analog PCB 0021488-001 used working
6564 Arcom 7041-64423-004-?101 Control Computer 9090-00810 AMAT Quantum X as is
6565 Kogenai Corp SPV-0017W 4K197-306 Pneumatic Box Assy Nikon NSR-S307E used working
6566 Kogenai Corp SPV-0017W 4K197-306 Pneumatic Box Assy Nikon NSR-S307E used working
6567 Omron Z4LC-C28 Parallel Beam Line Sensor Nikon NSR-S307E used working
6568 Nikon 4S018-866- Circuit Board PPD3X4 Nikon NSR-S205C used working
6569 Orion ETC902-NSCP-L2-SET Chemical Pelthermo Thermoelectric Unit new surplus
6570 Nikon NSR-S205C COM-CTRL MTR-CTRL NK8601A PCB Assy 4S015-227 4S018-928 4S018-929
6571 Nikon 4S587-578B 8 Port Hub Nikon NSR-Series used working
6572 Asahi D2990 Liner Pulsemotor Controller PCB 4S014-178 Rev A Nikon NSR-S205C work
6573 Panasonic MSDA4ACA1A04 AC Servo Driver Nikon NSR-S205C used working
6574 Omron Z4LC-C28 Parallel Beam Line Sensor Nikon NSR-S205C used working
6575 Hitachi MU-712E Chamber Vacuum Process 2 Controller used working
6576 Hitachi MU-712E Chamber Vacuum Process 2 Controller used working
6577 Pearl Kogyo ESC-2000E Power Supply �1000V 7mA Hitachi MU-712E used working
6578 Pearl Kogyo ESC-2000E Power Supply �1000V 7mA Hitachi MU-712E used working
6579 Pearl Kogyo ESC-2000E Power Supply �1000V 7mA Hitachi MU-712E used working
6580 Pearl Kogyo ESC-2000E Power Supply �1000V 7mA Hitachi MU-712E used working
6581 Hitachi BBDP1-01 Circuit Board used working
6582 Hitachi BBDP1-01 Circuit Board used working
6583 Hitachi BBDP2-01 Circuit Board Hitachi M-712E used working
6584 Hitachi BBDP2-01 Circuit Board Hitachi M-712E used working
6585 Sony 1-677-707-13 DPR-LS22 Circuit Board 4S018-852-3 Nikon NSR-S205C working
6586 Spectrum 260-00698 Circuit Board FRU 600-90072 Nikon NSR-S205C working
6587 Integrated Dynamics Engineering EFEM Interface AMAT Quantum Leap 3 used working
6588 AMAT Quantum Leap 3 0150-97185 Electrode 0040-01275 0020-05447 0021-14963 works
6589 AMAT 0010-37386 Center Water Feedthru Source 300mm Rev 03 new surplus
6590 AMAT 0010-22568 CPI-VMO Chamber Source 4 Rev 001 AMAT Endura 300mm used working
6591 VAT 02112-BA24-AOZ1 Slit Valve used working
6592 VAT 12144-PA24-AFT1 Gate Valve (used working)
6593 Nikon 4S066-012-2 GCTX Amplifier SPA276EC NSR 4S013-684-1 System Used Working
6594 Nikon 4S001-064 Circuit Board MSE182B 2EA00E182B Nikon NSR-S307E used working
6595 Agilent Z4381A Phase Detector PCB Z4381-68001-23 Rev C001106 Nikon NSR-S205C
6596 Nikon 4S587-735 VCM Amplifier SPA156A Nikon NSR-S307E used working
6597 Agilent Z4381A Phase Detector PCB Z4381-68001-23 Rev C001106 Nikon NSR-S307E
6598 Hitachi HT98309 Backplane Circuit Board MB5 Hitachi M-712E used working
6599 Hitachi HT96611A Circuit Board ASN1 Version G1 Hitachi M-712E used working
6600 Hitachi HT98218 Circuit Board COM Version A Hitachi M-712E used working
6601 Nikon E51217-C001 Circuit Board Module X4RCSLIFV2 used working
6602 Hitachi M-05A2LS-400K RF Matching Box Hitachi M-712E used working
6603 Hitachi M-05A2LS-400K RF Matching Box Hitachi M-712E used working
6604 Hitachi M-712E Etcher Interface Pre-Aligner used working
6605 Edwards 10-RDA40-1SDBE0-D Helios Bypass Valve w/ Norbro Pneumatic Actuator Used
6606 Edwards 10-RDA40-1SDBE0-D Helios Bypass Valve w/ Norbro Pneumatic Actuator Used
6607 Hitachi M-712E Etch Chamber EC2 Vacuum Control Box used working
6608 Hitachi M-712E Etch Chamber EC2 Vacuum Control Box used working
6609 Hitachi M-712E Etch Chamber EC1 Vacuum Control Box used working
6610 Hitachi M-712E Etch Chamber EC1 Vacuum Control Box used working
6611 Nitron C7-01-1110-02-0 O2 Analyzer 1110BE-N1 Zestone DD-1203V Lot of 2 working
6612 AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Used Working
6613 Aera FC-D985CT-BH Mass Flow Controller O2 50 CCM used working
6614 Aera FC-D985CT-BH Mass Flow Controller CO 50 CCM used working
6615 Aera FC-D985CT-BH Mass Flow Controller CF4(0.419) 400 CCM used working
6616 Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E
6617 Aera FC-D985CT-BH Mass Flow Controller CH3F 100 CCM used working
6618 Kyoto Denkiki KDS-30350WF High Voltage Power Supply 350V 30A Hitachi M-712E
6619 Hitachi DC Power Supply Unit Hitachi M-712E used working
6620 Edwards NRB086000 Helios Gas Abatement Unit ASM Epsilon 3200 used working
6621 Vero 116-010022A Bivolt PK60 Power Supply ASM Epsilon 3200 used working
6622 MKS 152H-P0 type 152 Automatic Pressure Controller GaSonic A-2000LL used sold as
6623 SVG 99-39984-01 Spin Coater Module 9026 SVG 90 Coat and Develop sold as-is
6624 SVG 99-40609-01 Developer Module 9032 SVG 90 Photoresist Coat and Develop as-is
6625 Yaskawa 4S064-209-1 Linear Motor Controller CLSR-1B-N2CD Nikon NSR-S204B working
6626 ASML 4022.486.18071 Pneumatic Manifold ASML Reticle Handler used working
6627 ASML 4922.470.0884 TUR ROT Motion Control Box Ver. 2 ASML Reticle Handler works
6628 ASML 4922.470.0892 TUR Remote Control Box Ver. 4 ASML Reticle Handler used works
6629 Nikon KAB11000/A701-7 Rotation Arm Assembly Nikon Optistation 7 used working
6630 Nikon KAB11000/A301-7 Wafer Chuck Assembly Nikon Optistation 7 cut wires as-is
6631 Nikon KAB11000/A301-7 Wafer Chuck Assembly Nikon Optistation 7 cut wires as-is
6632 Nikon KAB11000/A701-7 Rotation Arm Assembly Nikon Optistation 7 sold as-is
6633 Nikon KAB11000/A701-7 Rotation Arm Assembly Nikon Optistation 7 sold as-is
6634 Kniel CP 24.2,2 24V Switchable Power Supply 4022.430.14761 ASML used working
6635 ASML 4022.636.27442 MPD Relay Box ASML Dual Stepper Scan used working
6636 ASML 4022 470 0883 ROB Remote Control Box ASML Twinscan XT:1250 used working
6637 ASML 4022 470 0903 FRM Remote Control Box ASML Stepper Dual Scan used working
6638 ASML 4022.486.29051 RH Pneumatic Manifold ASML Stepper Dual Scan used working
6639 Varian Diode Ion Pump Small AMAT SemVision cX used working
6640 MKS 41A12DGA2BA010 10 TORR-G Trip Baratron Pressure Switch 100 TORR-G used works
6641 AMAT SemVision cX SEM Tilt Motor Assembly used working
6642 A to Z Electronics 50312403000 HV Module Assy CDM3-i AMAT SemVision cX working
6643 A to Z Electronics 50312403000 HV Module Assy CDM3-i AMAT SemVision cX working
6644 A to Z RH Electronics 50714800000 Fiber Optic Comm Interface FOCI SemVision cX
6645 Varian L6280-301 Manual Angle Valve NW-16-H/O SemVision cX used working
6646 MKS LPV-25-S5-CLVS Vacuum Valve Assembly AMAT SemVision cX (used working)
6647 MKS LPV-25-S5-CLVS Vacuum Valve Assembly AMAT SemVision cX (used working)
6648 MKS LPV-25-S5-CLVS Vacuum Valve Assembly AMAT SemVision cX (used working)
6649 Pneutal SCP-160-A-1 10 bar Air Tank AMAT Semvision cX used working
6650 Anorad DR-300 X Y R Axis Controller AMAT SemVision cX missing Y R Axis PCB used
6651 Novellus 95-4477 Magnetron Assy Stripper Module Waveguide Rev C Aura 2000LL used
6652 HNL A93-021-04/C Magnetron Assy Stripper Module Waveguide Aura 2000LL working
6653 AMAT 9090-00923 Processor Vacuum Control Chassis Rev B Quantum X PRA Rack works
6654 Glassman PS/ER06N25.OYZ4 6KV 25MA Power Supply Series ER AMAT 9090-01265 used
6655 Glassman PS/ER06N25.OYZ4 6KV 25MA Power Supply Series ER AMAT 9090-01265 Used
6656 AMAT 0100-01485 X Sensor PCB Rev B AMAT Quantum X PRA Rack used working
6657 AMAT 0100-01486 Y Sensor PCB Rev B AMAT Quantum X PRA Rack used working
6658 AMAT 0100-01487 Gripper IN LL PCB Rev B AMAT Quantum X PRA Rack used working
6659 AMAT 0100-01488 Z Sensor PCB Rev B AMAT Quantum X PRA Rack used working
6660 AMAT 0100-01488 Z Sensor PCB Rev B AMAT Quantum X PRA Rack used working
6661 AMAT 0100-01489 E-Chuck PCB Rev A AMAT Quantum X PRA Rack used working
6662 AMAT 0100-01491 Beam Align PCB Rev C AMAT Quantum X PRA Rack used working
6663 AMAT 0100-01490 Faraday Alignment PCB Rev C AMAT Quantum X PRA Rack used working
6664 AMAT 0100-01485 X Sensor PCB AMAT Quantum X PRA Rack used working
6665 AMAT 0100-01486 Y Sensor PCB AMAT Quantum X PRA Rack used working
6666 AMAT 0100-01488 Z Sensor PCB AMAT Quantum X PRA Rack used working
6667 AMAT 0100-01489 E-Chuck PCB AMAT Quantum X PRA Rack used working
6668 AMAT 0100-01491 Beam Align PCB AMAT Quantum X PRA Rack used working
6669 AMAT 0100-01490 Faraday Alignment PCB AMAT Quantum X PRA Rack used working
6670 AMAT 9090-00923 Processor Vacuum Control Chassis Rev A Quantum X PRA Rack as-is
6671 AMAT 9090-91685 Edwards Active Gauge Controller Rev C D38661000 D04847000 works
6672 AMAT 9090-00846 Beamline Power Distribution Unit Rev E Quantum X used working
6673 AMAT 9090-00846 Beamline Power Distribution Unit Rev E Quantum X used working
6674 AMAT 9090-00846 Beamline Power Distribution Unit Rev B Quantum X used working
6675 Honda W-357-1MQB-NS Ultra Sonic Cleaner Controller Pulse Jet 1MHz 5W used works
6676 Celerity FC-2979MEP5 AR 1SLPM Mass Flow Controller Tylan 2979 used working
6677 Amray 91161-1-1 Video Control System PCB w/ Sub PCB used working
6678 Yaskawa Nikon 4S065-784 RX/RY TRM Amplifier CLSR-0102B-N2CA Used Working
6679 Dynax K3AX-085 Teach Pendant Controller used working
6680 Dynax K3AX-085 Teach Pendant Controller used working
6681 Nikon SPA476D TCM Amplifier Used Working
6682 Yaskawa DDMQF-SR2232IR RC/ELC3 System Robot Controller SRC-II 005 sold as is
6683 TEL HTE-TA6-E-11 AC Control Board #006 used working
6684 Nikon 4S019-369 PCB Nikon NSR-S620D used working
6685 Yaskawa DDMQF-SR2231 PAC/ELC2 System Robot Controller SRC-II 006 used working
6686 Nikon SPA474J RY-LD Amplifier Used Working
6687 Jenoptik 013501-130-17i4 Infab Control Board used working
6688 AceCo S33-2257A 300mm SCCM-CAR/GBT Shutter wafer count 132864 sold as-is
6689 AceCo S33-2257A 300mm SCCM-CAR/GBT Shutter wafer count 132864 sold as-is
6690 TEL 3D81-000031-V3 PCB Assembly TYB62A-1/VCONT used working
6691 KoMiCo Technology 1302920568 BCL Isolation Ring 300mm used working
6692 Meiden UP004 Battery Module used working
6693 TEL ES3D10-290050-V?3 Bottom Ring Cover TEL Telius Cleaned Once used working
6694 AMAT 0021-12555 Shield Upper Liftable Short Rev 009 new surplus
6695 AMAT 0021-12555 Shield Upper Liftable Short Rev 009 new surplus
6696 TEL B32610-100722-V?1 Cover PM Holder Y BEL used working
6697 TEL B32610-100722-V?1 Cover PM Holder Y BEL used working
6698 TEL 2610-100723-V1 Exhaust Ring PM Y BEL used working
6699 Arcom 7041-64011-004-202 Master & Ctrl Computer 7041-64012-004-102 Rev A as is
6700 Arcom 7041-64011-004-202 Master & Ctrl Computer 7041-64012-004-102 Rev A as is
6701 Edwards U20000521 Vacuum Interface Module used working
6702 Edwards NRY0DN101USP Eason Alarm Enclosure NRY0DN000 (used working)
6703 Edwards NRY0DN101US Eason Alarm Enclosure U20001107P used working
6704 Edwards A52844463 im Interface Module Used Working
6705 Edwards A52844463 im Interface Module Used Working
6706 Edwards A52844463 im Interface Module Used Working
6707 Edwards A52844463 im Interface Module Used Working
6708 RadiSys C52711-014R Net Structure Chassis Management Module Assembly MPCMM0001
6709 RadiSys C52711-014R Net Structure Chassis Management Module Assembly MPCMM0001
6710 RadiSys C52711-014R Net Structure Chassis Management Module Assembly MPCMM0001
6711 RadiSys C52711-014R Net Structure Chassis Management Module Assembly MPCMM0001
6712 Lambda PDC60-300 Power Supply Board 0190-07661 used working
6713 Lambda PDC60-300 Power Supply Board 0190-07661 used working
6714 Sony DXC-970MD 3CCD Color Video Camera & Nikon 38SFB-0.45xT TV Lens used working
6715 Regal FS-310S Flow Sensor Lot of 4 used working
6716 RT5000 Surfactant Pressurizing Unit with Transformer sold as-is
6717 Swagelok SS-8BK-VCR-1C Bellows-Sealed Valve 1/2� VCR Lot of 2 used working
6718 SMC XLD-50 High Vacuum Angle Valve used working
6719 Granville-Phillips 275806-EU Mini-Convectron Vacuum Gauge used working
6720 Nikon 4S007-900-1K PCB IU-X2A Rev. K Nikon NSR-S204B used working
6721 Nikon 4S007-787-2A Interface PCB AVIS-I/F2 Nikon NSR-S204B used working
6722 Nikon 4S018-650 PCB Assy RTEXX3 STGX3A BLX3 Nikon NSR-S204B used
6723 Nikon 4S007-770-D PCB LC-PRES2 Nikon NSR-S204B used working
6724 Nikon 4S007-770-D PCB LC-PRES2B 4S080-627 Nikon NSR-S204B used working
6725 Nikon 4S007-668-A PCB FIAAF-PROCESS-A w/ Aux Boards Nikon NSR-S204B used working
6726 Nikon 4S014-145 PCB AF-BUSX2 Nikon NSR-S204B used working
6727 Nikon 4S007-879-1 PCB AF-PSDX21 w/4S007-994-1 Nikon NSR-S204B used working
6728 Edwards NRY2GT101 Control Heater Kit Control Heater Enclosure Used Working
6729 Edwards NRY2GT101 Control Heater Kit Control Heater Enclosure Used Working
6730 Nikon 4S587-172 Switch Box TC-SW NSR-205C used working
6731 Nikon 4S008-070 PCB Board IOP PWR NSR-205C used working
6732 Nikon 4S001-107 PCB Board VDBC0002201 0-T33-01 NSR-205C used working
6733 Nikon 4S014-182 PCB Board AF-I/FX4A NSR-S307E used working
6734 Nikon 4S008-086 PCB Board AF-MPXX4A NSR-S307E used working
6735 Nikon 4S018-793-B PCB Board PRE2 I/O-4 NSR-S307E used working
6736 Nikon 4S015-227 PCB Board NK8601A used working
6737 Nikon 4S008-089 PCB Board AF-ADCX4A used working
6738 Yaskawa XU-DL2110 Controller Nikon 4S064-521-2 NSR-S307E used working
6739 Yaskawa XU-DL2110 Controller Nikon 4S064-521-2 NSR-S307E used working
6740 Nikon 4S082-948-1 Pneumatic Box NSR-S307E used working
6741 Nikon 4S019-192 PCB Board REX-AIR3 NSR-S307E used working
6742 Nikon 4S019-137-B PCB Board REX-DRV3 NSR-S307E used working
6743 Nikon 4S018-983 PCB Board RA-TYUKEI-ETTR-2+ NSR-S307E used working
6744 Nikon 4S018-982 PCB Board RA-TYUKEI-ETTR-1+ NSR-S307E used working
6745 Novellus 15-173604-00 Gamma Base Diffuser Rev. B New
6746 Kokusai Zestone DD-1203V 300mm Lamp Heater used working
6747 Kokusai Zestone DD-1203V 300mm Lamp Heater used working
6748 Nikon 4S018-793 Circuit Board PRE2 I/O-4 Used Working
6749 Nikon 4S018-390-1 PCB Board STCMTRX2 Used Working
6750 Oriental Motor 2RJ4GB-AUL Reversible Motor w/Gear Head 2GB50K Lot of 2 Used
6751 Nikon Circuit Board 4S019-153 WSDRVX4B Nikon NSR-S307E Control Rack working
6752 Nikon 4S-170866-B Circuit Board STGLIUF Used Working
6753 Nikon 4S019-160 Circuit Board ALG-ACE2 Used Working
6754 GaSonics A-2000LL Wafer Loader Transfer Arm used working
6755 GaSonics A-2000LL Wafer Loader Transfer Arm used working
6756 GaSonics A-2000LL Wafer Loader Transfer Arm used working
6757 Nikon 4S018-392 MAC-DRV2 Circuit Board Used Working
6758 ASM 2301555-01 TCU Pump Assembly Sold As-Is
6759 Novellus 16-383780-00 C3 Showerhead Revision D used working
6760 Novellus 16-383780-00 C3 Showerhead Revision D used working
6761 Power One HPM7H4A2BCM6 Power Supply used working
6762 Hitachi Kokusai IL100C Control Chassis Kokusai Zestone DD-1203V used working
6763 Hitachi M-712E Chamber Vacuum Process 2 Controller used working
6764 Hitachi M-712E Chamber Vacuum Process 2 Controller used working
6765 Hitachi M-712E Chamber Vacuum Process 2 Controller used working
6766 Hitachi M-712E Chamber Vacuum Process 1 Controller used working
6767 Hitachi M-712E Chamber Vacuum Process 1 Controller used working
6768 Hitachi M-712E Chamber Vacuum Process 1 Controller used working
6769 Hitachi M-712E Chamber Vacuum Pump1 Controller Used Working
6770 Hitachi M-712E Chamber Vacuum Pump1 Controller Used Working
6771 Hitachi M-712E Chamber Vacuum Pump1 Controller Used Working
6772 Hitachi M-712E BR Control Box Used Working BBBS-11 DI0-01N AI0-02N ILB-02
6773 Hitachi M-712E BR Control Box Used Working BBBS-11 DI0-01N AI0-02N ILB-02
6774 Nikon 4S018-646 Circuit Board TEC-1VM Used Working
6775 Hitachi HT98218 Circuit Board Version A Hitachi MU-712E Used Working
6776 Hitachi HT94219B Circuit Board Version A Hitachi MU-712E Used Working
6777 Hitachi HT94218A Circuit Board Version I1 Hitachi MU-712E Used Working
6778 Hitachi HT94218A Circuit Board Version I1 Hitachi MU-712E Used Working
6779 Hitachi HT94217 Circuit Board Version G1 Hitachi MU-712E Used Working
6780 Hitachi HT96611A Circuit Board Version G Hitachi MU-712E Used Working
6781 Hitachi HT96611A Circuit Board Version G Hitachi MU-712E Used Working
6782 Hitachi HT96611A Circuit Board Version G Hitachi MU-712E Used Working
6783 Hirata HPC-778 Circuit Board Hitachi MU-712E Used Working
6784 Hirata HPC-784A Circuit Board Hitachi MU-712E Used Working
6785 Hitachi BBDP2-01 Circuit Board Hitachi MU-712E Used Working
6786 Hitachi DT-01A Circuit Board Hitachi MU-712E Used Working
6787 Hitachi BBDP1-01 Circuit Board Hitachi MU-712E Used Working
6788 Hitachi RYY-1 Circuit Board Hitachi MU-712E Used Working
6789 Hitachi UTL550-02N Circuit Board Hitachi MU-712E Used Working
6790 Hitachi IOTC-03N Circuit Board Hitachi MU-712E Main Body Used Working
6791 Agilent Z4207C 470-81312 Circuit Board Number Cruncher Used Working
6792 TEL OYDK-064 Circuit Board RY EXT CHEM #02 Used Working
6793 TEL OYDK-064 Circuit Board RY EXT CHEM #02 Used Working
6794 TEL OYDK-102 Circuit Board I/O EXT CHEM #02A Used Working
6795 TEL OYDK-065 Circuit Board CONN EXT CHEM #02A Used Working
6796 TEL OYDK-065 Circuit Board CONN EXT CHEM #02A Used Working
6797 TEL 1B80-002397-11 REG CN Circuit Board MDK-794V-0 Used Working
6798 TEL MPC-T0070A-14 Circuit Board PCB IO MTR #04A TOB1106A T-3044SS used working
6799 TEL OYDK-020 Circuit Board CNTR EXT CHEM #01 MDK-TM94V-0 Used Working
6800 Hitachi HA-090 HA-010 PCB Assembly EXT DIO #02 IF CSS #02 Used Working
6801 Hitachi HA-090 HA-010 PCB Assembly EXT DIO #02 IF CSS #02 Used Working
6802 TEL TDK HA-012 DC/DC Conversion Board DC/DC CONV #02 Used Working
6803 TEL TDK HA-012 DC/DC Conversion Board DC/DC CONV #02 Used Working
6804 Radisys 859-8379-001B Circuit Board Used Working
6805 Hitachi BBB1-02 Interface PCB Hitachi MU-712E Used Working
6806 Hitachi BBB1-02 Interface PCB Hitachi MU-712E Used Working
6807 TDK TAS-MAIN Rev.4.30B Circuit Board TAS-CPU Rev.2.10 TAS-300 Load Port working
6808 TDK TAS-MAIN Rev.4.30B Circuit Board TAS-CPU Rev.2.10 TAS-300 Load Port working
6809 TDK TAS-MAIN Rev.4.30B Circuit Board TAS-CPU Rev.2.10 TAS-300 Load Port working
6810 Hitachi BBB5-01 PCB Hitachi M-712E Used Working
6811 Hitachi BBB5-01 PCB Hitachi M-712E Used Working
6812 TEL OYDK-063 Circuit Board ADH CHEM #02 Used Working
6813 TEL OYDK-063 Circuit Board ADH CHEM #02 Used Working
6814 Nikon Asahi Kogyosha F930 79 43 PCB EX Intlock F930 79 44 4S065-418 Used Working
6815 ASM 2510200-21 Circuit Board Revision B Used Working
6816 Aera FC-D985CT-BH Mass Flow Controller CI2(0.856) 300 CCM used working
6817 Aera FC-D985CT-BH Mass Flow Controller Ar 2000 CCM used working
6818 Aera FC-D985CT-BH Mass Flow Controller CH3F(0.498) 200 CCM used working
6819 Aera FC-D985CT-BH Mass Flow Controller SF6 200 CCM used working
6820 Aera FC-D985CT-BH Mass Flow Controller CHF3(0.498) 100 CCM used working
6821 Aera FC-D985CT-BH Mass Flow Controller C2F6 100 CCM used working
6822 Aera FC-D985CT-BH Mass Flow Controller CH2F2(0.596) 50 CCM used working
6823 Aera FC-D985CT-BH Mass Flow Controller C4F8 50 CCM used working
6824 Aera FC-D985CT-BH Mass Flow Controller cyclo-C5F8(.149?) 50 CCM used working
6825 Aera FC-D985CT-BH Mass Flow Controller O2 30 CCM used working
6826 KLA Tencor 0052196-004 PCB Circuit Board Rev. AA Used Working
6827 TEL OYDK-059 Circuit Board IO CHEM #02 Used Working
6828 TEL OYDK-059 Circuit Board IO CHEM #02 Used Working
6829 Moxa DE-303 16 Port Server A0JCC0914444 Revision 1.3C Used Working
6830 TEL 1B80-002394-11 PCB Assembly 1B80-002389-11 used working
6831 TEL 1B80-002394-11 PCB Assembly 1B80-002389-11 used working
6832 TEL 1B80-002394-11 PCB Assembly 1B80-002389-11 used working
6833 TEL 1B80-002389-11 PCB DI80D080 DN BOARD Assembly used working
6834 Edwards U20001189 7’ Power Cable iB6X Series Lot of 2 Used Working
6835 TEL OYDK-060 Circuit Board I/O EXT CHEM #02 Used Working
6836 Hitachi M-712E Chamber RF Components 2M130 MB1040-B Used Working
6837 Pearl Kogyo ZDK-916L2X Tune Controller Hitachi MU-712E used sold as-is
6838 ASM PWBA 2602792-21 Revision A6 Motor Drive-Dual Lot of 2 Used Working
6839 DNS PC-97010 Interface PCB PMCDIV Lot of 5 used working
6840 DNS PC-97010 Interface PCB PMCDIV Lot of 5 used working
6841 DNS PC-97010 Interface PCB PMCDIV Lot of 5 used working
6842 Future Display Technology FDT19C06FP 19 Touch Screen used untested sold as-is
6843 Future Display Technology FDT19C06FP 19� Touch Screen used working
6844 Future Display Technology FDT19C06FP 19� Touch Screen used working
6845 Future Display Technology FDT19C06FP 19� Touch Screen used working
6846 Therma Wave Opti-Probe 30-135777 Grendle Node Computer used working
6847 Nikon 4S013-362-BLI/FX4 Circuit Board Used Working
6848 AMAT PX13C Robot I/O Interface Module used working
6849 Future Display Technology FDT18C05FP 18 Touch Screen used working
6850 Future Display Technology FDT18C05FP 18 Touch Screen used working
6851 Therma Wave Opti-Probe 30-135778 Grendel Master Node used working
6852 Edwards U20000937 MCM & AIM Vacuum Interface Module used working
6853 Pyramid 0500-00232 X-Scan Controller 0120-01840 9000-03062 9000-04006 used works
6854 Arcom 7041-64423-004-102 Control Computer 9090-00810ITL used untested sold as-is
6855 AMAT 0010-22876 Door Assembly 300mm MD SWLL 0040-03795-002 used working
6856 Optronics Engineering LE-470 Microscope Camera Controller 60350 used working
6857 JAE UT3-JAG4-L 15� Touch Screen used working
6858 JAE UT3-JAG4-L 15� Touch Screen used working
6859 JAE UT3-JAG4-L 15� Touch Screen used working
6860 JAE UT3-JAG4-L 15� Touch Screen used working
6861 JAE UT3-JAG4-L 15� Touch Screen used working
6862 JAE UT3-JAG4-L 15� Touch Screen used working
6863 NSK EE0408C05-24.2 Motion Controller used working
6864 ASML 854-8306-008H AFA Preamp / ADC 16 Bit Circuit Board used working
6865 ASML 854-8306-008E AFA Preamp / ADC 16 Bit Circuit Board used working
6866 ASML 854-8306-008B AFA Preamp / ADC 16 Bit Circuit Board used working
6867 ASML 854-8306-005 AFA Preamp / ADC 16 Bit Circuit Board used working
6868 ASML ASSY 854-8307-001B Circuit Board A5402 used working
6869 ASML ASSY 854-8307-001F Circuit Board A5402 used working
6870 ASML ASSY 854-8307-001D Circuit Board A5402 used working
6871 Acopian VTD12-250 51515T9AM Dual Tracking Power Supply Lot of 8 used working
6872 Nikon SPA355A RF Linear Motor Controller 4S587-473-1 Nikon NSR-S307E used works
6873 AMAT 0020-75028 Quantum X Process Module Wafer Transfer Robot 0020-60583
6874 Panasonic ADKB400BPFADA AC Servo Drive Unit Lot of 17 used as-is
6875 Hitachi EC1 Microwave Auto Tuner Hitachi M-712E CMC-10 CMC-ADP2 used working
6876 Asyst EMA 18-010968 G 4900 Loader Used Working
6877 Novellus 500100675 Left LTM Assembly C3 Vector 300mm used working
6878 Novellus 500100676 Right LTM Assembly C3 Vector 300mm used working
6879 Komatsu AIC-7-6-T3 Temperature Controller 20000310 used working
6880 Komatsu AIC-7-6-T3 Temperature Controller 20000310 used working
6881 Coherent Innova 1063185 Water Cooled Heat Exchanger StarCII-AIT-KLA untested
6882 Leian Series RLPM AC Power Supply Lightning Arrester 220V 10A IP55 new
6883 Alcatel-Lucent C-WBS 9710 WiMAX Base Station 3BK16901ABAA used working
6884 Alcatel-Lucent C-WBS 9710 WiMAX Base Station 3BK16901ABAA used working
6885 Hitachi HTA 1-814575 A Ergo Loader Used Working
6886 TEL Tokyo Electron TDB113-1 / I01 RF Pump Box ACE450F used working
6887 ASML ASSY 859-0741-006B Circuit Board DSP Mother Board 851-8240-007K working
6888 ASML ASSY 851-8226-008B Circuit Board 4 Axis Counter A1212 used working
6889 ASML RadiSys 879-8103-001A Circuit Board used working
6890 ASML RadiSys 859-8150-002A Circuit Board used working
6891 Hirata HPC-784A Circuit Board Hirata KWF-12C-M-HE-IN-X Load Port used working
6892 Hirata HPC-784A Circuit Board Hirata KWF-12C-M-HE-IN-X Load Port used working
6893 Hirata HPC-784A Circuit Board Hirata KWF-12C-M-HE-IN-X Load Port used working
6894 Hirata HPC-784A Circuit Board Hirata KWF-12C-M-HE-IN-X Load Port used working
6895 Hirata HPC-778 Circuit Board Hirata KWF-12C-M-HE-IN-X Load Port used working
6896 Hirata HPC-778 Circuit Board Hirata KWF-12C-M-HE-IN-X Load Port used working
6897 Hirata HPC-778 Circuit Board Hirata KWF-12C-M-HE-IN-X Load Port used working
6898 AMAT 0190-22570 Transponder TLG-RS232 TLG-I1-AMAT-R1 0190-10813 used working
6899 Omron V640-HAM11-V2 RFID Amplifier Unit with CIDRW Head V640-HS61 Used Working
6900 Omron V640-HAM11-V2 RFID Amplifier Unit with CIDRW Head V640-HS61 Used Working
6901 TDK TAS300 Load Port Power Supply 100-240V 50/60Hz used working
6902 Sanyo Denki QS1J03AA0WNA3P0?1 Servo Motor Driver Lot of 2 used working
6903 Brooks TLG-RS232 Transponder TLG-I2-FL6M-01 Brooks Fixload V6 used working
6904 Brooks TLG-RS232 Transponder TLG-I2-FL6M-01 Brooks Fixload V6 used working
6905 TeleFrank TZP80-2405/S AC-DC Converter Brooks Fixload V6 used working
6906 TeleFrank TEP110-24 AC-DC Converter Brooks Fixload V6 used working
6907 TeleFrank TEP110-24 AC-DC Converter Brooks Fixload V6 used working
6908 TeleFrank TEP110-24 AC-DC Converter Brooks Fixload V6 used working
6909 TeleFrank 013501-171-27 Modul Interlock Brooks Fixload V6 Lot of 2 used working
6910 SVG Lithography Systems 8790317006 Light Filter Rev. A Used Working
6911 Omron V640-HAM11 Amplifier Unit V640-HS61 CIDRW Head used working
6912 Shinko SBX93-100024-11 Circuit Board FLPCN1 SBX08-000013-11 used working
6913 Halstrup Walcher REG 21 Differential Pressure Transmitter used working
6914 Taiyo NP8134R201-1 Circuit Board CONN BLT/L used working
6915 Taiyo NP8134R201-1 Circuit Board CONN BLT/L used working
6916 Nikon 4S013-365-STGSTCSPX4 Backplane Printed Circuit Board A-20A used working
6917 Millipore FC-2900V Tylan 2900 Series Mass Flow Controller O2 10 SLPM used works
6918 Celerity FC-2900V Mass Flow Controller O2 10 SLPM used working
6919 Tylan FC-2900V Mass Flow Controller O2 10 SLPM used working
6920 Varian L6281-701 Angle Isolation Valve NW-16-A/0 Lot of 3 (used working)
6921 Rorze RD-323 M10 2P Micro Step Driver Lot of 2 used working
6922 Kokusai 2.0V-3 2.0V-III Robot Used Working
6923 Kokusai 2.0V-3 2.0V-III Robot Used Working
6924 Hitachi M-712E LD-601 Pre Aligner HES-20-2MHC Wafer Loader used working
6925 Asyst Technologies 9701-2937-02 Advantag Gateway ATR GW HSMS Revision B working
6926 Asyst Technologies 9701-2935-01 CAN device CAN TEE Revision B Lot of 2 working
6927 Granville-Phillips 275806-EU Mini Convectron Module Lot of 2 used working
6928 MKS 152H-P0 Type 152 Automatic Pressure Controller A-2000LL [no key] used works
6929 SMC NCDRA1BS100-90 & NCDRA1BS100-90-?A67 Rotary Actuators Lot of 5 used working
6930 Gasonics 90-2608 PCA Load Lock Interface BD PCB Rev. C used working
6931 Gasonics 90-26090038 Display Decoder PCB Rev C Aura 2000-LL used working
6932 Gasonics 90-2658 PCB Controller Board Rev A Aura 2000-LL used working
6933 GaSonics 06764001 Arm Controller GaSonics Aura 2000-LL used working
6934 Gasonics 90-2609 Display Decoder PCB Rev A Aura 2000-LL used working
6935 Gasonics 90-2658 PCB Controller Board Rev B Aura 2000-LL used working
6936 Gasonics 90-2658 PCB Controller Board Rev B Aura 2000-LL used working
6937 GaSonics 06764802 Arm Controller GaSonics Aura 2000-LL used working
6938 GaSonics 06764002 Arm Controller GaSonics Aura 2000-LL used working
6939 GaSonics 06764002 Arm Controller GaSonics Aura 2000-LL used working
6940 Gasonics 90-2607 PCB Controller Board Rev H Aura 2000-LL used working
6941 Gasonics 90-2608 PCA Load Lock Interface BD PCB Rev. D used working
6942 Gasonics 90-2608 PCA Load Lock Interface BD PCB Rev. C used working
6943 Gasonics A90-030-01 PCA Load Lock Interface BD PCB Rev D used working
6944 Hine Design 778-1I Arm Controller PCB Gasonics Aura 2000-LL used working
6945 Hine Design 778-2I Arm Controller PCB Gasonics Aura 2000-LL used working
6946 Gasonics 90-2658 PCB Controller Board Rev C Aura 2000-LL used working
6947 Gasonics 90-2658 PCB Controller Board Rev C Aura 2000-LL used working
6948 Gasonics 90-2609 Display Decoder PCB Rev B Aura 2000-LL used working
6949 Gasonics 90-2609 Display Decoder PCB Rev B Aura 2000-LL used working
6950 GaSonics 06764801 Arm Controller GaSonics Aura 2000-LL used sold as is
6951 GaSonics 06764801 Arm Controller GaSonics Aura 2000-LL used sold as is
6952 Gasonics 90-1036-01 MFC/MFM Interface PCB Revision F Lot of 2 used working
6953 Gasonics 90-1036-01 MFC/MFM Interface PCB Revision F Lot of 2 used working
6954 Gasonics 90-1036-01 MFC/MFM Interface PCB Revision C Lot of 2 used working
6955 Gasonics 90-1036-01 MFC/MFM Interface PCB Revision C Lot of 2 used working
6956 Gasonics A90-014-01 Display Decoder PCB Rev E Aura 2000-LL used working
6957 SMC NCDRA1BS100-90 Pneumatic Rotary Actuator used sold as is
6958 SMC NCDRA1BS100-90 Pneumatic Rotary Actuator used sold as is
6959 SMC NCDRA1BS100-90 Pneumatic Rotary Actuator used sold as is
6960 GaSonics A95-053-02 Lamp Illuminator Power Box Assembly Revision F used working
6961 GaSonics A95-053-02 Lamp Illuminator Power Box Assembly Revision F used working
6962 GaSonics A95-053-02 & A95-205-01 Lamp Power Box Assy Aura 2000-LL used works
6963 GaSonics A95-053-02 & A95-205-01 Lamp Power Box Assy Aura 2000-LL used works
6964 Gasonics 90-2607 PCB Controller Board Rev E Aura 2000-LL used working
6965 AceCo S33-2259A 300mm SCCM-CAR/GBT Baffle new surplus
6966 AceCo S33-2259A 300mm SCCM-CAR/GBT Baffle new surplus
6967 AceCo S33-2259A 300mm SCCM-CAR/GBT Baffle new surplus
6968 Asyst Hine Design 04630-003 Load Elevator Indexer Gasonics 94-1175 sold as is
6969 GaSonics A-2000LL Wafer Loader Transfer Arm missing screws used working
6970 GaSonics A-2000LL Wafer Loader Transfer Arm missing end effector used working
6971 GaSonics A-2000LL Wafer Loader Transfer Arm missing end effector used working
6972 GaSonics A-2000LL Wafer Loader Transfer Arm used working
6973 GaSonics A-2000LL Wafer Loader Transfer Arm used working
6974 GaSonics A-2000LL Wafer Loader Transfer Arm used working
6975 GaSonics A-2000LL Wafer Loader Transfer Arm used working
6976 GaSonics A-2000LL Wafer Loader Transfer Arm overshoot trip assy dismounted used
6977 GaSonics A-2000LL Wafer Loader Transfer Arm missing end effector used works
6978 Tiger-Vac CD-1500 CR PFB Industrial Vacuum used working
6979 Asyst Hine Design 04630-004 Load Elevator Indexer Gasonics 94-1174 sold as is
6980 Asyst Hine Design 04630-004 Load Elevator Indexer Gasonics 94-1174 damaged as is
6981 RKC Instrument 2L80-001578-V1 Control Box RCB-43-10-3/1 used working
6982 V-Tex 3D80-001812-V1 Door Slit Valve Rollcam TEL Tokyo Electron T-3044SS Used
6983 V-Tex 3D80-001812-V1 Door Slit Valve Rollcam TEL Tokyo Electron T-3044SS Used
6984 V-Tex 3D80-001812-V1 Door Slit Valve Rollcam TEL Tokyo Electron T-3044SS Used
6985 RTG 7594-TC1 Industrial Panel PC scratched screen sold as is
6986 RTG 7594-pm1 Industrial Panel PC damaged mounting, sold as is
6987 Viewtronix XT1502T-CU17 Flat Panel Touch Display used workingViewtronix XT1502T-CU17 Flat Panel Touch Display used working
6988 Matsushita SI016-16C NAIS Micro Control Unit FP-M used working
6989 Matsushita SI016-16C NAIS Micro Control Unit FP-M used working
6990 XyCom Automation Viewtronix XT1502T-CU17 Flat Panel Touch Display sold as is
6991 XyCom Automation Viewtronix XT1502T-CU17 Flat Panel Touch Display sold as is
6992 Xycom Automation 1502-C000000000B0A Flat Panel Touch Display XT 1502 sold as is
6993 Tylan FC-2979MEP5 Mass Flow Controller 100 SCCM C2F6 used working
6994 ASML 4022 470 5381 Control Module LICB ASML used working
6995 Hermos Brooks GW-S1HS-KOL2 SECS-1 to SECS-1 Gateway LON2XS Fusion PS3 used works
6996 Kyoto Denkiki KDS-30350 DC Power Supply Used Working
6997 Kyoto Denkiki KDS-30350 DC Power Supply Used Working
6998 Kyoto Denkiki KDS-30350 DC Power Supply Used Working
6999 Kyoto Denkiki KDS-30350 DC Power Supply Used Working
7000 Tylan FC-2979MEP5 Mass Flow Controller 20 SCCM O2 used working
7001 Tylan FC-2979MEP5-M Mass Flow Controller 500 SCCM C0 used working
7002 Millipore FC-2979MEP5 Mass Flow Controller 100 SCCM CH3F used working
7003 Millipore FC-2979MEP5 Mass Flow Controller 50 SCCM O2 used working
7004 Mykrolis FC-2979MEP5 Mass Flow Controller 200 SCCM N2 used working
7005 Millipore FC-2979MEP5 Mass Flow Controller 200 SCCM N2 used working
7006 ASML 4022 472 2763 Lockout Box Assembly ASML used working
7007 Digital UF-EXX01-TEL1 Extender Unit TEL PR300Z used working
7008 Nemic Lambda YM-06-1348 Optical RX Bank Power Supply Frequency 50~60 HZ used
7009 TEL 1B80-002391-11 PCB Assembly 1B80-002389-11 TEL PR300Z used working
7010 TEL 1B80-002393-12 PCB Assembly 1B80-002389-11 TEL PR300Z used working
7011 Horiba STEC PV-2103MC Piezo Valve PV-2000 used working
7012 GaSonics 12N-010-13 Intel Mechanization PCB Revision 8 Lot of 3 used working
7013 Novellus 90-2735 Interlock BD A2000 PCB Revision B Lot of 4 used working
7014 Novellus 90-2735 Interlock BD A2000 PCB Rev A & Rev B Lot of 4 used working
7015 ASML 4022.471.6109 PCB Broken Tab used working
7016 L & W Machine Company 1021-161-01 Plate Shower Parts Kit 1022-360-01 used clean
7017 GaSonics 73-0068 Elevator Alignment Tool w/ 94-2730 Wafer Jig used working
7018 AVG EZP-S6C-FS 6
7019 A1075 879-8125-003 Assy PDS Interface Revision C used working
7020 Kokusai Electric AK-20 Manual Pressure Regulator Lot of 7 used working
7021 Nihon Koshuha AMC-39D-4502A-00 450MHz RF Automatching Tuner used working
7022 Nihon Koshuha MBA-010-H-2 1kW RF Matching Box & Filter Unit used working
7023 Nikon 4T070-336-1 RY-LDTop Amplifier SPA494C NSR-S620D used working
7024 Nikon 4T070-334-1 RY-LUTop Amplifier SPA494A NSR-S620D used working
7025 Nikon 4T070-340-1 RY-RDTop Amplifier SPA494G NSR-S620D used working
7026 Nikon 4T070-360-1 EXX-B Amplifier SPA491B NSR-S620D used working
7027 Nikon 4T070-338-1 RY-RUTop Amplifier SPA494E NSR-S620D used working
7028 Nikon 4T070-337-1 RY-LDBtm Amplifier SPA494D NSR-S620D used working
7029 Nikon 4T070-379 RX Amplifier SPA391A NSR-S620D used working
7030 Nikon 4T070-362-3 GCTX Amplifier KT002155-01 NSR-S620D used working
7031 Nikon 4T070-335-1 RY-LUBtm Amplifier SPA494B NSR-S620D used working
7032 Nikon 4T070-348-3 FID-Y Amplifier KT002155-02 Nikon NSR-S620D used working
7033 Nikon 4T070-359-1 EXX-F Amplifier SPA491A NSR-S620D used working
7034 Nikon 4T070-339-1 RY-RUBtm Amplifier SPA494F NSR-S620D used working
7035 Nikon 4T070-341-1 RY-RDBtm Amplifier SPA494H Nikon NSR-S620D used working
7036 Nikon 4T070-403 EXYF Amplifier SPA392C Nikon NSR-S620D used working
7037 Advanet Advme7511 SBC 4S015-485 w/ IF2X8 Nikon NSR-S620D used untested as-is
7038 Advanet Advme7511 SBC 4S015-485 w/ Adpmc 1548 Nikon NSR-S620D used working
7039 Nikon 4S025-570 PCB IUOWR1-X8A Rev 2 Nikon NSR-S620D used working
7040 Global Manufacturing 347892 Amplifier Used Working
7041 Power One DC Power Supply HDBB-105W-A Lot of 4 used working
7042 Power One HE24-7.2-A DC Power Supply lot of 9 used working
7043 Power One HE24-7.2-A DC Power Supply lot of 9 used working
7044 Nikon 4S586-950-4 Linear Motor Controller SPA432B Nikon NSR-S205C used working
7045 Nikon 4S587-604 Controller WYL SWB1 Used Working
7046 Nikon 4S587-604 Controller WYL SWB1 Used Working
7047 Sumitomo M28511 ADE1 H Control Unit 4S587-245-2 Nikon NSR-S205C working
7048 Nikon 4S019-645-1 PCB AFX6BD1-Main w/ 2 AFX6BD1 Nikon NSR-S620D used untested
7049 Nikon 4S587-605 Controller WYR SWB1 Used Working
7050 Sony 1-876-865-1A PCB SV-LS02 Nikon NSR-S620D missing capacitors sold as-is
7051 Sony 1-876-867-1A PCB Assy CT-LS01 Nikon NSR-S620D used untested sold as is
7052 Sony 1-876-863-11 PCB Assy DPR-LS52 Nikon NSR-S620D used untested
7053 Nikon 4S025-072-1 PCB IF2X8-HUB Nikon NSR-S620D used untested
7054 Spectrum 260-00625 PCB FRU 600-00288 Nikon NSR Series used working
7055 Sony 1-677-707-13 LS Ctrl PCB DPR-LS22 Nikon 4S018-852-2 Nikon NSR used working
7056 Nikon 4S015-490-1 PCB NK-MPC7547-1200DS2 Nikon NSR-S620D used untested
7057 Nikon 4S025-571 PCB Nikon NSR-620D used working
7058 TEL Protection Ring cloudy used working
7059 SVG ASML 859-0741-004 PCB Assy 854-8302-002 AFA 851-8240-007 SVG used sold as-is
7060 Zendex ZX 564 ZBX Motherboard ASML 859-8147-001-B sold as-is
7061 Hitachi CB100A SECS Interface DD-1203V no mem card/fiber optic cable used works
7062 Screen SL-2220-C Power Control Monitor used working
7063 KLA 070-032761-00 Power Supply KLA SL 300 URSA used working
7064 Condor 070-034619-00 Power Supply HE24-7.2-A+ KLA SL 300 URSA used working
7065 KLA-Tencor 740-059147-00 Blower EB1 KLA SL 300 URSA Used Working
7066 Nikon 4S018-675 PCB D-IO-1 & Auxiliary PCB CS017-011 NSR-Series used working
7067 Nikon 4S018-675 PCB Circuit Board D-IO-1(2) & NSR-Series used working
7068 Baldor BSM80N-375BA Brushless AC Servomotor S2P117W045G1 AMAT Quantum X used
7069 Edwards D37420000 Local Module ITIM E73+A1+T1 24V DC used working
7070 Edwards D37420000 Local Module ITIM E73+A1+T1 24V DC used working
7071 Edwards D37420000 Local Module ITIM E73+A1+T1 24V DC used working
7072 Edwards D37370761 iGX Accessory Module 24V DC 210mA used working
7073 Patlite WM-FB WME-AFB WM-FBEN LOGB-24 LE-FBP Signal Towers Lot of 9 used working
7074 Tokyo Electron 3D81-00004-15 PCB TYB622-1/GAS2 TEL T-3044SS used working
7075 Tokyo Electron 3D81-050024-V1 PCB TYB61E-1/PS1 TEL T-3044SS used working
7076 Tokyo Electron 3D81-000037-41 PCB TYB62D-4/PS2 TEL T-3044SS used working
7077 Tokyo Electron 3D81-000037-41 PCB TYB62D-4/PS2 TEL T-3044SS used working
7078 Fujikin 091400 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 16 used
7079 Fujikin 091404 Type NC Diaphragm Valve 0.39~0.59MPa 3 Port C-Seal Lot of 11 used
7080 Thermo Noran Instruments 700P135927 Microanalysis System C10006 AMAT used works
7081 Thermo Noran Instruments 700P135927 Microanalysis System C10006 AMAT used works
7082 AMAT ETD Electron Detector SemVision cX used working
7083 A to Z Electronics 30614200000 S2DIO PCB Module SemVision cX used working no PCB
7084 AMAT Variable Aperature Holder SemVision cX used working
7085 AMAT Power Distribution Unit PDU SemVision cX used working
7086 Screen PC-97040A PC-97013B PC-97019 Terminal SM Unit DNS FC-3000 used working
7087 Cosel SPS-001 DC Power Supply Board used working
7088 Mitsubishi MR-J2-20A AC Melservo Servo Motor MR-J2-20A-S12 used working
7089 Screen DS-1608-TR I/O Module SN-2016-TRDS Lot of 4 DNS FC-3000 used working
7090 Yaskawa XU-RSM0230 Wafer Transfer Robot Ebara EPO-222T working
7091 Varian 9699504S011 Turbo Pump Controller w/ Cables AMAT SemVision cX used works
7092 Oriental Motor UDK5214NW 5-Phase Driver 200-230V~3.5A 50/60Hz used working
7093 CKD N4S010 Pneumatic Solenoid Valve Manifold & OPP3-1H Lot of 3 used working
7094 Yamamoto EMP3SD010D Manometer Manosys EM-100 Receiver Lot of 2 used working
7095 ASML 4022.471.4111 Bridge PCB used working
7096 ASML 4022.471.4117 Bridge PCB used working
7097 ASML 4022.471.4096 Circuit Board PCB used working
7098 ASML 4022.471.4105 Circuit Board PCB used working
7099 ASML 4022.471.5059 Circuit Board PCB used working
7100 ASML 4022.471.4567 Circuit Board PCB used working
7101 ASML 4022.471.6817 Circuit Board PCB used working
7102 ASML 4022.471.5580 Circuit Board PCB used working
7103 ASML 4022.471.4108 Circuit Board PCB used working
7104 ASML 4022.471.4099 Circuit Board PCB used working
7105 ASML 4022.471.5839 Circuit Board PCB used working
7106 ASML 4022.471.4102 Circuit Board PCB used working
7107 ASML 4022.471.5581 Circuit Board PCB used working
7108 ASML 4022.471.5691 Circuit Board PCB used working
7109 ASML 4022.471.7196 Circuit Board PCB used working
7110 ASML 4022.471.4069 Circuit Board PCB used working
7111 ASML 4022.436.6475 Circuit Board PCB used working
7112 Patlite SLFB Signal Towers 24V AC/DC 0.11A Lot of 6 used working
7113 Kniel CPD 12.1 12V Power Supply Card 4022.436.52151 ASML used working
7114 Kniel CP 24.1 24V Power Supply Card 4022.436.79311 ASML used working
7115 Kniel CP 3,3.10 3,3V Switchable Power Supply 4022.436.57831 ASML used working
7116 Kniel CP 24.13 24V Power Supply 4022.436.43051 ASML used working
7117 Kniel CP 25.15/MKN 25V Power Supply 4022.436.82691 ASML used working
7118 Kniel CPD 8.8/4 8V Switchable Power Supply 4022.436.57841 ASML used working
7119 Kniel CP 5.20/VME 5V Switchable Power Supply 4022.436.55291 ASML used working
7120 ASML 4022.470.2197 Pneumatic Monitor Box 71901963 ASML Stepper Dual Scan used
7121 LAM Research 852-017750-001 Remote used untested as-is (for parts only)
7122 Bede D1G 001/2 X-Ray Microsource Assembly used untested as-is
7123 Nikon MGX22560/A201-0 Differential Pressure Meter used working
7124 Hitachi M-511E 9K1038H Recorder AC 100 V9 60Hz PBP-3201-22 used working
7125 Yaskawa Nikon 4S064-734 Robot Controller XU-CM5500 Used Working
7126 Yaskawa Nikon 4S064-734 Robot Controller XU-CM5500 Used Working
7127 Hitachi M-511E Alignment Unit Vacuum Chuck Sensor Wafer Pre-Aligner used working
7128 Hitachi M-511E DC Power Supply Unit used working
7129 Hitachi M-05A2LS-400K RF Matching Box Hitachi M-511E used working
7130 Stec SEF-4140M-UC Mass Flow Meter SEF-4140 N2 1000 CCM used working
7131 Diavac LCAV-40HF Pneumatic Angle Valve Lot of 2 used working
7132 Diavac LCAV-25HF Pneumatic Angle Valve Lot of 8 used working
7133 CMC BMR2005TCG00CEA002 PM Servo Motor AMAT SemVision cX 300mm used working
7134 VAT 15040-PA24-0002 Gate Valve (cable is cut) used as-is
7135 VAT 15040-PA24-0002 Gate Valve (cable is cut/missing screw) used as-is
7136 Horiba Stec SEC-4550MC-SUC Mass Flow Controller N2 30LM used working
7137 Horiba Stec SEC-4500MC-SUC Mass Flow Controller N2 10LM used working
7138 Horiba Stec SEC-4500MC-SUC Mass Flow Controller N2 20LM used working
7139 Horiba Stec SEC-4400MC-SUC Mass Flow Controller NH3 300CCM used working
7140 Horiba Stec SEC-7350BM Mass Flow Controller N2 30LM used working
7141 Horiba Stec SEC-7330M Mass Flow Controller O2 500CCM used working
7142 Horiba Stec SEC-4400MC-SUC Mass Flow Controller SiH2Cl2 200CCM used working
7143 VAT 26324-KA41-0001 HV Angle Valve AMAT SemVision cX 300mm used working
7144 MKS KF-16 Assorted Stainless Steel Vacuum Flex Bellows Pipes Lot of 6 used works
7145 PRI Automation LTRA042-SBI Robot Rail AMAT SemVision cX 300mm used as-is
7146 A to Z Electronics 70412490501 BDM AMAT SemVision cX 300mm used as-is
7147 Nikon 4S587-603 Yaskawa Amplifier WX SWB1 Used Working
7148 Nikon 4S587-603 Yaskawa Amplifier WX SWB1 Used Working
7149 Festo CPV-10-VI3212 Pneumatic Solenoid Valve Manifold CPV10-GE-MP-8 AMAT used
7150 Faulhaber 3557K024CR Minimotor Mijno Gear Ratio 285 Mod 200 AMAT used working
7151 AMAT SemVision cX 300mm Column & Cables used working
7152 Futaba CZ0190 Feedback Module HPS-FB6-2 Nikon 4S064-064 NSR-S204B used working
7153 AMAT 14373 Circuit Board PCB 12531 AMAT SemVision cX 300mm used working
7154 Delta Design 1970001102 Rev D Computer Summit ATC Handler used working
7155 Delta Design 1970001102 Rev A Computer Summit ATC Handler used working
7156 Delta Design Computer 97-9045-01 07-01156-000 Summit ATC Handler used working
7157 Tokyo Electron TEL Filter & Fitting Kit used working
7158 Sanyo Denki RS1S05AA SanMotion AC Servo System RS1S05AA0HF08P1L T-3044SS used
7159 Mitsubishi MR-J3-10A-RX035T002 Melservo AC Servo 3 Phase TEL T-3044SS used works
7160 Novellus System 02-8113640-00 Controller Used Working
7161 TDK MSE182H Over Current PCB 4S013-302 Nikon NSR-Series used working
7162 TDK FAW12-1R3 Power Supply 100-240V~ 0.4A 50-60Hz Lot of 3 Nikon NSR-Series used
7163 Cosel PAA100F-12 Power Supply 12V 8.5A AC100-240V Lot of 5 Nikon NSR-Series used
7164 TEL SPC-T0046A-11 PCB Circuit Board IF STP #02 TOB1046 T-3044SS used working
7165 TEL HA-033 PCB Circuit Board DC/DC CONV #06 T-3044SS used working
7166 TEL TKB7250 PCB Circuit Board ADD-ON SPIN-G #01 T-3044SS used working
7167 TEL TKB7240 PCB Circuit Board IO SPIN-G #01 T-3044SS used working
7168 TEL 3D81-000004-15 PCB Circuit Board TYB622-1/GAS2 TEL T-3044SS used working
7169 Yaskawa CIMR-V7AA21P5 VS mini V7 Inverter Drive Nikon NSR-S204B used working
7170 TDK TAS-MAIN Circuit Board Rev.4.10B TAS-CPU TAS-300 Load Port used working
7171 TDK TAS-LED Circuit Board Lot of 4 TAS-300 Load Port used working
7172 TDK TAS-LED Circuit Board Lot of 4 TAS-300 Load Port used working
7173 TDK TAS300 Power Supply 100-240V~50/60 Hz S2091-86-001 Lot of 2 TAS 300 used
7174 TDK TAS300 Power Supply 100-240V~50/60 Hz S2091-86-001 Lot of 2 TAS 300 used
7175 Yaskawa SGDM-A3ADA-R 3Phase Servopack 0-230V 200-230V 50/60Hz TEL T-3044SS used
7176 Mitsubishi FR-E520-1.5K-14 Inverter Drive Freqrol-E500 TEL T-3044SS used working
7177 Fujikin FCS-4WS-798-F300#B Mass Flow Controller TEL T-3044SS used working
7178 Cosel UAF500S-24 Power Supply 500 Watt F500-24 24V22A 100-240V AC 6.8A used work
7179 TDK ZACT2280-ME Noise Filter 3AC250V~80A 50/60Hz TV—2000V AC used working
7180 TDK ZACT2280-ME Noise Filter 3AC250V~80A 50/60Hz TV—2000V AC used working
7181 Okaya 3SUP-H100H-ER-4 Noise Filter 250V~100A 50/60 Hz used working
7182 Okaya 3SUP-H100H-ER-4 Noise Filter 250V~100A 50/60 Hz used working
7183 Nikon NVDI-48A Control Module AC100V~ 50/60Hz 0.05A used working
7184 Nikon 4S064-072 TDK RGW48-32R Power Supply Module NSR-S204B used working
7185 TDK RGW48-32R Power Supply NSR-S204B used working
7186 Edwards 2 Port Exhaust Purge Regulator Box (no exhaust line in/out cables) used
7187 Edwards D37215000 Vacuum Flash Module used working
7188 Edwards 6XPDM Vacuum Pump Switch Box Enclosure used working
7189 Edwards 6XPDM Vacuum Pump Switch Box Enclosure used working
7190 Cleanpart 3000604198 SST CDO Tube new surplus
7191 Edwards U20001152P Abatement Control Base Assembly NRY2QB101US GR-2-SN(S) used
7192 Cleanpart 3000604198 SST CDO Tube 8 13/16� Bellows Pipe new working
7193 Cleanpart 3000604198 SST CDO Tube 4 3/4� Bellows Pipe new working
7194 Cleanpart 3000604198 SST CDO Tube 2 new working
7195 Cleanpart 3000604198 SST CDO Tube 3 new working
7196 Edwards NGV466000 Power Distribution Box NGV466010-D used working
7197 MKS HPS KF-50 Stainless Steel Vacuum Flex Bellows Pipe 100 1/2� used working
7198 Patlite SEFBW-D Signal Tower 24V AC/DC 1.5W/1Stack Lot of 2 used working
7199 Patlite SEFW-A SEFW-D Signal Tower Lot of 5 used working
7200 Modus Instruments DA406M0RRRF0 Display/Alarm 0-50.0 mm 100 VAC used working
7201 Nikon MLRV Power Supply Used Working
7202 Asyst 05050-017 Pre-Aligner Model 5 w/ Cables used working
7203 Asyst 9700-5820-01 Control Box CMS II 100-240 VAC 50/60Hz 8A used working
7204 Asyst Smart Plus 1.54 Computer ASM Epsilon 3200 used working
7205 Asyst 9700-6209-01 Power Distribution Unit ASM Epsilon 3200 used working
7206 Horiba STEC SEC-4400M Mass Flow Controller HCl 500 SCCM SEC-4400 used as-is
7207 NSK EMLZ10CF1-05 Amplifier Hitachi Kokusai Zestone DD-1230V used working
7208 NSK EMLZ10CF1-05 Amplifier Hitachi Kokusai Zestone DD-1230V used working
7209 NSK EMB014CF1-05 Amplifier Hitachi Kokusai Zestone DD-1230V used working
7210 Parker Veriflo 45700290PA Pressure Regulator SQ140E1002P6UPGVESP used working
7211 Parker Veriflo 45700277PA Pressure Regulator SQ140E1002P6UPG used working
7212 Nissan Tanaka 30WVU Super Torr Manual Regulator Valve 466QU used working
7213 Leybold 307285-2003 System Controller Lot of 2 Kokusai Zestone DD-1203V used
7214 Modus Instruments DA408M0RRRF0 Display/Alarm 0-100mm 100 VAC used working
7215 Modus Instruments DA-4-04M-0-RR Display/Alarm 0-10mm H2O 100 VAC used working
7216 Modus Instruments DA-4-04M-0-RR Display/Alarm 0-10mm H2O 100 VAC used working
7217 Modus Instruments DA-4-04M-0-RR00 Display/Alarm 0-10mm H2O 100 VAC used working
7218 Modus Instruments DA-4-05M-0-RR00-14-003 Display/Alarm 0-25mm H2O 100 VAC used
7219 Modus Instruments DA-4-05M-0-RR-14-003 Display/Alarm 0-25mm H2O 100 VAC used
7220 Kokusai D1E01294A PCB Circuit Board CPU9/A1 Zestone DD-1203V 300mm used works
7221 Kokusai D1E01296 PCB Circuit Board PLMDRV4/A0 Zestone DD-1203V 300mm used works
7222 Kokusai D1E01291 PCB Circuit Board DIOA A/0 Zestone DD-1203V 300mm used working
7223 Kokusai D1E01300B PCB Circuit Board SIOB /A2 Zestone DD-1203V 300mm used working
7224 Kokusai D1E01133 PCB Circuit Board KBC CPU-6 /A0 Zestone DD-1203V 300mm used
7225 Kokusai D1E01225A PCB Circuit Board SCOM3A A/1 Zestone DD-1203V 300mm used works
7226 Kokusai D1E01142B PCB Circuit Board APC A/2 Zestone DD-1203V 300mm used working
7227 Kokusai D1E01225A PCB Circuit Board SCOM3A A/1 CX1306 DD-1203V 300mm used works
7228 Kokusai D1E01225A PCB Circuit Board SCOM3A A/1 CX1307 DD-1203V 300mm used works
7229 Kokusai D1E01277B PCB Circuit Board D1E01278A CX2001 DD-1203V 300mm used works
7230 Kokusai D1E01221B PCB Circuit Board SCOM1A A/2 CX2001 DD-1203V 300mm used works
7231 Kokusai D1E01136 PCB Circuit Board SCONTB/B/AO CX1307 DD-1203V 300mm used works
7232 Kokusai CX1229B-1 Controller Kokusai Zestone DD-1203V 300mm used working
7233 Kokusai CX1204 Exhaust Controller D1E01225A D1E01133 D1E01142B DD-1203V used
7234 Kokusai IL100B(64) Control Chassis Kokusai Zestone DD-1203V 300mm used working
7235 Kokusai Electric Cassette Loader CX1209 Used Working
7236 Kokusai Electric Cassette Loader CX1209 Used Working
7237 Kokusai Electric Cassette Loader CX1209 Used Working
7238 Kokusai TM104-HKT-PN Color LCD Monitor Zestone DD-1203V used working
7239 Kokusai CX3010 Touch Screen w/pen Kokusai Zestone DD-1203V 300mm used working
7240 Kokusai CX3010B 10 3/4″ Touch Screen w/pen Zestone DD-1203V 300mm used working
7241 Kokusai CX1307 Controller Zestone DD-1203V 300mm used working
7242 Kokusai CX2001 Controller Zestone DD-1203V 300mm used working
7243 Swagelok SS-BN8VCR8-20, SS-BNVCR4-2C, SS-BN8VCR8-2C, SS-8BK-VCR-1C, SS-4BK-1C
7244 Ormon E5CSV-R1P-W Temperature Controller J1-J3, Zestone DD-1203V 300mm
7245 Ormon E5CSV-R1P-W Temperature Controller J1-J6, Zestone DD-1203V 300mm
7246 Keyence TF2-31 Temp Controller T2DC1-11310-10003 Zestone DD-1203V used working
7247 Keyence TF2-31 Temp Controller T1DC1-00268-10003  Zestone DD-1203V used working
7248 Progressive Tech 4301C-DGLS Sentry Supervisor Zestone DD-1203V 300mm working
7249 Omron AKF-608K13-0598 Temp Controller E5CS-R1KJ Zestone DD-1203V 300mm working
7250 Koksuai DD-1203V Exhaust Monitor Zestone DD-1203V 300mm working
7251 STEC PXV-4TCY2-1Y500 Readout Display HC-100A Kokusai DD-1203V 300mm working
7252 STEC PXV-4TCY2-1Y500 Readout Display HC-100V Kokusai DD-1203V 300mm working
7253 STEC PXV-4TCY2-1Y500 Readout Display HC-100V Kokusai DD-1203V 300mm working
7254 Pyro T2DD6-17167 Control Panel Kokusai Zestone DD-1203V 300mm used working
7255 Pyro T2DD6-17847Control Panel Kokusai Zestone DD-1203V 300mm used working
7256 Modus Instruments DA401M0RRRF0 Display/Alarm 0-50.0 mm 100 VAC used working
7257 ASM 03-320142D01 EV Interface Rev G ASM Epsilon 3200 used working
7258 Phillips 471-65981 Circuit Board ACPA MK4 Used Working
7259 ASM 03-320142D03 EV Interface Rev G ASM  3200 Epsilon used working
7260 Panasonic ADKA400BPFADA AC Driver Kokusai Zestone DD-1203V 300mm used working
7261 Panasonic ADKB400BPFADA AC Driver Kokusai Zestone DD-1203V 300mm used working
7262 Panasonic ADKB400BPFADH AC Driver Kokusai Zestone DD-1203V 300mm used working
7263 Panasonic ADKA500BPFADH AC Driver Kokusai Zestone DD-1203V 300mm used working
7264 Panasonic ADKA100BPTADA AC Driver Kokusai Zestone DD-1203V 300mm used working
7265 Omron SRT2-ID16 SRT2-OD16 Temp Control Lot of 36 Kokusai DD-12 300mm working
7266 Asyst 9700-6584-01 Advan Tag RFID ATR-9000 Rev E Kokusai DD-1203V 300mm working
7267 Phillips 47163636 Circuit Board 2071650059 TBP Used working
7268 Modus Instruments DA406M0RRRF0 Display/Alarm 0-50.0 mm 100 VAC  Lot of  6 used working
7269 Modus Instrument DA409M0RRRF0 Display/Alarm Kokusai Zestone DD-1203V 300mm used
7270 Modus Instrument DA404M0RRRF Display/Alarm Kokusai Zestone DD-1203V 300mm used
7271 Dagano Keiki Digital GC84-1D9 Relay Meter Lot of 38 Kokusai DD-1203V 300mm used
7272 Asyst 9700-6584-01 Advan Tag RFID ATR-9000 Rev G Kokusai DD-1203V 300mm working
7273 Asyst 9700-6584-01 Advan Tag RFID ATR-9000 Rev A Kokusai DD-1203V 300mm working
7274 Phillips 50227274 Circuit Board 2071610007 TBP Used Working
7275 Omron 61F-GPN-V50 Water Leak Detector Lot of 7 Zestone DD-1203V 300mm used works
7276 RKC CB100 Digital Temp Control Lot of 4 Kokusai Zestone DD-1203V 300mm used
7277 SUNX SF-AC Safety Relay Lot of 3 Kokusai Zestone DD-1203V 300mm used working
7278 Matsushita Nais AFP0480 PLC FP0-C16T Vexta DFC1507 Cosel K10AU-5 Assy used works
7279 Matsushita Nais AFP0480 PLC FP0-A21 Vexta DFC1507 Cosel R10A-5 Assy used works
7280 Matsushita Nais AFP0480 PLC FP0-A21 Vexta DFC1507 Cosel R10A-5-N Assy used works
7281 Matsushita Nais AFP0480 PLC FP0-C16T Vexta DFC1507 Cosel R10A-5 Assy Kokusai Zestone DD-1203V 300mm used working
7282 Yamamoto MS61L MS61ALV120D Differential Pressure Switch Lot 33 Zestone used
7283 Nor-Cal AIV-1002-NWB Inline Manual Isolation Valves Lot of 2 ASM Epsilon Used
7284 Edwards C41624000 Inline Isolation Valve SIPV25PKA ASM Epsilon 3200 used working
7285 Precise Sensors 4861-65-GA-RM Transducer 3241-65-GA-B4-C6749 (Lot of 13) used
7286 Tescom 44-3262JR91-082 Regulator/In 3000 PSI Out 100 PSIG (Lot of 2) used works
7287 SMC AW40-N04E-Z AFM40-N04-Z Regulator 125/150 PSI ASM (Lot of 2) used works
7288 MKS GBR1B24CR1 Flow Tank 30000 sccm 850B-15340 Transducer used working
7289 Advandtest M454AD SGMPH-04A1A-AD21 Linear Actuator Yaskawa SGMPH-04A1A-AD21 used
7290 Advantest PLJ-429620AA Controller PCB Assembly BLJ-029620 Advantest M454AD used
7291 Advantest PLJ-429620AA Controller PCB Assembly BLJ-029620 Advantest M454AD used
7292 Advantest PLJ-429620AA Controller PCB Assembly BLJ-029620 Advantest M454AD used
7293 Soshin HF3250C-TOA EMI Filter Kokusai Zestone DD-1203V 300mm used working
7294 Prodrive 6001-0303-5700 Circuit Board PADC 100/16 Used Working
7295 Advandtest M454AD SGMAH-A5A1A-AD11 Linear Actuator Yaskawa SGMAH-A5A1A-AD11 used
7296 Advandtest SGMAH-01A1A-AD11 Linear Actuator Yaskawa SGMAH-01A1A-AD11 Motor used
7297 Details about �Cosel PAA300F-24-W Power Supply TEL T-3044SS used working
7298 Cosel PAA600F-24-W Power Supply TEL T-3044SS used working
7299 TEL 3D80-00617-V1 ECC2 Controller MC Rack TEDMC7449 TEL T-3044SS used working
7300 Dynax MSS5A3A1XDD AC Servo Driver TEL T-3044SS used working
7301 Dynax MSS043A1XDD AC Servo Driver TEL T-3044SS used working
7302 Dynax MSS043A1XDD AC Servo Driver TEL T-3044SS used working
7303 CKD SDM4050-2-C05 Super Dryer Membrane Air Dryer SD4000 used works
7304 Advantest TO406B 10.5″ Display Control Advantest M454AD used working
7305 Advantest 10.5″ TO406B Display Control Advantest M454AD used working
7306 Advantest TO406B Display Control 10.5″ Advantest M454AD used working
7307 JAE UT3-JAG4-L 15� Display DC+24V+/-5% 2.0A Kokusai Zestone DD-1203V used works
7308 Advantest H4-410004 BLD-024486 Programmable Logic Control Vexta A6376-044 used
7309 Advantest AAT-0078A106-1 Controller H4-410062 Advantest M454AD used working
7310 TEL T-3044SS Pre Aligner Loader Module used working
7311 TEL T-3044SS Pre Aligner Loader Module used working
7312 Phillips 471 45632 Circuit Board 2069020064 Used Working
7313 ASML 859-0931-003B Monolithic Stage Backplane PCB used untested, sold as is
7314 Amray 90952D Programmable Scan Generator PCB 800-1421D used working
7315 Amray 92102-01-1 High Speed Deflection Power Amplifiers used working
7316 Copley Controls 800-494 Amplifier used working
7317 KLA-Tencor 288845 Power Back Plane Motherboard used working
7318 RadySys 68-0056-11 61-0669-01 PCB Assy ASML 859-8379-001 used untested, sold as
7319 Premium NP-0581 Power Supply 24V 5A 120W ASML 4022-476-01212 used working
7320 Phillips 4002 471 7312 Circuit Board 2067480028 Used Working
7321 Vicor 4kW Mega Pac MX1-410517-33-E?L Power Supply Lot of 4 used working
7322 Vicor 4kW Mega Pac MX2-410509-33-EL Power Supply used working
7323 Vicor 4kW Mega Pac MX2-410507-33-EL Power Supply used working
7324 Vicor 4kW Mega Pac MXB-48051-33-EL Power Supply Lot of 3 used working
7325 Nikon 4S015-119 Processor Board PCB Card NK386SX4 4S015-150 NSR System Spare
7326 Vicor 4kW Mega Pac MX4-410503-33-EL Power Supply Lot of 3 used working
7327 Vicor 4kW Mega Pac MX2-410507-33-EL Power Supply used working
7328 Vicor 4kW Mega Pac MP8-49501-22-EL Power Supply Lot of 2 used working
7329 Vicor 4kW Mega Pac MXB-410511-33-E?L Power Supply Lot of 2 used working
7330 Vicor 97923225 Mission Power Solutions 700-0034-01 Power Supply Lot of 3 used wo
7331 Nikon 4S018-921 PCB Circuit Board RBTDRV Used Working
7332 Eaton 453282 PDB Interface Board PCB Rev. E used working
7333 Amray 91024 Gun Control Card PCB 800-1750D Rev. E2 used working
7334 Nikon 4S019-115 PCB Circuit Board WLCR I/F Used Working
7335 Amray 91219C HI-REL Version PCB 800-2480 used working
7336 Amray 91168-1 1880 Stage I/F Card 800-2235D PCB used working
7337 Amray 90793D PC Card Front Panel Controller 800-1707D PCB used working
7338 TEL 3Z10-302758-V1 Pipe L8A C-Gas Inject used working
7339 Nikon 2S007-237 PCB 2S701-421 Nikon Optistation 3 used working
7340 Nikon 2S003-017-1 PCB 2S700-601 Nikon Optistation 3 used working
7341 AMAT 0200-76058 Quartz Pedestal Large Pin Diameter 300mm cleaned used working
7342 AMAT 0041-38981 RF Ground Shield Source 300mm RF PVD used working
7343 Novellus 02-418668-00 Ped Assy Hardware PNL Small Pkt C3ALT used sold as is
7344 Novellus 02-418668-00 Ped Assy Hardware PNL Small Pkt C3ALT used sold as is
7345 Daifuku P0D-3716A PCB Kokuasi Vertron used working
7346 Daifuku P0D-3716A PCB Kokuasi Vertron used working
7347 Daifuku P0D-3716A PCB Kokuasi Vertron used working
7348 Daifuku P0D-3716A PCB Kokuasi Vertron used working
7349 Daifuku P0D-3716A PCB Kokuasi Vertron used working
7350 Daifuku P0D-3716A PCB Kokuasi Vertron used working
7351 TEL 3D10-250834-V1 CEL, OX T10-75-C912 used working
7352 Fujikin FCS-4WS-798-F1L#B Mass Flow Controller NF3 FCS11 used working
7353 Fujikin FCS-4WS-798-F1L#B Mass Flow Controller He/H2 FCS2 used working
7354 Fujikin FCS-4WS-798-F300#B Mass Flow Controller N2 TEL T-3044SS used working
7355 Fujikin FCS-4WS-798-F200#B Mass Flow Controller CF4 TEL T-3044SS used working
7356 TEL 3D10-101197-V2 Ring Shield Depo 170 Float L used working
7357 Hitachi M-712E Electrostatic Chuck used working
7358 ASML Assy 859-8212-002 E PCB A1206 ADC/ELPS Interface used sold as is
7359 ASML Assy 859-0741-004E Circuit Board 859-5194-003 used sold as is
7360 ASML Assy 859-0927-009A Circuit Board MAJN033 used sold as is
7361 Nikon 4S013-407 PCB Circuit Board SPAIFX4P Used Working
7362 ASML Assy 859-0741-003 Circuit Board w/ Sub PCB 851-8240-007 sold as is
7363 TEL B32610-100717-V?1 Upper Electrode PM U3-Y TOP used working
7364 TEL 2310-100726-V2 Depo Shield PM Upper Y-2 used working
7365 ASML Assy 854-8301-006C Circuit Board MAMM010 used sold as is
7366 ASML Assy 859-0743-018E Circuit Board 879-7120-003 used sold as is
7367 DIAVAC LCAV-40HKT Right Angle Valve (used working)
7368 ASML 4022 480 65896 PCB Module Assembly used working
7369 Nikon 4S018-769 PCB Circuit Board NA-DRVX4 Used Working
7370 TDK TAS300 Load Port Power Supply S2091-86-001 used working
7371 ASM 73055-72359 Exhaust Duct CBS-RC1 used working
7372 HannStarr HSD170MGW1 Touch Screen Panel Lof of 2 used untested sold as-is
7373 TEL CT5080-92512-11 TOOL(Z) IRAM XY-P5951 used working
7374 V-Tex 3D80-001812-V1 Door Slit Valve Rollcam TEL T-3044SS used working
7375 V-Tex 172B336x48DCPR03 Rollcam Slit Valve used untested sold as is
7376 V-Tex 172B336x48DCPR03 Rollcam Slit Valve used untested sold as is
7377 Nikon 4S016-164 PCB Circuit Board NK-C441-1 Used working
7378 AMAT 0010-25341 CPI-VMO Chamber Source 1 Rev 003 used sold as is
7379 SMC MRQFS40-70CAX-F79W-X3 Rotary Cylinder Assy Kokusai Vertron used working
7380 SMC MRQFS40-70CAX-F79W-X3 Rotary Cylinder Assy Kokusai Vertron used working
7381 Omron F3M-S1225 12� Wafer Mapping Sensor 25 Slots used working
7382 Omron F3M-S1225 12� Wafer Mapping Sensor 25 Slots bent sensor semi working used
7383 Amray 91171-1-1 VME N4/Proto PCB 800-2250-1-1 Rev E1 used working
7384 Amray 92008 Stepper Motor Driver PCB Rev A used working
7385 Nikon 4S018-608 PCB Circuit Board WL3106 Used working
7386 AMAT 0010-22567 CPI-VMO Chamber Source 3 Rev 003 used sold a is
7387 AMAT 0010-25341 CPI-VMO Chamber Source 1 Rev004 AMAT Endura 300mm used sold a is
7388 AMAT 0010-2569 CPI-VMO Chamber Source D Rev 003 used untested sold as is
7389 AMRAY 92084-01 PC17V WHS Sensors/Valve Drivers PCB 800-3123 used working
7390 AMRAY 9200-01-1 PC15V OMF Interface PCB 800-2720 used working
7391 Mizar 6800-03417-0001 7300 Serial PCB used working
7392 Mizar 6800-04359-0025 7130 CPU PCB used working
7393 Nikon 4S018-849 PCB Circuit Board OPDMTHX3 Used Working
7394 Amray 2030C Scanning Electron Microscope AC Power Distribution Chassis used
7395 Mitsutech MTK1800V-TR-ASM Panel Mount LCD Touch Screen Monitor used sold as is
7396 RTG 7594-pm1 Industrial Panel PC used working
7397 Znyx ZX5000-A1 Port Base Fabric Switch AdvancedTCA used working
7398 Regal FS-10S Flow Sensor Lot of 4 used working
7399 Regal FS-10S Flow Sensor Lot of 4 used working
7400 AMAT 0010-25341 CPI-VMO Chamber 1 used for parts sold as is
7401 ZNYX ZXKIPU-X2 PCB AdvancedTCA used working
7402 HP AD239A Advanced Blade Server Processor AdvancedTCA used working
7403 HP AD239A Advanced Blade Server Processor AdvancedTCA used working
7404 AdvancedTCA Server Blade Processor Amibios 786Q used working
7405 Axcelis 558971 Power Supply 10661 used working
7406 Axcelis 558971 Power Supply 10661 used working
7407 PRMS 1005003 Macroillumination Power Supply Nikon Optistation 7 used working
7408 ESC Chiller Frequency Invertor Hitachi M-712E used working
7409 AMAT PVD Chamber Source 3 used sold as is
7410 AMAT Chamber 4 Source used sold as is
7411 AMAT Chamber 3 Source Good Condition used sold as is
7412 ASML 4022-639-93004 CT WVACEIM Unit NXT used working
7413 ASML 4022-639-93004 CT WVACEIM Unit NXT used working
7414 ASML 4022-639-93004 CT WVACEIM Unit NXT used working
7415 Nikon 4S019-289 PCB Circuit Board AFDRVX4B Nikon NSR-S307E used working
7416 200mm Ceramic End Effector Lot of 3 used working
7417 Elgar 5691286-13 Programmable DC Power Supply AT800B used working
7418 Hitachi Kokusai Pump Alarm Kokusai Zestone DD-1230V 300 used sold as is
7419 Hirata MB-H202APCL-700?R-D1 Cartesian Robot Rail used working
7420 Nikon 4S015-096-D PCB Circuit Board NK-C303-40 Nikon NSR-S204B used working
7421 Panasonic MSMA021A1E AC Servo Motor used working
7422 Panasonic MSMA021A1E AC Servo Motor used working
7423 Panasonic MSMA021A1E AC Servo Motor used working
7424 Soft Switching DS10025A120V2SH?1106A Dynamic Sag Corrector AMAT Reflexion used
7425 Edwards D059 14 000 Vacuum Interlock Switch used working
7426 Kensington 35-3708-0000-01 Subassembly Oval Edge Grip Piston Lot of 6 used works
7427 Nikon WL3SEN4 Circuit Board 4S018-567-A Nikon NSR-S204B Wafer Loader used works
7428 TEL P1264 Telius Clean Trench Shutter cleaned used working
7429 TEL P1264 Telius Clean Trench Shutter cleaned used working
7430 TEL Shield Depo D3.0 P35.6 W-LB N cleaned used working
7431 TEL Shield Depo D3.0 P35.6 W-LB N cleaned used working
7432 Nikon 4S007-667-A PCB FIAAF Process-D Nikon NSR-S204B used working
7433 Axcelis 560821 Lamp Control CPU Module used working
7434 Axcelis 572881 Module Control 300mm used untested as-is
7435 Axcelis 572871 Module Power Distribution 300mm used untested as-is
7436 Nikon 4S018-402- PCB Circuit Board MTH-8SH Used Working
7437 Nikon 4S019-198 PCB Circuit Board PRE2 1/0-3B Used Working
7438 Nikon 4S018 679 RL-LIBHT2 PCB Circuit Board Used Working
7439 Nikon WL3SEN4 Circuit Board 4S018-567-A Nikon NSR-S204B Wafer Loader used works
7440 TEL 3D80-00161-V1 ECC2 Controller MC Rack TEL T-3044SS used working
7441 TEL 3D80-00161-V1 ECC2 Controller MC Rack TEL T-3044SS used working
7442 TEL E280-000044-11 Flat Panel Display T-3055SS Tokyo Electron used working
7443 TEL JAE T-3044SS Flat Panel Display E280-000044-11 Tokyo Electron used working
7444 Power-One 405-460-00A (S597) Power Supply RPM5A1KF7A1S597 used working
7445 Power-One 405-356-00B (S494) Power Supply RPM5D5D5D5D5BAS?494 Lot of 2 used works
7446 Power-One 405-349-00A (489) Power Supply RPM5A2EDEFS489 Lot of 2 used working
7447 Nikon 4S019-154 Circuit Board BLDRVX4B Nikon NSR-S307E used working
7448 Alcatel 3BK27091BA WiMAX Base Station A9116 0168 used working
7449 Alcatel 3BK27324AA WiMAX Base Station A9116used working Alcatel 3BK27324AA WiMAX Base Station A9116used working
7450 Alcatel 3BK27091AA WiMAX Base Station A9116used working
7451 Asyst 9700-6209-01 Power Distribution Unit Rev. B used working
7452 Brooks TLG-L1-1000-S0-00EB Transponder Reader w/ Antenna used working
7453 Nikon 4S019-136 PCB Circuit Board RMDRVX4B NSR Used Working
7454 Celerity 9010-02083ITL Fluid Systems Gas Panel used untested sold as is
7455 Celerity 9010-02083ITL Fluid Systems Gas Panel used untested sold as is
7456 Lam 839-038786-001 Research Silicon Electrode Assembly Rev B new
7457 Lam 716-033917-001 Research Quartz Ring Base new
7458 AMAT 0021-11110 Ring Edge Aluminum 55T Heater TxZ new
7459 Silicon Thermal LB300 Powercool Thermal Controller used working
7460 Brooks 6-0002-0408-SP Pre-Aligner PRE-301BU-CE-S2 KLA AIT UV used working
7461 Brooks 6-0002-0443-SP Robot Rail TRA 035-LPS KLA 0014445-000 AIT UV used working
7462 Nikon 4S018-921 PCB Circuit Board RBTDRV NSR Used Working
7463 AMAT 0021-43797 Shield Lower Rev 4 new surplus
7464 Kokusai CX1231 Contoller Chassis Rev 1A used working
7465 Kokusai CX1231 Contoller Chassis Rev 1A used working
7466 Kokusai CX1231 Contoller Chassis Rev 1B [Broken Tab] used working
7467 Kokusai CX1231 Contoller Chassis Rev 1B Kokusai Vertron used working
7468 Kokusai CX1231 Contoller Chassis Rev 1B Kokusai Vertron used working
7469 TEL PR300Z Chamber Module Wafer Spin Spindle Assembly used working
7470 ASML 5 Touch Screen Display used working
7471 Nikon 4S018-929 PCB Circuit Board MTR-CTRL Used Working
7472 NSK MC5552-801-001 Robot Rail used working
7473 TEL Servo Drive Control Rack [broken switch] used working
7474 Nikon Optistation 3A Microsope & Stage Assy [missing eye piece] used untested
7475 TEL Servo Drive Control Rack used working
7476 Nikon 4S018-568-A PCB Circuit Board WL3PNL4 Used Working
7477 Nabtesco 3D80-000005-V3 Wafer Transfer Robot used working
7478 Nabtesco 3D80-000005-V3 Wafer Transfer Robot used working
7479 Nabtesco 3D80-000005-V3 Wafer Transfer Robot used working
7480 Nabtesco 3D80-000005-V3 Wafer Transfer Robot used working
7481 Kokusai Vertron Wafer Rotation Assembly used untested sold as is
7482 Dainippon SD16L-24SHI E Resistivity Meter Unit eFlow used working
7483 Horiba HE-480R-DC24V Resist Meter used working
7484 Dainippon SD16L-24SH W Resistivity Control Unit eFlow used working
7485 Dainippon SD16L-24SH W Resistivity Control Unit eFlow used working
7486 TEL 3D81-000102-V1 PCB TYB16C-1/TOP1 TEL T-3044SS Etcher used working
7487 TEL 3D81-000102-V1 PCB TYB16C-1/TOP1 TEL T-3044SS Etcher used working
7488 TEL 3D81-000035-V2 PCB TYB62C-1/TOP2 TEL T-3044SS Etcher used working
7489 TEL 3D81-000035-V2 PCB TYB62C-1/TOP2 TEL T-3044SS Etcher used working
7490 TEL 3D81-000035-V2 PCB TYB62C-1/TOP2 TEL T-3044SS Etcher used working
7491 TEL 3D81-000035-V2 PCB TYB62C-1/TOP2 TEL T-3044SS Etcher used working
7492 TEL 3D81-000035-V2 PCB TYB62C-1/TOP2 TEL T-3044SS Etcher used working
7493 Nikon 4S018-925 PCB Circuit Board COM-CTRL Used Working
7494 Kokusai Electric CX1229-2 Controller Module Kokusai Vertron Used Working
7495 KoKokusai CX1229-2 Controller Module Kokusai Vertron used working
7496 Future Display Technology FDT19C06FP 19� Touch Screen [no stylus] used working
7497 Future Display Technology FDT19C06FP 19� Touch Screen [no stylus] used working
7498 Future Display Technology FDT19C06FP 19� Touch Screen [no stylus] used working
7499 Oriental Motor 5034-LM2 Linear Actuator Servo Motor Assembly used working
7500 Nikon 4S018-808 PCB Circuit Board BMU-PWR Used Working
7501 Dainippon SD16L-24SHI W Resistivity Control Unit eFlow [cut cable] used working
7502 Dainippon SD16L-24SHI W Resistivity Control Unit eFlow [cut cable] used working
7503 Hine Design 04290-101 Process Chamber Rev 1 Gasonics 94-1119 Rev B used working
7504 Novellus 04-341742-00 Pedestal Kit Welded Flat Preheat 2130 used working
7505 AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm cleaned used works
7506 AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm cleaned used works
7507 Amray 91118-1 Ion Pump Assembly used untested sold as is
7508 Hitachi S2-88752-02 Cassette Plate Lot of 4 new surplus
7509 Hitachi 1-A04827-01 Head Piece new surplus
7510 Hitachi 1-823773-^B I/O PCB Chassis new surplus
7511 AMAT 0040-61317 Aluminum Oxide Coated Bell Jar 300mm cleaned once used working
7512 Acrom 7041-64423-004-?102 Mobile Desktop Computer AMAT 9090-00810 used tested
7513 Acrom 7041-64423-004-?102 Mobile Desktop missingpanel AMAT 9090-00810 used tested
7514 SVG 859-5194-003 PCB Assy 859-0743-011 used working
7515 Nikon 4S007-668-A PCB Circuit Board FIAAF-PROCESS-A Used Working
7516 Honeywell MIDAS-E-LEL Gas Detector Calibrated for C3H8 used working
7517 Nikon 4S001-107 RCS Operation Trouble PCB Used working
7518 TEL ES3D10-190350-V2 Exhaust Plate TEL Telius used working
7519 RKC TEL 3D80-000090-V6 Power Supply Temperature Controller RCB-12 TEL T-3044SS
7520 RKC TEL 3D80-000090-V6 Power Supply Temperature Controller RCB-12 TEL T-3044SS
7521 AMAT 0020-48185 Shield Lower 250mm Spacing 3.35 Leaf A Rev 002 new surplus
7522 Wonik Quartz International QI-22105 Quartz Window 200mm used working
7523 VAT 12144-YA24-AJP1 Gate Valve Insert DN160 AMAT 3870-04640 new surplus
7524 AMAT 9010-02158 xR80 MRS Assembly AMAT Quantum X new surplus
7525 AMAT 0020-52929 Graphite G3 Holder used working
7526 AMAT 9010-00561 Source Topcan Assy Fast Tetrode new surplus
7527 AMAT 0020-82418 Graphite Side Shield MRS Chamber & 9150-04690 new surplus
7528 AMAT 9240-06477 Kit Vortex Lens Fast Maint new surplus
7529 Oriental Motor DFC1507 5-Phase Stepping Driver Vexta Lot of 2 used working
7530 Oriental Motor DFC1507 5-Phase Stepping Driver Vexta Lot of 2 used working
7531 Oriental Motor DFC1507 5-Phase Stepping Driver Vexta Lot of 2 used working
7532 Kokusai D4E01432 PCB SPCONV10A/A0 Vertron DD-1203V Lot of 3 used working
7533 Nikon 4S007-876-A PCB SHRINC-INTF-3 NSR-S204B used working
7534 Edwards Y14023500 TMS 80mm ID 500mm Long Heater Lot of 12 new surplus
7535 MEL Melss MBT 240 Unit New Surplus
7536 Edwards Y14023050 TMS 80mm ID 50mm Long Heater Lot of 9 new surplus
7537 Edwards Y14023300 TMS 80mm ID 300mm LG Lot of 5 new surplus
7538 Edwards Y14023200 TMS 80mm ID 200mm Long Heater Lot of 3 new surplus
7539 Edwards Y14103004 TMS Insulation Clamp 80mm Lot of 7 new surplus
7540 Komatsu 20000240 Power Supply HGR-72 Kokusai Vertron used working
7541 Komatsu 20000240 Power Supply HGR-72 Kokusai Vertron used working
7542 Kokusai Zestone F9038-C059 Linear Rail DD-1203 used working
7543 Kokusai Zestone F9038-C059 Linear Rail DD-1203 used working
7544 Mitsubishi QC-20C-S44 End Effector QC-20C used working
7545 Nagano Keiki ZT67-2L6 Pressure Gauge -0.1~0.5MPA 2-A05507-01 Lot of 4 new
7546 Omron DST1-MD16SL-1 Safety I/O Terminal Nikon 4T080-731-3 Lot of 5 used working
7547 SMC ITV-2090-042L5-?DIM00202 Electro-Pneumat?ic Vacuum Regulator used working
7548 SMC ITV1030-042L5 Electro-Pneumatic Vacuum Regulator used working
7549 Pall DDF2UG001EFL64 10mm Filter Cartridge Lot of 2 new
7550 Watlow A004932 MFC Heater Insulatn Novellus 04-403070-00 C3 Vector Lot of 2 new
7551 Edwards D37215252 INIM Cards 801-1047-51 Flash Control Lot of 13 used sold as is
7552 Gasguard DD 1051 Master Control Processor used working
7553 TEL 3D80-000766-V3 ECC2 Module Controller Box MC Rack T-3044SS used working
7554 TEL 3D80-000766-V3 ECC2 Module Controller Box MC Rack T-3044SS used working
7555 TEL 3D80-000766-V3 ECC2 Module Controller Box MC Rack T-3044SS used working
7556 Nissan Tanaka 31WVU Super Torr Manual Regulator Valve 466RU Lot of 3 used works
7557 Tokyo Kieso F08-230728 F04-282603 Assorted Flow Meters used working
7558 National Instruments NPB-536C PCB Lot of 3 used working
7559 National Instruments NPB-536C PCB Lot of 2 used working
7560 National Instruments NPB-536C PCB Lot of 2 used working
7561 Yamamoto 1B0FVD Differential Pressure Transmitter used working
7562 Screen SL-2210-FC-Z Network Control Lot of 5 used working
7563 DNS Electronics DS-1211-Ananlog I/O Modules FC-3000 Lot of 3 used working
7564 TEL HA-019 IF Analog #01 PCB Board PHA-019-0 TEL Clean Track Lithius used works
7565 Omron S8PS-30024C Power Supply Lot of 2 used working
7566 Omron S8PS-30024C Power Supply Lot of 2 used working
7567 KLA-Tencor 371343 6-PCI Backplane Board Trenton 92-0005531-xxx used working
7568 Tropel 142851 1.70x Mag Converter Lens KLA-Tencor AIT UV+ used working
7569 Tropel 140315 Scan Lens KLA-Tencor AIT UV+ used working
7570 Tropel 140315 Scan Lens KLA-Tencor AIT UV+ used working
7571 Pyramid 0500-00300W X-Scan Controller 0120-01840 AMAT Quantum X used working
7572 SMC ISE40-01-62L Digital Pressure Switch Lot of 8 AMAT Quantum X used working
7573 Gast DOA-V127-DD Vacuum Pump AMAT Quantum X used working
7574 FEI 22253-C 26833-C 17250-F GIS Controller Chassis FEI XL-830 used working
7575 AMAT 50309050000 Electron Column Beam Gun SV300 AMAT SemVision cX used as-is
7576 Nikon KAB11240-V2 Arched Holder Z Axis 24098-0150 Optistation 7 used working
7577 AMAT 9090-00785ITL Electrode Assembly 3X2H AMAT Quantum Leap II used working
7578 Pfeiffer TCM-520/TCP121 Charge Neutralization Controller FEI XL-830 used works
7579 FEI 25297-G Charge Neutralization Controller FEI XL-830 used working
7580 ASML 4022 262 3171 Power Supply Rev BD2 FEI XL-830 used working
7581 Pneutal SCP-A-2 10 Bar Air Tank AMAT SemVision cX used working
7582 Phoenix Mecano VIF/4-24-PO-115-OPAL Power Supply AMAT SemVision cX used working
7583 Digi 50000988-02 32-Port Terminal Server EtherLite 32 used working
7584 Digi 50000988-02 32-Port Terminal Server EtherLite 32 used working
7585 Digi 50000988-02 32-Port Terminal Server EtherLite 32 used working
7586 Cosel PAA300F-24 Power Supply used working
7587 AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
7588 Hitachi 1-A17938*A Inner Cover Assembly EC1/EC2 1-A17938-*B M-712E new
7589 KLA-Tencor 0023935-003 Transformer KLA-Tencor AIT UV+ used working
7590 KLA-Tencor 0023935-003 Transformer KLA-Tencor AIT UV+ used working
7591 KLA-Tencor 0023935-003 Transformer KLA-Tencor AIT UV+ used working
7592 Edwards NRY00T0000 Nitrogen Purge P126X VFB Purge Box used working
7593 Electroglas DCM3 Display Control Module used working
7594 Pearl Kogyo F-2000-0.1 RF Filter used working
7595 KLA-Tencor 0026346-001 Pneumatic Actuated Lens Assembly used working
7596 Edwards D37420000 Local Module iTIM E73+A1+T1 Lot of 2 used working
7597 A to Z Electronics 30614200000 S2DIO PCB Module used working
7598 A to Z Electronics 30614200000 S2DIO PCB Module used working
7599 CPS CPS-SSR-GAS-FSM Test Module Lot of 5 used working
7600 Nikon 4S018-778-4 Robot Interface PCB RBT_I/F used working
7601 Nikon 4S013-353 Robot Link PCB RBTLNK Nikon NSR-Series used working
7602 CKD N4S030 Pneumatic Solenoid Valve Manifold & OPP3-1H Lot of 2 used working
7603 Agilent E4861-69551 7500 Series C 3.35 GB/s Data Gen./Analyzer used working
7604 Yamatake EST0240Z05BBX00 Smart Terminal used untested sold as-is
7605 Nikon 4S007-902-1 PCB X2A-STGA/D Rev. F used working
7606 Nikon 4S007-792-A-554 PCB SR8-PRE2 used working
7607 Nikon 4S008-114 PCB ALGAF-PA-X4+ used working
7608 Parker High Pressure Tied Diaphragm Regulator w/ gauge Lot of 2 used works
7609 MKS 93-5640 Angle Valve Assembly used working
7610 CMC BMR2005TCG00CEA002 PM Servo Motor used working
7611 MKS 100991588 Angle Valve Assembly used working
7612 Hitachi Kokusai INT-MB/A0 D2E01448B NOP OM-2 PCB used working
7613 Daihen AMN-30F-V RF Auto Matcher 60 MHz 3kW TEL T-3044SS used working
7614 Daihen AMN-30F-V RF Auto Matcher 60 MHz 3kW TEL T-3044SS used working
7615 Daihen AMN-30F-V RF Auto Matcher 60 MHz 3kW TEL T-3044SS used working
7616 VAT 65048-JH52-ALJ1 Pendulum Gate Valve used working
7617 VAT 65048-JH52-ALJ1 Pendulum Gate Valve used working
7618 Nikon 4S018-749 STGMTRX4 PCB Circuit Board Used Working
7619 Power One DC Power Supply HE24-7.2-A Lot of 5 used working
7620 Fujikin UPCM-4WS3-C13LF20-B1-HE MFC Pressure Controller HE 20sccm 13.33kPa used
7621 TEL 3D81-000102-V1 PCB TYB16C-1/TOP1 3D08-000063-11 TEL T-3044SS used working
7622 KF-25 Stainless Steel Vacuum Flex Bellows Pipe Tubing 5-6� Lot of 43 used works
7623 KF-50 Stainless Steel Vacuum Flex Bellows Pipe Tubing 5� & 9� Lot of 9 used work
7624 US Motors 6592A Motor & Gearbox Series 2000 .5 HP 3 PH 160 Ratio used working
7625 MKS 41A13DGA2AA040 Baratron Pressure Switch 133.32 kPa Lot of 3 used working
7626 Granville Phillips 274012 Ionization Gauge w/ cables Lot of 2 used working
7627 Granville Phillips 274012 Ionization Gauge w/ cables Lot of 2 used working
7628 Edwards Y14204000 Temperature Management System used working
7629 Edwards Y14204000 Temperature Management System used working
7630 Edwards Y14204000 Temperature Management System used working
7631 Edwards Y14204000 Temperature Management System used working
7632 Edwards Y14204000 Temperature Management System used working
7633 Fujikin 411885 Pneumatic Valve 0.34 ~ 0.49 Lot of 5 used working
7634 Fujikin FPR-SDAT-21RS1-6.35UGF-DXH Valve 0.39 ~ 0.59 Lot of 11 used working
7635 Fujikin FPR-SDA-21-6.35UGF-APD Valve 0.39 ~ 0.59 Lot of 6 used working
7636 GaSonics A95-060-01 & A95-056-01 Endpoint Detector Lot of 4 used sold as-is
7637 SMC 3D80-002107-V1 Vacuum Angle Valve XLAQ-40-X925 Lot of 3 used working
7638 Edwards 2 Port Exhaust Purge Regulator Box used working
7639 AMAT 0010-24405 Magnetic Source Rev. 004 0040-84886 used working
7640 ABB CI.F IPPDD IEC 34 Motor Assembly ASML 4022.470.2998 used working
7641 SMC Pneumatic Rotary Cylinder Assembly used untested sold as-is
7642 Horriba STEC SEC-4400M Mass Flow Controller SiH2Ci2 used working
7643 Pulizzi TPC2365-LT Power Distribution System Z-Line used working
7644 Optonics Fiber Optic Illuminator DCG Systems EmiScope-IIIt used working
7645 Nikon 4S018-793 PCB PRE2 I/O-4 Nikon NSR-S307E used working
7646 Nikon 4S0005-204-G PPD-LD Nikon NSR Series used working
7647 KLA Tencor 546399 PCB Circuit Board Rev. AA Used Working
7648 Nikon 4S014-182 PCB Chassis AF-I/FX4A Nikon NSR-S307E used working
7649 Horiba STEC SEF-8240SM-UC Mass Flow Meter & Injection BTBAS VC-1410-UC used
7650 Lasertec C-100824A PCB Stage Limit A Lasertec MD2500 used working
7651 Lasertec C-101185 I/O PCB GT1-32I0 Lasertec MD2500 used working
7652 Lasertec Photomask Reticle Handling Aligner Lasertec MD2500 used working
7653 Yamasho YM-S122D PCB Assy. YM-S330F Lasertec MD2500 used working
7654 Lasertec C-100423 PCB POSAF FLHD CONT Lasertec MD2500 used working
7655 Lasertec C-100986 PCB Cable Drive Lot of 2 Lasertec MD2500 used working
7656 Lasertec C-100320 PCB Motor Drive Lasertec MD2500 used working
7657 Lasertec C-100482 PCB TDI Cont Lasertec MD2500 used working
7658 Lasertec C-101077 PCB ST-IF-ROGB Lasertec MD2500 used working
7659 Lasertec C-101033 POS AF PCB Chassis Lasertec MD2500 used working
7660 Lasertec MD2500 Left Optical Stage Table Lasertec MD2500 used working
7661 Lasertec Right Optical Stage Table MD2500 Photomask Reticle Inspection used
7662 Nikon 4S013-498 PCB WSTG-FLM NSR-S307E 300mm used working
7663 SMC XLAQ-16-X19 High Vacuum Valve 2L80-000070-V1 Lot of 3 used working
7664 KLA Tencor 373494 PCB Circuit Board Rev 0A DISTRIB1 SP1+ Used Working
7665 Novellus Cool Down Station Concept Two Altus used working
7666 Agilent N1224-60003 PCB Circuit Board Rev A010424 Used Working
7667 Sony XC-7500 VGA Camera Module NVCEX-2SD5H-B XC-ES50 Lot of 5 used working
7668 Yaskawa JZSP-CLP20-03 Serial Converter and 3m Encoder Cable Lot of 3 used works
7669 Hitachi 2R007097 PCB LSIO S-9380 SEM used working
7670 Hitachi 2R007097 PCB LSIO S-9380 SEM used working
7671 Hitachi 2AA31489 PCB SHDIO REV B S-9380 SEM used working
7672 Hitachi 696-6004 PCB SHDIO S-9380 SEM used working
7673 Hitachi 696-6004 PCB SHDIO S-9380 SEM used working
7674 ASML 4022 471 6109 PCB Circuit Board Used Working
7675 Hitachi FP Controller DC Power Unit S-9380 SEM used working
7676 Hitachi FP Controller DC Power Unit S-9380 SEM used working
7677 Schlumberger 97847555 Column Control PCB IDS 10000 used working
7678 Lasertec Laser Cont-34 PCB Lot of 2 MD2500 used working
7679 Schlumberger 97861110 PCB REV 6 IDS 10000 used working
7680 Schlumberger 97847501 PCB 40847501 REV G IDS 10000 used working
7681 Mizar 6800-04289-000 CPU PCB 7122 w/ Bus Extender IDS 10000 used working
7682 Hitachi Wafer Cassette Loading Station M-712E used working
7683 Kokusai DN-130LZ(SA) Overheat Protector Zestone DD-1203V 300mm used working
7684 Kokusai T2DD6-17045 PYRO Control Panel Zestone DD-1203V 300mm used working
7685 TDK TRM021GB Power Supply used working
7686 Cosel K50A-24 Power Supply Lot of 3 used working
7687 TDK EAK15-2ROG Power Supply Lot of 3 used working
7688 Cosel K25A-12 Power Supply Lot of 5 used working
7689 Kokusai DN130PS Overheat Protector Kokusai Zestone DD-1203V used working
7690 Kokusai DN-130P(TD)S Overheat Protector Kokusai Zestone DD-1203V used working
7691 Kokusai DN-130P(SA) Overheat Protector Kokusai Zestone DD-1203V used working
7692 Kokusai CQ-1400A(01) Digital Direct Controller CQ-1400 Zestone DD-1203V used
7693 Kokusai CQ1500(01) Digital Direct Controller CQ-1500 Zestone DD-1203V used works
7694 Kokusai CQ1501A(01) Digital Direct Controller Zestone DD-1203V used working
7695 Kokusai CX1209 Circuit Board Chassis D1E01296 D1E01294A D1E01300A D1E01291 used
7696 Kokusai CX1209B Circuit Board Chassis D1E01296 D1E01294A D1E01300B D1E01291 used
7697 AMAT Load Lock Internal Transfer Unit Assy SemVision cX 300mm used working
7698 AMAT Load Lock Internal Transfer Unit Assy SemVision cX 300mm used as-is
7699 Komatsu 20000300 Temperature Controller AIH-64QS-T5 TEL PR300Z used working
7700 Hitachi Kokusai Main Body Lower Index Robot Kokusai Zestone DD-1203V used as-is
7701 Kokusai D2E01310A Branch Circuit Board PCB Zestone DD-1203V 300mm used working
7702 Kokusai D3E01299A Circuit Board PCB Brake A/1 Zestone DD-1203V 300mm used works
7703 Kokusai D4E01298 Circuit Board PCB SPCONV2 Zestone DD-1203V 300mm used working
7704 Kokusai D2E01310A D3E01299A D4E01298 Assembly Zestone DD-1203V 300mm used works
7705 Kokusai CB100A Pod Opener SECS Interface Zestone DD-1203V 300mm used working
7706 Kokusai CB100 Pod Opener SECS Interface Zestone DD-1203V 300mm used working
7707 LTH MCD53P4 Conductivity Controller used working
7708 LTH MCD53 Conductivity Controller used as-is
7709 Vexta Oriental Motor A4509-048 5 Phase Driver Kokusai Zestone DD-1203V used
7710 Vexta Oriental Motor A4509-048 5 Phase Driver Kokusai Zestone DD-1203V used
7711 Vexta Oriental Motor A4509-048 5 Phase Driver Kokusai Zestone DD-1203V used
7712 Panasonic MSMA041A1F AC Servo Motor MSMA041A43 VRKF-9C-400 Zestone DD-1203V used
7713 Panasonic MSMA021A1H AC Servo Motor w/ Rail Kokusai Zestone DD-1203V 300mm used
7714 Panasonic MSMA021A1E AC Servo Motor KE2-KK10 Kokusai Zestone DD-1203V 300mm used
7715 Panasonic MSMA021A1E AC Servo Motor KE2-KK10 Kokusai Zestone DD-1203V 300mm used
7716 Anelva Angle Isolation Valve Kokusai Zestone DD-1203V 300mm used working
7717 Panasonic AMKB400B10LAK AC Servo Motor Gear Box Assy Zestone DD-1203V used works
7718 VAT 07512-UA24-ADA1 Slit Valve ASM Epsilon 3200 used working
7719 Jel C4000 4 Axis Control Unit Sigmameltec RTS-500 used working
7720 Honda W-357-3MP Pulse Jet Power Supply Ultrasonic Cleaner Sigmameltec used works
7721 Sigmameltec RTS-500 Power Supply 7 13/16� Tube used working
7722 Sigmameltec RTS-500 CLC101 Power Supply 6 1/2� Tube used working
7723 Ushio B0010A Excimer Photon Source Power Supply DF0030 Sigmameltec RTS-500 used
7724 Keyence RD-50R Analog Sensor Controller Sigmameltec RTS-500 used working
7725 TEL E281-000016-41 E208-000016-22 E281-000017-41 E208-000017-22 T-3044SS used
7726 TEL E280-000015-13 Circuit Board E2B012-12/FDEX ECC2 Controller EC T-3044SS used
7727 TEL E280-000015-13 Circuit Board E2B012-12/FDEX ECC2 Controller EC T-3044SS used
7728 TEL EC80-000117-32 Circuit Board TEB108-12/SIO ECC2 Controller EC T-3044SS used
7729 Shinko BX80-070280-11 Robot SBX92100217 T-3044SS used working
7730 Cosel PAA100F-12 Power Supply (Lot of 2) used working
7731 Dynax DNX2080 Circuit Board PCB FICS-IOM/16RH TEL T-3044SS used working
7732 Shinko SBX08-000027-12 PCB Circuit Board LVDT-3 SCE93-100011-C1 T-3044SS used
7733 Shinko SBX08-000011-11 PCB Circuit Board CBCN-2 SCE93-100005-C1 T-3044SS used
7734 TEL 011BP4S-00-0B0 PCB Circuit Board BP-4S TEL T-3044SS used working
7735 Dynax DNX2090 PCB Circuit Board Fics-IOM/16HI TEL T-3044SS used working
7736 Shinko SBX08-000005-11 PCB Circuit Board LVDT SBX93-100002-C1 TEL T-3044SS used
7737 TEL BP-4S PCB Circuit Board TEL T-3044SS used working
7738 TEL ATA-IDE/R-LF Card Master-ATA IDE PCB Circuit Board TEL T-3044SS used working
7739 Yaskawa UYOPI-020SC Minertia Motor QM Series UGQMEM-02MNQ41 NSR-Series used
7740 Yaskawa UTOPI-020SC Minertia Motor QM Series UGQMEM-02MNQ41 NSR-Series used
7741 Yaskawa UTOPI-020SC Minertia Motor QM Series UGQMEM-01SNQ71 NSR-Series used
7742 Yaskawa UTOPI-020MX Minertia Motor QM Series UGQMEM-01SNQ22 NSR-Series used
7743 Omron S8PS-30024C Power Supply (Lot of 6) used working
7744 Asyst 9700-5819-01 3 Channel FFU Controller used working
7745 KLA-Tencor AIT UV 552364 Rev. AB Tower Light Driver, AIT PCB 364541 used working
7746 KLA AIT UV 509868 Revision AB Remote LED Board used working
7747 KLA AIT UV 509868 Revision AB Remote LED Board used working
7748 Lightwave 227 Controller 110-04 Used Working
7749 KLA Tencor Fiber Optic Cable AIT UV Used Working
7750 Nikon TDK Power Supply Assembly MSE182G 4S064-072 RGW48-32R MSE182H 4S013-302
7751 Heat Exchanger PROTO-ADV-CH1-2?-009 Used Working
7752 Heat Exchanger PROTO-ADV-CH1-2?-009 Used Working
7753 GPI REAH-THI-400-M Temperature Humidity Controller SVG 90 used working
7754 VAT 61144-PH52-AAM1 Throttle Valve Used Working
7755 Yaskawa VOSH Robot and Robot Controller DDMQF-SR22311 Used Working
7756 Yaskawa VOSH Robot and Robot Controller DDMQF-SR22311 Used Working
7757 Yaskawa VOSH Robot and Robot Controller DDMQF-SR22311 Used Working
7758 Yaskawa VOSH Robot and Robot Controller DDMQF-SR22311 Used Working
7759 Yaskawa Controller BC930310 Used Working
7760 Yaskawa Controller BC930310 Used Working
7761 Yaskawa Controller BC930310 Used Working
7762 Yaskawa Controller BC930310 Used Working
7763 Yaskawa Controller BC930310 Used Working
7764 Yaskawa Controller BC930310 Used Working
7765 Yaskawa Controller BC930310 Used Working
7766 Oriel 68805 Universal Power Supply 40-200 Watts Used Working
7767 Millipore Tylan FC-2979MEP5 Mass Flow Controller Assembly Lot of 8 Used Working
7768 Nikon OptiStation 3A Wafer Handler Module Assembly Used Working
7769 Nikon 3A OptiStation Wafer Transfer Arm Used Working
7770 Nikon OptiStation 3A Prealigner 202396 Used Working
7771 Hitachi S-9300 Prealigner Used Working
7772 Profort PF810-ASEHD4 Hand Controller 810 Used Working
7773 SVG ASML Robitech PCB Chassis 879-0791-001 Rev A 980-2300 681-0181-009 980-4800
7774 SVG ASML 0961-002 A 1850 DC Power Supply Rev. C Used Working
7775 SVG ASML 0961-002 A 1850 DC Power Supply Rev. C Used Working
7776 SVG ASML 859-0564-002 A 1900 PO Isolator Rev.F Used Working
7777 SVG ASML 859-0564-002 A 1900 PO Isolator Rev.F Used Working
7778 SVG ASML 859-0950-008 A1300 Power I/O Isolator Used Working
7779 SVG ASML 859-0950-008 A1300 Power I/O Isolator Used Working
7780 SVG ASML Power Supply Assembly 859-8366-011 Used Working
7781 SVG ASML Drive Assembly 859-8210-003 Used Working
7782 International Power OHCC15-3 Power Supply Used Working
7783 Vexta B1583-07120KBL AC Servo Driver Used Working
7784 National Display Systems 90X0077 Monitor DM-3S12/ZN Used Working
7785 Nikon 4S782-766 Control Used Working
7786 Sony LMD-1040XC Multi Input LCD Monitor Used Working
7787 Nikon 4S587-754 PZTDriver Used Working
7788 AMAT Applied Materials 9090-01095 Controller Chasis Rev. A Used Working
7789 AMAT UKA0003E Electrical Box Assembly AMAT Quantum X untested sold as-is
7790 Alcatel 27778 Control and Sensor Assembly Used Working
7791 Orion ETM832A-DNF Pel Thermo Used Working
7792 Orion ETM832A-DNF Pel Thermo Used Working
7793 Orion ETM832A-DNF Pel Thermo Used Working
7794 Nikon 4S001-065 PCB Circuit Board Used Working
7795 Nikon 4S001-086 PCB Circuit Board Used
7796 Nikon 4S007-941-2 PCB Circuit Board MIS-POWAMP2 NSR-S204B used working
7797 Sony DPR-LS21 1-675-992-13 Laserscale PCB Circuit Board EP-GW Nikon Used
7798 AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
7799 Nikon 4S013-499 PCB RSTG-FLM NSR-S307E 300mm used working
7800 Nikon 4S013-468 PCB Circuit Board RM-I/FX4B Used Working
7801 Nikon 4S013-474 PCB Circuit Board RLIOPI/F3 Used Working
7802 Nikon 4S013-467 PCB Circuit Board CSTLNK3 Used Working
7803 Nikon 4S013-481 PCB Circuit Board STGX41B Used Working
7804 Nikon 4S008-110 PCB Circuit Board ALGAF-T/A-X4 Used Working
7805 Nikon 4S008-086 PCB circuit board AF-I/FX4A Used Working
7806 VAT 12148-PA24-AIG1 10.25″ Gate Valve AMAT Quantum X scratched used working
7807 VAT 12148-PA24-AIG1 10.25″ Gate Valve AMAT Quantum X scratched used working
7808 Nikon 4K177-955-1 Robot Nikon NSR S204B Used Working
7809 Hutech BKO-250-400 Hot Air Circulation Bake Oven Used Working
7810 JEOL JWS-7555S 200mm Wafer Defect Review Inspection System Incomplete As-Is
7811 JEOL JWS-7555S Operator Console
7812 JEOL JWS-7555S Pump Power Supply
7813 SRC SEC-220VE Extender Board PCB Card JEOL JWS-7555S SEM Working Spare
7814 Tachibana Tectron TVME1606-1TM Interface PCB TVME1606-1T JEOL JWS-7555S Working
7815 JEOL JWS-7555S Wafer Defect Review SEM
7816 Tachibana Tectron TVME6001 Processor PCB Card Rev. C JEOL JWS-7555S Working Spare
7817 qJEOL S20A-0842-0100A/01 Processor PCB Card JVME-PMC JWS-7555S Working Spare
7818 Tachibana Tectron TVME3001-1 Network PCB Card TVME3001 JEOL JWS-7555S Working
7819 Jeol BP102098-00 Processor PCB Card EOS ITF PB JWS-7555S Wafer Defect Working
7820 Jeol BP102106 Relay PCB PIG PB JWS-7555S Wafer Defect SEM Working Spare
7821 Melec ADB-5410 Power Supply PCB JEOL JWS-7555S SEM Working Spare
7822 JEOL BP102238-00 Processor PCB Card SEI CONT/MIX PB JWS-7555S SEM Working Spare
7823 JEOL BP101760-00 Relay PCB Card Collector PB JWS-7555S SEM Working Spare
7824 JEOL BP101773-00 Amplifier PCB Card PCD AMP PB JWS-7555S SEM Working Spare
7825 JEOL BP101504-01 Processor PCB Card PCD CONT PB JWS-7555S SEM Working Spare
7826 JEOL BP101506-00 Processor PCB Card AFC CONT PB JWS-7555S SEM Working Spare
7827 JEOL BP101825(00) Amplifier PCB Card SEI AMP PB JWS-7555S SEM Working Spare
7828 JEOL BP102240-00 Relay PCB Card IMAGE DIST PB JWS-7555S SEM Working Spare
7829 JEOL BP102097-00 Processor PCB Card MAG CONT PB JWS-7555S SEM Working Spare
7830 JEOL BP101801-00 Processor PCB Card SRT CONT PB JWS-7555S SEM Working Spare
7831 JEOL BP101498-03 Processor PCB Card SWEEP GEN PB(2) JWS-7555S SEM Working Spare
7832 JEOL BP101734-02 Processor PCB Card SWP GEN PB(1) JWS-7555S SEM Working Spare
7833 JEOL BP102095-00 Relay PCB Card MAG BFR AMP PB JWS-7555S SEM Working Spare
7834 JEOL BP102093-00 Processor PCB Card EXT SCAN PB JWS-7555S SEM Working Spare
7835 JEOL BP101519-01 Driver PCB Card HT CONT PB JWS-7555S SEM Working Spare
7836 JEOL BP101520-01 Driver PCB Card CL2 ACL CONT PB JWS-7555S SEM Working Spare
7837 JEOL BP102021-00 Driver PCB Card CLAL DRVR2 PB JWS-7555S SEM Working Spare
7838 JEOL AP002821-01 Processor PCB Card IMAGE PRCS PB JWS-7555S SEM Working Spare
7839 JEOL BP102105 Vacuum System Operator Panel PCB VAC PANEL PB JWS-7555S SEM Spare
7840 JEOL BP102022-00 Relay PCB Card CLAL RLY,BBD PB JWS-7555S SEM Working Spare
7841 JEOL BP102002-00 Vacuum Instrument Panel BCD-PIN/SW PB JWS-7555S SEM Working
7842 JEOL BP101667-01 Relay Board PCB CL2/ACL PB JWS-7555S SEM Working Spare
7843 JEOL BP101544-02 Diver PCB Card CLAL DRVR PB JWS-7555S SEM Working Spare
7844 JEOL BP101543-01 Relay PCB Card CLAL CONT(2) PB JWS-7555S SEM Working Spare
7845 JEOL BP102092-00 Processor PCB Card OL CONT PB JWS-7555S SEM Working Spare
7846 JEOL BP101542-01 Relay PCB Card CLAL CONT(1) PB JWS-7555S SEM Working Spare
7847 JEOL BP102045-00 Control PCB Card DFC CONT(2) PB JWS-7555S SEM Working Spare
7848 JEOL BP102044-00 Control PCB Card DFC CONT(1) PB JWS-7555S SEM Working Spare
7849 JEOL BP101979-00 Control PCB Card OLAL CONT PB JWS-7555S SEM Working Spare
7850 Cognex 801-8228-01 Processor PCB Card 8203/VME/IO JEOL JWS-7555S SEM Working
7851 JEOL BP100669-01 Expander PCB Card EXPNDR-S JWS-7555S SEM Working Spare
7852 JEOL BP101993-02 Relay Board PCB ACC/FIL PB JWS-7555S SEM Working Spare
7853 JEOL BP201393-00 Interface Board PCB CONNECTOR PB JWS-7555S SEM Working Spare
7854 JEOL BP101864-00 Relay Driver PCB Card OLAL DRVR PB JWS-7555S SEM Working Spare
7855 JEOL BP101531-00 Drive Board PCB ROT DRIVE PB JWS-7555S SEM Working Spare
7856 JEOL BP101519-01 Driver PCB Card HT CONT PB JWS-2000 SEM Working Spare
7857 Tachibana Tectron TVME1606-1T Interface PCB TVME1606 JEOL JWS-2000 SEM Working
7858 JEOL BP102106 Relay Board PCB PIG PB JWS-2000 Wafer Defect SEM Working Spare
7859 Tachibana Tectron TVME3001-1 Network PCB Card TVME3001 JEOL JWS-2000 SEM WorkinTachibana Tectron TVME3001-1 Network PCB Card TVME3001 JEOL JWS-2000 SEM Working
7860 JEOL BP101506-00 AFC CONT PB PCB Card JWS-2000 Wafer Review SEM Working Spare
7861 JEOL BP101504-01 PCD CONT PB PCB Card JWS-2000 SEM Working Spare
7862 JEOL BP101520-01 Driver PCB Card CL2 ACL CONT PB JWS-2000 SEM Working Spare
7863 Tachibana Tectron TVME6001 Processor PCB Card Rev. C JEOL JWS-2000 Working
7864 JEOL BP101501-01 Image Signal Board PCB IMG SIG CONT MPB JWS-2000 SEM Working
7865 JEOL BP102072-00 EOS ITF PB PCB Card JWS-2000 SEM System Working Spare
7866 JEOL BP102002-00 BCD-BIN/SW PB Board PCB JWS-2000 SEM System Working Spare
7867 Tachibana Tectron TVME1606 Processor PCB Card JEOL JWS-2000 SEM Working Spare
7868 JEOL BP101548-01 Interface Board PCB ITF.U.MPB JWS-2000 SEM Working Spare
7869 JEOL BP102146-00 Interface Board PCB ALD CKT PB JWS-2000 SEM Working Spare
7870 Tachibana Tectron TVME3310 Network PCB Card S3-8747-A JEOL JWS-2000 SEM Working
7871 JEOL BP102105 VAC PANEL PB Board PCB JWS-2000 SEM System Working Spare
7872 JEOL BP101960-00 SEI CONT/MIX PB PCB Card JWS-2000 SEM System Working Spare
7873 SRC SVB-12MVE VME BUS BACK BOARD PCB Backplane JEOL JWS-2000 SEM Working Spare
7874 Cognex 801-8288-03 SBC Single Board Computer PCB Card 8203/VME/IO JEOL JWS-2000
7875 JEOL BP101858-00 Amplifier PCB Card MAG BFR AMP PB JWS-2000 SEM Working Spare
7876 JEOL BP101544-02 Driver PCB Card CLAL DRVR PB JWS-2000 SEM Working Spare
7877 JEOL S20A-0842-0100A/01 JVME-PMC PCB Card JVME-WSIF JWS-2000 Working Spare
7878 JEOL BP102021-00 Driver PCB Card CLAL DRVR2 PB JWS-2000 SEM Working Spare
7879 JEOL BP101760-00 Collector PB PCB Card JWS-2000 SEM Working Spare
7880 JEOL AP002778-00 ARCNET ITF PB PCB Card JWS-2000 Wafer Detect SEM Working Spare
7881 JEOL BP101541-01 STAGE ITF PB PCB Card JWS-2000 Wafer Detect SEM Working Spare
7882 JEOL BP101844-00 MAG CONT PB PCB Card JWS-2000 SEM Wafer Detect Working Spare
7883 JEOL BP102047-00 EXT SCAN PB PCB Card JWS-2000 SEM Wafer Detect Working Spare
7884 JEOL BP102161-00 SEICNT/MIXADDPB PCB Card JWS-2000 SEM System Working Spare
7885 JEOL BP102092-00 OL CONT PB PCB Card JWS-2000 Wafer Detect SEM Working Spare
7886 JEOL BP101734-02 SWP GEN PB(1) PCB Card JWS-2000 Wafer Review SEM Working
7887 JEOL BP101979-00 OLAL CONT PB PCB Card JWS-2000 Wafer Review SEM Working Spare
7888 JEOL BP101864-00 Driver PCB Card OLAL DRVR PB JWS-2000 SEM Working Spare
7889 JEOL BP102044-00 DFC CONT(1) PB PCB Card JWS-2000 Wafer Review SEM Working Spare
7890 JEOL BP101543-01 CLAL CONT(2) PB PCB Card JWS-2000 SEM System Working Spare
7891 JEOL BP102045-00 DFC CONT(2) PB PCB Card JWS-2000 Wafer Review SEM Working Spare
7892 JEOL BP101542-01 CLAL CONT(1) PB PCB Card JWS-2000 SEM System Working Spare
7893 JEOL BP101801-00 SRT CONT PB PCB Card JWS-2000 Wafer Review SEM Working Spare
7894 JEOL BP101498-03 SWEEP GEN PB(2) PCB Card JWS-2000 Wafer Review SEM Working
7895 JEOL BP101773-00 PCD AMP PB PCB Card JWS-2000 Wafer Review SEM Working Spare
7896 Motorola 01-W3394F10D SBC Single Board Computer PCB MVME 2401 JEOL JWS-2000 SEM
7897 JEOL BP102046-00 Backplane Board PCB HT LENS MPB JWS-2000 SEM Working Spare
7898 JEOL BP101865-00 MAG BFR MPB Board PCB JWS-2000 SEM Wafer Review Working Spare
7899 JEOL BP101859-00 SWP MAG MPB Board PCB JWS-2000 SEM Wafer Review Working Spare
7900 JEOL BP101875-00 OL HS PB Board PCB JWS-2000 SEM Wafer Review Working Spare
7901 EBRAINS 650-VME12T Backplane Board PCB 650-VJ212 JEOL JWS-2000 SEM Working Spare
7902 Motorola 01W3394F10G SBC Single Board Computer PCB MVME 2401 JEOL JWS-2000 SEM
7903 Cosel LCA150S-5 Switching Power Supply JEOL JWS-2000 Wafer Review SEM Working Spare
7904 Motorola 01-W3394F07G SBC Single Board Computer PCB MVME 2434 JEOL JWS-2000 SEM
7905 JEOL S20A-1193-0100A/02 Scanner PCB Card JVME-FSG JWS-2000 SEM Working Spare
7906 JEOL S20A-1193-0200A/02 Detector PCB Card JVME-AIC JWS-2000 SEM Working Spare
7907 JEOL BP100669-01 Expander PCB Card EXPNDR-S JWS-2000 SEM Working Spare
7908 JEOL BP101825(00) SEI AMP PB PCB Card JWS-2000 Wafer Review SEM Working Spare
7909 JEOL BP102104 Connector PC Board PCB 4TP-1B815 JWS-7555S SEM Working Spare
7910 SRC SEC-220VE Extender Board PCB Card JEOL JWS-2000 SEM Working Spare
7911 JEOL AP002821-01 IMAGE PRCS PB PCB Card AP003368-00 JWS-2000 SEM Working
7912 SoftSwitching Technologies DS30050A480V4SH2105A 480V Sag Corrector PRODySC Used
7913 SoftSwitching Technologies DS30050A480V4SH2105A 480V Sag Corrector PRODySC Used
7914 SoftSwitching Technologies DS30050A480V4SH2105A 480V Sag Corrector PRODySC Used
7915 SoftSwitching Technologies DS30050A480V4SH2105A 480V Sag Corrector PRODySC Used
7916 SoftSwitching Technologies DS30050A480V4SH2105A 480V Sag Corrector PRODySC Used
7917 SoftSwitching Technologies DS30050A480V4SH2105A 480V Sag Corrector PRODySC Used
7918 SoftSwitching Technologies DS30050A480V4SH2105A 480V Sag Corrector PRODySC Used
7919 SoftSwitching Technologies DySC 50A-480V-3P-4W-S0 480V Sag Corrector Used
7920 SoftSwitching Technologies DySC 50A-480V-3P-4W-S0 480V Sag Corrector Used
7921 SoftSwitching Technologies DySC 50A-480V-3P-4W-S0 480V Sag Corrector Used
7922 SoftSwitching Technologies DySC 50A-480V-3P-4W-S0 480V Sag Corrector Used
7923 SoftSwitching Technologies DySC 50A-480V-3P-4W-S0 480V Sag Corrector Used
7924 SoftSwitching Technologies DS30050A208V3SH2000B 208V Sag Corrector PRODySC Used
7925 SoftSwitching Technologies DS30050A480VSH2000A 480V Sag Corrector PRODySC Used
7926 Horiba STEC SEC-2510-UC-0008 Mass Flow Controller MFC SEC-500 300 SCCM TEOS New
7927 MRC 150mm Hard Etch Bump Kit Eclipse Star Missing Door Shield Refurbished
7928 MRC Materials Research 150mm Hard Etch Bump Kit Eclipse Star Refurbished
7929 MRC Materials Research Hard Etch Bump Kit 150mm Eclipse Star Refurbished
7930 AMAT Applied Materials 515-004 Endura TiN Kit 0020-26289 0020-24530 New Surplus
7931 TEL Tokyo Electron LP Short SS Pod Shield New
7932 Edwards 8317-212-00 PCB Used Working
7933 STEC SEC-7340M MFC Mass Flow Controller SEC-7340 5 SLM 15%O3/O2 Used Working
7934 Horiba STEC SEC-7340M MFC Mass Flow Controller SEC-7340 3 SLM N2 Used Working
7935 SCI BOC 5386249-001 Gas Cabinet Control Box SpecraSafe Untested As-Is
7936 Eurotherm 425A/40A/240V/220V240/4MA20/PA/CL/96/00 Current Limiter Lot of 2 Used
7937 STEC SEC-7340M Mass Flow Controller MFC SEC-7340 3 SLM Ar Used Working
7938 Tylan FC-2960MEP5 Mass Flow Controller MFC 797-091413-310 20 SLPM N2 Used
7939 Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 0.5 SLPM N2 Used Working
7940 Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2900 Series 10 SLPM N2 Used
7941 Horiba STEC SEC-4600M Mass Flow Controller MFC SEC-4600 100 SLM H2 New
7942 MKS P5A869503H6T021 Mass Flow Controller MFC 5000 SCCM 20% O3/O2 New
7943 STEC SEC-4500M Mass Flow Controller MFC 54-123117A18 SEC-4500 10 SLM H2 New
7944 Eurotherm 808 Temp Controller 808/D1/0/0/C2/0/QLPS/(ERMC400)// Used Working
7945 Eurotherm 808 Temp Controller 808/D1/0/0/0/C2/0/QLPS/(ERNC400)// Lot of 4 Used
7946 Watkins-Johnson 908580-001 I/O Interface Assembly PWB 908579-001 Rev. A Used
7947 Eurotherm 808 Temp Controller 808/D1/0/0/C2/0/QLPS/(ERNC400)// Used Working
7948 Tylan FC-2952MEP-T Mass Flow Controller 2950 Series 50SCCM CF4 Used Working
7949 AMAT 0010-09341 Wafer Lift Precision 5000 CVD PH264-01B-C11 Missing Parts As-Is
7950 Qualiflow AFC 202 NC Mass Flow Controller MFC AFC-202 200 SLM N2 New
7951 Alcatel ACP 20 Dry Mechanical Vacuum Pump Used Tested Not Working
7952 Honeywell TSVCTISET-07935 Titanium Vectra Coil 5 Pins TA2004 New
7953 ASM Advanced Semiconductor Materials 16-141270-01 Chamber Process ATM 300MM Used
7954 Brooks Automation 06-80012171-001 Techware 5 Express Controller Rev. F Used
7955 Brooks Automation 06-80012171-001 Techware 5 Express Controller 921-070 Used
7956 Brooks Automation 06-80012171-001 Techware 5 Express Controller Rev. C Used
7957 Brooks Automation 06-80010598-001 Techware 5 Controller 920-057 Used Working
7958 Brooks Automation 06-80010598-001 Techware 5 Controller 920-058 Used Working
7959 Brooks Automation 06-80010598-001 Techware 5 Controller Rev. D 920-057 Used
7960 Brooks Automation 06-80010598-001 Techware 5 Controller 15-XAHFH Rev. C Used
7961 Brooks Automation 06-80010598-001 Techware 5 Controller Rev. C Used Working
7962 Brooks Automation 06-80010598-001 Techware 5 Controller 920-058 Rev. C Used
7963 Brooks Automation 06-80010598-001 Techware 5 Controller 5136-PFB-VME Used
7964 Brooks Automation 001-3710-03 Power Supply 13710-03 26VDC Used Working
7965 Xycarb Ceramics XYA-703077-01 Long Tube Chamber Refurbished
7966 MKS Instruments 152H-14724 Automatic Pressure Controller Type 152 Used Working
7967 AMAT Applied Materials 0040-35851 Polyimide Pedestal Rev. A New
7968 AMAT Applied Materials 0040-35851 Polyimide Pedestal Rev. A Used Working
7969 Omega OS36-2-J-240F Infrared Thermocouples 130660 Reseller Lot of 2 Used Working
7970 UNIT Instruments UFC-1100A Mass Flow Controller 10 SLM N2 Used Working
7971 UNIT Instruments UFC-1100 Mass Flow Controller 1 SLM N2 Refurbished
7972 Granville-Phill​ips 275282 Convectron Pirani Vacuum Gauge 275 Lot of 7 Used
7973 Swagelok SS-DSS6 Diaphragm Sealed Valve NUPRO Reseller Lot of 7 Used Working
7974 SSAC TDML24DL Time Delay Relay Digi-Set Reseller Lot of 6 Used Working
7975 Tem-Tech Lab HYPFU-420M-SI/6​700 Pressure Sensor 15~100PSIG Reseller Lot of 10
7976 Nemic-Lambda EWS-150-24 Power Supply Reseller Lot of 11 Used Working
7977 Dwyer 25PSIG Photohelic Pressure Switch Gauge Series 3000 Reseller Lot of 2 Used
7978 AEG Power Solutions 1A 230-16 H1 Thyristor Switch Thyro-A Used Working
7979 Omron G3PE-225B Solid State Relay Reseller Lot of 40 Used Working
7980 Bussmann LPS-RK-125SPI Dual-Element Time-Delay Fuse Reseller Lot of 6 Used
7981 Schneider Electric LC1D326BD Contactor TeSys LAD4TBDL Reseller Lot of 5 Used
7982 Atlas Copco LZB 34RL LR10-11 Vane Motor Low Speed Reversible 14Nm Used Working
7983 AON Instruments AN-100-VNH Check Valve KF 100 Used Working
7984 UVP 95-0127-06M Long Wave Ultraviolet Lamp B 100 AP/R Used Working
7985 Aera TC FC-985CT-BF Mass Flow Controller MFC FC-985C 20 SCCM O2 Used Working
7986 Aera TC FC-985CT-BF Mass Flow Controller MFC FC-985C 50 SCCM O2 Used Working
7987 Aera TC FC-985CT-BF Mass Flow Controller MFC FC-985C 50 SCCM 1,3-C4F6 Used
7988 Aera TC FC-985CT-BF Mass Flow Controller MFC FC-985C 150 SCCM CF4 Used Working
7989 Tylan FC-2900V Mass Flow Controller MFC 2900 Series 200 SCCM CHF3 Used Working
7990 Tylan FC-2900V Mass Flow Controller MFC 2900 Series 75 SCCM N2 Used Working
7991 Tylan FC-2900KZ Mass Flow Controller MFC 2900 Series 500 SCCM CL2 Used Working
7992 Tylan FC-2900V Mass Flow Controller MFC 2900 Series 200 SCCM CF4 Used Working
7993 BOC Edwards NGD875000 Seismic Restraint System Bracket-PDM New
7994 Wasco P500-51W3A/7883 Vaccum Pressure Switch 20337–1 10/00 Reseller Lot of 9
7995 Wasco V110U-31W3A-X/6284 8 Vaccum Pressure Switch 17086-1 6/98 Reseller Lot of 4
7996 Melama M-100-S131-000 Adjustable Flow Switch Reseller Lot of 2 New
7997 Greene Tweed 9361-SS592 Chemraz O-Ring AS-568A-361 CPD 592 Reseller Lot of 20
7998 APTech AP1006SM 3PW FV4 FV4 IV4 Single Stage Regulator Valve Lot of 4 Used
7999 APTech AP1006SM 3PW FV4 FV4 FV4 Single Stage Regulator Valve Lot of 4 Used
8000 APTech AP1006SM 3PW FV4 FV4 0 Single Stage Regulator Valve Reseller Lot of 3
8001 APTech AP1010SM 3PW FV4 FV4 IV4 Single Stage Regulator Valve Reseller Lot of 3
8002 VERIFLOW IR400SZ0054 Single Stage Regulator Valve Reseller Lot of 5
8003 Veriflow IR400S250PM Single Stage Regulator Valve Reseller Lot of 5 Used Working
8004 APTech AP1010SM 3PW FV4 FV4 0 Single Stage Regulator Valve Reseller Lot of 4
8005 Tescom 64-2660KT420-009 Butt Weld Regulator Used Working
8006 APTech AP1006SM 3PW FV4 FV4 FV4 Single Stage Regulator Valve Reseller Lot of 4
8007 APTech AP1101SHM 3PW FV4 FV4 0 Single Stage Regulator Valve Reseller Lot of 3
8008 APTech AP1006S 3PW FV4 FV4 0 Single Stage Regulator Valve Reseller Lot of 4
8009 APTech AP1006SM 3PW FV4 FV4 IV4 Single Stage Regulator Valve Reseller Lot of 3
8010 APTech AP1010SM 3PW FV4 FV4 FV4 Regulator Valve AP1002S 3PW FV4 MV4 OP AP1502S
8011 APTech AP1502S 2PW MV4 FV4 KYT/42 Single Stage Regulator Valve New
8012 Tescom 501105-R Manual Pressure Regulator Reseller Lot of 14 Used Working
8013 APTech AP1002SM 3PW FV4 FV4 V3 Pressure Regulator AP1006SM 3PW FV4 FV4 L AP1401S
8014 APTech AP1910SM 2PW MV8 MV8 APCI2 V8 Single Stage Regulator Valve New
8015 MKS Cold Cathode Magnetic Sensor Used Working
8016 GE Fanuc IC660HHM501L Hand Held Monitor Genius Loose Charge Port Used Working
8017 TEL Tokyo Electron 10023541 Quartz Weighting Tank 32L New Surplus
8018 KoMiCo Bellows Cover A&B (DRM) Refurbished
8019 OEM-6B ENI OEM-6B-01M5 RF Generator 650W @ 13.56MHz Tested Not Working As-Is
8020 KoMiCo Technology Quartz Spacer Pipe Refurbished
8021 Tegal 99-237-002 Interface Board PCB EEP-2 Used Working
8022 Tegal 99-126-006 Interface Board PCB ICG-6 Used Working
8023 STEC SEC-7330M Mass Flow Controller MFC SEC-7330 30 SCCM Si2H6 Used Working
8024 Lam Research 852-030038-601 Lower Reaction Chamber TCP LAM 9600SE Used Working
8025 Pentagon Technology QIII Surface Particle Detector Dryden As-Is
8026 Siemens 3RT1065-6…6 Motor Starter Contactor Sirius Used Working
8027 Siemens 3TF6933-1D Contactor 820A/600VAC Used Working
8028 Eurotherm TU1451 Thyristor 4 Channel Controller Used
8029 Phoenix Contact QUINT-PS-3×400-500VAC/50/60Hz/3×1,4A-1,1A Power Supply Used
8030 A-B Allen-Bradley 100-B100N*3 Contactor Lot of 2 Used Working
8031 Siemens LMG3F800 Circuit Breaker 800A 600V LMG Series Used Working
8032 Cutler-Hammer KD3400F Circuit Breaker KD 35K KT3200T KT3350T Lot of 2 Used Working
8033 Ebara 217063 Dry Pump Interface Used Working
8034 Oriental Motor Co. A4886-9215KM 5-Phase Stepping Motor Vexta Lot of 2 Used
8035 Oriental Motor Co. SMK216A-GN Stepping Motor 2GN9K Gearhead Lot of 2 Used
8036 DNS Dainippon Screen DS-1608-TR (B) I/O Module 16/16 FC-3000 Used Working
8037 MRC Materials Research Corporation MR-18407 Short Pod Shield SS New
8038 MRC Materials Research Corporation MR-23437 Soft Etch Pie Pan Shield 150mm New
8039 MRC Materials Research Corporation 704344-3 Bell Jar Adaptor Shield New
8040 AMAT Applied Materials CoTi Kit with Collimator MA-20304 Used Working
8041 AMAT Applied Materials 0020-25059 Clamp Ring Used Working
8042 AMAT Applied Materials 0020-22892 Bucket Shield Used Working
8043 AMAT Applied Materials 0020-22647 Upper Shield Used Working
8044 AMAT Applied Materials Endura TiN Kit 0020-26289 0020-24530 Used Working
8045 MRC Materials Research 200mm Hard Etch Bump Kit Refurbished
8046 MRC Materials Research Hard Etch Bump Kit 150mm Refurbished
8047 MRC Materials Research EC002 Etch Kit 150mm Used Working
8048 MRC Materials Research EC002 Etch Kit 150mm Used Working
8049 Metron D127542-062S Dark Space Shield SPA 12 New Surplus
8050 Muto Technology Inc. M-22405 SS Spacer Ring ASM 4157041-0001 Used Working
8051 AMAT Applied Materials 0020-62511 Chamber Shield New Surplus
8052 OEM Group D127146-062A Dark Space Shield RM 12 PRF AL ASM 4628461-001 Used
8053 Foundry Networks FI7202 FastIron II Switch B4000 FI12GM FI24E Used Working
8054 Sti 70011-1000 Light Curtain Controller MiniSafe/FlexSafe MS43-B2-AC1 Used
8055 Sti 70010-1024 70011-1000 Light Curtain Controller MS4324B2-AC1-05X-05R-U MS/F43CNTRL-B2-U-AC1 Used
8056 Yokogawa 370186-B-0/F Data Acquisition Multiple Pen Recorder LR-8100E Used Working
8057 Opto 22 G4PB24 24-Channel Field Control I/O Module Chassis PCB G4 IDC5 Used
8058 Opto 22 G4PB24 24-Channel Field Control I/O Module Chassis PCB G4IDC5 Used
8059 MKS Instruments 653B-13181 Throttle Control Valve Type 653B Used
8060 AMAT Applied Materials Al203 Coated Upper Chamber (R) DPS Poly
8061 AMAT Applied Materials M8-0609-04 Upper Chamber
8062 AMAT Applied Materials 0100-00132 Rev. D Sync Detect PWB Card PCB Used
8063 AMAT Applied Materials 0100-00132 Rev. E Sync Detect PWB Card PCB Used
8064 AMAT Applied Materials 0100-00132 Rev. C Sync Detect PWB Card PCB Used
8065 Thomson Micron 32-113345-7184 Gear Head DT090-005 Parker ES33B-DNR10 Motor Used
8066 Bionics Instrument Co. STX-1550PA Gas Transmitter F2 0-4% PureAir STX-PA New
8067 MKS Instruments SA86527* Rev. 01 Power Distribution 208 3 Ph 120V Used
8068 Cutler-Hammer JDB3125 Industrial Circuit Breaker JDB 35k Eaton New
8069 White Knight CP20-250 Shuttle Adapter for AP200FM New
8070 MKS Instruments 631A13TBEH Baratron Pressure Transducer Refurbished
8071 MRC 4654450-0002 8″ Cu Shield Kit Missing Plenum Shield New
8072 UNIT Instruments UFC-2050A Mass Flow Controller MFC 30 SLM N2 Used
8073 WJ Watkins-Johnson 901531-001 Thermocouple Interface Board PCB Rev. B Lot of 8 Used
8074 AMAT Applied Materials 0100-00011 Chopper Drive PWB Card PCB Rev. K Used
8075 AMAT Applied Materials 0100-00011 Chopper Drive PWB Card PCB Rev. E Used
8076 AMAT Applied Materials 0100-00011 Chopper Drive PWB Card PCB Rev. E Used
8077 Foxboro B1279EE-A Processor Board PCB ASM 54-121550U03 Refurbished
8078 Optimation GCM1010 Rev. A VMB CPU Board PCB Missing Component As-Is
8079 Foxboro B1279EN Processor Board PCB ASM 03-187742U01 Rev. B As-Is
8080 Foxboro B1279EN Processor Board PCB ASM 03-187742-03 Rev. A Used
8081 Foxboro B1279EN Processor Board PCB ASM 03-187742-04 Rev. A Used
8082 Foxboro B1279EN Processor Board PCB ASM 03-187742-01 Rev. A Used
8083 Foxboro K0143AAAN Processor Board PCB ASM 03-187742U01 Rev. B As-Is
8084 Force Computers 100611 SBC PCB Card SYS68K/CPU-6VB Lam Research 810-17034-003 Rev. D Used
8085 Force Computers 100611 SBC PCB Card SYS68K/CPU-6VB Lam Research 810-17034-3 Rev. C Used
8086 ASM 4074515-0001 CPU Processor PCB Card 1068396.45 MZ072B Used Working
8087 ASM 4074515-0001 CPU Processor PCB Card MZ001E Used Working
8088 ASM 03-142707-01 Lo/Hi Temperature Interface Board PCB Rev. B Refurbished
8089 Fortrend Engineering 116-5007-06 A-E Control Process Board PCB Used Working
8090 Edwards D37215020 Vacuum Flash Module Used Working
8091 Hamamatsu A3742-04 AC Camera Adaptor A3742 New
8092 Parker 45300332EVPM Manual Regulator Valve SQ420E-1002PFSFM4.48PMEV Used Working
8093 Parker 45200332EV Manual Regulator Valve SQ420E-502PFSFM4.48PMEV Used Working
8094 Parker 45300332EVPM Manual Regulator Valve SQ420E-502PFSFM4.48PMEV Used Working
8095 MDC 660006 Pneumatic Angle Valve KAV-150-P Used Working
8096 MDC 311074-10 Pneumatic Angle Valve KAV-150 Used Working
8097 Lam Research 715-11006-4-1 Chamber Ring Used Working
8098 TEL Tokyo Electron TRF STG Wafer Transfer Stage Used Working
8099 AMAT Applied Materials 0010-09318 TEOS/Dopant Ampule P5000 Used Working
8100 AMAT Applied Materials 0021-35989 TxZ View Lid Untested As-Is
8101 TEL Tokyo Electron 2985-527776-11 Shutter Assembly 8LHP Used Working
8102 TEL Tokyo Electron 2985-527776-11 Shutter Assembly 8LHP Used Working
8103 Diamond Chain Co. XAU-1189-D-010 Stainless Steel Chain 25SS RIV 10FT Lot of 17 New
8104 Rembe 0502155 Check Rupture Valve ASM 16-191494D01 New Surplus
8105 ASM 16-190469D01 Feedthrough CF35 Reseller Lot of 2 New Surplus
8106 Qualiflow AFC 260 Mass Flow Controller MFC AFC-260 5 SLM N2 New Surplus
8107 Millipore CPVP01PLT Filter Cartridge Fluorogard HP ASM 4015529-0002 Lot of 6 New
8108 Siemens 500-5019 Word and Strobe Output Module 2460547-0001 New Surplus
8109 Watlow Controller RMAA RMER DC10 DA1V Reseller Lot of 5 New Surplus
8110 MST Sensor Cell 9602-5100 9602-5101 9602-5300 Lot of 10 New
8111 Keyence Photoelectric Sensor FS2-60 PZ2-61 FS-V22RP Reseller Lot of 15 New
8112 Millipore L300-4100 Multi-Channel Controller Display L300 Used Working
8113 Millipore L300-2100 Multi-Channel Controller Display L300 Used Working
8114 ADCS Model 969 Low Level Monitor Reseller Lot of 8 Used Working
8115 Fujikin 091539 Pneumatic Valve FPR-SDA-21-6.35UGF-APD Lot of 24 Used Working
8116 FUjikin 094375 Valve Manifold FBSDAL-6.35UGF-2B3-DVD Lot of 11 Used Working
8117 Unipower 001-3153-000 Power Supply UNIMOD HPB-2A2GA3D3D5A Used Working
8118 ASM 16-193094-01 Upper Lift Pin Rev. C Reseller Lot of 7 New Surplus
8119 ASM 16-193094-01 Upper Lift Pin Rev. B & C Reseller Lot of 7 New Surplus
8120 ASM 1028-330-01 Rev. B Heater Lamp/Relay Board to Gas Distribution BHD Cable Lot of 3 New
8121 ACP Series Alcatel ACP 20 Dry Vacuum Roughing Pump 25893 Hours Tested Working
8122 ACP Series Alcatel ACP 20 Dry Vacuum Roughing Pump 31526 Hours Tested Working
8123 ACP Series Alcatel ACP 20 Dry Vacuum Roughing Pump 40873 Hours Tested Working
8124 ACP Series Alcatel ACP 20 Dry Vacuum Roughing Pump 44589 Hours Tested Working
8125 ACP Series Alcatel ACP 20 Dry Vacuum Roughing Pump 23704 Hrs Tested Not Working
8126 ACP Series Alcatel ACP 20 Dry Vacuum Roughing Pump 36639 Hrs Tested Not Working
8127 ACP Series Alcatel ACP 20 Dry Vacuum Roughing Pump 84505 Hours Tested Working
8128 ACP Series Alcatel ACP 20 Dry Vacuum Roughing Pump 3 mTorr Tested Working
8129 AMAT Applied Materials 0020-82818 Aperture Plate New
8130 RF25M RF Power Products 660-093818-002 Power Supply 3150048-000 Refurbished
8131 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95″ 9161 Hr Used
8132 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95″ 9475 Hr Used
8133 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95″ 9414 Hr Used
8134 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95″ 8793 Hr Used
8135 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M 6544 Hours Used Tested Working
8136 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M 8359 Hours Used Tested Working
8137 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M 9062 Hours Used Tested Working
8138 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M 10195 Hrs Used Tested Working
8139 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M 8046 Hours Used Tested Working
8140 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M 7412 Hours Used Tested Working
8141 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M 9556 Hours Used Tested Working
8142 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M 9616 Hours Used Tested Working
8143 Fujitsu Tohoku ZF51B-8010-B0007 ENDURA Front End Module MiTR-E with Robot Used
8144 PDX 1250 AE Advanced Energy 3156024-131 A RF Generator Used Tested Working
8145 RFPP RF Power Products ATL-100RA Automatic Matching Network Astech Used Working
8146 TEL Tokyo Electron D117832 Gas Distribution Ring New
8147 TEL Tokyo Electron 100mm Sput Wafer Holder Shield D124821-100SP Rev.G new
8148 Metron IBG 150mm Wafer Holder Shield D120491 Rev.C new
8149 MRC Materials Research Corp. A115421 Short Tab 150mm Base Weldment Rev.E new
8150 Parker Veriflo 917AOPLPNC/NCS6598 High-Purity Diaphragm Valve 45900415 lot of 12
8151 Signet Ionization Gauge 37-5319 1″ New
8152 Kokusai CQ1400A(01) Accuron CQ-1400A Digital Direct Controller Set used working
8153 Pittman Power Supply EMR-100k working CL-4-8
8154 Pacific Scientific Instruments SC402-010 Servo Controller Used Working
8155 Cutler-Hammer Circuit Breaker GD22k Lot of 5 Used Working
8156 Mimir Optical Energy Controller 515 Used Working
8157 JAI Corporation CV-M30 Monochrome Double Speed Camera Used Working
8158 ALLEN-BRADLEY 160-AA02NSF1P1 Speed Controller
8159 Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V5.16A Used Working
8160 AMAT Applied Materials 0010-09341 Wafer Lift Precision 5000 Rev.N USED
8161 AMAT Applied Materials 0010-09340 Susceptor Lift Precision 5000 USED
8162 MKS Instruments 122BA-0010EB Pressure Transducer Type 122B USED
8163 EDWARDS A52850000 QDP Exhaust Pressure Module Series 3
8164 PERKIN-ELMER 677-0926-001 A2 PCB Circuit Board
8165 PERKIN-ELMER 677-9560-003 A4 PCB Circuit Board
8166 PERKIN-ELMER 677-7098-001 A4 PCB Circuit Board
8167 PERKIN-ELMER 677-7099-001 A5 PCB Circuit Board
8168 PERKIN-ELMER 677-9561-003 A5 PCB Circuit Board
8169 PERKIN-ELMER 677-9559-003 A3 PCB Circuit Board
8170 PERKIN-ELMER 677-9504-005 A6 PCB Circuit Board
8171 MITSUI CHEMICALS Icros Tape 230mm x 100M (Backgrinding Wafer Type)
8172 NORTON SAINT GOBAIN 3497708R CVD Silicon carbide Coated Heating Igniter
8173 PORTER CO. 10019609 Manifold Assembly Drain General Tree Acid
8174 Edwards NGG977000 EMO Bypass Box New Surplus
8175 DIP Proofing Technologies DPI52S 25-208 Voltage Dip Proofing Inverter Used
8176 Echelon 73000 SLTA 2 IQ Serial Interface Module LonTalk Adapter New Surplus
8177 Edwards iQ Interface Module Cable Kit D37207593 New Surplus
8178 EDWARDS IQ Interface Cable Install Kit
8179 MKS / HPS 100996755 Pneumatic Bellows Valve
8180 Edwards C10517294 Braided Flexible Pipe NGA328000 Lot of 10 New
8181 Nor-Cal Flex Hose FH-400 FH-300 Reseller Lot of 10 Used
8182 Nor-Cal LH-200 Flex Hose 8″ 10″ NW50 Reseller Lot of 35 New
8183 Nor-Cal Products ANC-NW-40-ISO-100-OF Conical Adapter Nipple NW40 – ISO100 6.5″ NEW OTHER
8184 OMRON GT1-DA04 Analog Unit
8185 Omron GT1-ID32ML Digital Unit Reseller Lot of 5 Used Working
8186 OMRON GT1-TS04P Analog Input Unit
8187 OMRON GT1-ID16ML-1 Output Module Digital Unit
8188 Moeller Klockner FAZN B10-2 Circuit Breaker Lot of 16 Used Working
8189 OLYMPUS MD Plan 150 0.95 F=180 Microscope Objective
8190 OLYMPUS MSPlan 50 0.80 Microscope Objective IC50
8191 NIKON BD PlanApo 40/.080 210/0 Microscope Objective
8192 NIKON BD PlanApo 150/0.9 210/0 Microscope Objective
8193 NIKON M PlanApo 150/0.95 210/0 Microscope Objective
8194 Swagelok 6L-FV4B-VR4 Verticle Flow Sensor New Surplus
8195 New ASM 1012-264-01 Line Gas OW PCSR Inlet 1-MXG Manifold ALDG New
8196 ASM Advanced Semiconductor Materials 16-401206-01 Retainer Gas Line Reactor 3 POSN New Surplus
8197 Canon E016C Idustrial Laser with Cast Mount VR1 BH8-1835-01 Untested As-Is
8198 ASM Advanced Semiconductor Materials 1012-907-01 Reactor Plate Cable New Surplus
8199 ASM 1025-587-01 Interconnect Cable P3000/DE Power Cab to PM New Surplus
8200 AMAT Materials 0040-99951 200mm Polyimide Pedestal 0020-34017 Rev. B Used
8201 New ASM 02-196601-01 Assembly Cable Reactor PLT to SC
8202 ASM 77-125331A21 Electronic Liquid Level Sensor New Surplus
8203 ASM Advanced Semiconductor Materials 16-196779-01 Hold Valve Holder 2 Bracket ALD6 EZWrap New Surplus
8204 ASM Advanced Semiconductor Materials 16-196773-01 Hot Valve Holder 1 Bracket ALD6 EZWrap New Surplus
8205 ASM Advanced Semiconductor Materials 16-401284-01 Retainer Gas Line Reactor Shield Lot of 2 New
8206 ASM Advanced Semiconductor Materials 16-401208-01 Retainer Gas Line Reactor Shield 2 POSN Lot of 2 New
8207 ASM Advanced Semiconductor Materials 16-195924-01 Lower LOTO Bracket New Surplus
8208 ASM Advanced Semiconductor Materials 16-195818-01 Spacer Rear Upper Door Lot of 3 New Surplus
8209 ASM Advanced Semiconductor Materials 16-195930-01 LOTO Hinge Shaft Reseller Lot of 2 New Surplus
8210 ASM Advanced Semiconductor Materials 16-195921-01 Upper LOTO Mount Bar Lot of 3 New Surplus
8211 ASM Advanced Semiconductor Materials 02-196093-01 Thermal Fuse Cable 192C Reseller Lot of 30 New Surplus
8212 ASM 1019-564-01 Gas Line Heater Kit Zone 2 Watlow A004759 A004760 027113500 New Surplus
8213 ASM 1019-565-01 Gas Line Heaters Zone 2 Watlow A004755 A004756 A004757 New Surplus
8214 ASM Advanced Semiconductor Materials 16-404226-01 OW Nitrogen Ballast Feed Line Lot of 7 New Surplus
8215 ASM Advanced Semiconductor Materials 16-195366-01 Temperature Controller Mounting Bracket New Surplus
8216 ASM Advanced Semiconductor Materials 16-403696-01 Cover Side Back Half Lot of 2 New Surplus
8217 ASM Advanced Semiconductor Materials 16-400513-01 Cover Vacuum Exhaust Front Side New Surplus
8218 ASM Advanced Semiconductor Materials 1034-770-01 Enclosure Gas Panel Rear NEW
8219 Hakko Electronics V606eM10 Touch Screen Interface Monitouch Used Working
8220 Mitsubishi Melsec PLC Component FX2N-64DNET FX2N-AD FX0N-8X-ES/UL Lot of 14 Used Working
8221 Honeywell TSQUTWBTM-08263 Target 49.5W/10Ti 0.38×7.715×11.640×13.050″ New
8222 Mitsubishi FX2N-2LC PLC Programmable Controler Reseller Lot of 12 Used
8223 RKC Instrument REX-G9 Digital Temperature Controller Used Working
8224 ASM / KYOCERA 1051-446-01 / 709216 Exhaust Duct / CBS-RC1 NEW
8225 Kytola Instruments SLM3-GC45-GP Liquid Flow Meter SLM3 Lot of 4 New
8226 Lasair 1510-(8) Particle Counter
8227 ASM 54-122793A23 / Stec SEC-Z514MG MFC Mass Flow Controller 1000SCCM N2
8228 ASM 54-122793A14 / P5A869503H6T021 MFC Mass Flow Controller 5000SCCM 20% O3/O2
8229 ASM 54-106931A69 / MKS P99A12TFH62TAA MFC Mass Flow Controller 100Torr N2 PC-99
8230 Qualiflow AFC-260 Mass Flow Controller MFC 54-106859A83 500 SCCM NF3 Used
8231 Dynatronix 138-1202-115 Process Board PCB REG BRD Used Working
8232 ASM 54-106931A70 / MKS P99A12TGH62TAA Integrated Pressure Controller with MFC
8233 Dynatronix 138-1203-02 Timing Board PCB TIMING BRD Used Working
8234 SHOWA DENKI EM-63S Electric Blower Used
8235 INVENSYS BROOK CROMPTOR 090W000969 Chiller Pump Used
8236 Lam Research 810-025369-002 Chiller Resistivity Board PCB Rev. E5 Used
8237 GSI Lumonics 311-15593-1 Control PCB KLA-Tencor Used Working
8238 GSI General Scanning Inc. E11-13209-7 Rev. C X-Y Scanner Board PCB Used Working
8239 ULTRAPOINTE 276 PMT Preamp Used
8240 Ultrapointe 000134 Page Scanner Control PCB Rev. 06 KLA-Tencor CRS-3000 Used
8241 Ultrapointe 001000 Fast Z Controller PCB Rev. 02 Used Working
8242 Ultrapointe 801-1002-01 Filter Wheel Driver Board PCB Rev. 03 KLA CRS-1010S Used
8243 Delta FLO SP-5N-120LC 940994 Supplementary Heater Used
8244 JDS UNIPHASE 2214-25ML Laser Used
8245 Olympus BH3-5NRE-M Microscope Assy with Objectives USED
8246 NT International 4210-060G-F04-A00-A Pressure Transducer 4210 New
8247 FSM Chamber Quartz Heating Bulb Reseller Lot of 7 Used Working
8248 Ultrapointe 1010 Power Supply for KLA Laser Imaging System USED
8249 Yaskawa Electric CACR-HR / 01BAB11Y11 Servo Pack / Server Drive USED
8250 DNS Dainippon Screen FEPS-002 USED
8251 NEAT 330UP Programable Logic Controller, 208 VAC USED
8252 Yaskawa Electric USAREM-01DS2K AC Servo Motor USED
8253 Ultrapointe Corp. 000483 SDP Frame Grabber PCB Used
8254 Optronics CS-450 Auto Exposure Camera CS-450 USED
8255 Edwards D155-01-510 Hand Held Remote For Spectron 600 Leak Detector Used Working
8256 Branson/IPC 1210R-DTH Ultra Sonic Cleaner USED
8257 Lam Research 853-495457-001 Pedestal, CSTR, 2.2K TMP Lifter Rev E2 NEW
8258 Canon PG-CR-B0D1 Scanner Wafer Transfer Robot & Controller USED
8259 LEICA 572089 Leitz Ergoplan FSA-WR Used
8260 APPLIED MATERIALS 0020-31147 Base Insert Used
8261 RIGAKU 6960-9802 / S24L03 300mm Wafer Jig WX300 NEW
8262 Leybold FL 9.0 HP 892 88 Helium FlexLine FL 9 MT / Aer NEW OTHER
8263 RF PLASMA PRODUCTS T-502S RF Generator Used
8264 RF PLASMA PRODUCTS T501 RF Generator Used
8265 TOSHIBA CERAMICS / SVG TPSS-CU BOAT-E-V Boat
8266 HERAEUS / SVG 42313 Quartz Furnace / Process Tube Liner
8267 TEL Tokyo Electron DS2105-120533-11 Quartz Furnace Process Tube SVG
8268 HERAEUS / SVG 56430 Quartz Furnace / Process Tube Vert.
8269 Watlow 73080-20 Immerson Heater 4.8kw, 208v, 8.83 x 8.83 NEW
8270 OPTRONICS Remote Camera Head and Cable 0.55 – 1.1X Used
8271 Mykrolis QCDZATXTH Filter Quickchange T-Pillar DISPO Filter 0.05µm Prewet NEW OTHER
8272 Mykrolis QCCVATM01K Filter Catridge QuickChange ATM Chemlock 0.1µm Prewet New
8273 Mykrolis QCCZATM01K Filter Quickchange ATM Chemlock 0.05µm Prewet NEW OTHER
8274 AMAT Applied Materials 0030-70085 Front Panel and Light Pen (no monitor cable) USED
8275 Brooks Automation 1358EZ144N/C Flow Meter NEW OTHER
8276 Canon BG4-8705 / BH8-1761-01 PCB / MVCD USED
8277 MASTR III 188D5452G1 Multiple Receiver Shelf Rev B NEW OTHER
8278 Celestica V019219U-001 VRM & Heatsink A2210 Upgrade Lot of 145 New
8279 Sun Microsystems 595-4399-01 SCSI Host Apdaptor Card PCB X6540A Lot of 6 New
8280 Sun Microsystems 595-4605-05 Video Adaptor Card PCB 501-4788 Lot of 10 New
8281 ATI 100-130290 Video Graphics Card PCB Radeon 7000 32MDDR Lot of 27 New
8282 Sapphire 1024-HC26-02-SA Radeon Graphic Card PCB 9200SE 128MDDR TVO Lot of 72 New
8283 CyberResearch RDC-5K Rackdrawer Industrial Keyboard HulaPoint Lot of 3 New
8284 AMD 0180118-002 Motherboard PCB LTC1709-7EG CPU New Surplus
8285 Lam Research 715-011531-102 Electrode, Aluminum, Anodized NEW
8286 Astec SS500-3405 Power Supply 500W NEW OTHER
8287 Astec SS500-3405 Power Supply 500W NEW OTHER
8288 NMB Technologies Corp. SD011A450NSW-1 Power Supply GM450SSISSV Lot of 10 Used
8289 TOPAZ 01706-01P3 Line 2 Power Conditioner 500 VA USED
8290 Honeywell TSC2TWNBX-09154 Target, 49.5 Grade Tungsten +10% Titanium NEW
8291 Emoteq BH02301-AF02-HBE Brushless DC Motor ASM T61105-24 Refurbished
8292 Emoteq T61105-58 / QB02300-T04-HBE Brushless Servo Motor / Brake Encoder SELLER REFURBISHED
8293 A-B Allen-Bradley 800MS-H33BLA Selector Maintained Switch Lot of 11 New
8294 ASM Advanced Semiconductor Materials 1048-432-01 Kit-Advance Purchase-Elec Add-Universal NEW
8295 Aetrium 330MIL 5050 IC Gravity Handler USED
8296 VAT 02112-AK44-AAJ1 Instert Valve Classic MONOVAT ASM 16-180621B01
8297 New ASM 16-141270Y01 Chamber Process ATM 300 Test
8298 New ASM 16-189630D01 Support SUSC Hot/Cold CNTG 300MM Grind New
8299 ASM Advanced Semiconductor Materials 16-350881C01 Quartz Robot Alignment Tool Lot of 17 New Surplus
8300 New ASM 91210-35118 High Speed Switching Manifold Valve New
8301 New ASM 02-187891-01 Assembly H2 Chassis Modified E3000
8302 New ASM 16-141264-01 Panel Side 300mm Split Flow Chamber New
8303 ASM Advanced Semiconductor Materials 03-322717A01 PCB Assembly Dynamic Ram 2MB NEW
8304 ASM Advanced Semiconductor Materials 03-187154D01 PCB Assembly HW Interlock Gate Valve NEW
8305 ASM Advanced Semiconductor Materials 1049-842-01 Kit Retrofit SCE 3 BK 1200 MOD VSL NEW
8306 ASM Advanced Semiconductor Materials 16-400177-01 Plate Spacer Reactor Anodized NEW
8307 New ASM 3753603-01 Shield PCE LWR Side
8308 New ASM 73055-72384 Insulator Plate / CBS RC1
8309 New ASM 16-351416D01 Quartz Arm 150mm
8310 ASM Advanced Semiconductor Materials 16-187152B01 Quartz Pin Lot of 13 New Surplus
8311 New ASM 16-350907D01 Quartz Arm 150mm
8312 New ASM 16-351198D01 Quartz
8313 New ASM 16-351197D01 Quartz
8314 New ASM 16-350114E01 Quartz
8315 New ASM 16-350115E01 Quartz
8316 New ASM 16-179191-99 Quartz Effector End P8300 DTS
8317 16-178842D01 Flange Rear Chamber ATM 300mm
8318 3751058-01 Flange Front Chamber ATM 300mm
8319 New ASM 16-141279-01 Panel – Side 300mm Split Flow Chamber
8320 New ASM 78-120442A07 OBS/End Effector Edge Grip 300mm
8321 New ASM P114295400 Kit – Swap – FLR Generic – Dev
8322 New ASM 16-179291D01 Ring – Support 300mm DTS
8323 ASM Advanced Semiconductor Materials 16-141273D01 Flange Front Chamber Split Flow 300mm New Surplus
8324 ASM Advanced Semiconductor Materials 02-146250-01 Lower Loadlock Enclosure New
8325 ASM Advanced Semiconductor Materials 02-146714-01 Upper Loadlock Enclosure New
8326 Turck Bi2-EGT08-AP6X-V1131/S100 Inductive Sensor ASM 1055-780-01 Lot of 15 New Surplus
8327 ASM Advanced Semiconcductor Materials 1055-175-01 RH SI Vent Out Line Lot of 2 New Surplus
8328 WATKINS JOHNSON 903168-001 PCB WJ952 MFC Regulator
8329 WATKINS JOHNSON 910401-001 PCB TC486 Input Card
8330 WATKINS JOHNSON 910401-001 PCB TC486 Input Card
8331 TECHNOLOGY 80 INC. 4323/33 PCB Control Card
8332 ZIATECH ZT-8902 PCB CPU Board SBC 486
8333 APL 980758-001 PCB TTL I/O Card
8334 WATKINS JOHNSON 902468-001 PCB WJ951 Output Card
8335 RLC ENTERPRISES PIO-64A PCB I/O module
8336 RLC ENTERPRISES PIO-64A PCB I/O module
8337 847356 PCB CPU Board
8338 WATKINS JOHNSON 903171-001 PCB Liquid Source I/O
8339 Parker CM231XX-112251 AC Servo Motor Compumotor Used Working
8340 APPLIED MATERIALS 9240-04009 Kit, Contactor EH145 New
8341 HP Hewlett-Packard 10746-60001 Binary Interface Board PCB Card Rev. C Used
8342 JOHNSON MATTHEY TSQUALWDX-05635 X-Long Quantum Target 7.83 x 11.640 x 13.05″ New
8343 JOHNSON MATTHEY P1000-05635 Target 0.975 x 11.640″ New
8344 ASM 16-190469D01 Feedthrough CF35 Reseller Lot of 3 New Surplus
8345 ASM Advanced Semiconductor Materials 16-193086-01 Weldment Foot Side New Surplus
8346 New ASM 03-188870D01 PCB Assembly – DC Interlock III CE without H2
8347 ASM Advanced Semiconductor Materials 16-193109-01 Weldment Foot Rear New Surplus
8348 ASM 16-193094-01 Upper Lift Pin Rev. B Reseller Lot of 7 New Surplus
8349 ASM Advanced Semiconductor Materials 73021-70380 Pipe D New Surplus
8350 ASM Advanced Semiconductor Materials 16-332677D01 Laser Sensor Base Lot of 9 New Surplus
8351 New ASM 77-106768A96 Sensor – H2 0-1000 PPM
8352 ASM Advanced Semiconductor Materials 73055-72435 View Port New Surplus
8353 New ASM 50-125708A27 Swagelok Valve Pneumatic NC 1.125″ W-Seal Actuator
8354 New ASM 02-184430-01 OBS Assembly – Fixt – Gate Valve Liquid Cooled
8355 New ASM 16-191038D01 Feed Through – CF5 TC K-Type
8356 ASM Advanced Semiconductor Materials 16-400513-01 Cover Vacuum Exhaust Front Side New Surplus
8357 ASM Advanced Semiconductor Materials 16-191171D01 Valve Mounting Plate Left Labeled AL New Surplus
8358 ASM 16-404844-01 Weldment Launder Low Volume Rev.A
8359 ASM 16-193482-01 Susceptor 300mm Passivated Reworked
8360 New ASM 83-125000A56 End Effector ATM RB (SUP by 16-180503D01)
8361 New ASM 845003399 Valve Gate Manual 14036-PE06
8362 New ASM 02-180574D01 Assembly RLL Top Cover
8363 New ASM 02-180573D01 Assembly LLL Top Cover
8364 New ASM 50-125108A38 Valve ISO NC VAC Pneumatic with Purge
8365 New ASM 02-193179-01 Assembly Gauge Lift Pin
8366 New ASM 16-187525D01 Retainer 300mm LDLK Lid Window
8367 New ASM 1005-712-01 CTRLR Continuous Liquid Level Sensor
8368 ASM Advanced Semiconductor Materials 16-404784-01 Low Volume Wafer Edge Shield 150mm New Surplus
8369 New ASM 63-119074A17 Smart Transmitter H2 0-1000 PPM
8370 New ASM 54-106931A65 / MKS 649A-25466 MFC Mass Flow Controller 500SCCM H2
8371 New ASM 16-351197D01 Base Ship Box DTS/A Paddle 200mm
8372 New ASM 16-351198D01 Lid Ship Box DTS/A Paddle 200mm
8373 ASM Advanced Semiconductor Materials 04-193446-01 Kit Tools P3000 MK1 NEW
8374 ASM Advanced Semiconductor Materials 02-146554-01 Assembly Dolly Gas Module NEW
8375 Moeller NZM9-250 Circuit Breaker ZM9A-225-NA Used
8376 Siemens 6ES5 095-8MB02 Compact Controller Simatic S5 Used
8377 Siemens 6ES5 482-8MA13 I/O Module Simatic S5 Used Working
8378 RIKEN KEIKI GD-K8DG Gas Detector Used
8379 TEKTRONIX DG2020A Data Generator USED
8380 TEKTRONIX HFS9009 Stimulus System USED
8381 Pall T94711286010 Cartridge Filter 0.05µm Filter
8382 Mykrolis IHAV01P01 Intercept HPX 0.1 (DHF 0.03) .1µm Filter
8383 Mykrolis QCCZATM01K QuickChange ATM Chemlock .05µm Prewet Filter
8384 Entegris CTFC01TPEK Cartridge Filter 10µm
8385 Mykrolis CTPR01P01K Fluorogard CL PRS 10″ 40µm Filter
8386 Entegris TRCXATE01K Torrento ATE 10nm Chemlock Liquid Filter New
8387 Mykrolis QCCYATE01K Quickchange ATE Chemlock Cartridge 0.03µm Filter
8388 Plasma Therm Etch Chamber Used
8389 MultiProbe MultiScan Atomic Force Probe Incomplete For Parts As-Is
8390 MultiProbe MultiScan Atomic Force Probe Computer Rack
8391 Silicon Thermal T250P.8 Chiller Solid-State Temp Control 800w
8392 New England Affiliated Technologies Anti-Resonance ÷10 Microstepper MDM7
8393 Presto Engineering 1207 LGA CPI Soft Dock Solution
8394 New ASM 1058-635-01 Watlow Heater-Source 1 E530 220V 176W New
8395 Canon EX3/EX4 Stepper Beam Delivery System
8396 New ASM 1058-636-01 Watlow Heater-Source 1 E540 220V 214W New
8397 New ASM 1058-637-01 Watlow Heater-Source 1 E730 220V 318W New
8398 New ASM 1058-638-01 Watlow Heater-Source 3 E740 220V 229W New
8399 Honeywell TSQUALWDX-07821 Sputtering Target 59Al/1Cu 7.830×11.640×13.050″ New
8400 Kenwood CS-4125 20 MHz Oscilliscope USED
8401 NEAT 103M Micro Stepping Drive
8402 Optronics LX-450 Remote Controlled Camera with D3130 Camera Head Used
8403 Optronics CZ-450 Remote Controlled Camera Controller Used
8404 Optronics LX-450Z Remote Controlled Camera Controller Used
8405 Integrated Dynamic Engineering Active Isolation System TC-V3
8406 Olympus 60660 DEI-750D Remote Controlled Camera with 54158 Camera Head
8407 Cavendish / Nanonics NSOM Topaz Electronic Controller with Manual
8408 Nanonics Imaging LTD APD Counter & Power Supply Used
8409 Nanonics Signal Processing Module
8410 Nanonics APD Interface Box Used
8411 Nanonics NSOM Topaz Interface Module Used
8412 Fast ComTec MPA/SBB 9805163 Multi Parameter Small Bus Box Used
8413 Nanonics Stage Bus with Cables Used
8414 MONITOR INDUSTRIES 83A Power Supply, AC Magnetic Field Cancellation System USED
8415 Kokusai Electric CX1207 Cassette Loader USED
8416 Kokusai Electric T2DC2-10543 Switching Panel D-Poly-Si USED
8417 Hitachi 710-S00242A Computer Controller
8418 Cosmos PS-2DPNS Gas Detector USED
8419 Panasonic MSM021A1E Servo Motor USED
8420 Oriental Motor UDX5128 Super Vexta 5 Phase Driver USED
8421 Oriental Motor UDX5103 Super Vexta 5 Phase Driver USED
8422 Kokusai Electric TBD Vertron DJ-825V Load Robot USED
8423 Kokusai Electric TBD Vertron DJ-825V Cassette Loader (Transport) USED
8424 IRIE KOKEN CO AV71 Angle Valve (Pneumatic) I.D. 3-1/4″ / 83mm USED
8425 IRIE KOKEN CO AV53 Angle Valve (Pneumatic) I.D. 2-1/8″ / 52mm USED
8426 Panasonic MSD021A1X AC Servo Driver USED
8427 Panasonic MSD5A1A1X AC Servo Driver USED
8428 PROGRESSIVE TECHNOLOGIES 4300C-DG Sentry Supervisor USED
8429 Sunx M-625-C01 Wafer Address Sensor M-625 Used Working
8430 MKS Instruments 121AA-00010B Signal Conditioner w/Pressure Transducer USED
8431 Kokusai Electric SCOM1A / D1E01221B PCB USED
8432 Kokusai Electric KBC CPU-7 A/0 D1E01224 PCB USED
8433 Kokusai Electric MCOS/A1 D1E01079A PCB USED
8434 Kokusai Electric MCOM/A1 D1E01078A PCB USED
8435 Kokusai Electric SCOM3A A/1 D1E01225A PCB USED
8436 Kokusai Electric DIO/A0 D1E01150 PCB USED
8437 Kokusai Electric CX02BB A/0 D1E01242 PCB USED
8438 Kokusai Electric CNNT3/A1 D2E01381A PCB USED
8439 FSI B/N209104-200 PCB Rev B USED
8440 Kokusai Electric PLMDRV1 A/3 D3E01156C PCB USED
8441 Kokusai Electric ACMDR A/2 D3E01155B PCB USED
8442 Kokusai Electric PLMDRV7/A1 D3E01373A PCB USED
8443 Kokusai Electric MDRV / AO D2E01139 PCB USED
8444 Kokusai Electric PFS/A1 D2E01080A PCB Vertron Power USED
8445 Horiba STEC SEC-7340M MFC Mass Flow Controller 7340 5SLM 15% O3/O2 USED
8446 Horiba STEC SEC-7330M MFC Mass Flow Controller 7330 1SLM Ar USED
8447 HP Hewlett-Packard HP3235 Switch Test Unit – Missing Power Supply USED
8448 Agilent HP E2625A Infinium Communication Mask Test Kit USED
8449 Giga-tronics 80302A Power Sensor Used Working
8450 Kokusai Electric CQ-1500A / CQ-1500A (01) Digital Direct Controller w/Cable (1 Set) USED
8451 Kokusai Electric DN-130L Overheat Protector USED
8452 Kokusai Electric CX2402 Controller USED
8453 LG 10110000194 Base Unit GM4-B04M Lot of 6 Used Working
8454 LG G4Q-TR2B Controller USED
8455 LG G4L-CUEA Controller USED
8456 LG K4P-15AS CPU Module Master-K V1.6 V3.4 Reseller Lot of 7 Used Workinga
8457 LG GM4-PA1A Programmable Logic Controller USED
8458 EUROTHERM 2704/VH/2XX/XX/D4/XX/XX/XX/A2/XX/ENG Advanced MultiLoop Temp Controller USED
8459 Cosmos VD-2 / V-SC-1 Driver Lot of 3 USED
8460 Fine Suntronix MSF300-24 Power Supply Lot of 5 Used
8461 TEMTECH NPS1000-420-1 Setpoint Comparator USED
8462 KARL SUSS PH150 Micropositioner / Micromanipulator Probe Head
8463 Parker N0703FE-NMSB Motor RT90-015-021LB Gearhead Used
8464 Lucas Labs OVDS-7010 Cat Reference Module Used Working
8465 MICRON FORCE INSTRUMENTS RTP RealTime Prober Controller Used
8466 SPECTRA-PHYSICS 7300C-Y4 / 7310 7300 Laser Diode Module w/ 7310 Remote Used
8467 SPECTRA-PHYSICS R2-8 Laser Power Supply Used
8468 CONOPTICS M360-80 E.O. Modulator Z 50 Ohm S.E. Used
8469 CARL ZEISS 45 24 68 Laser Power Supply Nag HeNe Used
8470 SPECTRA-PHYSICS 7910-Y4-106 Laser Used
8471 Nemic Lambda HR-9F Power Supply 5V 15V 24V Lot of 14 Used Working
8472 INTEGRATED DYNAMICS ENGINEERING TC Active Isolation System Used
8473 ASM 1050-579-01 Kit – PWR CAB XP4 EMERALD K-MODEL
8474 ASM 1050-580-01 Kit – CABINET RF GEN EMERALD K-MODEL
8475 ASM 1046-233-01 / Emerson IMP4-2N0-2N0-00 Power Supply ±1 15VDC 20A Panel Mount
8476 ASM 1045-387-01 V-PAN VESSEL LEVELING
8477 Nikon Fiber Optic Light Source
8478 Nikon Fiber Optic Light Source PSM-11520
8479 Inovys Ocelot 512 Channel SOC Tester inTEST 992797 in2-IVOCELOT Untested As-Is (2 Pieces)
8480 New Focus 9091 Single Mode Fiber Positioner
8481 NM Laser Products LST400D Laser Shutter Safety Interlock
8482 TEL Tokyo Electron DEV Cup Assembly ACT 8 New
8483 TEL Tokyo Electron Main Cup Clean Track ACT8 200mm Used Working
8484 TEL Tokyo Electron 2910-292847-11 Upper Cup (SCT8-L) Clean Track ACT8 Used
8485 TEL Tokyo Electron 2910-221837-11 Inner Cup (SCT-R) Clean Track ACT8 200mm Used
8486 TEKTRONIX 7904 Oscilloscope, 4-Slot Mainframe USED
8487 HIOS CLT-50 Power Supply Reseller Lot of 4 Used Working
8488 TEL Tokyo Electron Exhaust Pipe 2910-305871-11 2910-302708-11 2910-305872-11 Lot of 6 New Surplus
8489 Oriental Motor UDX5107 5-Phase Driver Super VEXTA Used Working
8490 ASM 16-192747-01 REFLECTOR-UPPER HEATER INNER SST New
8491 ASM 16-192746-01 REFLECTOR UPPER HEATER OUTER SST New
8492 ASM 40-106215A31 EBM PAPST FAN-BCKWRD CURVED CENTRIFUGAL New
8493 EUROTHERM 7100A Single Phase SCR Power Controller / 22A/22V USED
8494 MOXA DE-303 NPort Server Pro 16 Port Serial Device USED
8495 Mycom SD45-231 SD45-210 Stepping Motor Driver Lot of 4 Used
8496 TELEFRANK TZP 80-2405/S AC-DC Converter USED
8497 SANWA HYDROTECH MWT 151 / IC411/IP55/EFF 84.0% Pump 200V
8498 ASM 26-110791A24 EATON CIRCUIT BREAKER-FLEX DISCONNECT L New
8499 Pentagon Technologies 360310096D Particle Control Shield Battery Pack DE-10-BP-PF6 Lot of 24 New
8500 ASM 02-180175-01 ASSY-MTC-P8300-NITRIDE New
8501 Precise Sensors 3421-50-G-B4-Q3682 Transducer New Surplus
8502 SMC VV5Q11-08-DAJ00902 Pneumatic Manifold ASM 50-125207A18 New
8503 SMC VV5Q11-08-DAJ00906 Pneumatic Manifold ASM 50-125207A19 New
8504 SMC VV5Q11-08-DAJ00907 Pneumatic Manifold ASM 50-125207A20 New
8505 Pentagon Technologies 35031013D Particle Shield Blower DE-10-MBU Lot of 5 New
8506 ASM 02-142758-10 ASSY-CA-RIGHT LOADLOCK WAFER MAPPER OMRON E3L-2RC4
8507 ASM 02-142758-02 ASSY-CA-LLL WAFER PRESENT GATE RIGHT OMRON E3L-2R
8508 ASM 02-142758-06 ASSY-CA-PM3 WAFER PRESENT SENSOR RIGHT OMRON E3L-2RC4
8509 ASM 02-142758-01 ASSY-CA-LLL WAFER PRESENT GATE LEFT OMRON E3L-2RC4
8510 ASM 02-142758-05 ASSY-CA-PM3 WAFER PRESENT SENSOR LEFT OMRON E3L-2RC4
8511 ASM 02-142758-09 ASSY-CA-LEFT LOADLOCK WAFER MAPPER OMRON E3L-2RC4
8512 ASM 77-123776A40 SENSOR-H2-0-4% PUREAIRE GS-1550DF
8513 ASM 02-144860-01 ASSY-CA-WHM-PRESS SW-N2 PRI PRG PRESS 506008-649
8514 ASM 02-144861-01 ASSY-CA-WHM-PRESS SW-N2 WAND PRESS BRISTOL BABCOCK 506008-649
8515 TEL Tokyo Electron COT Cup Assembly ACT 8 New
8516 TEL Tokyo Electron 2910-292817-11 Upper Cup (SCT8-L) Clean Track ACT8 200mm Used
8517 ASM 35031012D Large Hose Set DE-10RHS-L 93-123870A07 93-123870A13 Lot of 8 New
8518 ASM 83-122238A07 SCALE-GAS CYLINDER-DIGITAL READOUT SPAN LR300
8519 ASM 77-120225A11 SENSOR-LASER-PHOTOELECTRIC PNP OUT OMRON E3L-2RC4
8520 ASM 16-193137-01 RING-CLAMP-UPPER
8521 TEL Tokyo Electron 2985-400056-10 HP EXT, COVER ASSEMBLY NEW OTHER
8522 TEL Tokyo Electron 200mm Pincette Robot End Effector New
8523 ASM 16-141270-01 CHAMBER-PROCESS-ATM-300MM
8524 ASM 88-123826A06 Wafer Boat 18 Count P+/Boron 150mm New
8525 MKS INSTRUMENTS SA86527-R Power Distribution 208 3 Ph IN / 120V Out Used
8526 Mitsubishi FX2N-32MR-DS Programmable Controller PLC Melsec Used Working
8527 ASM Advanced Semiconductor Materials 16-350880D01 Align Center Tool Plate Lot of 8 New Surplus
8528 ASM Advanced Semiconductor Materials 16-350901D01 Laser Alignment Tool Reseller Lot of 7 New Surplus
8529 ASM Advanced Semiconductor Materials 02-173020C01 Split Nipple Reseller Lot of 2 New Surplus
8530 ASM 16-404781-01 PLATE-RC-BASE-5MM LIP-GAMMA
8531 ASM 16-404782-01 SUSCEPTOR-150MM X .52MM POCKET-GAMMA
8532 ASM Advanced Semiconductor Materials 02-190352D01 Quartz Crucible Lot of 12 New
8533 ASM Advanced Semiconductor Materials 16-404607-01 Pin Head Ti Round No Hole Short Lot of 9 New
8534 ASM 16-184983-01 Pin Quartz Angle No Step Lot of 11 New
8535 ASM Advanced Semiconductor Materials 1003-895-01 Insulator Pedestal New
8536 ASM Advanced Semiconductor Materials 16-191195D01 Feed Through CF35 Lot of 2 New Surplus
8537 ASM Advanced Semiconductor Materials 16-190188D01 OW Gas Line Bypass Lid New Surplus
8538 ASM Advanced Semiconductor Materials 16-190146D01 HIG Source Container New Surplus
8539 ASM 16-337331-01 Gas Exhaust Top Main Duct Plenum WHC New
8540 ASM 16-169538D01 PLATFORM-CASSETTE 200MM
8541 ASM 61-106247A47 Parker PX34-003-S2 GEAR HEAD-NEMA-34 3:1 IN LINE 496 IN LB
8542 ASM 50-125708A05 VALVE-BUTTERFLY CONTROL W/DEVICENET
8543 ASM 16-176613D01 TOOL-SUSCEPTOR-QUARTZ
8544 ASM 02-329572D08 ASSY-SUSCEPTOR RING-200MM-TOYO TANS
8545 ASM 16-333577D01 DUCT-EXHAUST-MINI BUBBLER-LH
8546 ASM 96-125485A26 ASSY-END EFFECTOR SMIF 200MM CLN/PKG
8547 Foxboro B1279EN FRNT W/EPROM/NOVRAM Processor Board PCB ASM 02-147187-02 Used
8548 ASM Advanced Semiconductor Materials 04-337123-01 KIT-ST 8400 TAG READER-SMIF-ONE PAIR NEW
8549 ASM 03-142707U01 Lo/Hi Temperature Interface Board PCB 03-142707-01 Refurbished
8550 ASM 03-142707U01 Lo/Hi Temperature Interface Board PCB 03-142707-01 Refurbished
8551 Millipore LR050-10-A-2-2-250 Pressure Transducer Display 830056351 Lot of 9 New
8552 Lambda / ASM 830036067 / 400PFC POWER SUPPLY 400w 7A RMS NEW
8553 ASM Advanced Semiconductor Materials 2467550-01 ASSY MOTOR M2 USED
8554 Fusion Systems 78981 Power Supply M-150PC Used Working
8555 Foxboro B1279EN SIDE W/EPROM/NOVRAM Processor Board PCB ASM 02-147187-03 Used
8556 ASM 70065-00009-E Bus Slave PCB Card 83-125001U88 Used
8557 Rembe 0611253 Rupture Valve ASM 16-191494D01 Used Working
8558 ASM Advanced Semiconductor Materials 16-140249-01 O2 Sensor Adapter NW 40 Lot of 5 New
8559 ASM Advanced Semiconductor Materials 02-188998-01 ASSY-MAINT CONTROL PNL-NO LAMP TEST NEW
8560 ASM / GLOBE MOTORS 02-331710D02 / 409A6043-3 ASSY-AUTODOOR-RH-MOTOR/SWITCH NEW
8561 ASM Advanced Semiconductor Materials 94-125303A01 ASSY-LIGHT TOWER 4 POSITION-ASYST NEW
8562 ASM Advanced Semiconductor Materials 04-143748-01 KIT-SYSTEM WIRING-FEI-E3220 NEW
8563 ASM Advanced Semiconductor Materials 04-144192-01 KIT-SYS WIRING-TM-DLL-PH2 NEW
8564 ASM Advanced Semiconductor Materials 02-333409D01 ASSY-HYB CASS-PASS THRU-VAC-SEMITRN NEW
8565 ASM Advanced Semiconductor Materials 16-142022-01 SHELF-EXTENSION X-FEI NEW
8566 ASM Advanced Semiconductor Materials 16-141530-01 PCE Left Side Shield New Suprlus
8567 Qualiflow Q130141 F Valve MQ HF 2W FM Q+ ASM 50-12293BA70 Lot of 6 New
8568 ASM Advanced Semiconductor Materials 16-144714-01 Door Upper Fore WHM LH New Surplus
8569 ASM Advanced Semiconductor Materials 54-123498A42 / C8161 FC-UNIT 8161-H2-6 SLM-HOV-NO-DIGITAL NEW
8570 ASM / PUREAIR 63-119074A30 / STX-PA TRANSMITTER-H2-0-4% NEW
8571 ASM Advanced Semiconductor Materials 04-143389-01 Valve Retrofit Kit Qualiflow to Swagelok New Surplus
8572 Omron E3L-2RC4 Wafer Reactor Sensor E3L-2LRC4 E3L-2DC4 ASM 02-187648D06 New Surplus
8573 ASM Advanced Semiconductor Materials 16-329402D01 Laser Sensor Channel Lot of 7 New Surplus
8574 ASM / Depro 54-106618A48 / A600 CONTROLLER-O2 SENSOR-PWR/SIGNAL NEW
8575 ASM / TOSHIBA 3552985-01 / 3552985 Rev A SUSC-150MM-CONCAVE-.6.65OD-COVALENT NEW
8576 Toshiba Ceramics 62CL000-0197224 Susceptor TEK000048-A06-0 ASM 3552985-01 New
8577 GasTech 81-0221 H2 Gas Calibration Kit ASM 83-107564A06 New
8578 ASM Advanced Semiconductor Materials 16-145091-01 Lid Hinge Block MTG-PCE 300mm Lot of 2 New
8579 ASM Advanced Semiconductor Materials ATM Chamber Flow Guide Set 16-147882-01 16-147883-01 New Surplus
8580 ASM Advanced Semiconductor Materials 54-125825A04 FC-UNIT 8161C H2-200 SCCM HOV NO NEW
8581 ASM 04-187798-01 PVC Cap Kit 51-106223A64 Resller Lot of 2 New
8582 ASM Advanced Semiconductor Materials 02-333414D02 RH Loadlock Access Control Panel New Surplus
8583 Globe Motors 409A6043-3 Autodoor Motor/Switch LH ASM 02-331710D01 New
8584 ASM 16-332419D06 SUSCEPTOR-XYCARB-150MM
8585 ASM 3552985-02 SUSC-150MM-CONCAVE-6.65OD-XYCARB
8586 ASM 02-326352D01 ASSY-SUSCEPTOR RING-XYCARB-75/150MM
8587 PureAire GS-1550DF H2 Sensor 0-4% ASM 77-123776A40 New Surplus
8588 ASM Advanced Semiconductor Materials 04-332828-03 KIT-BLADES-STEPPED-INTMD INJ PLT-STM NEW
8589 ASM Advanced Semiconductor Materials 79-122595A09 Radial Duplex Pair Bearing .8750 OD Lot of 4 New
8590 MST FMK 9002 HCl Remote Sensor Head ASM 02-330558C01 New Surplus
8591 ASM 02-323864C01 Cable RP [INA] E5291 Honeywell 972AA2XM-R3N-L Lot of 12 New Surplus
8592 ASM Advanced Semiconductor Materials 16-332179B01 Injector Inlet Plug Flange Lot of 15 New Surplus
8593 ASM Advanced Semiconductor Materials 16-143347-01 REFLECTOR-SPHERICAL-300MM-2000W NEW
8594 ASM Advanced Semiconductor Materials 16-187271D01 H2 Detector Adapter Flange Lot of 8 New Surplus
8595 ASM Advanced Semiconductor Materials 16-183913-01 SUPPORT-TOOL TC-CONTAINMENT BOX NEW
8596 ASM Advanced Semiconductor Materials 16-320472B01 Detector Mount Flange Reseller Lot of 20 New
8597 Foxboro B1279EN Processor Board PCB ASM 03-187742U01 As-Is
8598 ASM Advanced Semiconductor Materials 16-329041B02 Mount Spacer UFC3160A E2 Color 11 Lot of 4 New
8599 Foxboro B1279EN SIDE W/EPROM Processor Board PCB ASM 02-187742U03 Refurbished
8600 ASM Advanced Semiconductor Materials 16-333698B01 LH 90° Support Arm New Surplus
8601 Foxboro B1279EN REAR W/EPROM Processor Board PCB ASM 02-187742U04 Refurbished
8602 ASM Advanced Semiconductor Materials 16-185157D01 Gas Detector Flange Lot of 11 New
8603 Foxboro B1279EN FRONT W/EPROM Processor Board PCB ASM 02-187742U02 Refurbished
8604 ASM Advanced Semiconductor Materials 16-332419D04 SUSCEPTOR-XYCARB-100MM NEW
8605 ASM Advanced Semiconductor Materials 02-333959-01 ASSY-VIDEO PORT EXP/PWR SUP-SMIF NEW
8606 ASM Advanced Semiconductor Materials 16-145384-01 MANIFOLD-RH-UPPER LAMPS-XL NEW
8607 ASM Advanced Semiconductor Materials 02-187791D01 ASSY VID/TEMP CTR PWR LH PH2 NEW
8608 ASM Advanced Semiconductor Materials 02-337061-01 ASSY-H2 CHASSIS S2 STANDARD V1 NEW
8609 ASM 02-338311-01 ASSY-MONITOR SWING ARM-RH
8610 ASM 16-147151-01 COVER-LID-PCE-MCE 90 LH
8611 ASM 02-140183-01 ASSY-OPTN INTFC PNL DLL RH
8612 ASM 16-333519D01 PANEL-ENCLOSURE-LL-AUTODOOR-SMIF
8613 ASM 16-147150-01 COVER-LID-PCE-MCE 90 RH
8614 ASM 54-123117A18 / HORIBA STEC SEC-4500 MFC Mass Flow Controller H2 10SLM
8615 ASM 54-123806A15 / AERA FC-7800 MFC Mass Flow Cotroller HCL 500SCCM-NO
8616 ASM 54-125027A04 / Intelli Flow ll PSPBD281 MFC Mass Flow Controller N2 500SCCM
8617 ASM 54-125027A12 / Coastal Instr. MFC Mass Flow Controller H2 500SCCM
8618 ASM 1013-240-01 Interconnect Kit XP4 to Emerald Process Module 1 New Surplus
8619 ASM 1002-660-01 PLATE-CHANNEL GAS N2 2.5 TAPER ALD6550 New
8620 Metron 16-193953-01 L-Inner Refelector New
8621 ASM 16-405066-01 PLATE-SHOWER N2 PURGE ALD6550 New
8622 ASM Advanced Semiconductor Materials 1021-675-01 Bracket Kit XP Single Power Cabinet New Surplus
8623 ASM 1006-047-01 Heater Jacket Zone 4A Watlow 008127501 A004420 013027524 New Surplus
8624 ASM 75-125051A08 ENCLOSURE-TORUS SERVICE LIQUID LEVEL KIT New
8625 ASM 04-405109-01 KIT-TRANSMITTER H2 0-4% MODIFIED New
8626 ASM 16-405163-01 PLATE-SPACER VALVES N2 New
8627 MDC 307000-11 Gate Valve KGV-625V-P-11 New
8628 IT Industrial Technologies IT7586-G2A1440PFA1 Computer ASM 2398095-01 New
8629 Watlow RMC1U5B1U5BAAAA Temperature Controller EZ-Zone Lot of 4
8630 ASM Advanced Semiconductor Materials 16E00391B01 Pin Set PL B Slot Lot of 2 New Surplus
8631 ASM Advanced Semiconductor Materials 16E00390B01 Pin Set PL B slot 1 Lot of 2 New Surplus
8632 ASM 1019-638-01 RPK-CVRSN CHECK VALVE
8633 ASM 54-123388U17 Control PC CTC with NTP4 2ghz Refurbished
8634 ASM Advanced Semiconductor Materials 16E01671B01 Set Plate A Slot 2-Pin New Surplus
8635 VAT 03112-LH24-ABG1 Slit Valve ASM 50-125346U01 Refurbished
8636 ASM Advanced Semiconductor Materials 16-195989-01 Cyliner Spacer/Insulation Pad Lot of 6 New Surplus
8637 ASM 16-190115U01 Plate RC Base Refurbished
8638 ASM Advanced Semiconductor Materials 1006-045-01 Heater Jacket Zone 2B New Surplus
8639 ASM Advanced Semiconductor Materials 73055-72046 Positioning Pin A Lot of 18 New Surplus
8640 ASM 50-125108A01 Valve Throttle Control Heatable 150c NW50 MKS T3BIA-27247 New
8641 ASM Advanced Semiconductor Materials 73021-70381 Port Flange Lot of 4 New Surplus
8642 ASM 02-140301U02 Assembly E87 NT Box Programmed ZNUGR-22375-06 Refurbished
8643 ASM 1059-637-01 Door Safety Switch Bracket XP4 F47 Lot of 4 New Surplus
8644 ASM Advanced Semiconductor Materials 73055-72426 Pipe D Spacer Reseller Lot of 2 New Surplus
8645 ASM Advanced Semiconductor Materials 16-404494-01 Exhaust Port Screw Shaft Reseller Lot of 8 New Surplus
8646 ASM D004651D SOLID SOURCE CONTAINER- HIG
8647 ASM 42-122970A76 POWER SUPPLY-4 OUTPUT+-15V5V24V-350W
8648 ASM Advanced Semiconductor Materials 77-123776A48 SENSOR-WAFER LVL30 NEW
8649 ASM Advanced Semiconductor Materials 35-106642A19 THYRISTOR QUAD SCR 40A 480V CECERT NEW
8650 ASM Advanced Semiconductor Materials 1004-258-01 CHASSIS-LIQUID SOURCE ELEC BOX LH NEW
8651 ASM Advanced Semiconductor Materials 1004-257-01 CHASSIS-LIQUID SOURCE ELEC BOX RH NEW
8652 ASM Advanced Semiconductor Materials 1034-770-01 ENCLOSURE-GAS PANEL REAR FIRE SUPPR NEW
8653 ASM Advanced Semiconductor Materials 93000-05226 MOUNTABLE CONTROLLER NEW
8654 ASM Advanced Semiconductor Materials 16-193819-99 SUSCEPTOR-300MM HYBRID NON PASSIVATED NEW
8655 ASM Advanced Semiconductor Materials 16E04539B01 END EFFECTOR-XP NEW
8656 ASM Advanced Semiconductor Materials 16-404926-01 PAN-DRIP LV SOURCE CABINET NEW
8657 ASM Advanced Semiconductor Materials 17-140163D02 Wire Harness Lamp Bank RH E3000 New Surplus
8658 ASM 1013-240-04 Interconnect Kit XP4 to Emerald Process Module 4 New Surplus
8659 MKS Instruments 9615-1132 Heater Jacket ASM 56-106565A34 New Surplus
8660 MKS Instruments 9615-1131 Heater Jacket ASM 56-106565A56 New Surplus
8661 MKS Instruments 9615-1135 Heater Jacket ASM 56-106565A37 New Surplus
8662 ASM Advanced Semiconductor Materials 16-401650-01 PIN-QUARTZ STEP CENTER EE NEW
8663 ASM Mylar Templates 1007-669-01 16-196795-01 16-184665-01 Lot of 10 New
8664 ASM Advanced Semiconductor Materials 17-140163D02 Wire Harness Lamp Bank RH E3000 New Surplus
8665 ASM 16-169822-01 Mylar Template Pulsar 3000 Lot of 7 New
8666 ASM Advanced Semiconductor Materials 16-404354-01 Cooling Reactor Duct Lot of 2 New Surplus
8667 ASM Advanced Semiconductor Materials 16-404353-01 Cover Side Front New Surplus
8668 ASM Advanced Semiconductor Materials 16-404352-01 Cover Side Back Half Lot of 2 New Surplus
8669 ASM Advanced Semiconductor Materials 17-140163D01 Wire Harness Lamp Bank Bottom Wall RH New Surplus
8670 ASM / Parker 61-106247A41 GEAR HEAD NEMA 34 / PX34-003
8671 RadiSys EXM10A-OEM NSC-ATL-CPST Ethernet Module PCB Card ASM 90-123159A20 New
8672 ASM Advanced Semiconductor Materials 49-125310A10 FLOW METER-1/4″VCR 5 SLM H2 DVCNET 124MM NEW
8673 ASM Advanced Semiconductor Materials 1054-556-01 RFK-ELEVATOR SENSOR ENCLOSURE NEW
8674 ASM Advanced Semiconductor Materials 1054-500-01 RFK-MANOMETER STRAIN RELIEF BRACKET NEW
8675 ASM Advanced Semiconductor Materials 16-193623-01 SUSCEPTOR-150MM 1.2MM THICK SUBSTRATE NEW
8676 ASM Advanced Semiconductor Materials 16-194915-01 SUSCEPTOR-150MM 0.8 THK SUBSTRATE NEW
8677 ASM Advanced Semiconductor Materials 04-404548-01 KIT-SUSCEPTOR 300MM CAPPED NEW
8678 ASM Advanced Semiconductor Materials 16-179040-01 Shield PCE Left Side NITR P8300 New Surplus
8679 ASM Advanced Semiconductor Materials 16-179041-01 Shield PCE Right Side NITR P8300 New Surplus
8680 ASM 1005-713-01 2 Point Liquid Level Sensor Controller New Surplus
8681 ASM Advanced Semiconductor Materials 1006-049-01 Heater Jacket Zone 5A New Surplus
8682 ASM 16-196819-01 Line Gas OW Used
8683 MKS Instruments 9699-1121 Heater Jacket ASM 56-106565A44 New Surplus
8684 MKS Instruments 9615-1222 Heater Jacket ASM 56-106565A48 New Surplus
8685 BriskHeat UASM12912RSN-038 Heated Jacket Baratron O2 ASM 2352605-01 New Surplus
8686 ASM Advanced Semiconductor Materials 04-404260-01 LL Door Springs Kit New Surplus
8687 ASM Advanced Semiconductor Materials 1059-636-01 XP4 Safety Switch Bracket Emerald Door Lot of 4 New
8688 ASM Advanced Semiconductor Materials 50-125108A28 VALVE-INLINE-ISOLATION NW40 NEW
8689 ASM Advanced Semiconductor Materials 1003-894-01 PLATE-PEDESTAL NEW
8690 Baumer Electric IFF 08.24.15/L1 Inductive Sensor ASM 33-123495A02 Lot of 10 New
8691 ASM Advanced Semiconductor Materials 1047-159-01 Vessel Holder Clamp New Surplus
8692 ASM Advanced Semiconductor Materials 16-191060D01 ADAPTER FLANGE 300MM/200MM NEW
8693 ASM Advanced Semiconductor Materials 16-190353D01 SUSCEPTOR-200MM PASS NEW
8694 ASM Advanced Semiconductor Materials 02-404794-01 Cable Emerald DC Position 1 New Surplus
8695 ASM / CERAMIC 16-401656-01 DUCT-EXHAUST SHD NEW
8696 ASM / PRESCISION INC 16-404633-01 FLANGE-MESC INTFC-300 MM (XP4-EMERALD) NEW
8697 ASM / SQUARE D 47-122930A26 TRANSFORMER-480/208 3KVA 3PH NEW
8698 ASM 1004-707-01 TRANSFORMER-5KVA NCT 1PH 208V/120V
8699 ASM 02-184406-01 ASSY-PWR CABINET DUAL PULSAR (2 Pieces)
8700 Install Kit for ASM XP4 TRANSFORMER-34KVA 3PH PRI480V SEC380/120
8701 ASM Advanced Semiconductor Materials 1089-733-01 Gas Line New Surplus
8702 MKS Instruments 9620-1940 Exhaust Abatement Tee 2.0 Heater ASM 1090-804-01 New Surplus
8703 Advanced Integration Technologies 1088-726-01 Line Vacuum Exhaust w/Abatement New
8704 ASM Advanced Semiconductor Materials 16-326627B08 Large Center Dampener Lot of 5 New Surplus
8705 TEMPTRONIC CORPORATION TPO4010A-3020-2 Thermal Induction System
8706 STRAPACK CORP. JK-2 Semi Automatic Strapping Machine
8707 FOUNDRY NETWORKS B8000 BigIron Switch USED
8708 Cisco Catalyst 1900 Series Switch USED
8709 Juniper Networks WXC 590 Application Acceleration Platform USED
8710 Foundry Networks FI7202 FastIron II Switch B4000 FI12GM FI24E Used Working
8711 Cisco Systems WS-C4507R Switch Catalyst 4500 Series WS-X4013+10GE Used Working
8712 Tektronix 1735 Waveform Monitor Used Working
8713 TEKTRONIX TDS 644B Color Four Channel Digital Real-Time Oscilloscope with P6245 TDS500/600, P6243, P6245 TDS500/600 Probes USED
8714 KIKUSUI COS5100 Oscilloscope USED
8715 AsTek AHT3-LAI-01 HT3 Packet Analyzer USED
8716 VCOM INC. A3000-2F9-3C4-3C8 Agile 3000 CATV Modulator USED
8717 ENENSYS NN6-1161RF-F Digital Broadcast Network Adaptor USED
8718 VCOM INC. UCM45401B QAM Modulator USED
8719 PHILIPS PM5418TX Color TV Pattern Generator USED
8720 EMITECH K250 Coater USED
8721 Cadence 2-06680 AC/DC Converter Board Module for Palladium III Emulator Used
8722 Acbel Polytech Inc 25-6680 AC / DC Converter Board Module for Palladium III Emu Lot of 35
8723 QLOGIC 5600 SanBox USED
8724 GGB Industries Picoprobe Tungsten Probe Tip ST-20 7-10 34A 10-500 Lot of 31 New
8725 GGB Industries 10-XK Tungsten Probe Tip Picoprobe Reseller Lot of 38 As-Is
8726 GGB Industries T-4 Tungsten Probe Tip Picoprobe Reseller Lot of 69 As-Is
8727 GGB Industries 12C-4-10 10µ Tungsten Probe Tip Picoprobe Lot of 21 New
8728 GGB Industries 28-5-10 10µ Tungsten Probe Tip Picoprobe Reseller Lot of 11 New
8729 GGB Industires 34A-4-10 10µ Tungsten Probe Tip Picoprobe Lot of 10 New
8730 GGB Industries 12C-4-5.1 5µ Tungsten Probe Tip Picoprobe Lot of 21 New
8731 ASM Advanced Semiconductor Materials 16-188094-01 Support Susceptor H2 300mm New
8732 ASM Advanced Semiconductor Materials 02-330558C01 ASSY-HCL REMOTE SENSOR NEW
8733 ASM Advanced Semiconductor Materials 02-325245D01 ASSY-VAC PUMP ISO VALVE NEW
8734 ASM 1024-632-01 BLADE-LOWER AEG AL
8735 Turck FCS-K20-AP8X Air Flow Monitor ASM 02-144014-01 Lot of 4 New Surplus
8736 Turck FCS-K20-AP8X Air Flow Monitor ASM 02-144014-01 Lot of 4 New Surplus
8737 ASM Advanced Semiconductor Materials 02-145110-01 Cable Smart MOT TO +24V & MDL CONT Lot of 7 New Surplus
8738 ASM 32-123808A88 6′ Gas Sensor Cable 9602.0090.00.01 Lot of 6 New
8739 ASM Advanced Semiconductor Materials 3751821-01 Injector Flange Diffuser Plate New Surplus
8740 ASM Advanced Semiconductor Materials 50-123343A88 VALVE-PNEU-HST BLWS-Q+ FNC M2 FF NEW
8741 ualiflow Q300549CBC00HA Valve F Valve NC 3W MFM Q+ Hastelloy Lot of 6 New
8742 Qualiflow Q210141HA Valve F Valve NO 2W FM Q+ Hastelloy Lot of 6 New
8743 Qualiflow Q210241 Valve FNO M2 EL Q+ Reseller Lot of 10 New
8744 ASM Advanced Semiconductor Materials 16-322143B06 Manual Valve Shutoff Spacer Lot of 20 New
8745 ASM 20-186598C01 TOOL-WAFER CENTERING FEI
8746 ASM Advanced Semiconductor Materials 16-330552C01 Clamp EXHA/ENT Match Line RP Lot of 14 New Surplus
8747 ASM 02-338053D02 ASSY-AUTODOOR MECHANISM RH
8748 ASM Advanced Semiconductor Materials 02-146040-01 H2 Bypass Sensor New Surplus
8749 ASM 02-338455-01 ASSY-TC FRONT/REAR STRAIGHT LGE TIP OD
8750 ASM 02-352076D01 ASSY-QTZ TC REAR THK WALL
8751 ASM 16-178672D01 SUPPORT-LARGE DIA SUSCEPTOR
8752 ASM 03-188733D02 PCB ASSY-MOD-E3000 SIB ll-HYBRID
8753 Allen-Bradley 1746-P4 Power Supply SLC 500 New
8754 ASM 03-188692D01 Rev. D HW INTRL Board PCB E3000 Used
8755 ASM 02-330608D01 ASSY-POWER SUPPLY AUTO DOORS
8756 ASM Advanced Semiconductor Materials 16-333699B01 SUPPORT-EXT ARM-90 DEG ROT-RH NEW
8757 ASM Advanced Semiconductor Materials 02-338116-01 ASSY-MMI-SMIF FEI-MITSUTECH NEW
8758 ASM Advanced Semiconductor Materials 02-327347D03 ASSY-INJECTOR FLANGE RP RH STEPPED NEW
8759 ASM Advanced Semiconductor Materials 16-334340D01 PANEL-CONNECTOR SMIF INTERFACE NEW
8760 ASM Advanced Semiconductor Materials 1018-538-01 ASSY-MOISTURE ANALYZER CONNECTION NEW
8761 ASM Advanced Semiconductor Materials 1060-390-01 KIT-CABLE CL2 GAS DET SPLIT EXHAUST NEW
8762 ASM 16-145951-01 Housing Internal Gas Path Filter Wand New Surplus
8763 SMC ISE80-DUP00813 Pressure Switch ASM 1029-745-01 Lot of 7 New Surplus
8764 ASM 32-123808A88 Extension Cable 6′ Gas Sensor Lot of 2 New Surplus
8765 ASM Advanced Semiconductor Materials 1060-225-01 PANEL-SIDE ELECTRONICS PROCESS MODULE NEW
8766 ASM 1017-098-01 Manifold OW Deposit 10 Position RH New Surplus
8767 ASM 1017-112-01 Manifold OW Deposit 10 Position LH New Surplus
8768 VAT 02010-BA24 Slit Valve Used Working
8769 Swagelok 6LV-DFCEDDP-O Diaphragm Valve New Surplus
8770 Swagelok 6LV-DFDDEEDP-C Diaphragm Valve New Surplus
8771 ASM Advanced Semiconductor Materials 1017-329-01 Diluent Panel Support Bracket Lot of 6 New Surplus
8772 ASM Advanced Semiconductor Materials 1016-507-01 Catch Process Module Door Mount Lot of 8 New Surplus
8773 ASM Advanced Semiconductor Materials 1018-934-01 Supply Cooling Line Lot of 2 New Surplus
8774 ASM Advanced Semiconductor Materials 1017-104-01 N-Dope to Regulator Branch Lot of 3 New Surplus
8775 MKS 93-1764 Vacuum Pump Bellows Valve
8776 ASM 1044-027-01 ASSEMBLY-PCB EV DISTRIBUTION
8777 ASM Advanced Semiconductor Materials 1019-262-01 PV Gas Supply Support Lot of 4 New Surplus
8778 ASM Advanced Semiconductor Materials 1019-583-01 RP ATM Exhaust Split Elbow New Surplus
8779 Huntington A00-1549 Turbo Throttle Valve
8780 ASM Advanced Semiconductor Materials 1009-433-01 Slide PCE Adapter Reseller Lot of 4 New Surplus
8781 ASM Advanced Semiconductor Materials 1017-118-01 Gas Panel support Bracket Lot of 4 New Surplus
8782 ASM 1028-330-01 Rev. C Heater Lamp/Relay Board to Gas Distribution BHD Cable Lot of 3 New
8783 ASM Advanced Semiconductor Materials 1020-104-01 Clamp .25″ Gasline Inlets Lot of 6 New Surplus
8784 ASM Advanced Semiconductor Materials 1020-361-01 Gasline 3 Tube Support Bracket Lot of 4 New Surplus
8785 ASM Advanced Semiconductor Materials 1017-119-01 Z Section Gas Panel Bracket Lot of 4 New Surplus
8786 ASM Advanced Semiconductor Materials 1047-208-01 Piezocon Support Reseller Lot of 3 New Surplus
8787 ASM Advanced Semiconductor Materials 1019-255-01 Vent Match Panel and Valve Support Lot of 2 New Surplus
8788 ASM Advanced Semiconductor Materials 1019-332-01 Cooling Return Manifold New Surplus
8789 ASM Advanced Semiconductor Materials 1030-377-01 Terminal Block Cover Lot of 2 New Surplus
8790 Swagelok SS-CT12SL12SL12-48 48″ Braided SS Hose ASM 1019-164-01 Lot of 4 New
8791 Swagelok SS-CT12SL12SL12-36 36″ Braided SS Hose ASM 1019-163-01 Lot of 4 New
8792 ASM Advanced Semiconductor Materials 1018-680-01 Gas Panel Top Inner Bracker Lot of 4 New Surplus
8793 ASM 1020-773-01 FITTING-CODUIT 4.0 LIQUIDTIGHT
8794 Brooks Automation 001-8092-01 Incooler PCB DD-001-7684-01 Used
8795 BROOKS 002-9010-20 Load Arm Elevator Assembly
8796 Swagelok 6LVV-MSM-DA-2-P-C Diaphragm Valve Reseller Lot of 6 Used Working
8797 Swagelok 6LVV-MSM-DA-3-P-C Diaphragm Valve Reseller Lot of 6 Used Working
8798 Aera FC-980B MFC Mass Flow Controller 30SCCM SI2H6 USED
8799 STEC SEF-7330M Mass Flow Meter MFM SEF-7330 30 SCCM Si2H6 Used Working
8800 ASM 1061-434-01 ASSY NOISE FILTER BOX
8801 ASM 1067-983-01 MANOMETER-HTM 100 TORR
8802 ASM Advanced Semiconductor Materials 1076-994-01 Moving Plate B New
8803 ASM Advanced Semiconductor Materials 1076-993-01 Moving Plate A New
8804 MKS 141AA-00010BB-S Baratron Vacuum Switch 10 Torr Type 141
8805 MKS 141AA-00010BB-S Baratron Vacuum Switch 10 Torr Type 141
8806 ASM Advanced Semiconductor Materials 1015-944-01 Motor Plate New Surplus
8807 ASM 1095-215-01 RING-FLOW CONTROL PRETREAT QUARTZ
8808 ASM 1094-661-01 RING-FLOW CONTROL PRETREAT
8809 SCHUMACHER 1491-7037R Transfill II Source Controller
8810 CUTLER HAMMER AF91AGO C003D Adjustable Frequency Drive
8811 Qcept CHEMETRIQ 3000-200M/300M Wafer Inspection System 4206-002-01 Used Untested
8812 Edwards 0010-00345 Pedestal Assembly (Anondized) USED
8813 Edwards 0010-00345 Pedestal Assembly (Anondized) USED
8814 PRAXAIR MRCFCU0003225 Target, Copper, CS-3N5, SPA10, Monobloc, WW4019
8815 SUNSHINE FILTERS 10161K8 FILTER FIBERGLASS FOR PD VACUUM LINE
8816 PALL VFSE020-10M3F 300 FILTER FILTERITE 0.02 UM 10″ CARTRIDGE
8817 Mykrolis CN0601T06 Cartridge Filter 0.6µm ProcessGard Lot of 54 New Surplus
8818 MKS 41A11DCA2AA003 Baratron Pressure Switch
8819 SMC US2141 8 Station Pneumatic Manifold ASM 4621304-0001 Lot of 7 New Surplus
8820 CKD GAB3X-A714-FL Solenoid Manifold
8821 MRC (METRON) / MUTO TECHNOLOGIES D124736-S / MR-23431 SS Plenum Shield
8822 MUTO TECHNOLOGIES MR-19312 Modified Half Door Shield
8823 MRC (METRON) / MUTO TECHNOLOGIES D126401-RS / MR-23458 Shield Plenum Clamp
8824 MRC (METRON) / MUTO TECHNOLOGIES D119223 / MR-22406 SS Dark Space Ring
8825 MRC (METRON) / MUTO TECHNOLOGIES D123167 / MR-22307 AL Dark Space Ring w/ Girdle
8826 MRC (METRON) / MUTO TECHNOLOGIES D124736-A / MR-23331 Plenum Shield AL
8827 MRC (METRON) / MUTO TECHNOLOGIES D121506 / MR-23325 AL Cryo Shield
8828 MRC (METRON) / MUTO TECHNOLOGIES D124819-LSP / MR-18404-P Pod Shield w Arc Spray
8829 MRC (METRON) / MUTO TECHNOLOGIES D124819-SS / MR-18407 SS Pod Shield
8830 MUTO TECHNOLOGIES MR-16401 SS Door Shield
8831 MRC (METRON) / MUTO TECHNOLOGIES MT-49949 Sput # 1 TiW Shield Kit
8832 MRC (METRON) / MUTO TECHNOLOGIES MT-49949 Sput # 1 TiW Shield Kit
8833 MRC (METRON) / MUTO TECHNOLOGIES MT-49951 Sput # 3 Cu Shield Kit
8834 MRC (METRON) / MUTO TECHNOLOGIES MT-49950 Sput # 2 TiW Shield Kit
8835 MRC MT-49963 Sputter Shield Kit Refurbished
8836 XYCARB Ceramics 10045115 /10179926 / 10045116 KIT FOR 150MM EPI REACTOR NEW
8837 Deposition Technology TI: 4659683-0002 Funnel Shield NEW
8838 LAM RESEARCH 716-011036-001 Ring, Filler Orifice, Alumina
8839 IMTEC ACCULINE 10-000-0129 Accubath A1502-18
8840 APPLIED MATERIALS 0020-26905 PB Shield, Lower Knee 6″
8841 AP Tech AP1001S 3P 4 4 V3 Regulator Valve Span 01-0138-B Lot of 3 Used Working
8842 SSAC HRD9320 Solid State Timer Reseller Lot of 3 New
8843 Watlow DIN-a-mite Power Controller DC1C-5024-C0S0 DB1C-3024-C000 Lot of 3 Used
8844 Eurotherm 425A/75A/240V/220V240V/4MA20/PA/ENG/CL/96/00 SRC Controller Lot of 9
8845 FURON UPM3-61212-VI Three Way Diaphram Valve
8846 Eurotherm 40A/240V/220V240/4MA20/PA/ENG SRC Controller 425A Used Working
8847 FLUOROWARE FM-12F-37850-P Accu-tek Flowmeter
8848 GME 762168 Type MT4218-S DC Servo Motor
8849 Marchi Systems SDSM-0863-006 Thermocouple Probe Lot of 19 New Surplus
8850 OMEGA ENGINEERING PX655-25DI Differential Pressure Transmitter
8851 SEMITOOL 1067295.1000000001 Ultrasonic Transducer
8852 Swagelok SS-4-VCR-CP VCR Cap 1/4″ Reseller Lot of 100 New Surplus
8853 JC SCHUMACHER VAP-VS4 Solid Source Vaporizer Retrofit System Kit
8854 JC SCHUMACHER VAP-VS4 Solid Source Vaporizer Retrofit System Kit
8855 TX INSTRMN Z17360-501 Drive Assy Rotor 260/270/280 1/2HP SP15
8856 Mykrolis FC-261V Mass Flow Controller MFC 20 SLPM H2 Tylan 260 Series New
8857 Siemens 6ES7 321-1Bl00-0AA0 Digital Input Module Simatic New
8858 CR Magnetics CR5210-2 DC Current Transducer Reseller Lot of 5 New
8859 Siemens 6ES7 321-1BH02-0AA0 Digital Input Module Simatic S7-300 New Surplus
8860 Whitman Controls P117V-3H-C12L20-X Pressure Switch Lot of 5 New
8861 AERA FC-7700 Mass Flow Controller
8862 Signatone S-725-SLV Micropositioner Used Working
8863 Parker 45400307 Diaphragm Valve 944AOPLPNCSFS8MF Reseller Lot of 8 Used Working
8864 APPLIED MATERIALS 679309 LINE EXHAUST EPI 6793
8865 LAM RESEARCH 853-004118R002 RFRB, ASSY RF INTERFACE W/EXCHANGE
8866 THERMIFLEX 263119 Joystick Robot
8867 QUANTRONIX 0203-03064 Q-Switch Control Unit
8868 AMAT Applied Materials 0040-93448 Filament Guide Clamp New Surplus
8869 AMAT Applied Materials 0010-90605 125-150 0 Degree MVC Clip Lot of 3 New Surplus
8870 Powr Ups 600154 DC Motor Control Board PCB Reseller Lot of 3 New
8871 FURON 1102064 Valve, 1/4″ C-NC-C TEF. T2 NEW
8872 Fine Suntronix NW150-24 Power Supply VSF(NW)150-24 Reseller Lot of 7 Used Working
8873 Swagelok SS-8BK-V53VP-1C Bellows Valve Reseller Lot of 4 New
8874 GNB Corporation AN16SPO-X Angle Valve Halfgate Used Working
8875 GNB Corporation 25610-005 Angle Valve Halfgate Used Working
8876 Turck FCS-K20-AP8X Air Flow Monitor ASM 1052-890-01 Lot of 2 New Surplus
8877 ASM / Fujikin 1063-174-01 / JUA3085AA8 MANUAL VALVE NEW
8878 Eaton / ASM 1048-964-01 / WMZT3D25T CIRCUIT BREAKER-480 VAC 25 AMP UL489 T D NEW
8879 ASM Advanced Semiconductor Materials 1071-018-02 ASSY-PVM CTR PORT MET SEAL NO HTR ELEM NEW
8880 ASM Advanced Semiconductor Materials 1012-522-01 ASSY-UPPER LAMP ARRAY NEW
8881 ASM Advanced Semiconductor Materials 1095-945-01 Cable RFPDU DPU to Pretreat Module AIM New
8882 ASM Advanced Semiconductor Materials 1018-538-01 ASSY-MOISTURE ANALYZER CONNECTION NEW
8883 ABB AF95B-30-11RT Contactor ASM 1057-913-01 New Surplus
8884 Brooks Automation 06-80010598-001 TECHWARE 5 Interface Controller Used
8885 Swagelok / ASM 1092-993-01 / 6LVV-MSMHPHF40173P1CMF060 VALVE-DUAL FLOW HIGH FLOW NEW
8886 ASM Advanced Semiconductor Materials 1038-497-01 KIT-CABLE PM EXHAUST NEW
8887 ASM Advanced Semiconductor Materials 1019-763-01 ENCLOSURE-UPPER ELECTRICAL NEW
8888 13710-03 Power Supply 26VDC
8889 Akrion Systems 1036023-0889 VALVE DUMP THICKWALLED QUARTZ VERTEQ D10 NEW
8890 BECO Manufacturing DV2-PVDF-32-C-EP VALVE EE WASH DRAIN NEW
8891 Millipore IPT 122 Pressure Gauge Reseller Lot of 12 New Surplus
8892 Wafer Holdings TNK7A0112 TRANSDUCER 4 WIRE SINGLE PLATE NEW
8893 CKD 4SB019-C2 5X05 Solenoid Valve Manifold Lot of 2 New Surplus
8894 CKD 4SB019-C2 5916 Solenoid Valve Manifold Lot of 2 New Surplus
8895 XYCARB Ceramics 10051650 Hanger Quartz 11.5″ NEW
8896 XYCARB Ceramics 10320565 Quartz Tube 27.5″ NEW
8897 HEATEFLEX CORP LHN-1-03-C-A00-P567 HEATER CHILLER COMBINATION 3KW ICD NEW
8898 SGL CARBON LLC 712353 KIT BARREL SUSCEPTOR 150MM NEW
8899 Blatek Inc. AT13222 Transducer Plate New
8900 MRC Materials Research Corp D122742 HOLDER WAFER HBUMP 6″ NEW
8901 UNIT Instruments UFC-1100 MFC Mass Flow Controller WF6 NEW
8902 ASM 16-325124D02 PLATE-END-WORK SURFACE-RH
8903 ASM 1019-048-01 DUAL FLOW INJECTOR FLANGE
8904 ASM Advanced Semiconductor Materials 1014-288-01 Exhaust Flange Alignment Pin Lot of 12 New
8905 ASM Advanced Semiconductor Materials Aft Door Cable 02-147099-01 02-147099-02 STI MA-20 Lot of 9 New Surplus
8906 ASM 54-106618A64 CONTROLLER-TEMP PID W/RAMP AND SOAK
8907 Turck FCS-K20-APX8 Air Flow Monitor ASM 1026-745-01 Lot of 8 Used
8908 Phoenix Contact MCR-SWS-U Threshold Value Switch ASM 38-105458A17 Lot of 6 New
8909 ASM 16-187453D01 SUPPORT-DTS SUSCEPTOR E3000
8910 SMC VNC204A-10A-B Coolant Valve Reseller Lot of 10 New
8911 ASM 45-115280A14 PENDANT-SINGLE ECOMAG ACTUATOR CONTROL
8912 ASM Advanced Semiconductor Materials 16-404451-01 Cover Gate Valve Lower RH Side Lot of 2 New Surplus
8913 ASM Advanced Semiconductor Materials 16-404452-01 Cover Side Front New Surplus
8914 ASM 16-184446-01 BODY-CONTAINMENT BOX PCE
8915 ASM Advanced Semiconductor Materials 1047-158-01 Holder Vessel Base New Surplus
8916 ASM 16-402725-01 PAN-DRIP-LH-NH3
8917 ASM 50-125108A71 / SWAGELOK 6LVV-MSM-BME-W2 VALVE-FLOW REGULATING-W SEAL-1.125
8918 ASM 16-402572-01 BRACKET-RIGHT-CLAMPING
8919 ASM 60-122486A37 O-RING 2-463/75D WHT-PAROFLUOR ULTRA
8920 ASM 60-122486A49 O-RING 2-462/75D WHT-PAROFLUOR ULTRA
8921 ASM 1083-779-01 HEATER-ZONE S3-IO
8922 ASM 1083-778-01 HEATER-ZONE S1-IO
8923 ASM Advanced Semiconductor Materials 16-195762-01 H2O Vessel Bracket Lot of 3 New Surplus
8924 ASM Advanced Semiconductor Materials 16-400479-01 Gasline Retainer Insulator Lot of 18 New Surplus
8925 ENI MW-5DM13 RF Match Interface
8926 MRC 4654450-0002 8″ Cu Shield Kit New
8927 ASM 16-184468-01 BRACKET-VOPEX BOX
8928 PARKER 87-011751-01E Elevator Drive Unit Controller SX6-Drive
8929 ASM 16-402571-01 BRACKET-RIGHT-ADJUST
8930 ASM 33-123495A70 / MKS 51A12PCA2AA015 SWITCH-PRESS 4VCR 100PSI ANALOG
8931 ASM 60-122486A32 O-RING 2-260/75D WHT-PAROFLUOR ULTRA
8932 SMC ZSE80F-A2-R-X500 Pressure Switch ASM 1002-876-01 Lot of 5 New Surplus
8933 Edwards 16-400300-01 Vacuum Exhaust Port Shield New Surplus
8934 Edwards 16-400300-01 Vacuum Exhaust Port Shield New Surplus
8935 Clippard CMS-620 Air Valve Block R301 Reseller Lot of 15 Used Working
8936 ASM 16-184983-01 PIN-QUARTZ-ANGLE-NO STEP
8937 ASM Advanced Semiconductor Materials 16-400200-01 Gas Reactor Manifold New Surplus
8938 ASM Advanced Semiconductor Materials 16-400200-01 Gas Reactor Manifold New Surplus
8939 ASM 16-402568-01 BRACKET-LEFT-ADJUST
8940 ASM 1087-734-01 WINDOW-GAS SCHEMATIC HOLDER
8941 ASM 1017-841-01 SHIM-CONDUCTANCE SUSC AL 016 296
8942 ASM 1005-954-01 SUSCEPTOR-200MM-2.25 MICROMETERS
8943 Watlow E1E61-AB12 SHD Cartridge Heater Firerod ASM 73007-00785 Lot of 11 New Surplus
8944 ASM Advanced Semiconductor Materials 41-125761A03 TERMINAL-REMOTE TEMPERATURE 4 INP DVCNET NEW
8945 TERADYNE ASSY 115086 / VAD 610432 REV A Power Supply
8946 Technical Instruments AMS 310 Reticle CD Measurement Metallurgy Used Untested
8947 Fine Suntronix SUP-E30H-CM Noise Filter Reseller Lot of 12 Used Working
8948 Fine Suntronix SN-E10H-CM Noise Filter Reseller Lot of 12 Used Working
8949 OEM-6B ENI OEM-6B-01M5 RF Generator 650W @ 13.56MHz Used Tested Working
8950 Kakon KSP-42S Panel Buzzer Reseller Lot of 6 Used Working
8951 JEL Jusung REA100990000 Vacuum Process Interface Board PCB Used Working
8952 JEL Jusung REA100980000 Vacuum Process Interface Board PCB Used Working
8953 JEL Jusung REA100970000 Vacuum Process Interface Board PCB Used Working
8954 JEL Jusung REA1001000000 Vacuum Process Interface Board PCB Used Working
8955 OXFORD Instruments 7426 EDS X-ray Detector with Link ISIS computer (20607-001)
8956 Coors Tech 3492463R SiC Wafer Carrier CVD Coated ASM 4111172-0001 New
8957 AMAT Applied Materials 0020-17045 Corner Refelctor 14-Lamp (No Lip) Lot of 10 New Surplus
8958 Directed Light CAV-10965 Cavity, Assy, QTRNX116, Reflector Gold Assy Housing NEW
8959 Entegris E210-80-1000W/702-352B-1&01-000357 Wafer Carrier Box Lot of 12 New
8960 Entegris E210-80-1000W/702-352B-1&01-000357 Wafer Carrier Box Lot of 12 New
8961 POCO DE-18602 Carrier, Wafer, 150mm Cont, SuperSiC-3C
8962 Entegris Poco Graphite DE-17812 150mm Wafer Carrier Supersic-3C New Surplus
8963 Entegris Poco Graphite DE-17812 150mm Wafer Carrier Supersic-3C New Surplus
8964 POCO DE-12588 Carrier, Wafer, 150mm Cont, SuperSiC-3C
8965 QSI F201 Interface Terminal Front Plate QTERM-IV Reseller Lot of 26 New
8966 ASM 16-323877C01 REFL-S-DAM-LIGHT-REAR
8967 GTI TECHNOLOGIES C15-TR8-1 Pick Robot Arm
8968 D&R ENTERPRISES 670016 Reflector End
8969 SIEMENS 6ES7 953-8LL20-0AA0 Micro Memory Card, 2MB
8970 APPLIED MATERIALS 0040-90324 Plate End Assy Arc Chamber
8971 TREBOR DP-C-1 Probe End
8972 DISCO LJAH-911177-0 Washing Ring
8973 GTI TECHNOLOGIES H263002 Pad
8974 Lam Research 715-331051-02 BSR Ceramic Ring Refurbished
8975 Lam Research 715-331051-02 BSR Ceramic Ring Refurbished
8976 KALREZ SAHARA 2-443-KA8085 AS-568 O-Ring, K# 443
8977 APPLIED MATERIALS 0020-98953 Front Plate
8978 SIEMENS 6ES7 361-3CA01-0AA0 S7 Simatic Power Supply
8979 AMAT Applied Materials 0020-17003 60Hz Transition Flex Duct New Surplus
8980 GM Associates 7500-08 Etch Shroud Window Reseller Lot of 42 New
8981 Leybold 898523 Alumina Filter OF-3000 Lot of 9 New
8982 AMAT Applied Materials 0020-26906 6″ Low Knee Shield Used Working
8983 QUANTRONIX 3002-01194 Deionization Cartridge
8984 KMG Electronic Chemicals SP-4365-09 Regulator Valve ASM 4607-320-0001 New Surpus
8985 GE INDUSTRIAL SYSTEMS 5BPA56KAG19B D-C Motor
8986 GE INDUSTRIAL SYSTEMS 5BPA56KAG19B D-C Motor
8987 Trebor International M25R-EE Maxim 25R Pump NEW
8988 Akrion Systems 3102901.1 End Effector New
8989 Verteq / AE Advanced Energy 3156023-000 K Power Amplifier NEW
8990 Tescom 74-2460KRT18-136 Regulator Valve Lot of 2 New
8991 Tescom 74-2460KRT18-135 Regulator Valve Lot of 2 New
8992 Siemens SM322 Output Module 6ES7 322-1BH01-0AA0 322-1BL00-0AA0 Lot of 3 New
8993 Parker 54015858-299999 Regulator Valve IR5001SK4PBX4B Lot of 2 New
8994 MCDOWELL & COMPANY 1100 Aluminum Spindle Bottom PL Mod NEW
8995 Siemens 500-5019 Word and Strobe w Top Connector NEW
8996 AMAT Applied Materials 0020-24387 Pedestal Kit NEW
8997 AMAT Applied Materials 0020-26906 PB Shield, Lower Knee 6″ NEW
8998 M.E.C. Tech MEC83306-3018L Focus Ring Posi-Snap Tex Reseller Lot of 46 New
8999 D&R ENTERPRISES 16-320637C03 Reflector, S-Dam-Light-Front, Light Dam Short Leg
9000 AIR PRODUCTS & CHEMICALS 10350 Lid, New Style with T/C
9001 FORCERA MATERIALS CO 900895 Upper Shaped Window
9002 ASM AMERICA 02-320586D01 Reflector-Center-Assy
9003 ASTEC 73-540-0307 MP4-1E-1I-1N-1N-1T-00 Power Supply
9004 Hamamatsu A3742-04 AC Camera Adaptor A3742 ASM 4649259-0001 New
9005 FOCAL TECHNOLOGIES GROUP 101244-05 Model 255 Mini Electrical Slip Ring
9006 APPLIED MATERIALS 0240-91709 Regulator Single Stage Tied Assy
9007 Cascade Microtech PTT-06/4-25 Tungsten Needle Reseller Lot of 1350 New
9008 McDowell & Company 19850 Aluminum Focus Ring ASM 4601133-0001 Refurbished
9009 Schroff 33021-102 VME Systembus J1 PCB Card E11035551 Used
9010 MCDOWELL & COMPANY 10846 Aluminum Ring Focus
9011 AMC PC-APB7-230-100-00 Wafer Protection Tape, 230mm x 100mm
9012 AMC PC-APB7-180-100-00 Wafer Protection Tape, 180mm x 100mm
9013 AMC PC-AP130-180-100-00 Wafer Protection Tape, 180mm x 100mm
9014 SPENCER TURBINE Part No. FIL90259 HEPA Filter
9015 Omega Engineering PR-17-2-100-1/8-6-E Quick Disconnect Probe Lot of 35 New
9016 Exergen 118580 Germanium Infrared Temperature Sensor IRT/C.3X-J-440F/220C New
9017 Muto Technology MR-25305 Shield Cathode Adaptor AL 4182278-0001 New
9018 TEL Tokyo Electron D125181-150S Shield CR Wafer Holder Used Working
9019 TEL Tokyo Electron D125181-150SP Shield CR Wafer Holder Sputter Coated New
9020 MKS 631A13TBEH Type 631 Baratron Pressure Transducer
9021 Pall T93011152036 Filter VFSE200-10MBT 300 0.2µm Lot of 7 New
9022 PALL CORPORATION AB2F0503EH1 Emflon-PF Filter
9023 Millipore CPVP01PLT Filter Fluorogard 0.1µm Lot of 8 New
9024 Millipore CTFVOSTPE Filter 0.1μm Reseller Lot Of 6 New
9025 MILLIPORE WGFV40P01 Wafergard PF-40 Cartridge Filter
9026 AMAT Applied Materials Small Quartz Plate Reseller Lot of 7 New
9027 Millipore CVHI01TPE Hydrophilic Filter Durapore 0.45µm Lot of 6 New
9028 Pall HR2VI3EH1 Filter Fluordyne Super-Etch II Lot of 4 New
9029 VERTEQ 1076584-3 RD Controller
9030 AMAT Applied Materials Hexagonal Quartz Plate New
9031 DT Domnick Hunter PG-10310-002-5 Filter Polyflow-G 0.2µm Lot of 6 New
9032 MYKROLIS ECDV01P01 Guardian ECD PEX 10″ C-O
9033 MYKROLIS ECDV01P01 Guardian ECD PEX 10″ C-O
9034 Millipore CN1H51E06 Filter Polygard 10µm Lot of 8 New
9035 Mykrolis PGSV01PLV Filter Panelgard PSF C/O 0.1µm Lot of 3 New
9036 Mykrolis PGSV01PLV Filter Panelgard PSF C/O 0.1µm Lot of 3 New
9037 MILLIPORE CTFH01TPE Fluorogard AT-CO 10″ NEW
9038 MILLIPORE CTFH01TPE Fluorogard AT-CO 10″ NEW
9039 AMAT Applied Materials 0200-10448 Insert Ring 200mm (1S) S1/Q Silicon NEW OTHER
9040 Mykrolis CWUA01PLT Filter Cartridge 1.0µm Microgard C-0 10″ Lot of 5 New
9041 Millipore YY55P8000 SST Filter Housing Wafergard PF-80 Lot of 2 New Surplus
9042 MYKROLIS GHPV01PLT Guardian HP 10″
9043 MILLIPORE GHPG01PLT Guardian HP 10″ NEW OTHER
9044 Millipore CPUV01PLT Filter Cartridge 0.1µm Etchgard HP C-0 10″ Lot of 5 New
9045 MILLIPORE CWFA01PLV Fluorogard Plus Cartridge Filter NEW OTHER
9046 MILLIPORE WDFV80W2F Chem-Line II NEW
9047 MILLIPORE WDFZ40W1F Chem-Line II Disposable Filter NEW OTHER
9048 Memtec 900114254-300 Filter 0.05µm FLTR050-10M3F Lot of 15 New
9049 Lam Research 716-043116-001 Shroud Ring 4528 Etcher Refurbished
9050 AMAT Applied Materials E-Max Kit Ceramic Gas Injector Reseller Lot of 7 New
9051 MKS Instruments 122A-11010 BARATRON NEW
9052 MKS Instruments 523B-28766 BARATRON NEW OTHER
9053 MKS Instruments 122AA-00010AB BARATRON USED
9054 AMAT Applied Materials 0200-35335 Ceramic Capture Ring Refurbished
9055 Varian E17083130 Chamber Source Graphite New
9056 APPLIED MATERIALS 0200-00176K Quartz Shadow Ring plus MXP Family of Parts
9057 APPLIED MATERIALS 0200-00176K Quartz Shadow Ring plus MXP Family of Parts
9058 Trebor International TBD Maxim 50 Pump USED
9059 Brooks Automation 1355EG2AJJF1J Sho-Rate Low Flow Glass and Plastic Tube NEW
9060 Applied Materials Q02E-007-0C / Q02E001-01-04 Shadow Ring Quartz
9061 CKD SCA2-CA-80B-140 R0-T-B2 Air Cylinder New Surplus
9062 Siemens FXD63B225L Molded Case Circuit Breaker USED
9063 MKS Instruments 252A-1 Exhaust Valve Controller Type 252A Used Working
9064 Applied Materials / KUMKANG QUARTZ CO. 0200-01086K(M) SST Focus Ring MXP+ Poly
9065 THORNTON 211-1 Type 211-1 Probe, 0.01 Const. USED
9066 APPLIED MATERIALS 0200-00410 Quartz GDP (Y203) (Super-E Kit)
9067 APPLIED MATERIALS 0200-00410 Quartz GDP (Y203) (Super-E Kit)
9068 APPLIED MATERIALS 0200-10415 Focus Ring Quartz MXP+ Poly
9069 Varian E17103280 Electrode NEW
9070 SOLID STATE COOLING SYSTEMS 10-12143-12-2 Cleanstream NEW
9071 Edwards PF-9012 Injector, SST Nitride USED
9072 Air Products BH-013 HEATER N2 DC50 R/D 120V 1250W NEW
9073 TEL Insulator Ring B2 DRM
9074 AMAT Applied Materials 676268E Flex Line Purge NEW
9075 Verteq 1085566.1 Wave Overspeed PCB Triad FS10-250 New
9076 OPTEM 29-60-54 Cable Coaxial NEW
9077 AMAT Applied Materials D113171 Body, Chuck NEW
9078 AMAT Applied Materials 0020-93002 Aperture Fixed for TI9000 NEW
9079 AMAT Applied Materials 0020-39137 Ceramic Dome DPS Poly Refurbished
9080 Wafer Holdings 10768621 CABLE ASSY 8201 DRYER NEW
9081 Varian E17102270 Chamber Source Magnet Shield Graphite NEW
9082 Tylan General AC-2S06 AdapTorr USED
9083 Sony DXC-930 Color Video Camera USED
9084 AMAT Applied Materials 0020-94223 Aperature Resolving NEW
9085 HURST 3602-003 Model LAS Motor Coater Step MTI USED
9086 Barden SR4SSTB5 Precision Ball Bearing BARTEMP-NO LUBE Lot of 26 New Surplus
9087 AMAT Applied Materials 0020-10378 DPS Poly Focus Ring Refurbished
9088 AMAT Applied Materials 0020-10378 DPS Poly Focus Ring Refurbished
9089 Astrosyn 23KM-K261-01VA Stepper Motor Assy Hotplate NEW
9090 Nikon SR315-ALI2AN Cone Lens Unit NEW
9091 AMAT Applied Materials 678459 Bearing, Rotation 7800 RP NEW
9092 MACE 990-1122-112 Valve M203538 NEW
9093 APPLIED MATERIALS Shadow Ring Quartz – EMAX Poly
9094 AMAT Applied Materials 0040-91728 Heater AM 9200 NEW
9095 Lam Research 716-021492-001 Director Process Gas USED
9096 SCP593-007-1A 3107 Timer Face Plate (Hood) NEW
9097 Compliance West HTT-1R Function Checker USED
9098 Lam Research SUA-CRR-0320 Confinement Ring 4528 Etch Refurbished
9099 INTERGRATED POWER DESIGNS SRW-115-3001 Triple Output Power Supply NEW
9100 Brewer 4649409-0001 CPU Board PCB CEE 4000 Used
9101 Verteq 1068395.IC (MZ002F) No Secs II Board CPU NEW
9102 Lam Research 716-140069-001 4-Hole Ceramic Ring 4528 Etch Refurbished
9103 Chemtrace 715-011504-001 Baffle Upper 4400 NEW
9104 AMAT Applied Materials 0020-99050 Electrode E7 NEW
9105 AMAT Applied Materials 0020-92635 Electrode E3 NEW
9106 AMAT Applied Materials 0020-97975 Electrode, Deceleration NEW
9107 AMAT Applied Materials 0020-95263 Electrode E9 NEW
9108 AMAT Applied Materials 0020-92636 Electrode E4 NEW
9109 AMAT Applied Materials 0020-92637 Electrode E5 NEW
9110 Lam Research 716-330045-282 ESC Focus Ring TCP 9600 Refurbished
9111 Supersic DE-31056 Carrier, Contguous, 3CX, 150mm NEW
9112 Supersic DE-18602 Carrier, Wafer, 150mm Cont, 3C NEW
9113 Supersic DE-21899 / DE-21905 Carrier Baffle, 150mm, SiC-1, 6 Disk, Nitride(x1) / Baffle, SiC-1, Nitride(x6) NEW
9114 Supersic DE-32387 / DE-32392 Carrier, Baffle, ASSY, 3CX(x1) / Baffle, 3CX(x3) NEW
9115 Supersic DE-18604 / DE-18661 / DE-18603 Carrier, Baffle, 3C. Duf(x1) / Carrier, Baffle, 3C. Duf(x3) NEW
9116 Lam Research 716-331051-006 DFM Non-Coated U Ring TCP 9600 Refurbished
9117 Lam Research 715-007469-002 Anode, 4-Pin, .031, Clear NEW
9118 TEL Tokyo Electron 01012 Ceramic Ring Shield DRM Refurbished
9119 Lam Research 716-140139-008 Hot Edge Ring 5828 Etcher Refurbished
9120 Supersic DE-18604 / DE-18603 Carrier, Baffle, 3C. Duf(x1) / Carrier, Baffle, 3C. Duf(x2) NEW
9121 Heynau 20060712 Variable Speed Drive Minidrive 8HW New Surplus
9122 GOLD TECH INDUSTRIES 16-320563D01 Reflector, D, Outside, Upper NEW
9123 Zelltec DAL-ZAA-01030-12 HeNe Laser ADC PC Board NEW
9124 Tosoh Quartz 325000084 Rev B DOOR SS CVD 2″ 10.332″ KALREZ NOTE: ST USED
9125 Saint-Gobain 4117450-0001 Disk Baffle Reseller Lot of 11 New
9126 OPTEM 29-60-52 Cable, Videoscope Light NEW
9127 XYCARB Ceramics 10326476 Baffle Quartz NEW
9128 HEATEFLEX CORP QHA-5.6-0 In-Line Heater
9129 SCRANTON PRODUCTS 757 TANK STAGING MF-757 19″L X 2-1/4″W X 15
9130 HEATEFLEX CORP LHM-1-06-C-A20-110 In-Line Heater NEW
9131 SCRANTON PRODUCTS 758 Tank Staging
9132 PVA TEPLA AMERICA INC 100163 CAGE FARADAY
9133 PVA TEPLA AMERICA INC 100163 CAGE FARADAY
9134 FLUOROWARE 11630 Valve, Teflon PolyFlow Eng
9135 VERTEQ 1071649-1R 55M Controller SRD Model SC 1600-5M
9136 APPLIED MATERIALS 0010-00357 Flat Finder
9137 VERTEQ MD-ZA-03 MD Frequency Generator Model 1096736.1
9138 ENTEGRIS 5400-XX-V06-B30-A-S2-S08 NT Electronic Flowmeter
9139 Entegris 126-1077-0603 Wafer Storage Box E208 Reseller Lot of 36 New Surplus
9140 DYNATRONIX 990-0229-410 Pro Series Power Supply, Model PMC-104/1-5DC
9141 DYNATRONIX 990-0229-410 Pro Series Power Supply, Model PMC-104/1-5DC
9142 MKS INSTRUMENTS 651CD2S1N Throttle Valve Controller
9143 Integral Applied Technology 0303001A Hanger Stealth Dual New Surplus
9144 Bimba UGS-0210-AU Rodless Cylinder Ultran 12″ Stroke New Surplus
9145 XYCARB CERAMICS XYA-632279-04 Hanger Quartz 7800
9146 Advent 4662941-0001 Bowl Coater Liner Insert New
9147 TOKYO ELECTRON 65-0080-120 Monitor, 13″, Color, 25KHZ HSYNC, Model QES2014-132
9148 BROOKS INSTRUMENT 0550EB32H1DA MFC Mass Flow Controller 300SCCM H2
9149 BROOKS INSTRUMENT 0550EB25H1EA MFC Mass Flow Controller 200SCCM H2
9150 UNIT INSTRUMENTS 2550-100020 MFC Mass Flow Controller 30SLM H2
9151 INTEGRAL APPLIED TECHNOLOGY IN 10680 CYLINDER LID
9152 IMTEC ACCULINE LLC 10-002-0141 CYLINDER WATER
9153 LAM RESEARCH CORPORATION 716-021843-001 Bell, Jar, Base, ISO Etch
9154 Dynatronix 990-0229-410 Pro Series Power Supply, Model PMC-104/1-5DC NEW
9155 Dynatronix 990-0229-410 Pro Series Power Supply, Model PMC-104/1-5 NEW
9156 DURAN INDUSTRIES INC 4651072-0001 TIP VESPEL POCO HANDLE
9157 HONEYWELL 9210112 Pressure Transducer, Model AB
9158 Sandvik 4167115-0001 SS Furnace Collar Used Working
9159 MARTEQ PROCESS SOLUTIONS INC 1084136.1000000001 LID ASSY for 8201 DRYER
9160 MKS INSTRUMENTS 4640-1003 Heater Jacket, 4 x 3″
9161 MKS Instruments 4630-1006 Heater Jacket Reseller Lot of 2 New Surplus
9162 Millipore YY1601200 PL Head & Bowl Assembly NEW
9163 Nemic-Lambda NND15-1515 PWS Linear 15W, 15/~15V NEW
9164 MRC Materials Research Corp D122742 HOLDER WAFER HBUMP 6″ NEW
9165 Disco MOENTA28 Precision Bearing Reseller Lot of 8 New Surplus
9166 SMC PFW720-N03-67 Flow Switch Lot of 8
9167 NEMATRON IWS-30 Operator Interface Panel NEW
9168 Samsung DS60 Machine I/O Expansion Unit Reseller Lot of 18 Used Working
9169 APPLIED MATERIALS 0010-00591 Flat Finder ASSY, 150MM Stretch
9170 3M 310816244 Furnace Products Nextel 550 Collars/Discs
9171 Lam Research 716-330915-001 ESC Insulator Ring 9408 Etcher Refurbished
9172 BRANSON / IPC 804-13284-01 Automatch PCB
9173 Lam Research 716-140118-001 Focus Ring 4528 Etcher Refurbished
9174 XYCARB Ceramics 10210015 Wide Body Bell-Jar NEW
9175 AMAT Applied Materials 0020-39140 DPS Metal Kit Focus Ring Used Working
9176 HIGH YIELD TECHNOLOGY PM-250 Controller
9177 MOORE FABRICATION INCORPORATED HOU-7050-000 VALVE GATE POPPET
9178 GASTECH SAFE-T-NET 2000 Dual Channel Controller
9179 CELERITY UFC-1660 Unit Model 1600 Metal Seal MFC
9180 NOR-CAL PRODUCTS ESVP-200-AM-16K Bellows 8110
9181 SOLITEC 109338 7604A Universal TTL I/O Card
9182 METRON TECHNOLOGY A126809 ASSY, Adapter, Bell Jar
9183 AMAT Applied Materials / BIMBA 881692 Air Cylinder 1-3/4×28″ NEW
9184 Conax 9277-05 Calibration Thermocouple ASM 4655071-0001 New Surplus
9185 BECO MFG SHV-104630-POL Valve, Lid
9186 GL AUTOMATION H-TI-QTZ-033 Handle & Vesper Hook Style Fingers
9187 LAM RESEARCH 716-011036-001 Ring, Filler Orifice, Alumina
9188 ASM Advanced Semiconductor Materials 49-12530A09 FLOW METER-DIGITAL 90SLM NITROGEN NEW
9189 ASM Advanced Semiconductor Materials 1068-921-01 Encoder Shim Reseller Lot of 12 New Surplus
9190 ASM Advanced Semiconductor Materials 55-106682A42 Level Swivel Pad Lot of 4 New Surplus
9191 Swagelok 6LVV-MSM-BMWE-W2-SC11 Flow Metering Valve ASM 1046-239-01 New Surplus
9192 Koino Kunhung KH-102-3C Relay Reseller Lot of 32 Used Working
9193 ASM Advanced Semiconductor Materials 73008-70602 ASSY/UPPER LIFTER NEW
9194 ASM Advanced Semiconductor Materials 50-123824A12 VALVE-RECT INSERT MONOVAT 46X236MM NEW
9195 ASM Advanced Semiconductor Materials 1079-513-01 DIFFUSER-SHORT HIGH TEXTURE NEW
9196 Eurotherm EFIT/16A/230V/0V10/PA/ENG/SELF/XX/NOFUSE/99/627 Power Controller EFit New
9197 Sungshin SRX Speed Controller SRX02CE SRX03CE Reseller Lot of 8 Used Working
9198 ASM Advanced Semiconductor Materials 1076-169-01 Lower Chamber to Match Retrofit Kit New Surplus
9199 ASM Advanced Semiconductor Materials 91310-68096 NW40 ROLLCAM GATE VALVE NEW
9200 ASM Advanced Semiconductor Materials 1080-573-01 TUNNEL-XP4 PULSAR REDUCED NEW
9201 MUTO TECHNOLOGY 500005 RING CLAMP 150MM OCR
9202 TOKYO ELECTRON D117778 CATH ADAPTER, RMX-10
9203 STEAG MICROTECH 49935 Controller
9204 Bimba T-042-CEB2MNP Cylinder CE ASM 4687176-0001 New Surplus
9205 SIMPSON ELECTRIC COMPANY 37001 Current Transducer
9206 WATLOW DC10-23C0-S000 Solid State Power Control
9207 14185 42206202-02E CYLINDER LID
9208 UNIT Instruments UFC-1100 Mass Flow Controller MFC 2 SLM N2 Refurbished
9209 UNIT Instruments UFC-2050A Mass Flow Controller MFC 30 SLM N2 Refurbished
9210 UNIT Instruments UFC-2050A Mass Flow Controller MFC 30 SLM N2 Refurbished
9211 Yamamoto FR51 Low Differential Pressure Gauge Manostar Lot of 14 Used Working
9212 RACKMOUNT CSERVER 315 Rack Mounted Server for 7800 Series EPI Reactors
9213 Rorze RD-026MSA 2 Phase Micro Step Driver Reseller Lot of 3 Used Working
9214 GTI Technologies Roller C262076 C262028 C163010 Lot of 14 New Surplus
9215 Nagano Keiki GC97-111 Digital Indicator 0~100psi Reseller Lot of 40 Used
9216 WAFER HOLDINGS INC 1076401.1000000001 Seal Labyrinth Front
9217 Wafer Holdings 1075981.1000000001 TANK SC2 PROCESS TANK NEW
9218 Wafer Holdings 1080664.1000000001 TANK SC1 PROCESS TANK WITHOUT TRANSDUCER NEW
9219 Duran Industries 10-000-1190 TANK IMTEC QUARTZ HEATED MODEL #QRT-S-K1 NEW
9220 Wafer Holdings 1080663.1000000001 TANK SC1 TANK ONLY – WITHOUT TRANSDUCER NEW
9221 Omron G3PC-220B-VD Solid State Relay Reseller Lot of 8 Used Working
9222 SMC SYJ3133-5LZ Solenoid Valve Reseller Lot of 14 New Surplus
9223 Hitachi Unisia Gas Spring 025-000265-1 025-000820-1 Reseller Lot of 4 New Surplus
9224 TEL Tokyo Electron Ltd. Chamber Assembly Unity 2 New Surplus
9225 C&J Engineering / Dainichi CR150A-03LF ROTOR 6IN FOR 26 WAFER CASSETTES NEW
9226 Branson/IPC 804-13284-01 Automatch Board USED
9227 SEMIGROUP TEXAS / LAM RESEARCH 853-001198-003 / 4648699-0002-REF Gate Inner Complete ASM Auto Etch NEW
9228 AMAT Applied Materials 0100-00193 Sync Detect PWB Card PCB Used
9229 AMAT Applied Materials 0100-00206 Sync Detect II BD USED
9230 Ernst Leite GMBH Wetzlar 060-678.503 Mask Comparator And Air Table Used Untested
9231 ASM / STEC 54-125252A33 / Z13DWMO MFC Mass Flow Controller H2-200 SLM-NO-AI
9232 ASM 16-178672D01 SUPPORT LARGE DIA SUSCEPTOR
9233 ASM Advanced Semiconductor Materials 16-193139-01 Susceptor Shoulder Screw Lot of 5 New Surplus
9234 ASM 16-187453D01 SUPPORT DTS SUSCEPTOR E3000
9235 ASM 30-106660A94 MOTOR-DC BRUSHLESS 4 POLE W/KEYWAY
9236 ASM / MKS 91320-52065 / DMA12TGAEANN633 Pressure Transducer Manometer 13.332 kPa
9237 ASM / MKS 65-106645A90 / 870B12PCB2GT1 Transducer Press W/Disp 0-10V 100PSI
9238 MKS Instruments 122AAZ-00010EBT Baratron Pressure Transducer Type 122A USED
9239 Lam Research 810-017003-002 Drive IMPD & PH BD NEW
9240 BTU International 3162432V02 BOARD SOFTLANDER 7680 USED
9241 EQ BESTECH INC 70110-003 BOARD SRAM 512K, Model 1099000-3697 USED
9242 Lam Research 839-47082-010 Diaphragm Valve Reseller Lot of 18 New
9243 Varian E-H5997001 Beamline Control USED
9244 FORTREND ENGINEERING 116-5007-5 A-E Controller USED
9245 Brooks Automation 0550EB14H5DA MFC Mass Flow Controller 055E Series, Hydrogen, 10000SCCM NEW
9246 Akrion Systems 1067120.1.8 LID SOLID CLEAR VERTEQ DRYER NEW
9247 Power-One SPM362KC Internal Series Switching Power Supply USED
9248 HEATEFLEX CORP RMA-12-088A LHM Inline Heater
9249 MKS Instruments 122AA-00010AB-SP009-81 Baratron Pressure Transducer 122A Used
9250 Dwyer 4000-0 Differential Pressure Gauge Capsuhelic New Surplus
9251 TECNA 9323 Spring Tool Balancer 2.2 Kg Reseller Lot of 8 Used Working
9252 ENGIPLAST INCORPORATED A194-60MB-PF-TT TURNTABLE PVDF POLYFLOW
9253 SHINKO ELECTRIC CO. SF-250/BMG CLUTCH
9254 MKS Instruments 653B-13181 Throttle Control Valve Type 653B Refurbished
9255 Disco Hi-Tec America DAP76610101-00 Interface Board PCB New
9256 Verteq 1071649-1C5MM Controller, Model 1600-55M USED
9257 Yaskawa Electric CACR-PR01-KA4BU Servopack Controller Assembly USED
9258 ASM 16-184561-01 Comb LL Sgl Base 200/300mm Reseller Lot of 7 New Surplus
9259 MARTEQ PROCESS SOLUTIONS INC 3403872C COIL INLINE COOL TEF PFA PVDF
9260 Verteq 1076584-3 / 1076585-1 8201 Primary Processor RD Controller Set USED
9261 Verteq 1076585 RD Controller USED
9262 Oxford Instruments 1100-098 Link ISIS X-Ray Controller USED
9263 TENCOR INSTRUMENTS 228443 Surfscan SWIFT/Station Plus USED
9264 Entegris DE-12588 Carrier Wafer, 150mm Cont, Supersic-3C NEW
9265 M.E.C.Tech MEC83306-3017L Bottom Focus Ring Posi-Snap Reseller Lot of 59 New
9266 Sony UP-5500 Color Video Printer USED
9267 GALIL DMC-730-PSX 3 Axis Motion Controller USED
9268 Light Bank LS-F100HSF Light Source Reseller Lot of 4 Used Working
9269 Mechatronics SA035CPO Precision Thin Section Bearing SilverThin Lot of 2 New
9270 APPLIED MATERIALS 0020-95172 Aperture Plate
9271 JEONGIL JI-DM2-P Plug-in box for touch screen USED
9272 RK INDUSTRIES RK-AOV Air Operated Valve
9273 GLI DIVISION OF HACH CO. 3726E2T Electrodeless Conductivity Sensor
9274 Entegris 202-179-01 Integra Valve Reseller Lot of 2 New Surplus
9275 Parker GT6-L5/8 GT6 Gemini Stepper USED
9276 MKS Instruments 651CD2S2N Pressure Controller 600 Series Used
9277 MKS Instruments 651CD2S2N Pressure Controller 600 Series Used
9278 Lorez Piezocon NRTL FF129-06-0100 Temperature Controller USED
9279 Wasco P325-15W3B/8570 Vacuum Pressure Switch 17963-1 3/99 Reseller Lot of 2
9280 Phasetronics P1050-X3 Power Control System USED
9281 Toshiba PFC-110A VVVF Controller USED
9282 Teqcom MV24M-A Needle Valve 1/4MP TEF Reseller Lot of 13 New
9283 LAM RESEARCH / PEC 716-330167-261 / PEC-1525 RING, CLP, BOT ACTR, 2.3, 6″, NO MF
9284 WACOM QUARTZ CORPORATION 106014-XX Pedestal 200mm Vertical Quartz
9285 GLI International E63F1N1A1A1NNN Conductivity Analyzer Model 63 Lot of 3 Used
9286 GLI INTERNATIONAL E53A2A1N Model 53 Electrodeless Conductivity Analyzer
9287 C&J Engineering / Dainichi CR150A-03F ROTOR 6IN FOR 26 WAFER CASSETTES NEW
9288 CTI-CRYOGENICS 8080 280 K001 Absorber, Helium Filtration Cartridge
9289 MRC MR-22377 HOLDER WAFER HBUMP 6″
9290 MRC 701795-0 HOLDER WAFER HBUMP 6″
9291 UNIT Instruments UFC-1100A Mass Flow Controller MFC 20 SLM H2 Refurbished
9292 WATLOW 05-C0164 Voltage Controller
9293 LAM RESEARCH 715-011640-006 Ring Focus Anodized, Semi 6″
9294 ANIMATICS SM3430K Smart Motor Version 4.12
9295 Millipore L300-1010 Multi-Channel Controller Display L300 Used Working
9296 ASM 02-325956D01 ASSY Transfer Arm 150mm WHC
9297 YASKAWA USAHEM-01DS22 AC Servo Motor
9298 AET TECHNOLOGIES 970189 Hydrox Furnace 500 Watts
9299 VAT 01034-KE41-0001/0045 A-471199 Gate Valve USED
9300 Simpson F-35-1-46-0-E Digital Panel Meter Falcon F35 Reseller Lot of 3 New
9301 ASM 03-322678C01 Auxiliary Interface
9302 ASM 54-121550A03 PCB ASSY Foxboro Controller K0143AC-F
9303 ASM Advanced Semiconductor Materials 03-32686D01 DC Distribution II PCB Used
9304 BROOKS 002-3987-02 Universal DC Power Distribution
9305 Gespac GESFDC-3 PCB ASM 03-322718A01 Used Working
9306 Gespac GESMEM-12C Memory Board PCB ASM 03-322719A01 Used Working
9307 Gespac GESRAM-14C-8946 RAM Board PCB ASM 03-322717A01 Used Working
9308 Gespac GESMEM-14-9023 Eprom Control PCB ASM 03-322720A01 Used Working
9309 Gespac GESRAM-14C-8946 RAM Board PCB ASM 03-322717A01 Used Working
9310 Gespac GESINP_2A Control PCB ASM 03-322710A03 Epsilon 3000 Used Working
9311 SLOAN 1000A Profiler Calibration Standard
9312 Parker 8Z(A)-B8LJ2-SSP Ball Valve Reseller Lot of 12 Used Working
9313 COHERENT INNOVA 0169-628-00A Laser Emission, Model I300C
9314 UNIT Instruments UFC-1100A Mass Flow Controller MFC 2 SLM NH3 Refurbished
9315 UNIT Instruments UFC-1100 Mass Flow Controller MFC 5 SLM N20 Refurbished
9316 MILLIPORE 13″ Filter Cannister ASSY
9317 MILLIPORE 11″ Filter Cannister ASSY
9318 CTI-Cryogenics 8112898G005 RetroFast 8F CryoPump USED
9319 Verteq 1070367-3 Rotor, A194-60MB-0215, H-Bar-Out USED
9320 Symbol Tech Synapse Smart Cable STI80-0100 STI20-0201 Lot of 21 New Surplus
9321 Oriental Motor Co. ASD12C-C Servo Motor Driver Vexta Lot of 3 Used Working
9322 Xycarb Ceramics 64573-01 Chamber with Ribs Refurbished
9323 Xycarb Ceramics 64573-01 Chamber with Ribs Refurbished
9324 Xycarb Ceramics 64573-01 Chamber with Ribs Refurbished
9325 Xycarb Ceramics 10343080 150mm Long Tube Chamber ASM 4659256-002 Refurbished
9326 Xycarb Ceramics 10365580 ASM RP Chamber with Ribs Refurbished
9327 Xycarb Ceramics 10314665 Chamber With Rib ASM 4649710-0002 Refurbished
9328 Xycarb Ceramics 10341975 Chamber with Ribs Refurbished
9329 Oxford Microanalysis 1184060 Opal Link Assembly C.7311 Used Working
9330 Mini Exhaust Hood with Hepa Filter Used
9331 Tescom Mini Pressure Regulator 12-1D211GS2C-002 MR-121B2215W-001 Lot of 26 Used
9332 KLA-Tencor KLA80 Control System AST Bravo MT 4/66 Untested As-IS
9333 KLA-Tencor KLA80 Control System AST Bravo MT 4/66 Untested As-IS
9334 Texas Instruments TBD Insta-Clean Machine USED
9335 Best Ferrups FES1-8KVA Uninterruptible Power Supply 1.80kVA/1.25kW
9336 Mactronix HZN650P5 Wafer Transfer System HORIZON Used Working
9337 Lufran TIHAV-9.5-V208-FC75-D50 In-Line Heater Used Working
9338 BOC Edwards C150Y09503C GRC Gas Reactor Column Cartridge C150Y Used Working
9339 Yokogawa DY150 Vortex Flowmeter Digital Yewflo New Surplus
9340 Yokogawa DY150 Vortex Flowmeter Digital Yewflo New Surplus
9341 AMAT Applied Materials 0010-00135 60V Power Supply ASSY USED
9342 Gold Tech Industries 678200-R Heat Shield 10-11100-B New
9343 ASM 02-331952D02 Backup Water LH EL-O-Matic ED0025.UIA00A-11K1 New
9344 Swagelok Nupro 6LV-CDA7891-P-C Pneumatic Diaphragm Valve Lot of 24 Used Working
9345 WAFAB INCORPORATED WHRV-4722-134A Chiller / Coolant Supply Unit
9346 ROGER K SHERMAN CO SM-T/R Submicron Calibration Standard Used
9347 CALMETRICS SO7494-1 ISO17025 Accreditation Cert L2319 Set Used
9348 CALMETRICS Thermo Fisher Set Used
9349 CALMETRICS S07494-2 ISO17025 Accreditation Cert L2319 Set Used
9350 VLSI STANDARDS INCORPORATED SRS-2-T / SRS-2-G Sheet Resistance Standard Set Used
9351 VLSI STANDARDS INCORPORATED SHS-880 QC Step Height Standard Used
9352 VLSI STANDARDS INCORPORATED SHS-4.5 QC Step Height Standard Used
9353 VLSI STANDARDS INCORPORATED SHS-50.0 Q Step Height Standard Used
9354 VLSI STANDARDS INCORPORATED RS 3-75 Resistivity Standard Used
9355 DAGE SH002 Stud Pull Calibration Weights Set Used
9356 DYNAMIC TECHNOLOGY Weight Standard Set Used
9357 ASM Advanced Semiconductor Materials 93000-07445 WAFER ALIGNER NEW
9358 ASM Advanced Semiconductor Materials 91310-68084 HEATING 336X50TWINROLLCAM GATE VALVE NEW
9359 ASM Advanced Semiconductor Materials 73055-75129 Duct Spacer A Lot of 20 New Surplus
9360 ASM Advanced Semiconductor Materials 73008-01098 ASSY/50A/RC TO FP/VAC NEW
9361 ASM Advanced Semiconductor Materials 91309-00011 FLUOTRIBO MH NEW
9362 ASM Advanced Semiconductor Materials 73008-01565 ASSY/GATE VALVE RC-WHC LO/TO NEW
9363 ASM Advanced Semiconductor Materials 73008-70412 ASSY/TEMP MEASUREMENT STAND NEW
9364 ASM 1000-762-01 Operation Panel 50819-1127 New Surplus
9365 ASM Advanced Semiconductor Materials 1005-674-01 Coated Transport Tube B Lot of 2 New Surplus
9366 ASM 1007-331-01 Gas Inlet Insulator A New Surplus
9367 ASM / LINTEC 1033-867-01-R / LM-2000 Liquid Flow Meter PB1 LM MANUFACTURER REFURBISHED
9368 ASM Advanced Semiconductor Materials 73055-70281 TOP PLATE NEW
9369 ASM Advanced Semiconductor Materials 1083-866-01 CANISTER BOX / GF NEW
9370 MKS Instruments / ASM 830069798 / 41A-22644 SWITCH PRESS 41D 0.5 Torr NEW
9371 Bronkhorst P-502C-FAC-89-P-002A Pressure Controller EL-PRESS ASM 830066497 New
9372 Bronkhorst P-502C-FAC-89-P-002A Pressure Controller EL-PRESS ASM 830066497 New
9373 ASM / BAYTEK 830065865 / 51-907-00 VIDEOCARD BAYVIEW 72 OS2 NEW
9374 ASM / Bronkhorst 83006963 VALVE PRESS CONTR C9-LZA-99- NEW
9375 ASM / Bronkhorst 830039511 VALVE PRESS CONTR F004AC-LUA NEW
9376 ASM Advanced Semiconductor Materials 2406780-01 HEATED JACKET BYP 187 NEW
9377 ASM / ITM 830054693 / 138 B-2MM-BI-ITM TOUCH SPLITTER 120 VAC NEW
9378 ASM Advanced Semiconductor Materials 2901250-21 PWBA GAS INTERLOCK NEW
9379 ASM Advanced Semiconductor Materials 2845261-01 Insulation Heated Clamp 28 Lot of 4 New Surplus
9380 ASM / FINE SERIES 830099123 / UG1071A72 VLV PN FBSDAL-6.35UGF-2B3-PA NEW
9381 ASM 2947285-01 Retro Trafo 22kVA 80/100A CS Seimens 3NA3 824 New
9382 ASM / Bronkhorst 830069380 / P-502C-FAC-89-P CONTR PRESS P-502C-FAC-89-V NEW
9383 Aera / ASM 830060677 / FC-786C MFC Mass Flow Controller 20SLM N2 NEW
9384 ASM Advanced Semiconductor Materials 2947293-01 RETRO TRAFO 53KVA 125/160A C NEW
9385 ASM Advanced Semiconductor Materials 2949717-01 SiC HEAT BARRIER BRDG NEW
9386 ASM Advanced Semiconductor Materials 2867745-01 SiC SUSPENSION RING NEW
9387 ASM Advanced Semiconductor Materials 2843641-01 DOOR GATE VALVE NEW
9388 ASM Advanced Semiconductor Materials 2314177-01 KIT SUS TOOLING ATM A412 NEW
9389 ASM Advanced Semiconductor Materials 2888092-01 ASSY TOUCHSCREEN REACTOR NEW
9390 ASM 2381788-01 QTZ INJECTOR
9391 ASM 2909855-01 ASSY DOORPLATE ATM BEOL
9392 ASM Advanced Semiconductor Materials 2473577-01 Tool Heat Exchanger Assembly New
9393 RADIALL R574 402 825 RF Microwave Coaxial Switch
9394 ADVANTECH IPC-610P4-30ZH Industrial Computer
9395 Datacon 86505090014 IPUC40 with Pattern Recognition USED
9396 Pasternack PE313-24 Precision Cable 24″ Lot of 8 New Surplus
9397 ASM 16-141270-01 CHAMBER-PROCESS-ATM-300MM
9398 ASM / SPENDRUP FAN COMPANY 46-106737A29-R / 140-10-FPHE BLOWER-AIR AXIAL DDR 10HP 3550RPM MANUFACTURER REFURBISHED
9399 ASM / SPENDRUP FAN COMPANY 46-106737A29-R / 140-10-FPHE BLOWER-AIR AXIAL DDR 10HP 3550RPM MANUFACTURER REFURBISHED
9400 ASM 04-404382-01 Retrofit Kit RFK-V3 LPV HIG 700 LH New Surplus
9401 ASM 16-321825E01 WELDMENT-PROCESS CHAMBER-200MM-ATM
9402 ASM 16-180366B01 Through Beam Mounting Ring Reseller Lot of 8 New Surplus
9403 ASM Advanced Semiconductor Materials 1055-986-01 TC-Type K Spring Lot of 2 New Surplus
9404 ASM 16-184561-01 Comb LL Sgl Base 200/300mm Reseller Lot of 7 New Surplus
9405 ASM 75-112661A14 CASE-P2000 RC-25x22x20-W/FOAM
9406 Horiba STEC / ASM 54-123117A18 / FC-4500MO MFC MASS FLOW CONTROLLER X-H2-10 SLM-AI NEW
9407 ADVANCED ENERGY 3155126-011A RF Match Navigator
9408 Horiba STEC / ASM 54-123117A43 / FC-4600  MFC MASS FLOW CONTROLLER H2 100 SLM UC AI @30PSI NEW
9409 Celerity / ASM 54-106904A07 / FC-261 MFC Mass Flow Controller H2 20 SLM AI NO@15 PSI NEW
9410 ASM / QUALIFLOW 54-117817A05 / FC-261 MFC MASS FLOW CONTROLLER H2-20 SLM-NO @ 15-VIU NEW
9411 Aera / ASM 54-123806A64 / FC-7800 MFC Mass Flow Controller 4V-HCL-5 SLM NEW
9412 MKS Instruments / ASM 65-106645A80 / DMA-24921 MANOMETER-1 TORR DEVICENET 1/4M VCR NEW
9413 ABB SACE T4H250 Circuit Breaker 3 Pole 600V 250A Tmax New
9414 ASM Advanced Semiconductor Materials 1004-845-01 SUP BY-1023-752-01-MONITOR-TOUCHSCREEN NEW
9415 ASM Advanced Semiconductor Materials 1014-771-01 CONTROLLER-CLUSTER TOOL EXTERNAL DRIVES NEW
9416 ASM Advanced Semiconductor Materials 16-190115D01 PLATE-RC BASE PASS NEW
9417 Parker 6Z(A)-MB6LPFA-SSP Ball Valve Reseller Lot of 9 Used Working
9418 ASM Advanced Semiconductor Materials 16-404502-01 SHIELD-HEAT-UPPER EXHAUST NEW
9419 ASM Advanced Semiconductor Materials 16-402360-01 COVER-LOADLOCK-LEFT NEW
9420 ASM Advanced Semiconductor Materials 54-123388A45-R CONTROLLER-XPE 1.26GHZ 1 DVCNET COM 150W MANUFACTURER REFURBISHED
9421 Cutler-Hammer JD3125 Industrial Circuit Breaker JD 35k Eaton
9422 SoftSwitching Technologies DS10006A120V2SH1000B Voltage Sag Corrector Mini DySC
9423 ASM Advanced Semiconductor Materials 03-147116-01 PCB ASSY-TM INTERFACE NEW
9424 ASM Advanced Semiconductor Materials 03-185617D02 PCB ASSY-MODIF-E3000 SYS I-F HYBRID NEW
9425 Celerity / ASM 54-125027A03 / PSMBD200 MFC Mass Flow Controller HE-100 SCCM DIGITAL NO NEW
9426 Aera / ASM 54-125041A12 / FC-7820 MFC Mass Flow Controller H2-100 SLM-NO NEW
9427 ASM Advanced Semiconductor Materials 96-125485A22 Activator Pod Hold Down New Surplus
9428 GEMS Sensors 204711 Flow Switch FS-480 1.0 GPM Lot of 4 Used Working
9429 ASM Advanced Semiconductor Materials 16-404496-01 Susceptor Locking Nut Lot of 3 New Surplus
9430 ASM Advanced Semiconductor Materials 96-125485A21 Load Port Latch Key Kit New Surplus
9431 ASM Advanced Semiconductor Materials 90-123159A49 ASSY-PCB- DOOR NODE- LOAD PORT NEW
9432 ASM Advanced Semiconductor Materials 02-332411D01 ASSY-PADDLE-FORKED END EFFECTOR NEW
9433 ASM Advanced Semiconductor Materials 16-404988-05 RING-FLOW CONTROL-EMER-650C NEW
9434 ASM Advanced Semiconductor Materials 04-196549-01 KIT-VESSEL ADAPT-SHIPLEY-10049536 NEW
9435 ASM Advanced Semiconductor Materials 1017-418-01 Gas Distribution Channel Plate New Surplus
9436 Swagelok 6Lvv-MSM-DHE-3-P-C-18333 Pneumatic 2-Way Valve ASM 1019-557-01 New Surplus
9437 ASM Advanced Semiconductor Materials 16-183598D01 STOP-TEACH NEW
9438 ASM Advanced Semiconductor Materials 16-193888-99-R SUSCEPTOR-300MM GAMMA HYBRID NON-PSVT MANUFACTURER REFURBISHED
9439 ASM Advanced Semiconductor Materials 11-148252-02 SPARES-VESSEL REBUILD KIT LPV GAS LINES NEW
9440 ASM Advanced Semiconductor Materials 16-400505-01 PLATE-INSULATOR NEW
9441 ASM Advanced Semiconductor Materials 02-350955D01 ASSY-DYNAMIC PRESSURE REGULATOR NEW
9442 ASM Advanced Semiconductor Materials 02-187762D01 ASSY-DYNAMIC PRESSURE REGULATOR-LH NEW
9443 ASM Advanced Semiconductor Materials 02-146800-01 ASSY-PANEL-HCL TEST W/N2 PURGE-RH NEW
9444 ASM Advanced Semiconductor Materials 1051-890-02-R ASSY-PVM CENTER PORT INJECTION NO HEATER MANUFACTURER REFURBISHED
9445 ASM Advanced Semiconductor Materials 78-123494A04-R ASSY-SCARA ARM-10.5 MANUFACTURER REFURBISHED
9446 ASM Advanced Semiconductor Materials 03-185672D01 PCB ASSY-TEMP CONT SW/LED NEW
9447 ASM Advanced Semiconductor Materials 02-140301-02 ASSY-E87 NT BOX-PROGRAMMED NEW
9448 ASM Advanced Semiconductor Materials 1079-518-01 KIT-COOLER S3 W/IO HEAT UNIV K-MOD BLKR NEW
9449 ASM Advanced Semiconductor Materials 16-184558-01 Wafer Carrier Base Plate Used
9450 ASM Advanced Semiconductor Materials 03-325996A01 PCB ASSY-BATTERY BACKED RAM NEW
9451 ASM Advanced Semiconductor Materials 02-333658D01 ASSY-CA WAFER SENSOR I/F BD TO LL1 NEW
9452 ASM Advanced Semiconductor Materials 1097-471-01 RPK-MODULE ALARM 0-2000PPM RM-570/580 NEW
9453 ASM Advanced Semiconductor Materials 1003-401-01 HARNESS-MAINT CART INTFC PNL TO CART NEW
9454 ASM 32-123808A72 Loadport Advantag RFID Cable S3 Lot of 8 New Surplus
9455 Swagelok / ASM 50-108456A71 / 6LV-BNC323P-C VALVE-PSV-3-NO-MODU C NEW
9456 Celerity / ASM 54-106902A24 / FC-261KZ-4V MFC Mass Flow Controller HCL 20 NEW
9457 Celerity / ASM 54-106903A54 / FC-260V-4V MFC Mass Flow Controller H2 20 NEW
9458 Aera / ASM 54-123806A66 / FC-7800 MFC MASS FLOW CONTROLLER 4V-HCL-2 SLM-NO @30PSI NEW
9459 ASM Advanced Semiconductor Materials 16-328946D01 REFL-S-SINGLE PARABOLIC NEW
9460 ASM Advanced Semiconductor Materials 1007-291-01-R MOTOR-SMART DEVICENET MANUFACTURER REFURBISHED
9461 ASM Advanced Semiconductor Materials 50-125207A17 MANIFOLD-ELECTRIC VALVE PROCESS MODULE NEW
9462 ASM Advanced Semiconductor Materials 02-321353C01 ASSY-MOTOR & CABLE NEW
9463 ASM Advanced Semiconductor Materials 30-121186A01 DRIVER-TORQUE-ADJ SPEED-MODEL #830 NEW
9464 ASM Advanced Semiconductor Materials 16-332419D06 SUSCEPTOR-XYCARB-150MM NEW
9465 ASM Advanced Semiconductor Materials 02-187742-01 PCB ASSY-FOXBORO-CTR NEW
9466 ASM Advanced Semiconductor Materials 42-122970A24 POWER SUPPLY-SW-200W QUAD OUT ADJ NEW
9467 ASM Advanced Semiconductor Materials 42-122970U81 REFURB-POWER SUPPLY-480VAC/24VDC 20 NEW
9468 ASM Advanced Semiconductor Materials 16-320065D01 PLATE-MOUNTING NEW
9469 ASM Advanced Semiconductor Materials 16-146941-01 REFL-S-PARABOLIC LWR LAMP 90 NEW
9470 ASM Advanced Semiconductor Materials 3753492-01 SHIELD-PCE RIGHT SIDE-300MM NEW
9471 ASM 96-125485A29 ASSY-PEDESTAL UNI HSE PLATEN
9472 ASM 1030-058-01 KIT-OPR TC 200MM TOYO TANSO
9473 ASM 1030-058-01 KIT-OPR TC 200MM TOYO TANSO
9474 ASM Advanced Semiconductor Materials 1038-954-01 Coated Transport Tube B Lot of 2 New
9475 ASM 1006-330-01 NW50 ROLLCAM GATE VALVE/IRF-09081-2-01
9476 ASM Advanced Semiconductor Materials 1038-955-01 Coated Nozzle Plate D1.4 Lot of 11 New
9477 ASM Advanced Semiconductor Materials 1130-467-01 KIT-WIRING PRECLEAN PDU NEW
9478 ASM Advanced Semiconductor Materials 1129-300-01 KIT-WIRING HIGH VOLTAGE ENCLOSURE NEW
9479 ASM Advanced Semiconductor Materials 1129-530-01 TUBE-INJECTOR PROCESS CHAMBER V3 NEW
9480 ASM Advanced Semiconductor Materials 1129-883-01 SPOOL-ISO 63 50.0 LONG AL2O3 COATED NEW
9481 ASM Advanced Semiconductor Materials 1129-538-01 INJECTOR-CATALYST FLOW ISO63-NW25 RDCR NEW
9482 ASM Advanced Semiconductor Materials 1134-624-01 LID-HTM PROCESS CHAMBER-PREVIUM V3 NEW
9483 ASM Advanced Semiconductor Materials 1127-023-01 LID-HTM PROCESS CHAMBER-PREVIUM V2 NEW
9484 TEKTRONIX TDS520A 2 Channel Digitizing Oscilloscope USED
9485 HP Hewlett-Packard 1660CS Logic Analizer / Oscillscope USED
9486 SPECTRA-PHYSICS 0129-5317 Laser Diode with Fiber Optics Cable
9487 CARL ZEISS 45 24 65 Power Supply 100
9488 OPTRONICS 60670 DEI-750 Camera Controller
9489 SPECTRA-PHYSICS J20-8S-19 Laser Power Supply
9490 CARL ZEISS 45 74 92 AF Controller
9491 SPECTRA-PHYSICS MG-532C-400-19 207 Laser Head
9492 MELLES GRIOT 90130042A Laser Beam Expande
9493 ZEISS 44 23 25 Epiplan-Neofluar Objective 5x/0.15 HD DIC 8/0
9494 ZEISS 1005-005 Epiplan-Neofluar Objective 20x/0.50 HD DIC 8/0
9495 DOVER INSTRUMENT CORP DMM 2100 Servo Controller
9496 WEST COAST QUARTZ 91-00787B Shadow Ring Quartz 200MM Notch MXP +
9497 Pasternack PE9428 Precision RF Adaptor Connector N (F) to N (F) Lot of 8 New
9498 AMAT Applied Materials 0100-00011 Chopper Drive PWB Card PCB Rev. H Used
9499 AMAT Applied Materials 0100-00011 Chopper Drive PWB Card PCB Rev. H Used
9500 APPLIED MATERIALS 0100-00060 Chopper Drive PWB ASSY
9501 Lam Research 810-17031-1 ADIO-9 PCB Card 810-17052-9 Used
9502 Lam Research 810-17031-1 Rev. 7 ADIO-13 PCB Card 810-17052-13 Used
9503 MOTION SCIENCE MSFX 5002-01 PCB Board
9504 AMAT Applied Materials 0020-79376 Clamp Seal SST Lot of 5 Used Working
9505 GE FANUC Genius Hand Held Monitor
9506 Nikon BD Plan 5 Microscope Objective Lens 0.1 210/0 Used Working
9507 GOLDFINGER TECHNOLOGIES 3156023-000 L RF Amplifier
9508 VERTEQ 3156023-000E RF Amplifier
9509 VERTEQ 3156023-000K RF Amplifier
9510 MKS Instruments 631A01TBEH Baratron Pressure Transducer USED
9511 DOTRONIX 7-034-0105 A 10″ CRT Monitor, Model CD-90
9512 DYNATRONIX 138-0335-07 Board Processor Supply
9513 Lam Research 810-001316-002 Z80 Processer Board USED
9514 Toshiba PCB-A005-X Board PCB BPN-SDF-512 Used
9515 Toshiba PCB-A005-X Board PCB BPN-SDF-512 Used
9516 ENTERPRISE SYSTEMS 10764 UMC Non Volatile Memory Card(replacment battery)
9517 Dynatronix 138-0323-63 Forward Regulator Plating Board USED
9518 LAM RESEARCH 810-009281-001 GAP Driver Board
9519 Aera CA-n98D Digital/Analog MFC Adapter Lot of 16 AE Advanced Energy Used
9520 BTU Engineering 3161361 Softland Driver USED
9521 Powr Ups 1015 DC Motor Control Board PCB Lot of 8 Used
9522 FSI 231601-002 Sensoray 16-bit A/D Model 118 USED
9523 LAM RESEARCH 810-17003-002 Drive IMPD & PH Board PCB
9524 Bruce Technologies 9760132 Input Module Used
9525 Bruce Technologies 9760131 Relay Output Module Used
9526 AMAT Applied Materials 0190-35961W Optical Sensor Cable 4641321-0001 Refurbished
9527 MKS Instruments 628A-13476 Baratron Type 628 Pressure Transducer Range – 1 Torr USED
9528 Celertiy UFC-8100 MFC Mass Flow Controller 20L H2 USED
9529 USI Ultron Systems Inc. UH212N Ionizing Air Pencil UH212 Used
9530 UNIT Instruments UFC-1100A Mass Flow Controller 50 SCCM CHF3 Used Working
9531 LAM RESEARCH 853-012500-002-E-3117 Edge Detector ASSY
9532 Baldor K1599005 Brushless AC Servomotor USED
9533 Baldor K2497013 Brushless AC Servomotor USED
9534 LAM RESEARCH 853-001142-001 Automatch Controller
9535 STAUBLI 643/IDI – D29065600 Motor, Model 001A USED
9536 HEATEFLEX LH1-10-C-A01-P504 Inline Heater
9537 VAT Valves 10416-2 Insertable Gate Valve Used Working
9538 UNIT Instruments UFC-1100A Mass Flow Controller 50 SCCM TMPI Used Working
9539 Oriental Motor ASM66MC-T30 Closed Loop Step Motor USED
9540 Pall PHD44UNDEH23 Filter 0.4µm DEV – DI Lithius 810-37473 Lot of 3 New
9541 LAM Research 810-057038-002 ASSY, PCB, Outer Arm
9542 Deltron V225C Power Supply Lam 853-009231-002-B-220 Used Working
9543 ASM 2600315-01 ASSY Element Control Panel
9544 Futurestar Corporation 100-00250 Flowmeter, 5-250 CC
9545 Applied Materials 0040-75531 003 Deposition Ring
9546 LAM Research 716-026652-002-B Ring, Focus
9547 LAM Research 716-026652-002-B Ring, Focus
9548 Applied Materials 0200-04084 Ceramic Liner Bottom
9549 LAM Research 716-800842-005 Foc, Ring, Top, QTZ, 200mm, Jeida
9550 Lam Research 716-015595-002 Stepped Focus Ring DFC200MM Refurbished
9551 Fine Technology TTM153H-ASGL048-01 PFTE Etch Gas Line Heater Jacket ASM 1112-187-01 New Surplus
9552 Nor-Cal Products 796-008091-001 Pneumatic Valve USED
9553 Fujikin 540443 Diaphragm Valve Manifold ASM 1061-994-01 Lot of 2 New Surplus
9554 AMAT Applied Materials 2FC-NW-50-3 Flex Coupling NW-50 OAL 5.20″ Lot of 4 New
9555 Fine Technology TTM153H-ASGL047-01 PTFE Transport Tube Heater Jacket ASM 1112-185-01 New Surplus
9556 ASM 1041-152-01 MFC MASS FLOW CONTROLLER HORIBA 120SCCM HCL
9557 AMAT Applied Materials 0200-00626 Cover NEW
9558 Applied Materials 0040-75531-003 Deposition Ring PVD Clean Tungsten(W) A1
9559 AMAT Applied Materials 0200-36544 Isolator, Lid Flange, TI-XZ 200MM NEW
9560 AMAT Applied Materials 0200-02000 Ring, Single low profile, 200mm NEW
9561 AMAT Applied Materials 0021-20788 A Shield, 8″ USED
9562 Tosoh Quartz 805-566-QC-F Jar, Bell w/Mesh Coat T080H USED
9563 AMAT Applied Materials 0040-09961 / 0020-32963 / 0040-09957 ASSY Pedestal 200MM Esc USED
9564 AMAT Applied Materials 0200-02990 002 Top Liner 200MM NEW
9565 AMAT Applied Materials 0021-04242 DK Space Cover Shield USED
9566 AMAT Applied Materials 0021-24804 Cover Ring 8″ USED
9567 Lam Research 715-11535-100-E2 Upper Electrode Ring USED
9568 AMAT Applied Materials 0200-04840 Lid Liner USED
9569 AMAT Applied Materials 0200-36541 Liner, Lid USED
9570 Lam Research 716-443179-002 Edge Wide USED
9571 West Coast Quartz 1810-329156C11 Ring, Focus Inner N Cooling (Ruberless) NEW
9572 AMAT Applied Materials 0020-24804 Cover Ring SST ESC NEW
9573 QUARTZ INTERNATIONAL 1021-06-022 Ring, Focus 209M NEW
9574 Lam Research 718-098592-001 Locking R, Hard Anodized USED
9575 AMAT Applied Materials 81-57228R Flange, Insulating Taper USED
9576 AMAT Applied Materials 0020-26478 Upper Shield TWAS USED
9577 AMAT Applied Materials 0020-477722 Upper Shield TWAS USED
9578 AMAT Applied Materials 0020-24530 Upper Shield USED
9579 Micron 80911 Plate, Baffle Spoke Tel Unity II USED
9580 ADTEC Technology AMU-3000DPX Automatic Impedance Matching Unit USED
9581 MKS Instruments 870BRDPCB4GL1 Baratrom Pressure Transducer NEW
9582 Pall DFA2UNDENP84M Filter NEW
9583 AMAT Applied Materials 0020-10908A Hinge, Chamber, CVD USED
9584 TEL Tokyo Electron 5010-202984-11 Chuck ASSY NEW
9585 PROGRESSIVE TECHNOLOGIES 4301C-DGLS Sentry Supervisor USED
9586 AMAT Applied Materials 0090-77098 ASSY RBT Door SW Wafer MA NEW
9587 Parker 54015091 / 171660 Veriflo Valve Model 955ZA0PLPNCSTSVESP2.125 NEW
9588 Oriental Motor / AMAT Applied Materials PX245-02AA-C4 / 0015-09077RC Butterfly Valve USED
9589 AMAT Applied Materials 0010-76175 CVD Throttle Valve USED
9590 AMAT Applied Materials 0020-78697 Wafer Ring, 8″ Tungsten NEW
9591 PRECISION SENSORS 70425-250-01-GA Sensor USED
9592 Parker 54015096 / 955ZA0PLPNCSC111VESP1.06 Veriflo Valve NEW
9593 Parker 54014959 / 955ZA0PLPNCSTS2.125 Veriflo Valve NEW
9594 AMAT Applied Materials 0021-04644 Lower Shield 8″ STD Ti USED
9595 AMAT Applied Materials 0020-23278A Upper Shield Used Working
9596 AMAT Applied Materials 0021-20788 Lower Shield ESC Single USED
9597 West Coast Quartz 1040-10-153-A Lower Isolator, B-Liner 200MM TICL 4 Tin NEW
9598 VAT / Lam Research 200500 / 718-098591-001 Gate USED
9599 AMAT Applied Materials 0020-26477 Cover Ring TWAS Refurbished
9600 AMAT Applied Materials 0020-26477 Cover Ring TWAS Refurbished
9601 AMAT Applied Materials 0021-06569 Liner, Ring Insert USED
9602 AMAT Applied Materials 0021-06569 Liner, Ring Insert USED
9603 AMAT Applied Materials 0021-04644 Lower Shield 8″ STD Ti USED
9604 Novellus Systems 02-047200-02 ASSY, Pedestal Lift USED
9605 Parker 3511-07 Linear Slide Bearing Reseller Lot of 25 New Surplus
9606 SANKI PFC-110A VVVF Controller USED
9607 Thomson Micron X32-113-353 Gear Head XDT90-015 Sanyo Denki 103G814-5730 Motor Used
9608 AMAT Applied Materials 0021-08970 Nickel Lock Ring Reseller Lot of 4 Refurbished
9609 ASM Advanced Semiconductor Materials 2602792-21 PWBA Motor Drive Dual NEW
9610 AMAT Applied Materials 0620-01715 Monitor Cable New Surplus
9611 Lam Research 810-034806 RFG RF Interlock PCB USED
9612 HI-SYSTEM HIMV-605A DI/0IIA Control PCB USED
9613 AP Tech AP1010S 3PW FV4 FV4 IV4 SC MK Regulator Valve Refurbished
9614 PARABILIS TECHNOLOGY PA709018 Tong Ring Lift 200mm NEW
9615 WJ Watkins-Johnson 909062-001 Indexer I/O Board PCB A00116 Used
9616 ASM Advanced Semiconductor Materials 2841436-01 ASSY, Touchscreen Reactor USED
9617 Ebara P-V801 Dry Pump Control Panel Used Working
9618 PROGRESSIVE TECHNOLOGIES INC. 4301C-DGLS Sentry Supervisor USED
9619 Novellus 02-00221-02 Rev. D Pedestal Lift Assembly Used
9620 Phasetronics AMI#0015-09091 / P1038A #108264 ASSY Lamp Driver USED
9621 AMAT Applied Materials 0010-09750R RF Match, CVD USED
9622 NC SERVO TECHNOLOGY HDX115C688 Servo Motor USED
9623 Lam Research 810-057016-003 ASSY, PCB, PLTN HTR PWR MON/GFI USED
9624 AMAT Applied Materials 0200-09617 Shield Ceramic USED
9625 MSA AUER ED 098 SMD-BQ EX-Alarm USED
9626 PROGRESSIVE TECHNOLOGIES INC. 4301G01 Sentry Supervisor USED
9627 Schumacher 1491-70376 Refill Controller, Model SCM TFL USED
9628 Lam Research 853-024350-100-2-230S Liquid Tank SP Gas Panel ASSY USED
9629 Parker 43600759 High Purity Regulator Valve With Gauge HFR90TS4PB6V Lot of 2 Used Working
9630 Lam Research 853-054148-001 ASSY, Liquid Pump, 100ml USED
9631 AP Tech AP1010SM 3PW FV4 FV4 FV4 Regulator Valve With Gauge Refurbished
9632 AP Tech AP1010SM 3PW FV4 FV4 IV4 Regulator Valve With Gauge Refurbished
9633 Lam Research 810-25417R Screen, Flat 300MM POLY DSP2 REPAIR USED
9634 Sti 43268-0240 Light Curtain Controller MINISAFE-B MS4324B-2 Used Working
9635 AMAT Applied Materials 0021-20788 Lower Shield ESC Single USED
9636 AMAT Applied Materials 0020-47722 Shield, Upper PVD Tungsten USED
9637 AMAT Applied Materials 0020-26478 Shield, Upper PVD Tungsten SED
9638 Lam Research 839-465013-001 Liner Chamber VAT65 TRANSN MANF USED
9639 AP Tech AP1010SM 3PW FV4 FV1 IV4 Regulator Valve With Gauge Refurbished
9640 AP Tech AP1015S 3PW FV4 FV4 IV4 Regulator Valve With Gauge Refurbished
9641 AP Tech AP1710S 2PW MV4 MV4 SC NK Manual Regulator Valve 91-91700095 New Surplus
9642 Lam Research 715-11825-001 Chamber Plate USED
9643 Lam Research 715-390129-001-E2 Chamber Plate USED
9644 QUALIFLOW AFC-202 MFC Mass Flow Controller 200SLM N2 USED
9645 Baldor W129/1418 D121 124 02 Brushless AC Servomotor USED
9646 Baldor W069/0164 D121124 02 Brushless AC Servomotor USED
9647 Cadence 2500000006399 Power Supply Unit PSU for Palladium III Emulator USED
9648 ADCS, Inc. Model 969 Low Level Monitor Reseller Lot of 10 Used Working
9649 MKS Instruments 1640A-15722—S Pressure Controller Type 1640 7.5 SLM Used
9650 TYLAN FM-362V MFC Mass Flow Controller 40SLPM O2
9651 ASTeX Applied Science & Technology AS04251 Power Distribution Used Working
9652 ASTEX AS-04319 Control Interface
9653 Parker 45200332EV Manual Regulator Valve SQ420E100W2PFSFM448PMEV Used Working
9654 Parker 45600961EV Manual Regulator Valve SQ420E1002PFSFMPM4.48EV Used Working
9655 Parker 45200944EV Manual Regulator Valve SQ420E-50FS8FMPMEV Used Working
9656 VERIFLO 45400116EV / SQ140E303PFSMMMPME_ Manual Valve / Regulator Inlet 250 psi
9657 Parker 45200189EV Manual Regulator Valve SQ140E302PVCRMFPMEV Used Working
9658 Parker 45400638EV Manual Regulator Valve SQ420E502PFS8PMEV Used Working
9659 Parker 45600950EV Manual Regulator Valve SQ420E502PFS8FMPMEV Used Working
9660 Ion Systems NilStat 5024(e) Controller Reseller Lot of 3 Used Working
9661 GE Fanuc IC693MDL752E PLC Output Module TTL 32PT 12/24VDC Lot of 14 Used Working
9662 GE Fanuc IC693MDL241D PLC Input Module 24VAC/VDC 16PT Lot of 33 Used Working
9663 GE Fanuc IC693CMM301G Genius Communications Module
9664 GE Fanuc IC693MDL752D PLC Output Module TTL 32PT Reseller Lot of 12 Used Working
9665 Watkins-Johnson 976504-001 Alarm Status Panel
9666 Watkins-Johnson 908580-001 Stepper Motor I/O Interface
9667 WATKINS-JOHNSON / BAY PNEUMATICS 815001-529 / BES-5536-PCB Exhaust Port Board
9668 WATKINS-JOHNSON / BAY PNEUMATICS 815001-529 / BES-5536-PCB Exhaust Port Board
9669 Parker 45400623EV Manual Regulator Valve SQ420E502PFSFMPM4.48EV Used Working
9670 Fujikin O.P. 3.5 – 5 kgf/cm2g (0.34~0.49mpa) Dual Side Diaphragm Valve Lot of 5 Used Working
9671 Fujikin 045164 Diaphragm Valve O.P. 3.5 – 5 kgf/cm2g (0.34~0.49mpa) Lot of 18 Used Working
9672 Fujikin O.P. 3.5 – 5 kgf/cm2g (0.34~0.49mpa) Diaphragm Valve Lot of 6 Used Working
9673 Precision Sensors P36W-31 Pressure Switch 21 PSIG Reseller Lot of 6 Used Working
9674 Precision Sensors P17W Pressure Switch Reseller Lot of 8 Used Working
9675 Tescom REG-312-01 Regulator Valve Reseller Lot of 6 Used Working
9676 Fujikin 038823 Manual Diaphragm Valve Soft-Seal Reseller Lot of 23 Used Working
9677 W-J Watkins-Johnson 905718-001 Quad SSR Interface PCB Reseller Lot of 100 Used Working
9678 WATKINS JOHNSON 914275-1 Unloader Return Shuttle WJ999 / WJ1000
9679 WATKINS JOHNSON AVIZA 906171-001 Optical Sensor Interface PCB Circuit Board
9680 WATKINS JOHNSON AVIZA 905919-001 Rev B Hi-Res Temperature IFC PCB Circuit Board
9681 WATKINS JOHNSON AVIZA 910623-001 Multi-Channel Serial Data Switch II PCB Circuit
9682 Watkins-Johnson 975783-001 Switch Panel
9683 Watkins-Johnson 907960-001 PCB Isolated O3 Interface
9684 GE Funuc IC693CMM311L Communications Control Module
9685 GE Fanuc IC693CPU331-CC CPU Module
9686 WJ Watkins-Johnson 977177-001 MFC Interface Board PCB Lot of 15 Used
9687 WATKINS JOHNSON G4PB24 / OPTO 22 005131D I/O Board PCB
9688 WJ Watkins-Johnson 901531-001 Thermocouple Interface Board PCB Rev. – Lot of 13 Used
9689 WJ Watkins-Johnson 910241-001 PLC Isolator Interface PCB Lot of 8 Used Working
9690 Ebara CRN-KF-50-40 Reducer Nipple NW Conical Reseller Lot of 11 Used Working
9691 Yashibi DC Power Generator YCC-18K-X Refurbished
9692 Sony XC-56BB-FEP Preogressive Camera Module 4S588-495 NSR-S205C Working Spare
9693 Temperature Control Unit
9694 Liebert SCC020C-4 Datawave 1 Magnetic Synthesizer Used Working
9695 Sony BZ-T13 Laserscale Interface Used Working
9696 Nikon 4S001-060 Power Supply PW-NA PCB Board Working
9697 TEL Tokyo Electron 1D81-000128 Communications Board TYB41C-1/Gas I/L Unity II
9698 Nikon 4S018-548 SR-EXT170 Extension Board Used Working
9699 Nikon 4S018-382 RSMDRVX2 PCB Board WORKING
9700 Nikon 4S018-445 EPDRV2-X2A PCB Board Used Working
9701 Nikon 4S018-383 RSSDRVX2 PCB Board Used Working
9702 Nikon 4S018-444-EPDRV1-X2A PCB Board Used Working
9703 Nikon 4S015-094 NSR Series PCB Board NK386SX3 Used Working
9704 TDK MSE182B Power Supply PCB Card Nikon 4S001-064 Used Working
9705 Nikon 4S018-384 PCB Board WORKING
9706 Nikon 4S018-384 PCB Board Working
9707 Nikon 4S015-119 NK386SX4 PCB Board WORKING
9708 Nikon 4S018-608 WL3I06 PCB Board WORKING
9709 Nikon Power Supply 4S001-068 PCB Board WORKING
9710 Nikon 4S015-096 NK-C303-40 PCB Board WORKING
9711 Nikon 4S018-591 LMDRVX2A PCB Board Used Working
9712 Nikon 4S015-164 Control Board NK-C441-1 Used Working
9713 Nikon 4S015-164 Control Board NK-C441-1 Used Working
9714 Nikon 4S015-164 Control Board NK-C441-1 Used Working
9715 TEL Tokyo Electron 1D08-000098-12 PCB Board Unity II Used Working
9716 TEL Tokyo Electron TVB211-11/GAS PCB Board 1D81-0000-0096AA Unity II Used
9717 TEL Tokyo Electron 1D81-000009219 COM Control Card TYB 121-1/COM Used Working
9718 TEL Tokyo Electron 1D81-000099-A6 MAIO Control Card TYB111-1/MAIO Used Working
9719 TEL Tokyo Electron REX-B860-CS2 TMP 8ch Control PCB Card Unity II Used Working
9720 Hitachi I900SRT E84 Box Power Module working
9721 Hitachi Wafer Prealigner Module S-9300 SEM Used Working
9722 Hitachi 571-7701 I900SRT IMGIF Board Used Working
9723 Hitachi 571-7703 I900SRT CLKIF Board Used Working
9724 Hitachi I900SRT Rotary Lens Assembly with Steepers PK544-NAC PK566BW Working
9725 Kyosan DC Power Supply WB0210 Used Working
9726 Nemic-Lambda HR-12F-36V DC Power Supply Used Working Surplus
9727 Nikon NSR-S307E IU-IOP1 Controller 4S065-171 working
9728 QMB250 Edwards A301-86-905 Vacuum Pump Mechanical Booster Used Untested As-Is
9729 Nikon 4S013-318-LDR-I/F I/F Board Used Working
9730 SUNX Sensors LA-A1 LA-310P LA-310D Beam Sensor Used Working
9731 Nikon NSR PW-NE Power Supply Board 4S001-070-01 working
9732 SVG 99-80266-01 Station CPU Board Rev.L working
9733 Hitachi 560-5501 NCOLDCPS Power Supply Assembly S-9300 SEM Used Working
9734 TDK RDH24-6R0 DC Power Supply Used Working
9735 TEC IZU 4S064-644 Power Supply Nikon NSR Used Working
9736 Lam Research 853-024403-100-10 Orbital Gas Panel Assembly 4420 Etcher As-Is
9737 Lam Research 853-024403-583-A Orbital Gas Panel Assembly 4420 Etcher As-Is
9738 Lam Research 853-024403-100-9 Orbital Gas Panel Assembly 4420 Etcher As-Is
9739 Lam 4420 Reaction & Lower Chamber 852-011061-103 Lower Match Box 860-010110-013
9740 Kyoto Denkiki KDS-30350W DC Power Supply Hitachi M-712E Used Working
9741 Origin Electric ES7-IIA Magnetron Power Generator MAG.P/G Hitachi M-712E Used
9742 AMAT Applied Materials Quantum Leap 3 Process Module Wheel Used Working
9743 MRL ASM Vertical Furnace Heater Element 46003002842955 New
9744 ADTEC AX-2000EUII-N RF Generator Novellus 27-286651-00 Used Tested Working
9745 ADTEC AX-2000EUII-N RF Generator Novellus 27-286651-00 Used Tested Working
9746 ADTEC AX-2000EUII-N RF Generator Novellus 27-286651-00 Used Tested Working
9747 ADTEC AX-2000EUII-N RF Generator Novellus 27-286651-00 Used Tested Working
9748 Hine Design Gasonics Aura 2000-LL Chamber Robot Assembly 200mm Used Working
9749 VAT 12044-PA24-1002 Vacuum Gate Valve Used Working
9750 Santa Clara Plastics 2700 Standard Recirculation Unit Untested As-Is
9751 Santa Clara Plastics 2700 Standard Recirculation Unit Untested As-Is
9752 SVG 90S Fluid Temperature Station 99-80295-01 Power Supply Safety Reset Board Used
9753 Noah Precision 2005 Fluid Temperature Bath Rev. D SVG 90S Used Working
9754 Accurate Gas Control Systems AGT354D-1 Chiller Tested Not Working
9755 Accurate Gas Control Systems AGT354D-1 Chiller Low Temp Alarm Not Working As-Is
9756 EPI 109 Ceramics End Effector Pneumatic Used Working
9757 Sanso PV2-4/4-BTBDK2 Wet Pit Type Centrifugal Pump Used Working
9758 Edwards D37420000 Local Control Module iTIM Used Working
9759 Nikuni 25NPX11J 3-Phase Induction Motor 1.1 kW 2 Poles Used Working
9760 Hitachi S-9300 SEM Stage Control Panel
9761 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Used Working
9762 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Used Working
9763 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used
9764 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Copper Exposed Used
9765 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Copper Exposed Used
9766 Novellus 02-130617-00N C3 Vector Spindle Assembly Rev. D No Motors Used Working
9767 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Used Working
9768 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Used Working
9769 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. D No Motors Used Working
9770 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Copper Exposed No Motors
9771 Novellus 02-130617-00N C3 Vector Spindle Assembly Rev. B No Motors Used Working
9772 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F No Motors Used Working
9773 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K No Motors Used Working
9774 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. E Used
9775 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. D Copper Exposed Used
9776 Novellus 02-252432 C3 Vector Spindle Assembly Rev. G Used Working
9777 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Copper Exposed No Motors
9778 SMC XLA-160DA-M9BA High Vacuum Valve Assembly AMAT 0090-01100 New
9779 SMC XLA160-30-1-M9BA High Vacuum Valve Assembly Used Working
9780 CTI-Cryogenics 8116081G006 On-Board 8F Cryopump w/ACM Used Working
9781 Mykrolis FC-2979MEP5-WM Mass Flow Controller 50 SCCM C4F6 As-Is
9782 Mykrolis FC-2979MEP5-WM Mass Flow Controller 1 SLM CO As-Is
9783 Mykrolis FC-2979MEP5-WM Mass Flow Controller 1 SLM N2 As-Is
9784 Mykrolis FC-2979MEP5-WM Mass Flow Controller 500 SCCM N2 As-Is
9785 Mykrolis FC-2979MEP5-WM Mass Flow Controller 20 SCCM O2 As-Is
9786 Mykrolis FC-2979MEP5-WM Mass Flow Controller 50 SCCM CH2F2 As-Is
9787 Mykrolis FC-2979MEP5-WM Mass Flow Controller 200 SCCM CO As-Is
9788 Mykrolis FC-2979MEP5-WM Mass Flow Controller 2 SLM Ar As-Is
9789 Mykrolis FC-2979MEP5-WM Mass Flow Controller 1.5 SLM O2 As-Is
9790 Mykrolis FC-2979MEP5-WM Mass Flow Controller 1 SLM Ar As-Is
9791 Mykrolis FC-2979MEP5-WM Mass Flow Controller 200 SCCM CHF3 As-Is
9792 Mykrolis FC-2979MEP5-WM Mass Flow Controller 600 SCCM N2 As-Is
9793 Mykrolis FC-2979MEP5-WM Mass Flow Controller 50 SCCM O2 As-Is
9794 Mykrolis FC-2979MEP5-WM Mass Flow Controller 50 SCCM C4F8 As-Is
9795 Phasetronics P1050-X2-60 Power Control System Lot of 4 Used Work
9796 iL70N Edwards NRB446945XS Dry Vacuum Pump Rebuilt
9797 Asyst 05050-017 Wafer Pre-Aligner Model 5 ASM Epsilon 3000 System Used Working
9798 Asyst 05050-017 Wafer Pre-Aligner Model 5 ASM Epsilon 3000 System Used Working
9799 Asyst 9700-5819-01 FFU Fan Filter Controller CMS II Rev. 4 ASM Epsilon 3000 Used
9800 Asyst 9700-5819-01 FFU Fan Filter Controller CMS II Rev. 4 ASM Epsilon 3000 Used
9801 Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Epsilon 3000 Used
9802 Asyst 9700-6209-01 Power Distribution Unit ASM Epsilon 3000 Used Working
9803 Asyst 9700-6209-01 Power Distribution Unit ASM Epsilon 3000 Used Working
9804 EPX180L Edwards A419-41-152 Turbopump Tested As-Is
9805 Kokusai Electric DN-130P(SA) Heater Over Temp Protection Unit Vertron Working
9806 Kokusai Accuron CQ1501A Digital Direct Controller Vertron Used Working
9807 Kokusai CX1209 Cassette Loader Control Panel Vertron Used Working
9808 VAT F03-102433 Pneumatic Slit Valve Used Working
9809 VAT F03-111836 Pneumatic Slit Valve Used Working
9810 Biorad X18 Xenon Light Source Quaestor Q7 Used Working
9811 TEKVisions 9111150488 LCD Touch Screen Monitor 181MITE271RAM Used Working
9812 Brooks Automation WTM-511-2-FWS02-V1 Transfer Robot AMAT 0190-08245 As-Is
9813 Newport Q2SL XY Wafer Stage BioRad Quaestor Q7 Used Working
9814 Hitachi AL-2BL Communication Module Olyumpus MD E67V-0 Used Working
9815 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K Used Working
9816 ADTEC AX-2000EUII-N RF Generator 2000W Tested Not Working As-Is
9817 Pfeiffer-Balzers TPU 240 Vacuum Turbo Pump Assembly DUO 1.5A As-Is
9818 E’Wave 3152603-005 AE Advanced Energy 27-260607-00N RF Generator Refurbished
9819 ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Used Tested Working
9820 Varian VGA250IEP1 VLV Gate Valve ISO250 Used Working
9821 FujiFilm 888222 GenStream I/II Degasser and Code Cable Delivery System New
9822 ADTEC AX-2000EUII-N RF Generator 27-286651-00 Untested Damaged Breaker As-Is
9823 ADTEC AX-2000EUII-N RF Generator 27-286651-00 Tested RF Output Damaged Fan As-Is
9824 ADTEC AX-2000EUII-N RF Generator 27-286651-00 Used Tested RF Sensor Error As-Is
9825 Mitutoyo Corporation PSU15 Power Suppy Unit Used Working
9826 HP Compaq Proliant DL580R01 x700-1M IPUS Industrial Computer Used As-Is
9827 AMAT Titan 300mm Fixture Kit 0240-13190 new
9828 Pearl Kogyo CF-500-400K(CE) RF Power Supply Hitachi M-712E Used Working
9829 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used
9830 AMAT Applied Materials 0020-60810 Arc Spray Inner Shield Copper Exposed
9831 Liebert CAA030C4 30 KVA Power Conditioner Datawave 1 Used Tested Working
9832 Edwards NRD75100H Helios Head Assembly Combustion Chamber Factory Refurbished
9833 Edwards Y12201000 Helios Head Assembly Combustion Chamber Factory Refurbished
9834 Edwards Y12201027 Helios Head Assembly Combustion Chamber Factory Refurbished
9835 Edwards Y12501001 Helios Head Assembly Combustion Chamber Factory Refurbished
9836 TEL Tokyo Electron 5027-678468-11 CPL Chilling Hot Plate Lithius CPL 2-10 New
9837 TEL Tokyo Electron 5027-678468-11 CPL Chilling Hot Plate Lithius CPL 2-6 New
9838 TEL Tokyo Electron 5027-678469-11 WCPL/TRS Water Controlled Chill Plate New
9839 Asyst 04630-004 Load Elevator Indexer Hine GaSonics 94-1174 Avra 2000-LL As-Is
9840 Asyst 04630-003 Load Elevator Indexer Hine GaSonics 94-1175 Avra 2000-LL As-Is
9841 Nikon S36 TC Temperature Control Rack NSR-S204B Step-and-Repeat Scanning As-Is
9842 Hitachi Power Distribution and EMO Assembly 560-5519 569-5524 S-9300 CD SEM Used
9843 AMAT Applied Materials 0240-61428 Quantum Leap II Heat Exchanger Untested As-Is
9844 Novellus 02-304871-00 RF Match Assembly Aluminum SST-PEEK 1.12 New
9845 PRI Automation 7500 Box Pod Stocker and 7100 Cassette Anomaly Station Used As-Is
9846 iQDP80 Edwards IQ7150204xs Dry Vacuum Pump QMB1200 Used Tested Working
9847 QMB1200 Edwards A305-86-905 Vacuum Booster 22250 Hrs. Copper Used Tested Working
9848 QMB1200 Edwards A305-86-905 Vacuum Booster 15237 Hrs. Copper Used Tested Working
9849 Axcelis 572881 Module Control Computer 300mm Fussion ES3 CES3590 Used Working
9850 Axcelis 572871 Module Power Distribution 300mm Fussion ES3 CES3590 Used Working
9851 Axcelis Microwave Waveguide Assembly ASTeX GL219 624811 Fusion E53 Used Working
9852 Axcelis Microwave Waveguide Assembly GAE GA3107 10661 Fusion E53 Used Working
9853 SVGL 879-0013-005 Environmental Control Unit Microscan 4054 Semifab Used Working
9854 Telemecanique LXM15LD17N4 Servo Drive Lexium 15 LP Used Working
9855 Telemecanique LXM15LD21M3 Servo Drive 15 LP Used Working
9856 Telemecanique LXM15LD21M3 Servo Drive 15 LP No Fan Used Working
9857 Busch NC 0630 B L03 ZZRA Vacuum Pump 4 mTorr Cobra NC 630 B Used Tested Working
9858 AC Motoren JM 132 M-4 Pump Motor Vacuum Assembly JM132M-4 Used Tested Working
9859 Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working
9860 Brooks Automation 013077-079-20 300mm Load Port FIXLOAD 25 Used Working
9861 Brooks Automation FIXLOAD 25 300mm Silicon Wafer Load Port Used Working
9862 Nikon NSR Series ALGAF-P/A-X4+ PCB 4S008-114
9863 Nikon 4S008-049-D Interface Board PCB alg-Z8277 Used Working
9864 Nikon NSR Series X4-AIS PCB 4S008-052-C A-554
9865 Steag Hamatech NH4OH Mediaport Chemical Cabinet with Transformer AC Box Set Used (4 Pieces)
9866 Steag Hamatech NH4OH Transformer
9867 Steag Hamatech NH4OH Controller
9868 GE 5KH32GN5588X AC Motor 4805 Microbar Trackmate Fluid Assembly Used Working
9869 SVG Silicon Valley Group 99-80266-01 CPU PCB Card Rev. M 90S DUV Used Working
9870 Optrex DMF5005N LCD Display PCB Board SVG Silicon Valley Group 90S DUV Used
9871 SVG PCB 06-49879-01B RA2011-11
9872 SVG PCB 06-49879-01B RA2011-11
9873 SVG PCB 06-49879-01B RA2011-11
9874 SVG Silicon Valley Group 99-80316-01 Flow Switch Voltage Regulator PCB 90S Used
9875 SVG Silicon Valley Group 99-80293-01 I/O Expansion/LCD Interface PCB Rev. C Used
9876 Koganei Vacuum Assembly SP1207W 4K191-631 Used Working
9877 Koganei Vacuum Assembly SP1207W 4K191-631 Used Working
9878 Nikon 4S005-155 Relay Interface Card PCB TEMP-AMP2 NSR-S306C Used Working
9879 Nikon 4S007-549 Power Control Card PCB HUMI-PWR NSR-S306C Used Working
9880 Nikon 4S018-837 Backplane Interface Board ALCP-MTHX3 NSR-S306C Used Working
9881 Nikon 4S008-152 Isolated DC/DC Converter Board PCB PRE2 PWSEP NSR-S306C Used
9882 Nikon 4S005-362-2 Interface Sensor Board PCB AF-SENSORX4 NSR-S306C Used Working
9883 Nikon 4S008-086 Relay Control Board PCB AF-MPXX4A NSR-S306C Used Working
9884 Nikon 4S008-089 Relay Control Board PCB AF-ADCX4A Used Working
9885 Nikon 4S008-048-1 Relay Backplane Board PCB AF-PSDX4 Used Working
9886 Nikon 4S014-182 Interface Relay Board PCB AF-I/FX4A NSR System Used Working
9887 Nikon 4S018-913 Relay Control Board PCB MTR-CTRL2 NSR-S306C Used Working
9888 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR-S306C Used Working
9889 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR-S306C Used Working
9890 Nikon 4S013-402 Relay Interface Board PCB RTX4P NSR-S306C Used Working
9891 Nikon 4S008-114 Relay Control Board PCB ALGAF-P/A-X4+ NSR-S306C Used Working
9892 Nikon 4S008-115-A Relay Control Board PCB ALGAF-P/D-X4+ NSR-S306C Used Working
9893 Nikon 4S008-072 Relay Control Card PCB ALCP-AD2X3 NSR-S306C Used Working
9894 Nikon 4S008-052-C Relay Sensor Board X4-AIS A-554 NSR-S306C Used Working
9895 Nikon 4S008-049-D Relay Interface Board PCB alg-Z8277 NSR-S306C Used
9896 Yaskawa XU-DL2110 Servo Drive Controller Nikon 4S064-521-2 NSR-S306C Used
9897 Nikon 4S587-579A 5-Port Network HUB RS-HUB NSR-S306C System Used Working
9898 Nikon Irradiance Illumination Uniformity Sensor NSR-S306C Used Working
9899 Nikon 4G746-090 AIS/BFP Plate NSR-S306C DUV Scanning System Used Working
9900 Nikon Wafer Center Table NSR-S306C DUV Scanning System Used Working
9901 Nikon Reflectance Plate NSR-S306C DUV Scanning System Used Working
9902 Nikon WT Linear Scale NSR-S306C DUV Scanning System Used Working
9903 Nikon 4B041-418 WT Voice Coil Motor VCM NSR-S306C DUV System Used Working
9904 Nikon 4B041-417 WT Voice Coil Motor VCM NSR-S306C DUV System Used Working
9905 Nikon 4B041-419 WT Voice Coil Motor VCM NSR-S306C DUV System Used Working
9906 Nikon MH-15CC Digital Micrometer DIGIMICRO NSR-S306C System Used Working
9907 Nikon TC-214008G Minimotor BLGNA 1/29.64 NSR-S306C System Used Working
9908 Nikon 4S018-912 Interface Relay Board Driver Board PCB REX-DRV2 NSR-S204B Used
9909 Nikon WS Shock Absorber Left (RFC) NSR-S306C DUV Scanning System Used Working
9910 Nikon WS Shock Absorber Right (RFC) NSR-S306C DUV Scanning System Used Working
9911 Nikon 4S013-392-1 Relay Interface Board PCB STGX41A NSR-S306C System Used
9912 Nikon 4S018-902 Relay Air Control Board PCB REX-AIR2 NSR-S306C System Used
9913 Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S306C System Used
9914 Nikon 4S007-663-1 Relay Sensor Board PCB FIAAF-SENSOR-A NSR-S306C System Used
9915 Nikon NK2551 EPROM Reciever Board PCB NSR-S306C DUV System Used Working
9916 Vaisala PTB210A1A9A Pressure Transmitter 500-122 hPa abs Nikon NSR-S204B Used
9917 Sony XC-55BB Progressive Camera Module N50 Nikon NSR-S306C System Used Working
9918 Texas Instruments MC-780PIA-U2 VRA Search Camera Nikon NSR-S306C Used Working
9919 Texas Instruments MC-780PIA-U2 VRA Search Camera Nikon NSR-S306C Used Working
9920 Hamamatsu C8779A CCD VRA Fine Camera S8769A Nikon NSR-S306 System Used Working
9921 Hamamatsu C7041-02 CCD VRA Fine Camera S7961-1008 Nikon NSR-S306C System Used
9922 Nikon 4S001-104 Interface Board PCB POW-DIS NSR-S306C DUV System Used Working
9923 Nikon VFU-X80S Noise Filter NSR-S306C DUV Scanning System Used Working
9924 Nikon 4S001-107 Power Supply Card PCB VDBC0002201 NSR-S306C Used Working
9925 Nikon 4S008-110-A Interface Board PCB ALGAF-T/A-X4+ NSR-S306C Used Working
9926 Nikon 4S008-112 Processor Interface Board PCB ALGAF-T/V-X4+ NSR-S306C Used
9927 Nikon 4S008-113 Processor Interface Board PCB ALGAF-T/H-X4+ NSR-S306C Used
9928 Copal PS8-102G Pressure Switch Nikon NSR-S306C 200mm DUV Scanning System Used
9929 Copal PS85-352R-N2GF Pressure Switch Nikon NSR-S306C 200mm DUV Used Working
9930 Copal PS8-102G Pressure Switch Nikon NSR-S306C 200mm DUV Used Working
9931 Faulhaber 1841E012S Minimotor SA RML Nikon NSR-S306C DUV Scanning Used Working
9932 Faulhaber 1841E012S Minimotor SA RMR Nikon NSR-S306C DUV Scanning Used Working
9933 Faulhaber 2251R012S Minimotor SA Nikon NSR-S306C DUV Scanning Used Working
9934 Mitutoyo 09AAA790 Linear Scale ST320 Nikon NSR-S306C DUV Scanning Used Working
9935 JAE KT000733 6 Axis Vibration Measurement Unit JNP-010 Nikon NSR-S306C Used
9936 Nikon 4S008-116-A Sensor Interface Relay Board PCB NSR-S306C Used Working
9937 Nikon 4S008-117-A Sensor Interface Relay Board PCB NSR-S306C Used Working
9938 Nikon RETTD1096AAG-011 Eprom Sensor 101A9D10 NSR-S306C Used Working
9939 Asahi 752NK-T1 ERG-Box Nikon NSR-S306C DUV Scanning System Used Working
9940 Nikon 4S587-172 Switch Box TC-SW NSR-S306C DUV Scanning System Used Working
9941 Fujikin ALG Pneumatic Box Nikon NSR-S306C Used Working
9942 Nikon 4K191-928 Pneumatic Control Unit SP1212W KBB18600-5 NSR System Used
9943 Liebert 4C13451G1 Interface Board PCB Rev. 3 ASML SVG 90S DUV Lithography Used
9944 Nikon RH-11C-3001-E100AL Reticle Exchange Assembly REX NSR-S306C System Used
9945 Thermo Neslab 622023991801 Heat Exchanger DIMAX Copper Tested Not Working As-Is
9946 Liebert 4D13461G1 Monitor Board PCB Rev. 21 ASML SVG 90S DUV Lithography Used
9947 Nikon 4S018-750 Relay Control Card PCB SPIOX4 NSR-S307E DUV 300mm Used Working
9948 Nikon 4S015-257 Processor Control Board PCB NK386SX6 NSR-S307E DUV 300mm Used
9949 Nikon 4S018-714-1 Processor Control Board PCB STIFMEMX4A NSR-S307E DUV Used
9950 Nikon 4S015-192 Processor PCB Card NK-C44-60S NSR-S307E DUV Scanning System Used
9951 Nikon 4S019-152 Relay Interface Board PCB RSDRVX4B NSR-S307E DUV 300mm Used
9952 Nikon 4S015-261 Processor Control PCB Card NK-C44-60R 4S015-286 NSR-S307E Used
9953 Nikon 4S015-261 Processor Control PCB Card NK-C44-60R 4S015-286 NSR-S307E Used
9954 Nikon 4S019-153 Relay Interface Board PCB WSDRVX4B NSR-S307E DUV 300mm Used
9955 Nikon 4S019-154 Processor Control Board BLDRVX4B NSR-S307E DUV 300mm Used
9956 Nikon 4S019-289 Processor Control PCB Card AFDRVX4B NSR-S307E DUV Scanning Used
9957 Nikon 4S019-156 Processor PCB Card AVDVX4B NSR-S307E DUV Scanning System Used
9958 Nikon 4S019-156 Processor PCB Card AVDVX4B NSR-S307E DUV Scanning System Used
9959 Nikon 4S019-136 RMDRVX4B Processor PCB Card RMDRVX4B NSR-S307E Used Working
9960 Nikon 4S015-214 Processor PCB Card NK-C446-OPT NSR-S307E DUV Scanning Used
9961 Nikon 4S015-214 Processor PCB Card NK-C446-OPT NSR-S307E DUV Scanning Used
9962 Nikon 4S018-935 Processor PCB Card EPDRVX4-MOPT NSR-S307E DUV Scanning Used
9963 Nikon 4S013-497 Processor PCB Card DSP-INF NSR-S307E DUV Scanning System Used
9964 Nikon 4S013-497 Processor PCB Card DSP-INF NSR-S307E DUV Scanning System Used
9965 Advanet AGpci7500 Processor PCB Card 4S015-265 Nikon NSR-S307E DUV Scanning Used
9966 Advanet AGpci7500 Processor PCB Card 4S015-265 Nikon NSR-S307E DUV Scanning Used
9967 Nikon 4S001-082 Power Supply PCB Card DDP-047-A PW-NJ NSR-S307E DUV Used Working
9968 Nikon 4S019-155 Control PCB Card WTDRVX4B NSR-S307E DUV Scanning System Used
9969 Nikon 4S013-495 Illusion Unit Backplane Board PCB NSR-S307E Used Working
9970 Nikon 4S013-495 Illusion Unit Backplane Board PCB NSR-S307E Used Working
9971 Nikon 4S013-365 Backplane Interface Board STGSTCSPX4 NSR-S307E Used Working
9972 Nikon 4S013-369 Backplane Interface Board PCB STGSTCAFX4 NSR-307E Used Working
9973 Nikon 4S013-368 Backplane Interface Board PCB STGSTCBLWTX4 NSR-S307E Used
9974 Nikon 4S013-480 Backplane Interface Board PCB STGSTCRMEPX4B NSR-S307E Used
9975 Nikon 4S013-382 Backplane Interface Board PCB STGPWTX4A NSR-S307E Used Working
9976 Nikon 4S018-749 Backplane Interface Board PCB STGMTRX4 NSR-S307E Used Working
9977 Telemecanique LXM15LD21M3 Servo Drive Lexium 15 LP SV 2.35 No Fan Used Working
9978 Telemacanique LXM15LD21M3 Servo Drive Lexium 15 LP SV 2.35 Used Working
9979 Telemecanique LXM15LD21M3 Servo Drive Lexium 15 LP RL 05 Used Working
9980 Brooks Automation 6-0002-0705-SP Robot WTM-511-2-FWS-02-V1 AMAT 0190-08245 Used
9981 Asyst Technologies 9700-5158-01 300mm Load Port SIMF-300FL Used Working
9982 Yaskawa Electric USAHEM-02-TE62 DEV/COT Spin Motor TEL Act12-300 Used Working
9983 Yaskawa Electric USAHEM-02-TE53 DEV/COT Spin Motor TEL ACT12 Used Working
9984 Liebert 4C13571G1 Interface Board PCB Rev. 6 TEL Tokyo Electron ACT12-200 Used
9985 SVG Silicon Valley Group 99-80269-01 Shuttle Interface Board PCB Used Working
9986 National Instruments TBX-68 Interface Board PCB Used Working
9987 Millipore CCM Interface Board PCB Used Working
9988 Millipore CCM Interface Board PCB Used Working
9989 Liebert 4D15271G1 Control Board PCB Rev. 14 Used Working
9990 Soft Switching Technologies 98-00119 Interface Board PCB Rev. A Used Working
9991 Liebert 416271G1 Interface Board PCB Rev. 1 Used Working
9992 Liebert 415541G1 Interface Relay Board PCB Rev. 0 Used Working
9993 Robitech 980-1023 Pressure Regulator Module R-900-60 Used Working
9994 Robitech 990-9168 Transducer PCB Card 859-0944-002 Used Working
9995 Panasonic MSM021A1AX AC Servo Motor Used Working
9996 SEIKO L201400J LCD Display Board PCB SII ASML SVG Silicon Valley Group 90S Used
9997 Echelon 801-1047-01 Flash Control Module PCB 55010-10 Nim Pump TP/XF-78 Used
9998 Echelon 801-1047-01 Flash Control Module PCB 55010-10 NIM NET TP/XF-78 Used
9999 Edwards D37215252 Interface Board PCB Used Working
10000 Sony 1-689-898-11 Laserscale AMP Detector Card 4S008-247 Nikon NSR-S306C Used
10001 Sony 1-689-898-11 Laserscale AMP Detector Card PCB 4S008-248 Nikon NSR-S306 Used
10002 Sony 1-689-900-11 Laserscale Interface Backplane Board PCB Nikon NSR-S306C Used
10003 Sony 1-689-899-11 Laserscale Power Supply Board PCB Nikon NSR-S306C Used Working
10004 Sanken Electric Co. MLT-DCB0X5 DC Power Supply working
10005 Nikon 4S014-182 Relay Control Board PCB AF-I/FX4A NSR-S205C Used Working
10006 Nikon 4S008-086 Relay Control Board PCB AF-MPXX4A NSR-S205C Used Working
10007 Nikon 4S008-135 Relay Data Card PCB AF-PSDSUB-X3 NSR-S205C Used Working
10008 Nikon 4S018-852-3 Detector Board PCB EP-GW 1-677-707-13 NSR-S205C Used Working
10009 Nikon 4S587-172 Switch Box TC-SW NSR-S205C Step-and-Repeat Exposure System Used
10010 Queensgate NS2300/A Position Sensor 4S587-005 NSR-S205C System Used Working
10011 Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S205C Used Working
10012 Nikon 4S008-048 Backplane Board PCB NSR-S205C Step-and-Repeat Working Spare
10013 Nikon 4S008-060 Process Control Board Board PCB ALGAFPROCESS-D NSR-S205C Spare
10014 Nikon 4S008-174 Relay Control Card PCB AF-PSDX24-SUB NSR-S205C Working Spare
10015 Nikon 4S008-106 Video Processor Board PCB AV1-I/FX4 NSR-S205C Working Spare
10016 Nikon 4S008-061 Process Control Board PCB ALGAF-PROCESS-A NSR-S205C Spare
10017 Nikon 4S013-392 Relay Interface Board PCB STGX41A NSR-S205C Working Spare
10018 Nikon 4S018-892 Relay Interface Board PCB RA-TYUKEI-ETTR-1 NSR-S205C Spare
10019 Nikon 4S007-663-Ⓑ FIAAF Sensor Board PCB FIAAF-SENSOR-A NSR-S205C Working Spare
10020 Nikon 4S007-948 Interface Board PCB FIAAF-TYUUKEI-A NSR-S205C Working Spare
10021 Nikon 4S007-948 Interface Board PCB FIAAF-TYUUKEI-A NSR-S205C Working Spare
10022 Nikon 4S008-058 Interface Relay Board PCB ALGAF-TYUUKEI-V NSR-S205C Working Spare
10023 Nikon 4S008-058 Interface Relay Board PCB ALGAF-TYUUKEI-V NSR-S205C Working Spare
10024 Nikon 4S008-059 Interface Board PCB ALGAF-TYUUKEI-H NSR-S205C Working Spare
10025 Omron 3385815-3A Processor Board PCB PW(CPU) NSR-S205C Working Spare
10026 Nikon 4S008-052 Relay Sensor Board PCB Rev. B X4-AIS NSR-S205C Working Spare
10027 Nikon 4S008-093 Processor Board PCB STGX41SUB NSR-S205C Working Spare
10028 Omron 3385804-8B Relay Interface Board PCB PWB(TC) NSR-S205C Working Spare
10029 Omron 4313801-9A Interface Board PCB PWB(DISP) E5ZT-N08TC01 NSR-S205C Spare
10030 Sony XC-56BB-FEP Preogressive Camera Module 4S588-495 NSR-S205C Working Spare
10031 Sony XC-55BB Preogressive Camera Module Nikon NSR-S205C Working Spare
10032 Hamamatsu C7041 CCD VRA Fine Camera S7031-1008 Nikon NSR-S205C Working Spare
10033 Hamamatsu C7041-01T CCD VRA Fine Camera S7961-1008 Nikon NSR-S205C Working Spare
10034 Sony XC-75 CCD Wafer Video Camera Module Nikon NSR-S205C System Working Spare
10035 Nikon 4S018-769 Driver Board PCB NA-DRVX4 H=20.9mm NSR-S205C Working Spare
10036 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR-S205C Working Spare
10037 Nikon 4S018-768 Processor Board PCB NA-IOP-I/F H=10.17mm NSR-S205C Working Spare
10038 Nikon 4S018-767 Temp Control Board PCB L.TEMPX4 H=13.0mm NSR-S205C Working Spare
10039 Nikon 4S018-893 Interface Board PCB RA-TYUKEI-ETTR-2 NSR-S205C Working Spare
10040 Nikon 4S005-362-2 AF Sensor Board PCB AF-SENSORX4 NSR-S205C Working Spare
10041 Texas Instruments 780PIA CCD Search Camera Nikon NSR-S205C Working Spare
10042 Texas Instruments 2546660-9106 Search Camera MC-780PIA-U5 Nikon NSR-S205C Spare
10043 Nikon RBP-21WH-M/NIK VME System Bus Backplane Board PCB NSR-S205C Working Spare
10044 Nikon 4S007-986-Ⓐ Relay Sensor Board PCB LIA LD2 NSR-S205C Working Spare
10045 Sony XC-56BB-FEP Preogressive Camera Module 06D 4S588-495 NSR-S205C Spare
10046 Sony XC-7500 VGA Camera Module 00A Nikon NVCEX-2SD5H-B NSR-S205C Working Spare
10047 Sony XC-7500 VGA Camera Module 00D Nikon NVCEX-2SD5H-B NSR-S205C Working Spare
10048 JAE KT000523 6-Axis Vibration Measurment JNP-008 Nikon 4S586-979 NSR-S205C Spare
10049 Nikon 4S587-013-1 9-Port Network Hub NSR-S205C System Working Spare
10050 Asahi 130NK 3-1 AVIS1 ERG AMP 4S587-016 Nikon NSR-S205C Working Spare
10051 Nikon 4S008-393 Fan Alarm Display Board PCB FAN-ALM-6 NSR-S610C Working Spare
10052 Nikon 4S019-754 Interface Board PCB WL_232C-4CH2 NSR-S610C Working Spare
10053 Nikon 4S013-689 Interface Board PCB IU-INTX6B NSR-S610C Working Spare
10054 Nikon 4S019-722 Interface Display Board PCB WL_INL_DISP2 NSR-S610C Spare
10055 Nikon CS013-028 Interface Board PCB A_CNT-IF NSR-S610C Working Spare
10056 Nikon 4S013-620-1 Interface Board PCB WL_FIO_DB NSR-S610C Working Spare
10057 Nikon 4S013-733 Interface Board PCB IU-IFX6C-C NSR-S610C Working Spare
10058 Nikon 4S008-529-1 Relay Communications Board PCB X6C-EPBODY NSR-S610C Working Spare
10059 Toshiba MCC-847-03 Processor Board PCB DM24756002 NSR-S610C Working Spare
10060 Toshiba MCC-1310-01 Transformer Relay Board PCB Nikon NSR-S610C Spare
10061 Toshiba MCC-1369-02 Power Distribution Board PCB Nikon NSR-S610C Spare
10062 Yaskawa CIMR-V7AA23P7 AC Drive VS mini V7 Nikon NSR-S610C Working Spare
10063 Keyence 703BC01-04 Processor Board PCB Nikon NSR-S610C Working Spare
10064 Mitutoyo 09AAB215 Linear Scale ST420 Nikon NSR-S610C Working Spare
10065 Mitutoyo 09AAB215 Linear Scale ST422-50 KK579-636 NSR-S610C Working Spare
10066 Zygo 8040-0128-01 9mm ZMI Fiber Optic Pickup Nikon NSR-S610C Scanner Spare
10067 Zygo 8080-0342-01 High Stability PMI 8040-0128-01 Nikon NSR-S610C Working Spare
10068 Mitutoyo 09AAB215 Linear Scale ST420 KK579-636 NSR-S610C Working Spare
10069 Nikon 4S554-260 Digimic/AF Halving Motor MH-12M-CCT NSR-S610C Working Spare
10070 Chiba Precision BLGY-01 1/36 AF Halving Motor TNC-153917G Nikon NSR-S610C Working Spare
10071 Nikon 4S782-766 ALCP Temperature Controller NSR-S205C System Working Spare
10072 Hamamatsu H8008 Photo Multiplier Tube Nikon NSR-S205C Working Spare
10073 Nikon NK2553 Laser Card PCB Board NSR-S205C Working Spare
10074 Spectrum 260-00625 Processor Card PCB FRU 600-00288 Nikon NSR-S205C Spare
10075 Motorola 01-W3394F04C Single Board Computer PCB Card Nikon NSR-S205C Spare
10076 Power Source FHG05SX-U Switching Regulator 4K990-332-1AN Nikon NSR-S205C Spare
10077 Nikon 4S013-355 Control Board PCB 4S015-227 IFIOPIF LSIOP NSR-S205C Working Spare
10078 Nikon 4S007-664 FIAAF Sensor Board PCB 4S007-663-B NSR-S205C Working Spare
10079 Nikon 4S019-582 Driver Control Board PCB RBTDRV(H) NSR-S205C Working Spare
10080 Nikon 4S018-919 Robot Interface Board PCB RBT_I/F2 NSR-S205C Working Spare
10081 Yaskawa JANCD-NTU01-1 Processor PCB Board DF0200763-B0 Nikon NSR System Spare
10082 Yaskawa JANCD-NI030-1 Processor PCB Card F352760-1 Rev. A0 Nikon NSR System Spare
10083 Yaskawa SGDR-AXC01B Processor PCB Card Rev. B Nikon NSR System Working Spare
10084 Yaskawa JANCD-NTU30-E Processor PCB Card F352761-1 Rev.A0 Nikon NSR System Spare
10085 Yaskawa JANCD-NIF30-1-E Robot Controller PCB Board Rev.A0 Nikon NSR System Spare
10086 Yaskawa SGDR-SDCCA01AAB-E Robot PCB Card F352768-1 Nikon NSR System Spare
10087 Yaskawa SGDR-SDCCA02AAB-E Robot PCB Card F352768-1 Nikon NSR System Spare
10088 Yaskawa JANCD-NBB30-E Backplane PCB Board F352773-1 Nikon NSR System Spare
10089 Yaskawa JANCD-NSP30-E Battery Backup PCB Board F352769-1 Nikon NSR System Spare
10090 Yaskawa SGDR-COBCA01AB-E Robot PCB Board F352766-1 Nikon NSR System Spare
10091 Yaskawa SGDR-COBCB030GAB-E Power Supply PCB Board F352801-1 Nikon NSR System Spare
10092 Yaskawa JANCD-NTU01-1 Robot Control PCB Board DF0200763-B0 Nikon NSR-S610C Spare
10093 Nikon 4S025-081 Interface PCB Board ALG-ILM-CTL2.1-2 NSR-S610C Sy
10094 Nikon CS700-064 LED Display PCB Board LED-DISP NSR-S610C System Working Spare
10095 Nikon CS700-063 LED Display PCB Board LED-DISP NSR-S610C System Working Spare
10096 Teli CS391OBH-01 CCD Inspection Camera Rev. B Nikon NSR-S610C Working Spare
10097 Hamamatsu C8779A-01 CCD VRA Fine Camera S8769A Nikon NSR-S610C Working Spare
10098 Proface 3180053-03 Touchscreen Interface 4S087-689-1 Nikon NSR-S610C Working
10099 Yamamoto MXL-33N1 Capacitance Level Sensor Nikon NSR-S610C Working Spare
10100 Nikon 4S587-578-C 9-Port Network Hub NSR-S610C System Working Spare
10101 Nikon CS700-067-1 Fan Alarm PCB KSN CS013-027-11 NSR-S610C Working Spare
10102 Nikon 4S019-811-1 Processor PCB RL-BUFIF2 NSR-S610C System Working Spare
10103 Nikon 4S025-080 Control PCB ALG-ILM-CTL2.1-1 NSR-S610C System Working Spare
10104 Nikon 4S019-489 Relay PCB RMD-ENC NSR-S610C Scanning System Working Spare
10105 Yamatake DMC50CR400000000 Multi-Loop Controller DMC50CR Nikon NSR-S610C Working
10106 Yamatake DMC50ME20000M001 Multi-Loop Controller DMC50M Nikon NSR-S610C Working
10107 Yamatake DMC50CS40000M003 Multi-Loop Contoller DMC50CS Nikon NSR-S610C Working
10108 Yaskawa JZDP-D003-000 Serial Converter G78099-9-1-9 Nikon NSR-S610C Working
10109 Tokyo Keiso FCA-7100 Flowmeter Controller FCA-7000 Nikon NSR-S610C Working Spare
10110 Tokyo Keiso F08-240203-1 Ultrasonic Flowmeter SFC-710-10 Nikon NSR-S610C Working
10111 Yaskawa SGMAH-A8A1A-YR41 AC Servo Motor Nikon NSR-S610C System Working Spare
10112 Nikon 4S025-022 PPD Interface PCB PPD-IF3 NSR-S610C System Working Spare
10113 TDK RKW12-53R AC Power Supply Nikon NSR-S610C System Working Spare
10114 Yaskawa SGDH-08AE-SY705 Servopack JUSP-NS1000 M Nikon NSR System Working Spare
10115 Yaskawa Electric JAMSC-120DDI34330 Mechatrolink VIO Nikon NSR System Working
10116 Fuji Electric CPS-320FB-E Power Supply Module Nikon NSR System Working Spare
10117 Foxboro 875CR-J1F-A Electrochemical Analyzer Nikon NSR-S610C System Working Spare
10118 Cosel AC9-2HHWML-00 Power Supply ACE900F Nikon NSR-S610C System Working Spare
10119 Cosel AC9-2K2K2K-00 Power Supply ACE900F Nikon NSR-S610C System Working Spare
10120 SVG Silicon Valley Group 80166F3-01 CP Station CPU BD PCB Card 90S DUV Working
10121 SVG Silicon Valley Group 99-80266-01 Stack Bake SE Station CPU 90S SUV Working
10122 SVG Silicon Valley Group 99-80166F-01 CP Station CPU BD PCB Card 90S DUV Working
10123 SVG Silicon Valley Group 80166F3-01 VB Station CPU BD PCB Card 90S DUV Working
10124 SVG Silicon Valley Group 80166F02-1 DEV Station CPU BD PCB Card 90S DUV Working
10125 SVG Silicon Valley Group 99-80210C5-01G Controller Board PCB 80219AB-01 90S DUV
10126 SVG Silicon Valley Group 80166FE-01 INDX Station CPU BD PCB Card 90s DUV Working
10127 SVG Silicon Valley Group 99-80266-01 Stack Bake SE Station CPU PCB Card Rev. J
10128 SVG Silcion Valley Group 99-80266-01 Coater Station CPU PCB Card Rev. L Working
10129 SVG Silicon Valley Group 80266B3-01 Stack Bake Station CPU PCB Card 90S Working
10130 SVG Silicon Valley Group 99-80333-01 AGV INDX End Station CPU PCB 90S Working
10131 SVG Silicon Valley Group 99-80315-01 Exhaust Flow Controller Board PCB Rev. B
10132 Ushio 890424 USB-SPC PCB Card A107 9510 SVG 90S DUV Lithography System Working
10133 Ushio 900514 USB-PCT2 PCB Card A104 9511 SVG 90S DUV Lithography Working Spare
10134 Ushio USB-P72 PCB Card A103 9510 SVG 90S DUV Lithography System Working Spare
10135 SVG Silicon Valley Group 99-80267-01 Shuttle Interface PCB Rev. C 90S Working
10136 SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. D Spare
10137 Ushio 890425 USB-C86A PCB Card A101 9510 SVG 90S DUV Lithography Working Spare
10138 Ushio USB-PA48 PCB Card A106 9511 SVG 90S DUV Lithography System Working Spare
10139 Ushio USB-C78 PCB Card A105 9510 SVG 90S Lithography System Working Spare
10140 Ushio 950420 PCB Card USB-RS232 SVG 90S DUV Lithography System Working Spare
10141 SVG Silicon Valley Group 80266BE-01 Stack Bake Station CPU PCB 90S DUV Working
10142 SVG Silicon Valley Group 80166F2-01 VB Station CPU BD PCB Card 90S DUV Working
10143 Ushio DEV-01A Interface Board PCB A128 SVG 90S Lithography DUV Working Spare
10144 SVG Silicon Valley Group 99-80306-01 Nikon Interface Board PCB 90S DUV Working
10145 SVG Silicon Valley Group 99-80210C5-01 Controller Board PCB 99-80299-01 90S DUV
10146 SVG Silicon Valley Group 99-80207-02 Spin Station Expansion Board PCB Rev. C 90S
10147 SVG Silicon Valley Group 99-80271-01 Sensor Multiplexor Motherboard PCB 90S Used
10148 SVG Silicon Valley Group 99-80207-02 Spin Station Expansion Board PCB Rev. B 90S
10149 SVG Silicon Valley Group 99-80269-01 Shuttle Interface PCB Rev. G 90S Working
10150 SVG Silicon Valley Group 99-80225-01 SVGL Interface Board PCB 90S DUV Working
10151 SVG Silicon Valley Group 99-80332-02 Interface Interconnect PCB Rev. B 90S DUV
10152 LAM Research 102513-3607-142-B631 15″ Heater Pedestal Assembly 02-287781-00A Unlisted
10153 NRF-Technologies NCSP-01 Matching Controller Power Generator Used Working Unlisted
10154 Hirata AR-WL180CL-3-S-300-D1 Robot with Rail MB-H202APCL-700-R-D1 Used Working Unlisted
10155 TDI Power 136957 Analyzer Corrector Magnet Power Supply SPS5610 Used Working Unlisted
10156 TDI Power 136957 Analyzer Corrector Magnet Power Supply SPS5610 As-Is Unlisted
10157 Kensington 15-3702-1425-25 Wafer Handling Robot 0190-2248 Used Working Unlisted
10158 NRF Technologies NM06A400K RF Matching Box Used Working Unlisted
10159 NRF Technologies NL05P400K RF Generator Used Used Working Unlisted
10160 Yaskawa YSC-02D04B02 Spindle Novellus R61-306478-00 Used Working Unlisted
10161 Yaskawa YSC-02D04B02 Spindle Novellus R61-306478-00 Used Working Unlisted
10162 Kawasaki 50818-1176 Robot Controller Used Working Unlisted
10163 Digital Electronics UF7811-2-DV2S-24V-S LCD-Panel Copper Exposed Used Working Unlisted
10164 AE Advanced Energy 1110-00056 RF Bias Match 3155031-037 Used Working Unlisted
10165 AE Advanced Energy R27-256556-00 MF Generator Used Working Unlisted
10166 SMC INR-244-647C Thermo-Con As-Is Unlisted
10167 LAM Research 102513-3607-140-B631 15″ Heater Pedestal Assembly 02-287781-00A As-Is Unlisted
10168 LAM Research 118691-3111-033-B661 15″ Heater Pedestal Assembly 02-287782-00 Rev. B Unlisted
10169 Kawasaki 50607-1223 Robot Controller As-Is Unlisted
10170 Digital Elcetronics UF7810-DV2-2 LCD Flat Panel Copper Exposed Used Working Unlisted
10171 AMAT Applied Materials 0010-24405 Rev.004 Source CPI-VMO Used Working Unlisted
10172 SVG Silicon Valley Group 99-80270-01 Sensor Multiplexor Board PCB 90S DUV Used
10173 Semifab RAM2000/480/SVG Environmental Control Module SVG 224-203 90S DUV Working
10174 AMAT Applied Materials 410919-P4-SYAC System AC Power Controller Endura 300mm New
10175 Anelva Bellows Cylinder Lot 80×60 ST A12-00776-05A
10176 Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working
10177 CTI-Cryogenics 8113211G001 Goldlink With USB Support Used Working
10178 AMAT Applied Materials 0010-08322 Top Local Match 300mm Rev. 009 Used Working
10179 Applied Materials AMAT 0010-22569 Chamber Assembly 409909-P4-ECH2 Rev. 001 Used
10180 Yaskawa VS2B Wafer Transfer Robot TEL Tokyo Electron Unity II Used Working
10181 Nikon Reticle Fine Stage MSC NSR-S205C Step-and-Repeat Exposure System Used
10182 Nikon 4S018-888-1 Relay Control Base Board PCB NIIP-BASE-S Used Working
10183 Nikon 4S018-888-1 Relay Control Base Board PCB NIIP-BASE-S Used Working
10184 Nikon 4S008-096-1 Video Control Board PCB NIIP-VIDEO-M Used Working
10185 Nikon Main Body Side Front Relay NSR-S204B BMU Beam Matching Unit Used
10186 Nikon Main Body Side Front Relay NSR-S204B BMU Beam Matching Unit Used
10187 Nikon Light Source Side Relay NSR-S204B BMU Beam Matching Unit Used Working
10188 Nikon Relay Lens Optic NSR-S205C BMU Beam Matching Unit Used Working
10189 Nikon Light Source Side Back Relay NSR-S204B BMU Beam Matching Unit Used Working
10190 Nikon BMU Shutter NSR-S204B BMU Beam Matching Unit Used Working
10191 Nikon Halving Glass NSR S204B BMU Beam Matching Unit Used Working
10192 Nikon Halving Glass NSR-S204B BMU Beam Matching Unit Used Working
10193 Nikon Halving Glass NSR-S204B BMU Beam Matching Unit Used Working
10194 Nikon Main Body Back Relay Lens Optic NSR-S205C Exposure System Used Working
10195 Nikon Main Body Back Relay Lens Optic NSR-S205C Exposure System Used Working
10196 Nikon ND Filter Unit NSR-S204B BMU Beam Matching Unit Used Working
10197 Nikon ND Filter Unit NSR-S204B BMU Beam Matching Unit Used Working
10198 Nikon ND Filter Unit NSR-S204B BMU Beam Matching Unit Used Working
10199 Nikon Beam Retarder 2 NSR-S204B BMU Beam Matching Unit Used Working
10200 Nikon Beam Retarder 1 NSR-S204B BMU Beam Matching Unit Used Working
10201 Nikon 4S587-473-1 Linear Motor Controller SPA355A NSR System Used Working
10202 Yaskawa 4S064-211-4 Linear Motor Controller CLSR-64-N2CC NSR-S204B Used Working
10203 Kawasaki NS110C-B001 Chuckbot Robot 4K192-238-4 NSR-S205C Sheared Pin As-Is
10204 Nikon 4S066-020-2 MSYC-R Amplifier SPA472H NSR System Used Working
10205 Hamamatsu C8779K CCD Multichannel Detector Head S8769K NSR-S205C Used
10206 Nikon 4S066-592-4 RY-RU Amplifier SPA474K NSR System Used Working
10207 Nikon 4S066-592-4 RY-RU Amplifier SPA474K NSR System Used Working
10208 Nikon WS Shock Absorber Right (RFC) NSR-S205C Exposure System Used Working
10209 Nikon 4S065-965-1 RZTRM Amplifier SPA376C NSR System Used Working
10210 Nikon 4S066-017 MSX-B Amplifier SPA471H NSR System Used Working
10211 Nikon 4S066-016 MSX-F Amplifier SPA471G NSR System Used Working
10212 Nikon 4S066-591-4 RY-LD Amplifier SPA474J NSR System Used Working
10213 Nikon 4S066-591-4 RY-LD Amplifier SPA474J NSR System Used Working
10214 Nikon 4S066-013-2 TCM Amplifier SPA476D NSR System Used Working
10215 Nikon 4S066-009-2 EXYF EXLCT Amplifier SPA376EC NSR System Used Working
10216 Nikon 4S066-009-2 EXYF EXLCT Amplifier SPA376EC NSR System Used Working
10217 Nikon 4S066-018 MSYF MSLCT Amplifier SPA376FC NSR System Used Working
10218 Nikon 4T070-192 EXX-F Amplifier SPA471I NSR System Used Working
10219 Nikon 4S065-960 ADE Amplifier KT001637 NSR System Used Working
10220 Nikon 4S066-015-3 CMTY-R Amplifier SPA476F NSR System Used Working
10221 Nikon 4S066-018-1 MSYF MSLCT Amplifier SPA376FC NSR System Used Working
10222 Nikon 4S066-017-2 MSX-B Amplifier SPA471H NSR System Used Working
10223 Nikon 4S066-020-1 MSX-B Amplifier SPA472H NSR System Used Working
10224 Nikon 4S066-021-1 MSZ Amplifier SPA373DC NSR System Used Working
10225 Nikon 4S066-013 TCM Amplifier SPA476D NSR System Used Working
10226 Nikon 4S066-013-1 TCM Amplifier SPA476D NSR System Used Working
10227 Nikon 4S065-965 RZTRM Amplifier SPA376C NSR System Used Working
10228 Nikon 4S066-017-1 MSX-B Amplifier SPA471H NSR System Used Working
10229 Nikon 4S066-592-2 RY-RU Amplifier SPA474K NSR System Used Working
10230 Nikon 4S066-016-1 MSX-F Amplifier SPA471G NSR System Used Working
10231 Nikon 4S066-016-2 MSX-F Amplifier SPA471G NSR System Used Working
10232 Nikon 4S066-591-2 RY-LD Amplifier SPA474J NSR System Used Working
10233 Yaskawa 4S587-775 Linear Motor Controller XU-DL1930 NSR System Used Working
10234 Yaskawa 4S587-775 Linear Motor Controller XU-DL1930 NSR System Used Working
10235 Nikon 4S587-471-2 Linear Motor Controller SPA454A MSCL NSR-S307E DUV Used
10236 ikon 4S588-065 Linear Motor Controller SPA454D NSR System Used Working
10237 Nikon 4S587-472-2 Linear Motor Controller SPA454B NSR System Used Working
10238 Nikon 4S588-063 Linear Motor Controller SPA451B NSR System Used Working
10239 Yaskawa 4S587-668-1 Linear Motor Controller CLSR-0420-N2CD-1 Nikon NSR Used Working
10240 Nikuni 25CLX15U4 Centrifugal Pump UG1312T-A1AA Used Working
10241 Nikon 4S066-020 MSYC-R Amplifier SPA472H NSR System Used Working
10242 Nikon 4S001-093 Power Supply Card PCB PW-NK NSR-S205C Used Working
10243 Nikon 4S001-093 Power Supply Card PCB PW-NK NSR-S205C Used Working
10244 Nikon 4S001-093 Power Supply Card PCB PW-NK NSR-S205C Used Working
10245 Nikon 4S013-570 Interface Control Card PCB MIS-PACONX4S NSR-S205C Used Working
10246 Nikon 4S013-570 Interface Control Card PCB MIS-PACONX4S NSR-S205C Used Working
10247 Nikon Fold Mirror NSR-S205C BMU Beam Matching Unit Used Working
10248 Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used
10249 Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used
10250 Nikon 4S008-135 Relay Data Card PCB AF-PSDSUB-X3 NSR-S205C Used Working
10251 Nikon 4S008-135 Relay Data Card PCB AF-PSDSUB-X3 NSR-S205C Used Working
10252 Nikon 4S008-187 Interface Control Board PCB AF-PSDX4B NSR-S205C Used Working
10253 Nikon 4S008-187 Interface Control Board PCB AF-PSDX4B NSR-S205C Used Working
10254 Nikon 4S008-089 Interface Control Board PCB AF-ADCX4A NSR-S205C Used Working
10255 Nikon 4S008-089 Interface Control Board PCB AF-ADCX4A NSR-S205C Used Working
10256 Nikon NSR-S205C Step and Repeat Exposure System
10257 Nikon NSR-S205C Step and Repeat Exposure System
10258 Nikon 4S065-474 Interface Control Card 4S008-292 Nikon NSR System Used Working
10259 Omron R88S-H306G Power Supply Module Used Working
10260 Yaskawa 4S587-294 Linear Motor Controller XU-DV0802H Nikon NSR System Used
10261 Yaskawa RH-8D-6006-E100D0 DC Servo Actuator NSR-S205C 3rd Group Zoom Used
10262 Yaskawa RH-8D-6006-E100D0 DC Servo Actuator NSR-S205C 3rd Group Zoom Used
10263 Harmonic Drive RH-8D-3006-E100D0 DC Servo Motor 0068501680 Nikon NSR-S205C Used
10264 Yaskawa UT0PI-020SC Minertia Motor REX Theta-Axis UGQMEM-01SNQ71 NSR-S205C Used
10265 Harmonic Drive RH-11D-3001-E100AL DC Servo Actuator Optics Nikon NSR-S205C Used
10266 Nikon 4S005-378 Integrator Sensor 4S005-378-INTG-X4 NSR-S205C Exposure Used
10267 Nikon 4S005-378 Integrator Sensor 4S005-378-INTG-X4 NSR-S205C Exposure Used
10268 Nikon 4S008-056-A AIS Sensor AISsns-X4 NSR-S205C Exposure System Used
10269 Nikon 4S008-056-A AIS Sensor AISsns-X4 NSR-S205C Exposure System Used
10270 Nikon 4S005-274 Reflectance Sensor RFLCT-XB NSR-S205C Exposure System Used
10271 Nikon 4S587-076 PZT Driver 593682-2010 PZTDRIVER NSR Series Used Working
10272 Nikon 4S019-235 WL Sub Breaker 4S018-908-1 Nikon NSR System Used Working
10273 Asahi 752NK-T1 ERG-Box 4K191-818 AV1LFERG NSR-S205C System Used Working
10274 Faulhaber HEDS 550 F14 Minimotor Servo Actuator Nikon NSR-S205C Used Working
10275 Harmonic Drive RH-8D-3006-E100D0 Servo Acuator Nikon NSR-S205C Fly’s Eye Used
10276 Chiba Precision MAN-D34R23B Servo Actuator Nikon NSR-S205C Fly’s Eye Box Used
10277 Chiba Precision MAN-D34R23B Servo Actuator Nikon NSR-S205C Fly’s Eye Box Used
10278 Nikon WS Shock Absorber Left (RFC) NSR-S205C Exposure System Used Working
10279 Nikon 300mm Pin Chuck NSR-S205C Step-and-Repeat Exposure System Used Working
10280 Yaskawa XU-CM5500 Robot Controller 4S064-734 NSR-S307E DUV System Used Working
10281 Riken Keiki OX-571A Oxygen Analyzer Reseller Lot of 33 Used Working
10282 NTI Network Technologies Inc. 3093 V-2KVIM-A Network Splitter Used Working
10283 Luna BU24120 Power Supply NSR-S204B Scanning System Used Working
10284 Nikon FIA Autofocus Bulb Housing NSR-S204B Scanning System Used Working
10285 Queensgate NS2300/A Position Sensor 4S587-005 NSR-S205C System Used Working
10286 Yaskawa UTOPI-0SC Minertia Motor REX Theta-Axis UGQMEM-01SNQ71 NSR-S204B Used
10287 Yaskawa UTOPI-0SC Minertia Motor REX Theta-Axis UGQMEM-01SNQ71 NSR-S204B Used
10288 Sanyo Denki PMM-BD-57025-1 Motor Driver Pentasyn Used Working
10289 Nikon 4S007-946 Interface Board PCB OPD-IF-S NSR System Used Working
10290 Nikon 4S586-946-1 VCM Amp SPA136A Nikon NSR System Used Working
10291 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
10292 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
10293 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
10294 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
10295 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
10296 Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S204B System Used Working
10297 Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S204B System Used Working
10298 Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S204B System Used Working
10299 Nikon 4S019-061 Interface Control Card PCB AVDRVX4VE Used Working
10300 Nikon 4S015-172-1 Processor Control Board PCB NK-C441-1-50 Used Working
10301 Nikon 4S013-487 Interface Control Board PCB IFIOPIF3 Used Working
10302 Nikon 4S019-029-1 Processor Control Board PCB PRE1_I/F Used Working
10303 Nikon 4S001-107 Power Supply Card PCB 0-T33-01 NSR System Used Working
10304 Nikon 4S008-110-A Interface Board PCB ALGAF-T/A-X4+ NSR-S204B Used Working
10305 Nikon 4S008-110-A Interface Board PCB ALGAF-T/A-X4+ NSR-S204B Used Working
10306 Nikon 4S007-931 Interface Board PCB FIAAF-TYUUKEI-H NSR-S204B System Used
10307 Nikon 4S008-112 Interface Board PCB ALGAF-T/V-X4+ NSR-S204B Used Working
10308 Nikon 4S008-112 Interface Board PCB ALGAF-T/V-X4+ NSR-S204B Used Working
10309 Nikon 4S008-113 Interface Board PCB ALGAF-T/H-X4+ NSR-S204B Used Working
10310 Nikon 4S008-113 Interface Board PCB ALGAF-T/H-X4+ NSR-S204B Used Working
10311 Nikon 4S007-930 Interface Board PCB FIAAF-TYUUKEI-V NSR-S204B System Used
10312 Nikon 4S007-930 Interface Board PCB FIAAF-TYUUKEI-V NSR-S204B System Used
10313 Nikon 4S007-948 Interface Board PCB FIAAF-TYUUKEI-A NSR-S204B System Used
10314 Nikon 4S007-948 Interface Board PCB FIAAF-TYUUKEI-A NSR-S204B System Used
10315 Nikon 4S008-115-A Relay Board PCB ALGAF-P/D-X4+ NSR Used Working
10316 Nikon 4S587-624 AVIS1 ERG AMP SEA141A NSR-S205C Step and Repeat Used Working
10317 Nikon 4S018-887-1 Interface Card PCB NIIP-BASE-M NSR System Used Working
10318 Vaisala PTBNIK-W8A Pressure Transmitter 500-1100hPa NSR System Used Working
10319 Nikon 4S001-102 Power Supply Board PCB MSE280E Nikon NSR System Used Working
10320 Nikon 4K177-955-2 Reticle Loader Robot Controller RR-W07 Ver 4.2 NSR-S204B Used
10321 Nikon WLT31 Wafer Loader Robot Controller Ver 2.50 NSR-S204B System Used Working
10322 Nikon 4S587-625 AVIS2 ERG AMP 4S013-374-2 SEA241A NSR-S204B Scanning System Used
10323 Nikon NVCEX-X2A-D Camera Switcher NSR-S204B Step-and-Repeat Scanner Used Working
10324 Yaskawa XU-DL1510 Linear Drive Unit 4S064-340 Nikon NSR System Used Working
10325 Nikon RS Shock Absorber (RFC) NSR-S204B Step-and-Repeat Scanning System Used
10326 Nikon 4K177-955-2 RD Reticle Transfer Robot NSR-S204B Scanning System Used
10327 Nikon 4K177-955-2 RD Reticle Transfer Robot NSR-S204B Scanning System Used
10328 Nikon 4S018-728 REX Driver Board PCB REX-DRV Nikon NSR System Used Working
10329 Nikon 4S018-729 Relay Control Board PCB REX-AIR Nikon NSR System Used Working
10330 Nikon 4S018-729 Relay Control Board PCB REX-AIR Nikon NSR System Used Working
10331 Nikon 4S008-061 Relay Board PCB ALGAF-PROCESS-A Nikon NSR System Used Working
10332 Nikon 4S008-060 Relay Board PCB ALGAF-PROCESS-D Nikon NSR System Used Working
10333 Nikon 4S018-930 Relay Interface Board PCB MTR-CTRL Nikon NSR System Used Working
10334 Nikon 4S013-392-1 Relay Interface Board PCB STGX41A NSR System Used Working
10335 Nikon 4S013-392-1 Relay Interface Board PCB STGX41A NSR System Used Working
10336 Nikon 4S008-090 Regulator Board PCB LIUREG2 Nikon NSR-S204B System Used Working
10337 Nikon 4S013-223 Interface Board PCB LIUPWBPB Nikon NSR-S204B System Used Working
10338 Nikon 4S018-831 Backplane Interface Board PCB LIUBPB2X2 NSR-S204B System Used
10339 Nikon 4S018-831 Backplane Interface Board PCB LIUBPB2X2 NSR-S204B System Used
10340 Nikon 4S587-735 VCM Amplifier SPA156A NSR-S205C System Used Working
10341 Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A NSR-S204B Used Working
10342 Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E 300mm DUV Used
10343 Nikon Reflectance Sensor NSR-S307E 300mm DUV Scanning System Used Working
10344 Nikon 4G746-103 AIS/BFP Plate NSR-S307E 300mm DUV Scanning System Used Working
10345 Nikon WT Linear Scale NSR-S307E 300mm DUV Scanning System Used Working
10346 Nikon WS Shock Absorber Right NSR-S307E DUV 300mm Used Working
10347 Nikon WS Shock Absorber Left NSR-S307E DUV 300mm System Used Working
10348 Nikon Wafer Center Table NSR-S307E DUV 300mm System Used Working
10349 Nikon 4S013-484 Linear Scale Control Board PCB 09AAA790 NSR-S307E DUV Used
10350 Nikon Irradiance Illumination Sensor Unit NSR-S307E DUV 300mm Used Working
10351 HD Systems RH-11D-3001-E100AL DC Servo Actuator NSR-S307E DUV 300mm Used Working
10352 Yaskawa UT0PI-020SC Minertia Motor UGQMEM-01SNQ71 NSR-S307E DUV 300mm Used
10353 Nikon MH-15M-CCB AF Halving Digimicro Send Chiba TC-214008G NSR-S307E DUV Used
10354 Nikon MH-15M-CCB AF Halving Digimicro Recieve Chiba TC-214008G NSR-S307E Used
10355 Nikon 4S066-021 MSZ Amplifier SPA373DC NSR 4S013-684-1 System Used Working
10356 Nikon 4S065-959-1 EXZ Amplifier SPA373C NSR 4S013-684-1 System Used Working
10357 Nikon 4S065-959-1 EXZ Amplifier SPA373C NSR 4S013-684-1 System Used Working
10358 Nikon 4S066-012-2 GCTX Amplifier SPA276EC NSR 4S013-684-1 System Used Working
10359 Nikon 4S066-012-2 GCTX Amplifier SPA276EC NSR 4S013-684-1 System Used Working
10360 Nikon 4S588-085 Linear Motor Controller SPA256B NSR-S307E DUV System Used
10361 Nikon 4S588-085 Linear Motor Controller SPA256B NSR-S307E DUV System Used
10362 Nikon 4S587-408-3 Linear Motor Controller XU-DV0903V NSR-S307E DUV System Used
10363 Nikon 4S587-469-3 Linear Motor Controller SPA452A NSR System Used Working
10364 Nikon 4T070-193 Motor Amplifier EXX-B SPA471J NSR System Used Working
10365 Nikon 4T070-193 Motor Amplifier EXX-B SPA471J NSR System Used Working
10366 Nikon 4S587-467-1 Linear Motor Controller SPA256A ISH NSR System Used Working
10367 Nikon 4S587-467-1 Linear Motor Controller SPA256A ISH NSR System Used Working
10368 Yaskawa 4S064-211-4 Linear Motor Controller CLSR-64-N2CC-1 Nikon NSR-S204B Used
10369 Nikon 4S586-949-4 Linear Motor Controller SPA432A NSR-S204B Used Working
10370 Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A NSR-S204B Used Working
10371 Nikon NVDI-48A Control Module NSR-S204B Step-and-Repeat Scanning Used Working
10372 Futaba HPS-FB6-2 Power Supply Module CZ0190 4S064-064 Nikon NSR-S204B Used
10373 Nikon 4S782-766 Stepper Controller Driver NSR-S204B Step-and-Repeat Used Working
10374 Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used
10375 Nikon Air Sampling Regulator Assembly Nikon NSR-S204B Step-and-Repeat Used
10376 Nikon 4S082-748 Cognex Power Supply Module NSR-S204B Step-and-Repeat Used
10377 Nikon 4S082-748 Cognex Power Supply Module NSR-S204B Step-and-Repeat Used
10378 JAE KT000227 6-Axis Vibration Measurment Unit 4S586-613 Nikon NSR-S204B Used
10379 Sony XC-73 CCD Video Camera Module Nikon NSR-S204B Step-and-Repeat Used Working
10380 Texas Instruments MC-10105 Industrial CCD Video Camera Nikon NSR-S204B Used
10381 Nikon NVCEX-X2A-D Camera Switcher NSR-S204B Step-and-Repeat Scanner Used Working
10382 Nikon NVCEX-X2A-E Camera Switcher NSR-S204B Step-and-Repeat Scanner Used Working
10383 Nikon 4S586-274 PZT Driver 253200-0020 NSR-S204B Step-and-Repeat Scanner Used
10384 Nikon 4B028-878 Pneumatic Control Box SP1178W NSR-S204B Step-and-Repeat Used
10385 Nikon KXA57176 Pressure Regulator Control Module NSR-S204B Step-and-Repeat Used
10386 Komatsu KDP1320LE-1 Control Panel Assembly 4S018-568-A Nikon NSR-S204B Used
10387 Nikon 4S587-180 Linear Motor Controller SPA325B NSR-S204B Used Working
10388 Nikon 4S587-180 Linear Motor Controller SPA325B NSR-S204B Used Working
10389 Nikon 4S587-130 Linear Motor Controller SPA325Y NSR-S204B Step-and-Repeat Used
10390 Nikon 4S587-130-1 Linear Motor Controller SPA325Y NSR-S204B Step-and-Repeat Used
10391 Nikon 4S587-038-2 Linear Motor Controller SPA225A ADEXY NSR-S204B Used Working
10392 Nikon 4S587-038 Linear Motor Controller SPA225A ADEXY NSR-S204B Used Working
10393 Nikon 4S587-037-1 Linear Motor Controller SPA223A ADEZ NSR-S204B Used Working
10394 Yaskawa 4S064-211-5 Linear Motor Controller CLSR-64-N2CD-1 Nikon NSR-S204B Used
10395 Yaskawa 4S064-211-5 Linear Motor Controller CLSR-64-N2CD-1 Nikon NSR-S204B Used
10396 Nikon Reticle Exchange Assembly RVX RH-11C-3001-E100D0 NSR-S204B Used Working
10397 Nikon Reticle Exchange Assembly RVX RH-11C-3001-E100D0 NSR-S204B Used Working
10398 Nikon Reticle Exchange Assembly RVX RH-11C-3001-E100D0 NSR-S204B Used Working
10399 Nikon 2nd Relay Rear Optic Lens NSR-S204B Step-and-Repeat Scanning Used Working
10400 Harmonic Drive Systems RH-11C-3001-E010D0 Servo Actuator NSR-S204B Used Working
10401 Nikon Reticle Exchange Assembly RVX NSR-S204B Step-and-Repeat System Used
10402 Nikon 2nd Relay Front Optic Lens NSR-S204B Step-and-Repeat System Used Working
10403 Nikon 2nd Relay Front Optic Lens NSR-S204B Step-and-Repeat System Used Working
10404 Nikon 2nd Relay Front Optic Lens NSR-S204B Step-and-Repeat System Used Working
10405 Nikon 2nd Relay Front Optic Lens NSR-S204B Step-and-Repeat System Used Working
10406 Nikon 2nd Relay Front Optic Lens NSR-S204B Step-and-Repeat System Used Working
10407 Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR-S204B Used Working
10408 Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR-S204B Used Working
10409 Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR-S204B Used Working
10410 Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR-S204B Used Working
10411 Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR-S204B Used Working
10412 Nikon FE Fly’s Eye Lens RPF SHRINC Optic Revolver NSR-S204B System Used Working
10413 Nikon FE Fly’s Eye Lens RPF SHRINC Optic Revolver NSR-S204B System Used Working
10414 Nikon Pellicle Particle Detector PPD 4S007-609 NSR-S204B Step-and-Repeat Used
10415 Nikon Pellicle Particle Detector PPD 4S007-609 NSR-S204B Step-and-Repeat Used
10416 Nikon ARB Blinds Unit 4B991-187-1AN NSR-S204B Step-and-Repeat Used Working
10417 Nikon ARB Blinds Unit 4B991-187-1AN NSR-S204B Step-and-Repeat Used Working
10418 Nikon Reflectance Sensor NSR-S204B Step-and-Repeat Scanning System Used Working
10419 Nikon 4G746-049 Wafer Stage Fiducial Plate NSR-S204B System Used Working
10420 Nikon AIS Aerial Image Sensor NSR-S204B Step-and-Repeat System Used Working
10421 Nikon TTLFC2 Plate NSR-S204B Step-and-Repeat Scanning System Used Working
10422 Nikon BFP Basic Flat Plate NSR-S204B Step-and-Repeat System Used Working
10423 Nikon Irradiance Illumination Uniformity Sensor NSR-S204B System Used Working
10424 Nikon 4K577-176 Integrator Sensor NSR-S204B Step-and-Repeat System Used Working
10425 Nikon Low Reflectance Sensor NSR-S204B Step-and-Repeat Scanning System Used
10426 Yaskawa 4S587-156-1 Linear Motor Controller WY PWM Nikon NSR-S204B Used Working
10427 Yaskawa 4S587-156-1 Linear Motor Controller WY PWM Nikon NSR-S204B Used Working
10428 Yaskawa XU-DV0705Z Linear Motor Controller 4S586-561-6 Nikon NSR-S204B Used
10429 Yaksawa XU-DV0705X Linear Motor Controller 4S586-560-5 Nikon NSR-S204B Used
10430 Yaskawa XU-DV0705Z Linear Motor Controller 4S586-561-5 Nikon NSR-S204B Used
10431 Yaskawa XU-DV0704Z Linear Motor Controller 4S586-561-4 Nikon NSR-S204B Used
10432 Yaskawa XU-DV0702X Linear Motor Controller 4S586-560-2 Nikon NSR-S204B Used
10433 Yaskawa 4S064-211-1 Linear Motor Controller CLSR-64-N2CC Nikon NSR-S204B Used
10434 Yaskawa 4S064-211-1 Linear Motor Controller CLSR-64-N2CC Nikon NSR-S204B Used
10435 Yaskawa 4S064-211-2 Linear Motor Power Amp CLSR-64-N2CD Nikon NSR-S204B Used
10436 Yaskawa 4S064-211-2 Linear Motor Power Amp CLSR-64-N2CD Nikon NSR-S204B Used
10437 Yaskawa 4S064-211-2 Linear Motor Power Amp CLSR-64-N2CD Nikon NSR-S204B Used
10438 Yaskawa 4S587-156-3 Linear Motor Controller CLSR-42-N2CC-1 Nikon NSR-S204B Used
10439 Yaskawa 4S587-156-3 Linear Motor Controller CLSR-42-N2CC-1 Nikon NSR-S204B Used
10440 Nikon KBB18530-00 WL Slider NSR-S204B Step-and-Repeat System Used Working
10441 Nikon KBB18530-00 WL Slider NSR-S204B Step-and-Repeat System Used Working
10442 Nikon NC Non-Contact Prealign 2 Unit NSR-S204B Step-and-Repeat System Used
10443 Nikon KBB17351 FE2 Fly’s Eye Lens NSR-S204B Step-and-Repeat System Used Working
10444 Nikon KBB17351 FE2 Fly’s Eye Lens NSR-S204B Step-and-Repeat System Used Working
10445 Nikon 4S602-208-1 SHRINC Revolver 135603 NSR-S204B Step-and-Repeat Used Working
10446 Nikon 4S602-208-1 SHRINC Revolver 135603 NSR-S204B Step-and-Repeat Used Working
10447 Nikon 4S602-208-1 SHRINC Revolver 135603 NSR-S204B Step-and-Repeat Used Working
10448 Nikon 4S007-941-2 B Power Interface Board PCB MIS-POWAMP2 NSR-S204B Used Working
10449 Nikon 4S007-941-2 B Power Interface Board PCB MIS-POWAMP2 NSR-S204B Used Working
10450 Nikon 4S007-941-2 B Power Interface Board PCB MIS-POWAMP2 NSR-S204B Used Working
10451 Nikon Ring Chuck NSR-S204B Step-and-Repeat Scanning System Used Working
10452 Nikon Wafer Loader Pre2 Detector VB-001 Unit NSR-S204B System Used Working
10453 Nikon VB-001 Wafer Loader Pre2 Detector Board PCB NSR-S204B Used Working
10454 Yaskawa 4S064-209-1 Linear Motor Controller CLSR-1B-N2CD Nikon NSR-S204B Used
10455 Yaskawa 4S064-209-1 Linear Motor Controller CLSR-1B-N2CD Nikon NSR-S204B Used
10456 Yaskawa 4S064-209-1 Linear Motor Controller CLSR-1B-N2CD Nikon NSR-S204B Used
10457 Yaskawa 4S064-210-3 Linear Motor Controller CLSR-1B-N2CE-1 Nikon NSR-S204B Used
10458 Yaskawa 4S064-210-3 Linear Motor Controller CLSR-1B-N2CE-1 Nikon NSR-S204B Used
10459 Yaskawa 4S064-210-1 Linear Motor Controller CLSR-1B-N2CE Nikon NSR-S204B Used
10460 Yaskawa 4S064-210-2 Linear Motor Controller CLSR-1B-N2CC-1 Nikon NSR-S204B Used
10461 Yaskawa 4S064-209-3 Linear Motor Controller CLSR-1B-N2CD-1 Nikon NSR-S204B Used
10462 Yaskawa 4S064-209 Linear Motor Controller CLSR-1B-N2CB Nikon NSR-S204B Used
10463 Yaskawa 4S064-209 Linear Motor Controller CLSR-1B-N2CB Nikon NSR-S204B Used
10464 Yaskawa 4S064-210 Linear Motor Controller CLSR-1B-N2CC Nikon NSR-S204B Used
10465 Nikon 4S018-608 Processor Board PCB WL3I06 NSR-S204B Step-and-Repeat System Used
10466 Nikon 4S018-608 Processor Board PCB WL3I06 NSR-S204B Step-and-Repeat System Used
10467 Nikon 4S018-608 Processor Board PCB WL3I06 NSR-S204B Step-and-Repeat System Used
10468 Nikon 4S018-608 Processor Board PCB WL3I06 NSR-S204B Step-and-Repeat System Used
10469 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10470 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10471 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10472 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10473 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10474 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10475 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10476 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10477 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10478 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10479 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10480 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10481 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10482 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10483 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
10484 Nikon 4S014-140 Processor Board PCB OF3CNT4 NSR-S204B Step-and-Repeat Used
10485 Nikon 4S014-140 Processor Board PCB OF3CNT4 NSR-S204B Step-and-Repeat Used
10486 Nikon 4S014-140 Processor Board PCB OF3CNT4 NSR-S204B Step-and-Repeat Used
10487 Nikon 4S014-140 Processor Board PCB OF3CNT4 NSR-S204B Step-and-Repeat Used
10488 Nikon 4S001-086 Processor Board PCB PW-NK NSR-S204B Step-and-Repeat Used Working
10489 Nikon 4S001-086 Processor Board PCB PW-NK NSR-S204B Step-and-Repeat Used Working
10490 Nikon 4S001-086 Processor Board PCB PW-NK NSR-S204B Step-and-Repeat Used Working
10491 Nikon 4S001-086 Processor Board PCB PW-NK NSR-S204B Step-and-Repeat Used Working
10492 Nikon 4S015-197 Processor Board PCB NK386SX4-NSC NSR-S204B Step-and-Repeat Used
10493 Nikon 4S015-197 Processor Board PCB NK386SX4-NSC NSR-S204B Step-and-Repeat Used
10494 Nikon 4S015-197 Processor Board PCB NK386SX4-NSC NSR-S204B Step-and-Repeat Used
10495 Nikon 4S015-197 Processor Board PCB NK386SX4-NSC NSR-S204B Step-and-Repeat Used
10496 Nikon 4S015-197 Processor Board PCB NK386SX4-NSC NSR-S204B Step-and-Repeat Used
10497 Nikon 4S015-197 Processor Board PCB NK386SX4-NSC NSR-S204B Step-and-Repeat Used
10498 Nikon 4S015-096-D Processor Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10499 Nikon 4S015-096-D Processor Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10500 Nikon 4S015-096-D Processor Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10501 Nikon 4S015-096-D Processor Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10502 Nikon 4S015-096-D Processor Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10503 Nikon 4S015-096-D Processor Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10504 Nikon 4S015-096-D Processor Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10505 Nikon 4S015-096-D Processor Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10506 Nikon 4S015-096-D Processor Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10507 Nikon 4S015-096-D Processor Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10508 Nikon 4S018-351-A Control Board PCB OPDCTRL2 NSR-S204B Step-and-Repeat Used
10509 Nikon 4S018-351-A Control Board PCB OPDCTRL2 NSR-S204B Step-and-Repeat Used
10510 Nikon 4S018-351-A Control Board PCB OPDCTRL2 NSR-S204B Step-and-Repeat Used
10511 Nikon 4S018-351-A Control Board PCB OPDCTRL2 NSR-S204B Step-and-Repeat Used
10512 Nikon 4S018-351-A Control Board PCB OPDCTRL2 NSR-S204B Step-and-Repeat Used
10513 Nikon 4S018-351-A Control Board PCB OPDCTRL2 NSR-S204B Step-and-Repeat Used
10514 Nikon 4S018-354-1 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat Used
10515 Nikon 4S018-354-1 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat Used
10516 Nikon 4S018-354-1 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat Used
10517 Nikon 4S018-354-1 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat Used
10518 Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper Used Working
10519 Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper Used Working
10520 Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper Used Working
10521 Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper Used Working
10522 Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper Used Working
10523 Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper Used Working
10524 Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper Used Working
10525 Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper Used Working
10526 Nikon 4S001-060 Power Control Board PCB PW-NA NSR-S204B Step-and-Repeat Used
10527 Nikon 4S001-060 Power Control Board PCB PW-NA NSR-S204B Step-and-Repeat Used
10528 Nikon 4S001-060 Power Control Board PCB PW-NA NSR-S204B Step-and-Repeat Used
10529 Nikon 4S001-064 Power Control Board PCB MSE182B NSR-S204B Step-and-Repeat Used
10530 Nikon 4S001-064 Power Control Board PCB MSE182B NSR-S204B Step-and-Repeat Used
10531 Nikon 4S001-064 Power Control Board PCB MSE182B NSR-S204B Step-and-Repeat Used
10532 Nikon 4S001-064 Power Control Board PCB MSE182B NSR-S204B Step-and-Repeat Used
10533 Nikon 4S001-064 Power Control Board PCB MSE182B NSR-S204B Step-and-Repeat Used
10534 Nikon 4S001-064 Power Control Board PCB MSE182B NSR-S204B Step-and-Repeat Used
10535 Nikon 4S001-064 Power Control Board PCB MSE182B NSR-S204B Step-and-Repeat Used
10536 Nikon 4S018-144-A Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
10537 Nikon 4S018-144-A Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
10538 Nikon 4S018-144-A Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
10539 Nikon 4S018-144-A Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
10540 Nikon 4S018-144-A Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
10541 Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat Used
10542 Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat Used
10543 Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat Used
10544 Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat Used
10545 Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat Used
10546 Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat Used
10547 Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
10548 Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
10549 Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
10550 Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
10551 Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
10552 Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
10553 Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
10554 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
10555 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
10556 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
10557 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
10558 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
10559 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
10560 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
10561 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
10562 Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
10563 Nikon 4S015-096-C Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10564 Nikon 4S015-096-C Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10565 Nikon 4S015-096-C Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10566 Nikon 4S015-096-C Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10567 Nikon 4S015-096-C Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10568 Nikon 4S018-144 Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
10569 Nikon 4S018-144 Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
10570 Nikon 4S018-144 Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
10571 Nikon 4S018-144 Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
10572 Nikon 4S007-776-F Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
10573 Nikon 4S007-776-F Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
10574 Nikon 4S007-776-F Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
10575 Nikon 4S007-776-F Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
10576 Nikon 4S015-096 Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10577 Nikon 4S015-096 Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
10578 Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
10579 Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
10580 Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
10581 Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
10582 Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
10583 Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
10584 Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
10585 Nikon 4S018-378 Relay Control Board PCB MAC-CTRL-1 NSR-S204B Used Working
10586 Nikon 4S018-378 Relay Control Board PCB MAC-CTRL-1 NSR-S204B Used Working
10587 Nikon 4S018-378 Relay Control Board PCB MAC-CTRL-1 NSR-S204B Used Working
10588 Nikon 4S018-163 Control Board PCB SHRINC-CTRL NSR-S204B Step-and-Repeat Used
10589 Nikon 4S018-163 Control Board PCB SHRINC-CTRL NSR-S204B Step-and-Repeat Used
10590 Nikon 4S014-143 Control Board PCB LC-CTL4 NSR-S204B Step-and-Repeat Used Working
10591 Nikon 4S014-143 Control Board PCB LC-CTL4 NSR-S204B Step-and-Repeat Used Working
10592 Nikon 4S014-143 Control Board PCB LC-CTL4 NSR-S204B Step-and-Repeat Used Working
10593 Nikon 4S018-403-G Control Board PCB PPD3S NSR-S204B Step-and-Repeat Used Working
10594 Nikon 4S018-403-G Control Board PCB PPD3S NSR-S204B Step-and-Repeat Used Working
10595 Nikon 4S014-142-3 Control Board PCB RL-CTRL2 NSR-S204B Step-and-Repeat Used
10596 Nikon 4S014-142-3 Control Board PCB RL-CTRL2 NSR-S204B Step-and-Repeat Used
10597 Nikon 4S014-142-3 Control Board PCB RL-CTRL2 NSR-S204B Step-and-Repeat Used
10598 Nikon 4S015-173-C Processor Control Board PCB NSR-S204B Step-and-Repeat Used
10599 Nikon 4S018-403-E Control Board PCB PPD3S NSR-S204B Step-and-Repeat Used Working
10600 Nikon 4S018-403-E Control Board PCB PPD3S NSR-S204B Step-and-Repeat Used Working
10601 Nikon 4S015-094 Processor Control Board PCB NK386SX3 NSR-S204B System Used
10602 Nikon 4S015-094 Processor Control Board PCB NK386SX3 NSR-S204B System Used
10603 Nikon 4S015-094 Processor Control Board PCB NK386SX3 NSR-S204B System Used
10604 Nikon 4S014-142-1 Control Board PCB RL-CTRL2 NSR-S204B Step-and-Repeat Used
10605 Nikon 4S014-160-1 Linear Pulsmotor Controller Board PCB D2810A NSR-S204B Used
10606 Nikon 4S007-953-A WL3MOT5 Relay Board PCB Card NSR-S204B System Used Working
10607 Nikon 4S007-953-A WL3MOT5 Relay Board PCB Card NSR-S204B System Used Working
10608 Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working
10609 Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working
10610 Nikon 4S018-531-1 Interface Backplane Board PCB WL3MTR-H6 NSR-S204B Used Working
10611 Nikon 4S018-531-1 Interface Backplane Board PCB WL3MTR-H6 NSR-S204B Used Working
10612 Nikon 4S014-160A Linear Pulsemotor Control Board PCB D2810 Nikon NSR-S204B Used
10613 Nikon 4S018-679 Control Board PCB RL-LIBHT2 NSR-S204B Step-and-Repeat Used
10614 Nikon 4S018-679 Control Board PCB RL-LIBHT2 NSR-S204B Step-and-Repeat Used
10615 Nikon 4S018-650 Interface Board PCB RTEXX3 NSR-S204B Step-and-Repeat Used
10616 Nikon 4S018-650 Interface Board PCB RTEXX3 NSR-S204B Step-and-Repeat Used
10617 Nikon 4S018-650 Interface Board PCB RTEXX3 NSR-S204B Step-and-Repeat Used
10618 Nikon 4S007-945 Interface Board PCB STGX3A NSR-S204B Step-and-Repeat Used
10619 Nikon 4S007-945 Interface Board PCB STGX3A NSR-S204B Step-and-Repeat Used
10620 Nikon 4S007-945 Interface Board PCB STGX3A NSR-S204B Step-and-Repeat Used
10621 Nikon 4S007-787-2A Interface Board PCB AVIS-I/F2 NSR-S204B Step-and-Repeat Used
10622 Nikon 4S007-787-2A Interface Board PCB AVIS-I/F2 NSR-S204B Step-and-Repeat Used
10623 Nikon 4S007-787-2A Interface Board PCB AVIS-I/F2 NSR-S204B Step-and-Repeat Used
10624 Nikon 4S007-787-2A Interface Board PCB AVIS-I/F2 NSR-S204B Step-and-Repeat Used
10625 Nikon 4S007-668-A Process Control Board PCB FIAAF-PROCESS-A NSR-S204B Used
10626 Nikon 4S007-668-A Process Control Board PCB FIAAF-PROCESS-A NSR-S204B Used
10627 Nikon 4S007-668-A Process Control Board PCB FIAAF-PROCESS-A NSR-S204B Used
10628 Nikon 4S007-668-A Process Control Board PCB FIAAF-PROCESS-A NSR-S204B Used
10629 Nikon 4S007-667-A Process Control Board PCB FIAAF-PROCESS-D NSR-S204B Used
10630 Nikon 4S007-667-A Process Control Board PCB FIAAF-PROCESS-D NSR-S204B Used
10631 Nikon 4S007-667-A Process Control Board PCB FIAAF-PROCESS-D NSR-S204B Used
10632 Nikon 4S007-865-C Process Control Board PCB SR8-XY2 A-552 NSR-S204B Used
10633 Nikon 4S007-865-C Process Control Board PCB SR8-XY2 A-552 NSR-S204B Used
10634 Nikon 4S007-865-C Process Control Board PCB SR8-XY2 A-552 NSR-S204B Used
10635 Nikon 4S007-865-C Process Control Board PCB SR8-XY2 A-552 NSR-S204B Used
10636 Nikon 4S007-900-1K Interface Board PCB IU-X2A NSR-S204B Step-and-Repeat Used
10637 Nikon 4S007-900-1K Interface Board PCB IU-X2A NSR-S204B Step-and-Repeat Used
10638 Nikon 4S007-900-1K Interface Board PCB IU-X2A NSR-S204B Step-and-Repeat Used
10639 Nikon 4S007-900-1K Interface Board PCB IU-X2A NSR-S204B Step-and-Repeat Used
10640 Nikon 4S007-843-F Interface Board PCB EX-AIS NSR-S204B Step-and-Repeat Used
10641 Nikon 4S007-770-D Interface Board PCB LC-PRES2A NSR-S204B Step-and-Repeat Used
10642 Nikon 4S007-770-D Interface Board PCB LC-PRES2A NSR-S204B Step-and-Repeat Used
10643 Nikon 4S007-770-D Interface Board PCB LC-PRES2A NSR-S204B Step-and-Repeat Used
10644 Nikon 4S013-310 Interface Board PCB BLX3 NSR-S204B Step-and-Repeat Used Working
10645 Nikon 4S013-310 Interface Board PCB BLX3 NSR-S204B Step-and-Repeat Used Working
10646 Nikon 4S013-310 Interface Board PCB BLX3 NSR-S204B Step-and-Repeat Used Working
10647 Nikon 4S007-931 Interface Board PCB FIAAF-TYUUKEI-H NSR-S204B System Used
10648 Nikon 4S007-948 Interface Board PCB FIAAF-TYUUKEI-A NSR-S204B System Used
10649 Nikon 4S007-930 Interface Board PCB FIAAF-TYUUKEI-V NSR-S204B System Used
10650 Nikon 4S007-902-1 Interface Board PCB X2A-STGA/D NSR-S204B Used Working
10651 Nikon 4S007-902-1 Interface Board PCB X2A-STGA/D NSR-S204B Used Working
10652 Nikon 4S007-900-1G Interface Board PCB IU-X2A NSR-S204B Step-and-Repeat Used
10653 Nikon 4S007-900-1G Interface Board PCB IU-X2A NSR-S204B Step-and-Repeat Used
10654 Nikon 4S007-770-D Interface Board PCB LC-PRE2 NSR-S204B Step-and-Repeat Used
10655 Nikon 4S007-770-D Interface Board PCB LC-PRE2 NSR-S204B Step-and-Repeat Used
10656 Nikon 4S007-770-D Interface Board PCB LC-PRES2B NSR-S204B Step-and-Repeat Used
10657 Nikon 4S007-770-D Interface Board PCB LC-PRES2B NSR-S204B Step-and-Repeat Used
10658 Nikon 4S007-770-D Interface Board PCB LC-PRES2B NSR-S204B Step-and-Repeat Used
10659 Nikon 4S018-214-1 Interface Board PCB LM.I/F NSR-S204B Step-and-Repeat Used
10660 Nikon 4S018-214-1 Interface Board PCB LM.I/F NSR-S204B Step-and-Repeat Used
10661 Nikon 4S018-214-1 Interface Board PCB LM.I/F NSR-S204B Step-and-Repeat Used
10662 Nikon 4S007-855 Interface Board PCB WL3CR NSR-S204B Step-and-Repeat Used
10663 Nikon 4S007-855 Interface Board PCB WL3CR NSR-S204B Step-and-Repeat Used
10664 Nikon 4S007-855 Interface Board PCB WL3CR NSR-S204B Step-and-Repeat Used
10665 Nikon 4S007-855 Interface Board PCB WL3CR NSR-S204B Step-and-Repeat Used
10666 Nikon 4S007-855 Interface Board PCB WL3CR NSR-S204B Step-and-Repeat Used
10667 Nikon CS017-008 Relay Control Board PCB KSN-1 NSR-S204B Step-and-Repeat Used
10668 Nikon CS017-008 Relay Control Board PCB KSN-1 NSR-S204B Step-and-Repeat Used
10669 Nikon CS017-008 Relay Control Board PCB KSN-1 NSR-S204B Step-and-Repeat Used
10670 Nikon 4S015-046H Processor Control Board PCB NK386SX NSR-S204B Used Working
10671 Nikon 4S013-212-3 Interface Board PCB WL3SLDR NSR-S204B Step-and-Repeat Used
10672 Nikon 4S013-212-3 Interface Board PCB WL3SLDR NSR-S204B Step-and-Repeat Used
10673 Nikon 4S013-212-3 Interface Board PCB WL3SLDR NSR-S204B Step-and-Repeat Used
10674 Nikon 4S001-070-1 Power Supply Board PCB PW-NE NSR-S204B Step-and-Repeat Used
10675 Nikon 4S001-070-1 Power Supply Board PCB PW-NE NSR-S204B Step-and-Repeat Used
10676 Nikon 4S001-070-1 Power Supply Board PCB PW-NE NSR-S204B Step-and-Repeat Used
10677 Nikon 4S001-070-1 Power Supply Board PCB PW-NE NSR-S204B Step-and-Repeat Used
10678 Nikon 4S001-070-1 Power Supply Board PCB PW-NE NSR-S204B Step-and-Repeat Used
10679 Nikon 4S018-388-A Relay Board PCB ADDRV2X2 NSR-S204B Step-and-Repeat UsedUsed
10680 Nikon 4S018-388-A Relay Board PCB ADDRV2X2 NSR-S204B Step-and-Repeat UsedUsed
10681 Nikon 4S018-388-A Relay Board PCB ADDRV2X2 NSR-S204B Step-and-Repeat UsedUsed
10682 Nikon 4S018-388-A Relay Board PCB ADDRV2X2 NSR-S204B Step-and-Repeat UsedUsed
10683 Nikon 4S007-876 Interface Control Board PCB SHRINC-INTF-3 NSR-S204B System Used
10684 Nikon 4S007-876 Interface Control Board PCB SHRINC-INTF-3 NSR-S204B System Used
10685 Nikon 4S007-876 Interface Control Board PCB SHRINC-INTF-3 NSR-S204B System Used
10686 Nikon 4S018-705 Relay Board PCB RL-LøHSP NSR-S204B Step-and-Repeat Used Working
10687 Nikon 4S018-705 Relay Board PCB RL-LøHSP NSR-S204B Step-and-Repeat Used Working
10688 Nikon 4S018-705 Relay Board PCB RL-LøHSP NSR-S204B Step-and-Repeat Used Working
10689 Nikon 4S018-160-2C Interface Control Board PCB RL-Lø NSR-S204B System Used
10690 Nikon 4S018-160-2C Interface Control Board PCB RL-Lø NSR-S204B System Used
10691 Nikon 4S008-005-A Interface Control Board PCB STGX23A NSR-S204B System Used
10692 Nikon 4S008-005-A Interface Control Board PCB STGX23A NSR-S204B System Used
10693 Nikon 4S007-959-B Relay Board PCB EX-S/H-2 NSR-S204B Step-and-Repeat Used
10694 Nikon 4S007-986-A Relay Board PCB LIA LD2 NSR-S204B Step-and-Repeat Used Working
10695 Nikon 4S007-986-A Relay Board PCB LIA LD2 NSR-S204B Step-and-Repeat Used Working
10696 Nikon 4S007-986-A Relay Board PCB LIA LD2 NSR-S204B Step-and-Repeat Used Working
10697 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
10698 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
10699 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
10700 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
10701 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
10702 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
10703 Win Systems 400-0245-000C Interface Board PCB LPM/MCMCOM4A Cymer ELS 6400 Used
10704 Win Systems 400-0245-000C Interface Board PCB LPM/MCMCOM4A Cymer ELS 6400 Used
10705 VersaLogic 05-05186-00 Processor Board PCB VL-7709bY Cymer ELS 6400 Used Working
10706 Nikon WLT31 Wafer Stage Robot Controller Ver 2.50 NSR-S204B System Used Working
10707 Cymer 06-05204-01B Interface Test Control Board PCB OSMX8 ELS 6400 Used Working
10708 Cymer 06-05220-06L Digital Relay Control Board PCB OSMX8 ELS 6400 Used Working
10709 Cymer 06-05020-04H Interface Relay Control Board PCB OSMX8 ELS 6400 Used Working
10710 Cymer 06-05020-04H Interface Relay Control Board PCB OSMX8 ELS 6400 Used Working
10711 Cymer 06-05024-06J Analog Relay Control Board PCB OSMX8 ELS 6400 Used Working
10712 Cymer 06-05024-06J Analog Relay Control Board PCB OSMX8 ELS 6400 Used Working
10713 Yaskawa XU-DL1510 Linear Drive Unit 4S064-340 Nikon NSR-S204B Used Working
10714 Nikon 4S008-135 Interface Board PCB AF-PSDSUB-X3 NSR-S204B Step-and-Repeat Used
10715 Nikon 4S015-130-1 Interface Card PCB NK-C31D21 NK_C31.H23 NSR-S204B Used Working
10716 Nikon WLT31 Wafer Stage Robot Controller Ver 2.40 NSR-S204B System Used Working
10717 Nikon 4K177-955-3 Reticle Loader Robot Controller RR-B07 Ver 6.2 NSR-S204B Used
10718 Nikon 4K177-955-4 Reticle Loader Robot Controller RR-C07 Ver 6.3 NSR-S204B Used
10719 Nikon 4K177-955-2 Reticle Loader Robot Controller RR-W07 Ver 4.2 NSR-S204B Used
10720 Cymer 05-11019-02 Power Supply Dishcarge Chamber ELS-6400 Laser System Used
10721 Cymer 06-02003-00B Blower Motor ELS-6400 Laser System Used Working
10722 Cymer 06-03028-01 Radiator Module 06-1001-01 TF6964G1 ELS-6400 Laser Used
10723 Cymer 06-03028-01 Radiator Module 06-1001-01 TF6964G1 ELS-6400 Laser Used
10724 Nikon 4S018-387 Relay Control Card PCB ADDRV1X2 NSR-S204B System Used Working
10725 Nikon 4S018-387 Relay Control Card PCB ADDRV1X2 NSR-S204B System Used Working
10726 Nikon 4S018-830 Drive Control Card PCB EPDRV2-X2A2 NSR-S204B System Used Working
10727 Nikon 4S018-830 Drive Control Card PCB EPDRV2-X2A2 NSR-S204B System Used Working
10728 Nikon 4S018-830 Drive Control Card PCB EPDRV2-X2A2 NSR-S204B System Used Working
10729 Nikon 4S018-830 Drive Control Card PCB EPDRV2-X2A2 NSR-S204B System Used Working
10730 Nikon 4S018-444-A Drive Control Card PCB EPDRV1-X2A NSR-S204B System Used
10731 Nikon 4S018-444-A Drive Control Card PCB EPDRV1-X2A NSR-S204B System Used
10732 Nikon 4S018-444-A Drive Control Card PCB EPDRV1-X2A NSR-S204B System Used
10733 Nikon 4S018-547 Drive Control Card PCB BLDRVX3 NSR-S204B System Used Working
10734 Nikon 4S018-547 Drive Control Card PCB BLDRVX3 NSR-S204B System Used Working
10735 Nikon 4S018-547 Drive Control Card PCB BLDRVX3 NSR-S204B System Used Working
10736 Nikon 4S018-385-2 Driver Control Card PCB ZTDRVX2 NSR-S204B System Used Working
10737 Nikon 4S018-385-2 Driver Control Card PCB ZTDRVX2 NSR-S204B System Used Working
10738 Nikon 4S018-385-2 Driver Control Card PCB ZTDRVX2 NSR-S204B System Used Working
10739 Nikon 4S018-384-1 Driver Control Card PCB AFDRVX21 NSR-S204B System Used Working
10740 Nikon 4S018-384-1 Driver Control Card PCB AFDRVX21 NSR-S204B System Used Working
10741 Nikon 4S018-384-1 Driver Control Card PCB AFDRVX21 NSR-S204B System Used Working
10742 Nikon 4S018-384-1 Driver Control Card PCB AFDRVX21 NSR-S204B System Used Working
10743 Nikon 4S018-544 Driver Control Card PCB RSSDRVX3A NSR-S204B System Used Working
10744 Nikon 4S018-544 Driver Control Card PCB RSSDRVX3A NSR-S204B System Used Working
10745 Nikon 4S018-544 Driver Control Card PCB RSSDRVX3A NSR-S204B System Used Working
10746 Nikon 4S018-544 Driver Control Card PCB RSSDRVX3A NSR-S204B System Used Working
10747 Nikon 4S018-380 Processor Control Card PCB STIFMEMX2 NSR-S204B System Used
10748 Nikon 4S018-380 Processor Control Card PCB STIFMEMX2 NSR-S204B System Used
10749 Nikon 4S018-380 Processor Control Card PCB STIFMEMX2 NSR-S204B System Used
10750 Nikon 4S018-380 Processor Control Card PCB STIFMEMX2 NSR-S204B System Used
10751 Nikon 4S019-086 Driver Control Card PCB ADDRV1X3 NSR-S204B System Used Working
10752 Nikon 4S019-086 Driver Control Card PCB ADDRV1X3 NSR-S204B System Used Working
10753 Nikon 4S019-086 Driver Control Card PCB ADDRV1X3 NSR-S204B System Used Working
10754 Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S204B System Used Working
10755 Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S204B System Used Working
10756 Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S204B System Used Working
10757 Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S204B System Used Working
10758 Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat Used
10759 Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat Used
10760 Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat Used
10761 Nikon 4S008-004 Interface Board PCB STGX22A NSR-S204B System Used Working
10762 Nikon 4S008-004 Interface Board PCB STGX22A NSR-S204B System Used Working
10763 Nikon 4S008-099 Power Amplifier Card MIS-POWAMP3 NSR-S204B System Used Working
10764 Nikon 4S008-099 Power Amplifier Card MIS-POWAMP3 NSR-S204B System Used Working
10765 Yaskawa 4S064-340-1 Linear Drive Unit XU-DL1510 Nikon NSR-S204B Used Working
10766 Nikon 4S014-145 Interface Bus Board PCB AF-BUSX2 NSR-S204B Step-and-Repeat Used
10767 Nikon 4S007-994 Interface Board PCB AF-PSDX22-SUB NSR-S204B Step-and-Repeat Used
10768 Nikon 4S007-994 Interface Board PCB AF-PSDX22-SUB NSR-S204B Step-and-Repeat Used
10769 Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat Used
10770 Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat Used
10771 Nikon 4S007-855-1 Interface Control Board PCB WL3CR NSR-S204B Used Working
10772 Nikon 4S007-855-1 Interface Control Board PCB WL3CR NSR-S204B Used Working
10773 Nikon 4S018-445-B Relay Control Board PCB EPDRV2-X2A NSR-S204B Used Working
10774 Nikon 4S018-445-B Relay Control Board PCB EPDRV2-X2A NSR-S204B Used Working
10775 Nikon 4S018-382 Relay Control Board PCB RSMDRVX2 NSR-S204B Used Working
10776 Nikon 4S018-382 Relay Control Board PCB RSMDRVX2 NSR-S204B Used Working
10777 Nikon 4S018-382 Relay Control Board PCB RSMDRVX2 NSR-S204B Used Working
10778 Nikon 4S018-550 Relay Control Board PCB LMDRVX3 NSR-S204B Used Working
10779 Nikon 4S018-550 Relay Control Board PCB LMDRVX3 NSR-S204B Used Working
10780 Nikon 4S018-550 Relay Control Board PCB LMDRVX3 NSR-S204B Used Working
10781 Nikon 4S018-550 Relay Control Board PCB LMDRVX3 NSR-S204B Used Working
10782 Nikon 4S018-860 Relay Control Card PCB LMDRV5B NSR-S204B Used Working
10783 Nikon 4S018-860 Relay Control Card PCB LMDRV5B NSR-S204B Used Working
10784 Nikon 4S018-860 Relay Control Card PCB LMDRV5B NSR-S204B Used Working
10785 Nikon 4S018-860 Relay Control Card PCB LMDRV5B NSR-S204B Used Working
10786 Nikon Shock Absorber (RFC) NSR-S204B Step-and-Repeat Scanning System Used
10787 Nikon WS Shock Absorber (RFC) Nikon NSR-S204B Scanning System Used Working
10788 Nikon 4S013-212-2 Interface Control Board PCB WL3SLDR System Used Working
10789 Nikon 4S013-212-2 Interface Control Board PCB WL3SLDR System Used Working
10790 Nikon XU-DL1600W30 Driver Board PCB 4S014-165 NSR-S204B Scanning System Used
10791 Nikon XU-DL1600W30 Driver Board PCB 4S014-165 NSR-S204B Scanning System Used
10792 TDK RGW48-32R DC Power Supply Nikon NSR-S204B Step-and-Repeat System Used Working
10793 Nikon 4S013-188 Interface Board PCB LINK-RX NSR-S204B Scanning System Used
10794 Nikon 4S007-692-1 Pre-Align Assembly NSR-S204B Step-and-Repeat System Used
10795 TDK RAW12-14R Power Supply Nikon NSR-S204B Scanning System Used Working
10796 Nikon 4S007-692-1 Relay Control Board PCB WL3PRE2 NSR-S204B Scanning System Used
10797 Nikon 4S007-692-1 Relay Control Board PCB WL3PRE2 NSR-S204B Scanning System Used
10798 Nikon 4S019-083 Interface Board PCB RST-ADPT NSR-S204B Scanning System Used
10799 Nikon 4S014-182 Interface Board PCB AF-I/FX4A NSR-S204B Scanning System Used
10800 Nikon 4S008-086 Relay Interface Board PCB AF-MPXX4A NSR-S204B System Used
10801 Nikon 4S008-089 Relay Board PCB AF-ADCX4A NSR-S204B Scanning System Used
10802 Nikon 4S013-499 Interface Board PCB RSTG-FLM NSR-S204B Scanning System Used
10803 Nikon 4S008-187 Backplane Interface Board PCB NSR-S204B System Used Working
10804 Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S204B Used Working
10805 Nikon 4S013-313 Interface Board PCB BLECX3 NSR-S204B Scanning System Used Working
10806 Nikon XU-DL1600W30 Driver Board PCB 4S014-165 200A08.LO NSR-S204B System Used
10807 Nikon XU-DL1600W30 Driver Board PCB 4S014-165 200A08.LO NSR-S204B System Used
10808 Nikon XU-DL1600W30 Driver Board PCB 4S014-165 200A07.LO NSR-S204B System Used
10809 Nikon XU-DL1600W30 Driver Board PCB 4S014-165 200A07.LO NSR-S204B System Used
10810 Nikon 4S015-130-1 Interface Card PCB NK-C31D21 AF BOOT NSR-S204B Used Working
10811 Nikon 4S015-130-1 Interface Card PCB NK-C31D21 AF BOOT NSR-S204B Used Working
10812 Nikon 4S008-174 Relay Control Card PCB AF-PSDX24-SUB NSR-S204B System Used
10813 Nikon 4S008-174 Relay Control Card PCB AF-PSDX24-SUB NSR-S204B System Used
10814 Nikon 4S007-994-1 Interface PCB AF-PSDX22-SUB NSR-S204B Step-and-Repeat Used
10815 Nikon 4K177-955-4 Reticle Transfer Robot NSR-S204B Scanning System Used Working
10816 Nikon 4K177-955-1 RD Reticle Transfer Robot Ceramic End Effector NSR-S204B Used
10817 Nikon Wafer Loader Transfer Robot NSR-S204B Scanning System Used Working
10818 Nikon WD Wafer Stage Robot with Z Axis Motor NSR-S204B Scanning System Used
10819 Matsusada HPZT-0.18PX6-NK High Voltage Power Supply Nikon NSR-S204B System Used
10820 Nikon 4S018-173 Air Diver 2 Pneumatic Driver AIRDRV2 Nikon NSR-S204B System Used
10821 Nikon 4K177-955-2 RD Reticle Transfer Robot NSR-S204B Scanning System Used
10822 Nikon 4K177-955-3 RD Reticle Transfer Robot Ceramic End Effector NSR-S204B Used
10823 Nikon 4K177-955-2 RD Reticle Transfer Robot Ceramic End Effector NSR-S204B Used
10824 Nikon 4K177-955-1 RD Reticle Transfer Robot NSR-S204B Scanning System Used
10825 Nikon 4S017-866-B Interferometer Relay Card PCB STGLIUIF NSR-S204B System Used
10826 Nikon 4S017-866-B Interferometer Relay Card PCB STGLIUIF NSR-S204B System Used
10827 Nikon 4S017-866-B Interferometer Relay Card PCB STGLIUIF NSR-S204B System Used
10828 Nikon 4S017-866-B Interferometer Relay Card PCB STGLIUIF NSR-S204B System Used
10829 Nikon 4S017-866-B Interferometer Relay Card PCB STGLIUIF NSR-S204B System Used
10830 Nikon 4S017-866-B Interferometer Relay Card PCB STGLIUIF NSR-S204B System Used
10831 Nikon 4S018-167 Interferometer Relay Card PCB ALGLIUIF2 NSR-S204B System Used
10832 Nikon 4S018-167 Interferometer Relay Card PCB ALGLIUIF2 NSR-S204B System Used
10833 Nikon 4S018-167 Interferometer Relay Card PCB ALGLIUIF2 NSR-S204B System Used
10834 Nikon 4S018-167 Interferometer Relay Card PCB ALGLIUIF2 NSR-S204B System Used
10835 Nikon 4S018-167 Interferometer Relay Card PCB ALGLIUIF2 NSR-S204B System Used
10836 Nikon 4S018-167 Interferometer Relay Card PCB ALGLIUIF2 NSR-S204B System Used
10837 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
10838 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
10839 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
10840 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
10841 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
10842 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
10843 Agilent 10897-60002 Laser Axis Control Card Rev.C Nikon NSR-S204B System Used
10844 Agilent 10897-60002 Laser Axis Control Card Rev.C Nikon NSR-S204B System Used
10845 Agilent 10897-60002 Laser Axis Control Card Rev.C Nikon NSR-S204B System Used
10846 Agilent 10897-60002 Laser Axis Control Card Rev.C Nikon NSR-S204B System Used
10847 Agilent 10897-60002 Laser Axis Control Card Rev.C Nikon NSR-S204B System Used
10848 Agilent 10897-60002 Laser Axis Control Card Rev.C Nikon NSR-S204B System Used
10849 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used
10850 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used
10851 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used
10852 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used
10853 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used
10854 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used
10855 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
10856 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
10857 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
10858 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
10859 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
10860 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used
10861 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
10862 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
10863 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
10864 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
10865 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
10866 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used
10867 Nikon 4S001-090 Power Supply PCB Board TDK MSE182P NSR-S204B Used Working
10868 Nikon 4S001-090 Power Supply PCB Board TDK MSE182P NSR-S204B Used Working
10869 Nikon 4S001-090 Power Supply PCB Board TDK MSE182P NSR-S204B Used Working
10870 Nikon 300mm Pin Chuck NSR-S306C 300mm DUV Scanning System Used Working
10871 Nikon 300mm Pin Chuck NSR-S205C Step-and-Repeat Exposure System Used Working
10872 Karl Suss 200.0644.6 CHUCK 6″ SUSS USED
10873 Lam Research 715-007469-002 Lower Electrode
10874 Tegal / APPLIED CERAMICS 40-753-004-3 DISC, TOP OBLATED STRIPPER NEW OTHER
10875 TEL Tokyo Electron 200mm Pod Shield D126409-200S new
10876 CF to VCR Adapter
10877 Geared Motor
10878 RF Assy
10879 Bracket O2 Mount (lot of 52)
10880 Memory Module Card
10881 Collimater
10882 Metron D131219 SST 200mm Filler Ring TEL Tokyo Electron MRC Used Working
10883 Dark Space Shield
10884 Dark Space Shield
10885 Bore Coupling
10886 Protocol Board
10887 Stainless Steel Clamp
10888 Tab Ring
10889 TEL Tokyo Electron 7100-0577-07 Photoelectric Sensor Assembly 7200-0310-01A New
10890 Cathode Adapter Assembly
10891 TEL Tokyo Electron D127847 100mm Wafer Holder Assembly Metron A131536 New
10892 MRC Materials Research Corp 884-29-000 Control Board 3MI PCB Used Working
10893
10894 Lam Research 4420 RF Generator Cart 852-014681-005-F working OEM-650A
10895 BUSCH F0-0030-B-0H0-XX Dry Scroll Vacuum Pump needs rebuild as-is
10896 B&G Precision 0097572-001-AA Calibration Mounting Assembly new
10897 Applimotion 0040175-000 Motor Lens Assembly KLA-Tencor 0030368-000 Used
10898 PTI Progressive Technologies Inc Sentry Supervisor 4301G01 Used Working
10899 STEC SEC-4550 4550M MFC Mass Flow Controller N2O 20LM untested
10900 Lucas Labs DVDS-7010 CAT Referance Module CR106 working
10901 Panasonic TEL P-8 Ball Screw Assembly MSM021P2A Used Working
10902 TEL P-8 200mm Wafer Boat Elevator Assembly 103H7522-8021 working
10903 TEL Tokyo Electron 2985-429208-W4 300mm Sub Unit Assembly Adhesive Module Used
10904 TEL Tokyo Electron 2985-411180-W6 Cool Plate Module 300mm ACT 12 Used Working
10905 TEL Tokyo Electron 2985-429208-W4 Sub Unit Base Assembly Adhesive Module Used
10906 KLA-Tencor 0080410-000-AE Motor Assembly 0080420-000-AD working 417-11-06
10907 Lintec VU-104 Vaporizer AMAT 3870-01548 New
10908 Pureron DFM2-1000 Flow Meter lot of 5 working
10909 Panasonic ADKB400BPFADH Servo Drive Used Working
10910 Panasonic Verton DD803V Servo Drive ADKA400BPFADA Used Working
10911 Panasonic Servo Drive ADKA100BPTADA Vertron DD803V Used Working
10912 Panasonic ADKB400BPFADA AC Servo Drive Used Working
10913 Kokusai D4E01298 SPCONV2 PCB Vertron DD803V Working
10914 Kokusai CVD-D16826 PCB Vertron DD803V working
10915 NSK EMB014CF1-05 Servo Driver Used Working
10916 Kokusai CX1209B Controller Module MCCU200-04 DMCU200-07 Vertron DD803V Used
10917 Kokusai Vertron Controller Module CX2001 working
10918 Panasonic Servo Drive ADKB100BPFADH Vertron DD803V Used Working
10919 Material Support Resources Flange Seal 0107121-416 New
10920 Material Support Resources Inner Tube Support 0107121-302 New
10921 Square D 15A Circuit Breaker FAL220151127 Lot of 7 Used Working
10922 Material Support Resources Vertron DD803V T Shaped Cold Trap New
10923 MSR Material Support Resources DD803V Vertron Inlet Poly Flange new
10924 MSR Material Support Resources DD803V Vertron Assembly Kit new
10925 Kokusai Electric CQ1500A Digital Direct Controller Accuron CQ-1500A Used Working
10926 Kokusai Vertron DD803V CQ1400A Digital Direct Controller working
10927 TDK Kokusai Vertron DC Power Supply 24-3R2GB Lot of 4 Used Working
10928 Kokusai Digital Direct Controller Vertron DD803V CQ1400A(01) Used Working
10929 Millipore PHOTO-250 Teach Pendant W2501KP01 working
10930 DIP Proofing Tech Voltage Dip Proofing Inverter DPI 0K523A6-I Lot of 2 Used
10931 Lucas Labs Power Unit PDC Used Working
10932 Kokusai Electric D3E01299A Brake PCB Vertron Used Working
10933 iL70N Edwards NRB4-46-945 Dry Vacuum Pump 50310 Hours Copper Used Tested Working
10934 Brooks Automation 6-0002-0706-SPR Robot WTM511-2-FWS02-V1-CU Refurbished
10935 Brooks Automation 106968 Smart Aligner Edge Grip 24VDC 2A Used Working
10936 SMC INR-498-P002 Heat Exchanger New Surplus AMAT # 0190-18418
10937 BTU Engineering Video Interface Board 3161524 EPROM V2.1 Working
10938 Quartzfab Quartz Assembly 7400-0060-02G new
10939 TEL P-8 Tokyo Electron 200mm Wafer Indexer working
10940 Brooks Automation 6-0002-0706-SPCUR Robot WTM511-2-FWS02-V1-CU AMAT 0195-02883
10941 Cybor 512F Power Supply Module Used Working
10942 MKS Instruments 122B-11993—-S Baratron Pressure Transducer Type 122B Working
10943 MKS Instruments 127AA-00002B Baratron Pressure Transducer Type 127 Working
10944 MKS Instruments 127AA-00002B Baratron Pressure Transducer Type 127 Working
10945 MKS Instruments 122A-11063 Baratron Pressure Transducer Used Tested Working
10946 MKS Instruments 122AA-00010-B-SP023-87 Baratron Pressure Transducer Used Tested
10947 MKS Instruments 122AA-00100BB Baratron Pressure Transducer Type 122A Working
10948 Edwards W65511611 Barocel Pressure Sensor 1 Torr Transducer Tested Working
10949 High Yield Technology Division SPT Controller 250W Working
10950 Sony DXC-950 3CCD Camera Power HAD Set with CMA-D2 Adaptor Used Working
10951 SVG Silicon Valley Group 38465-01 Spin Chuck DEV 90SER 200mm ASML SVG90 New
10952 Parker CPDC4-10456 Compumotor DC4 Power Supply Tested Working
10953 Omron SYSMAC CQM1 PLC Programmable Controller PA203 Therma-Wave Opti-Probe 2600B
10954 Omron SYSMAC CQM1 PLC Programmable Controller PA203 Therma-Wave Opti-Probe 2600B
10955 Therma-Wave 18-010968 Cassette Loader Module Rev. B Opti-Probe 2600B Working
10956 Therma-Wave 18-010918 Power Supply Opti Probe 2600B Used Working
10957 Nil Stat 5024(e) Static Control System Controller With 5284 FlowBar Used
10958 FTG Data Systems PXL-380 Precision Light Pen PCB Rev. C Used Working
10959 Therma-Wave 14-009631 Digital Interface PCB Rev. C Opti-Probe 2600B Used Working
10960 Therma-Wave 18-009252 Sensor Module Rev. A1 Opti-Probe 2600B Used Working
10961 VAT SLIT VALVE 0750X-UX24-ACT 3/0029 1-400759 new
10962 Therma-Wave 18-007482 Auto-Focus Bi-Cell Detector Opti-Probe OP2600B Used
10963 Therma-Wave Opti-Probe 2600B Optics Platform OP200B KLA-Tencor As-Is
10964 Sanso Electric PV2-4/1-BTBSC2 Wet Pit Type Centrifugal Pump Used Working
10965 SMC P49822007 Chiller Interlock PCB Rev. 0 Used Working
10966 Therma-Wave 18-010968 Cassette Loader Module Rev. F Opti-Probe 2600B Working
10967 TEL Tokyo Electron FA1006K501A PCB-CTRL P-8 Wafer Prober Used
10968 TEL Tokyo Electron 3281-000091-1 AIR/HF Interconnect PCB KE-I P-8 Prober Used
10969 TEL Tokyo Electron 3208-000141-12 PCB Indexer Base 97 3281-000141-12 P-8 Used
10970 MKS Instruments 128AA-00010B Baratron Pressure Transducer Type 128 Used Working
10971 ADP 31 Alcatel ADP-31-M1 Dry Vacuum Pump Tested As-Is
10972 I-O Data PC34R-2//8MTAB Memory ServerII PC34R-4M New
10973 Integrated Designs Chemical Dispense Card PCB Used Working
10974 Practical Peripherals Microbuffer Universal 16 Prometrix 52-0277 Used Working
10975 NEC 220-500091-001 Riser Card TEL Tokyo Electron 220-50009D-001-A Used Working
10976 TEL Tokyo Electron 2981-600446-11 Controller Power Branch Board Used Working
10977 Adaptec AHA-2940/2940U Ultra Wide SCSI PCI Controller PCB Card Used Working
10978 TEL Tokyo Electron B22981-600393-11 I/F Board #004 PCB 2981-600393-11 New
10979 TEL Tokyo Electron 1181-000255-18 HSDL DO Module MC-31042A Used Working
10980 D.T.I. Design Technology DT360 PCB Card 27280-201 DT50017 Used Working
10981 Equipe Technologies 2-08-1004 Automation PCB Card PRE Rev. 2 Used Working
10982 MBC PC6422 14075 Digital I/O PCB Card PIO-24 Equipe 64200 Rev. C Used Working
10983 M.C.S.I. IND-88-4 CPU Single Board Computer Equipe PRE-1122 Used Working
10984 Equipe Technologies 2-08-1004 Automation PCB Card Used Working
10985 M.C.S.I. IND-88-4 CPU Single Board Computer Equipe PRE-1409 Used Working
10986 MBC PC6422 14075 Digital I/O PCB Card PIO-24 Equipe 64200 Rev. B Used Working
10987 M.C.S.I. IND-88-4 CPU Single Board Computer Equipe PRE-1062 Used Working
10988 Equipe Technologies 2-08-1004 Automation PCB Card PRE-1062 Used Working
10989 Taiyo 1380-101437-11 Z-Base2 CS/IF TEL Tokyo Electron New
10990 Hine Design CV-252E CCD Video Camera Module HineSight 2020 Used Working
10991 LAM Research 715-330151-004 ACTR Flange Ring 420D0027 Refurbished
10992 LAM Research 716-330122-002 Cermaic Top Plate 640A0056 New
10993 LAM Research 715-025724-001 Flanged Gas Feed Ring 420D0026 Refurbished
10994 LAM Research 715-330161-002 BAC Shield Flange 260A0033 New
10995 LAM Research 716-330162-002 BAC Ceramic Filler Ring Flange 420D0018 Refurbished
10996 LAM Research 716-330167-281 Ceramic Bottom Ring Clamp 420D0019 Refurbished
10997 Optronics Engineering E99018 Microscope Video Camera Controller DEI-470 Used
10998 TrueVision TVB-563-V4.0 Digital Video PCB Card Used Working
10999 TEL Tokyo Electron CT2985-403464-W1 ADH Pin Stand Assembly 384 ACT12 Incomplete
11000 TEL Tokyo Electron CT2985-401328-W4 LHP EXT Cover Assembly 381 ACT12 Incomplete
11001 TEL Tokyo Electron CT2985-403464-W1 ADH Pin Stand Assembly 384 ACT12 200mm Used
11002 TEL Tokyo Electron 381 LHP Low Temperature Hot Plate Process Station ACT12 200mm
11003 MicroTool EL-2000 200mm Electronic Level Wafer Kit Used Working
11004 SAES Getters PS4-MT3-R-1 Heated Gas Purifier System Used Working
11005 Integrated Designs Dispense Mother Board PCB Rev. 201G Used Working
11006 Fujikura Model RV Precision Vacuum Regulator Used Working
11007 Millipore W2501PH01 Photo Resist Pump Used Working
11008 Koganei CR1131W-D Alpha Series Robot Used Working
11009 Integrated Designs Dispense Mother Board Rev. 202G Used Working
11010 Cole-Parmer 7533-50 Slurry Pump Motor Lot of 2 Used Working
11011 AMAT Applied Materials 0020-79339 Inlet/Outlet Manifold Pump Used Working
11012 AMAT Applied Materials 0020-78126 Inlet/Outlet Manifold Pump Rev. A Used Working
11013 AMAT Applied Materials 0020-78127 Manifold Block Lot of 2 Used Working
11014 AMAT Applied Materials 0020-78126 Inlet/Outlet Manifold Pump Rev. P1 Used
11015 Donaldson P510172 Compressed Air Filter Used Working
11016 Recif ANA Automatic Wafer Notch Finder Used Working
11017 Lam Research 810-017075-003 Gas Panel Interlock PCB Rainbow 4420 Used Working
11018 TEL Tokyo Electron CT2985-403464-W1 ADH Pin Stand Assembly 383 ACT12 Incomplete
11019 M.C.S.I. IND-88-4 CPU Single Board Computer Equipe PRE-1049 Used Working
11020 IBus Systems 103-00082-00 Bus Board 103-00080-01 Equipe Used Working
11021 Panasonic MSD011A1XX21 AC Servo Driver Minas Used Working
11022 Recif TBFF8 ANA Automatic Wafer Notch Finder Used Working
11023 Nemic-Lambda TPB-225A DC Power Box TPB-226A Used Working
11024 Sanyo Denki P20B13100FXSAN AC Servomotor Lift Assembly BL Super P2 Used Working
11025 EO Edmunds Optics 114-1204 Megapixel Fixed Focal Length Lens LEC-59870 New
11026 Lam Research 853-015686-005 Power Module Rev. B Used Working
11027 Riken Keiki GD-V77D Smart Gas Detector New
11028 Novellus 02-324753-00 C3 Vector Spindle Assembly Rev. 2 Used Working
11029 ASTRONex MKS Instruments FI80131 Plasma Source 1288 hours AMAT 0920-00057 Tested
11030 Lam Research 832-038915-103 13.56MHz RF Match Network Poly Etch Autotuner Used
11031 Force Computers 100611 PCB Card SYS68K/CPU-6VB Lam 4420 810-17034-300-1 Used
11032 Force Computers 880-12535-101.A1 PCB Card SYS68K/SIO-2/C1 Lam Rainbow 4420 Used
11033 Force Computers 300000 Mod 1 PCB Card SYS68K/SASI-1 Lam 4420 890-14443-101 Used
11034 Unit Instruments UPC-1300 Mass Flow Controller LAM 797-8571-103 50 SCCM He Used
11035 Tylan FC-2900V Mass Flow Controller LAM 797-90865-304 50 SCCM O2 Used
11036 Tylan FC-2900M Mass Flow Controller LAM 797-091413-524 200 SCCM HBr Used
11037 Tylan FC-2900V Mass Flow Controller LAM 797-90865-608 500 SCCM C2F6 Used
11038 Tylan FC-2900M Mass Flow Controller LAM 797-91413-605 500 SCCM Cl2 Used
11039 Tylan FC-2900V Mass Flow Controller LAM 797-90865-609 500 SCCM SF6 Used
11040 Tylan FC-2900V Mass Flow Controller LAM 797-90865-602 500 SCCM He Used
11041 Tylan FC-2900M Mass Flow Controller LAM 797-091413-625 500 SCCM NF3 Used
11042 Millipore FC-2900M Mass Flow Controller LAM 797-091413-605 500 SCCM Cl2 Used
11043 Millipore FC-2900V Mass Flow Controller LAM 797-90865-602 500 SCCM He Used
11044 Millipore FC-2900V Mass Flow Controller LAM 797-90865-609 500 SCCM SF6 Used
11045 Millipore FC-2900V Mass Flow Controller LAM 797-90865-304 50 SCCM O2 Used
11046 Lam Research 853-012123-001-G-230S Harmonic Motor Assembly 715-130080-008 Used
11047 LAM Research 853-140013-001-1-230D Outer Gate Valve Assembly 4420 Used Working
11048 LAM Research 853-012350-002-F-230 Outer Gate Valve Assembly 4420 Used Working
11049 LAM Research 853-013610-001-E-LEAN Pneumatic Manifold Assembly 4420 Etcher Used
11050 LAM Research 853-013610-001-E-C364 Pneumatic Manifold Assembly 4420 Etcher Used
11051 Lam Research 810-069751-103 Node Board Type 27 PCB 710-069751-002 Used Working
11052 Lam Research 810-800156-101 Water Detector PCB 710-800156-101 Used Working
11053 Lam Research 810-802901-305 MB Node 1 PM Common PCB 710-802901-305 Used Working
11054 Granville-Phillips 390727-2-YK-T Micro-Ion ATM Module Used Working
11055 Lam Research 810-800031-300 System Interlock 300mm PCB 710-800031-300 Used
11056 Lam Research 810-800086-010 DC Controller Assembly PCB 710-800086-010 New
11057 Lam Research 810-802901-300 MB Node 1 PM Common PCB 710-802901-300 Used Working
11058 TDI Power 144901 Power Distribution PCB T100101400 Used Working
11059 Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 01 810-17031 Used Working
11060 Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A 810-17031 Used Working
11061 Z MDA Scientific 874154 PCB Printed Circuit Board 874153 Used Working
11062 Lam Research 810-802902-006 Motherboard Node 2 PM PCB 710-802902-006 New
11063 Lam Research 810-028298-007 24 CH Temp CTL OT PCB 710-028298-007 Lot of 6 New
11064 Lam Research 810-800082-029 VME Breakout KIY045 PCB 710-800082-029 Used Working
11065 Lam Research 810-800081-013 P2 MB VME PCB 710-800081-013 Used Working
11066 Lam Research 810-048219-004 Pulse Power Sample & Hold PCB 710-048219-004 New
11067 LAM Research 853-017160-583-B-LEAN Rear EMO Assembly PCB 810-017003-004 Used
11068 MKS Instruments 100997144 Isolation Valve 839-13521-1-1956 Rev. D LAM 4420 Used
11069 MKS Instruments 100997144 Isolation Valve 839-13521-1 LAM 4420 Used Working
11070 Lam Research 853-017620-001-A-C222 Tri-Convectron Interface Assembly 4420 Used
11071 Hokuyo Automatic DMS-HB1-Z28 Variable Sensor AMAT 0190-23270 Lot of 5 New
11072 AMAT Applied Materials 0010-35937 RF Match Assembly Rev. 03 New
11073 Seren 9600730005 RF Power Supply Lightwind R101 Used Tested Working
11074 M25 Thermo Neslab 262112030000 Chiller Tested Not Working As-Is
11075 Inficon LTSP-600 8-Channel Power Supply LSTP-75W LinearTec Used
11076 Inficon 923-603-G2 CPM Controller Used Working
11077 Inficon LTSP-600 8-Channel Power Supply LTSP-75W LSTP-03W LinearTec Used Working
11078 Inficon LTSP-600 8-Channel Power Supply LSTP-03W LinearTec Used Working
11079 Lam Research 832-038915-001 RF Match Network Poly Etch Autotuner As-Is
11080 DCG-200Z ENI DC22S-Z022000010A Generator SLAVE Rev. C AMAT 0190-08034 Tested
11081 Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. E Rainbow 4420 Used
11082 Lam Research 70110-003 PCB Card 71110B1-001 Rainbow 4420 Used Working
11083 InUSA IN2000-L2-RM Ozone Analyzer AFx Used Tested Working
11084 RFPP RF Power Products 7520572050 RF Generator LF-5 AMAT 0920-01014 Tested As-Is
11085 Astech ATL-100RA Low Frequency Matching Box AMAT 1110-01006 Used Working
11086 DCG-200A ENI DC24M-A041300110AL Generator MASTER AMAT 0190-07962 Tested As-Is
11087 DCG-200Z ENI DC22S-Z122000010A Generator SLAVE Rev. D AMAT 0190-07965 Tested
11088 DCG-200A ENI DC22S-A022000010AL Generator SLAVE Rev. D AMAT 0190-07963 Tested
11089 DCG-200A ENI DC22S-A022000010AL Generator SLAVE Rev. F AMAT 0190-07963 Tested
11090 DCG-200A ENI DC22S-A022000010AL Generator SLAVE Rev. C AMAT 0190-07963 Tested
11091 DCG-200A ENI DC22S-A022000010AL Plasma Generator SLAVE AMAT 0190-07963 Tested
11092 TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used
11093 TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used
11094 Inficon HPR-1100 Transpector Residual Gas Analyzer Assembly As-Is
11095 MDC 313029-10 Pneumatic Angle Valve Kit AV-150M-P-10 AMAT 0246-33245 New
11096 MDC 313029-10 Pneumatic Angle Valve Kit AV-150M-P-10 AMAT 0246-08723 New
11097 MDC 313029-10 Pneumatic Angle Valve AV-150M-P-10 AMAT 3870-03520 New
11098 SAM Hitachi SFC1480FPF Mass Flow Controller Fantas Reseller Lot of 4 Used
11099 TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used
11100 TEL Tokyo Electron 2981-600386-13 EXT DIO Board PCB 2908-600386-12 ACT12 Used
11101 TEL Tokyo Electron CPC-T0001A-13 Chemical I/O Board PCB T0B1001 TEL ACT12 Used
11102 TEL Tokyo Electron CPC-G226A01B-11 Chemical Connector Board PCB ACT12 Used
11103 TEL Tokyo Electron 2981-600395-11 I/F BOARD #005 PCB 2908-600395-11 ACT12 Used
11104 Digital Dynamics 27-810157-00 I/O Controller V3.02 Novellus Concept II Used
11105 Novellus 02-834310-00 Module Controller P100/16M Concept II Altus Used Working
11106 VAT 03009-NA24-1004 Slit Valve Novellus Concept II Altus Used Working
11107 Granville Phillips 275821 Differential Output Amplifier Used Working
11108 Edwards D38665000 Active Gauge Controller Used Working
11109 Novellus Vacuum Valve Assembly KIV-075-P Used Working
11110 Tylan General CDL-21S06 Baratron Capacitance Manometer Novellus Concept II Used
11111 Therma-Wave 18-007283 Power Supply Assembly Opti-Probe 2600 Used Working
11112 Therma-Wave 18-022849 Laser Power Supply Assebmly Opti-Probe 2600B Used
11113 Newport Kensington 25-3700-1125-04 Robot Assembly 4000C Used Working
11114 Novellus Temperature Plate Used Working
11115 Therma-Wave 18-007418 Lens Detector Rev. F1 Opti-Probe Used Working
11116 Therma-Wave 18-007283 Power Supply Assembly Rev. G Opti-Probe Used Working
11117 Therma-Wave 18-007283 Power Supply Assembly Rev. E Opti-Probe Used Working
11118 Therma-Wave 18-009941 Power Supply Rev. C Opti-Probe Used Working
11119 Therma-Wave 18-009252 Sensor Module Rev.B Opti-Probe OP2600B Used Working
11120 TMH 200M P Pfeiffer PM P03 050 Turbomolecular Pump Used Tested Working
11121 TMH 200M P Pfeiffer PM P03 050 Turbomolecular Pump Used Tested Working
11122 TMH 200M P Pfeiffer PM P03 050 Turbomolecular Pump Tested Not Working As-Is
11123 Cognex 14-008645 In-Circuit Test PCB VPM-3114-1 Therma-Wave 14-007310 Used
11124 DTI Design Technology 27280-001 Sync PCB Therma-Wave 14-012176 Opti-Probe 2600B
11125 STB Systems 1X0-0318-007 Graphics Card Therma-Wave 14-010523 Opti-Probe Used
11126 Adaptec AHA-1522A SCS1 Controller Card 555300-01 C Opti-Probe 2600B Used
11127 Adaptec AHA-1522A SCS1 Controller Card 555300-01 A Opti-Probe 2600B Used
11128 Parker Compumotor 71-006085-03 PCA Board Rev. A PCB Card Opti-Probe 2600B Used
11129 Data Translation 05206 Control PCB Card Rev. G Opti-Probe 2600B Used Working
11130 Therma-Wave 14-009631 Digital Interface PCB Rev. G Opti-Probe 2600B Used Working
11131 hera-Wave 18-007478 Sensor Module Rev. F1 Opti-Probe 2600B Used Working
11132 Therma-Wave 18-007482 Auto-Focus Bi-Cell Detector Rev. C1 Opti-Probe 2600B Used
11133 Therma-Wave 18-009252 Sensor Module Rev. A Opti-Probe 2600B Used Working
11134 Cognex 14-008645 In-Circuit PCB VPM-3114-1 Rev. 2B Opti-Probe 200-0057-2 Used
11135 Therma-Wave 14-007310 Cognex Interface PCB Rev. B Opti-Probe 2600B Used
11136 Pacific Precision Labs ST.SL0808.RR.P200 X-Y-Theta Stage Opti-Probe 2600B Used
11137 CX-2500S Comdel FP3323R1 RF Generator CX2500S Tested Used Working
11138 Olympus MSPlan 5 0.13 8/- f=180 IC 5 Objective Lens Opti-Probe 2600B Used
11139 TMH 200M P Pfeiffer PM P03 050 Turbomolecular Pump Tested Not Working As-Is
11140 TMH 200M P Pfeiffer PM P03 050 Turbomolecular Pump Tested Not Working As-Is
11141 Vox Technologies ROCKY-548TX V2.0 5BC Single Board Computer 14-013582 Used
11142 Adaptec AHA-1540/42CP ISA SCSI Interface Therma-Wave 14-023119 Opti-Probe Used
11143 HX 150 Neslab Instruments 388204040304 Recirculating Chiller Tested As-Is
11144 ONEAC 012-007 Power Conditioner CC1128 Used Working
11145 Kollmorgen S31061-NA Digital Servo Amplifier SERVOSTAR 310 AMAT Quantum X Used
11146 Shaffner FN258P-SS-34 Power Line Filter Module AMAT Quantam X Used
11147 AMAT Applied Materials 9090-01165 Battery Backup PX32K Quantum X Used Working
11148 Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 10 SCCM Refurbished
11149 CX-2500 Comdel FP3305R1 RF Generator 3.39MHz New
11150 CX-2500 Comdel FP3305R1 RF Generator 2.5kW @ 3.39MHz Used Tested Working
11151 RD-023MS Rorze RE120-002-001 Indexer Elevator Assembly RC-233 Used Working
11152 Urakawa ZTE562-35920B Transformer Used Working
11153 Rorze RT107-1201-002 Robot Linear Track IKO TSL220-1200A/S20E558 Used Working
11154 Brooks Instruments SLA7950S1EGG1B2A1 Mass Flow Controller 2000SCCM Ar New
11155 Brooks Instruments SLA7950D1EGG1A2A1 Mass Flow Controller 1500 SCCM Refurbished
11156 Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 1000 SCCM N2 New
11157 Tylan FC-2950MEP5 Mass Flow Controller 500SCCM Ar New
11158 MKS Instruments 640A11TW1V22F Pressure Controller 10 Torr New
11159 MKS Instruments 750B12TCD4G Baratron Pressure Transducer Type 750B New
11160 MKS Instruments 750B12TCD3GA Baratron Pressure Transducer Refurbished
11161 MKS Instruments 128A-22853 Baratron Pressure Transducer Type 128 New
11162 Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 200 SCCM Refurbished
11163 Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 3000 SCCM Refurbished
11164 Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 2000 SCCM Refurbished
11165 Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 30 SCCM Refurbished
11166 Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 500 SCCM Refurbished
11167 Celerity UFM-8100 Mass Flow Controller 50 SCCM N2 New
11168 CX-2500 Comdel FP3305R1 RF Generator 3.39MHz Tested Not Working As-Is
11169 Celerity UFM-8100 Mass Flow Controller 50 SCCM N2 Refurbished
11170 MKS Instruments 640A11TW1VA2V Pressure Controller 10 Torr Used Working
11171 MKS Instruments 640A11TW1V22F Pressure Controller 10 Torr Refurbished
11172 Alcatel 5150CP Turbomolecular Pump Used Tested Working
11173 MKS Instruments 683B-26033 Type 683 Control Valve Used Working
11174 TEL Tokyo Electron A115115 Pod and Tube Weldment Sput 2 Etch Rev. C New
11175 MRC Materials Research Corp D124569-A Dark Space Shield 300mm TEL New
11176 Mattson Thermal Products 7310-2958-04 Oven Top Plate Rev. F Used Working
11177 TEL Tokyo Electron A125757 PCB Control CPU Assembly New
11178 TEL Tokyo Electron A112990 Throttle Valve Assembly Rev. H New
11179 Fujikin WVG-S2-Y-IB4 Water Vapor Generator Controller TEL Tokyo Electron Used
11180 Fujikin WVG-S2-Y-IB7 Water Vapor Generator Controller TEL Tokyo Electron New
11181 TEL Tokyo Electron D123873 Gate Valve Adapter Rev. B Used Working
11182 TEL Tokyo Electron D115960-02 4-Pin Ring Seal 200mm TEF Coat New
11183 TEL Tokyo Electron 7310827801 P3 Purge System New
11184 ABB 60-2310-042 Pole Circuit Breaker 125A New
11185 TEL Tokyo Electron 3310440 Logic PC 3210 Multiplexer PCB Reseller Lot of 20 New
11186 TEL Tokyo Electron 3310440 Logic PC 3210 Multiplexer PCB Board New
11187 Fujikin Incorporated WVG-S2-Y-I37 Water Vapor Generator Used Working
11188 TEL Tokyo Electron A112915 Latch Actuator Plate ASSY 150mm Rev. J2 New
11189 Aera FC-785CL Mass Flow Controller FC-785C 1000 SCCM AR Used Working
11190 Tylan DFC-2952MEP5-T 2950 Series Mass Flow Controller 250 sccm CO Used Working
11191 Lintec Lm-1100m-8 Liquid Flow Controller TEOS 0.1g/min
11192 Vacuum General FS6-31 Mass Flow Controller 0-1000 sccm Used Working.
11193 Inficon 378-007 Vacuum Capacitance Diaphragm Gauge CDG025D Used Working
11194 Alcatel ASM 180 td Helium Leak Detector 1054 Hours Used Tested Working
11195 MKS Instruments 683B-26033 Type 683 Control Valve Copper Exposed Used Working
11196 Tokyo Keiso SFC-450 Ultrasonic Flowmeter Used Working
11197 Ebara ET600W Turbo-Molecular Pump Controller Battery Pack Used
11198 Yaskawa SGD-01AN Servopack Servo Amplifier Used Working
11199 Nemic Lambda MS-12-5 Power Supply MS-11-12 MS-9-12 Lot of 3 Used Working
11200 TEL Tokyo Electron TEB405-1/FD2 PCB Card EC81-000007-11 Unity II Used Working
11201 TEL Tokyo Electron TEB102-1/NASS PCB Card EC81-000016-11 Unity II Used Working
11202 TEL Tokyo Electron TYB416-1/PUMP PCB Card 1D81-000130 Unity II Used Working
11203 TEL Tokyo Electron EHX Box Pressure Manometer Panel ACT12 Used Working
11204 TEL Tokyo Electron Photo Resist Resevoir Dev 2-1 Clean Track ACT12 Used Working
11205 TEL Tokyo Electron Photo Resist Resevoir Dev 2-2 Clean Track ACT12 Used Working
11206 Inficon 923-601-G4 Transpector CPM Head CPM100 Used Working
11207 Inficon 361-000 Vacuum Capacitance Diaphragm Gauge CDG025 SKY CDG Used Working
11208 Metron A127669 POD Door Short Weldment Rev C New
11209 INET 1001085900 Inverter SCR Driver Board APLB Used Working
11210 MRC Materials Research Corp A118144 Autotune RF Network Used Working
11211 AMAT Applied Materials 0040-80228 QX Source Chamber 0040-80232 002-86470 Used
11212 Kollmorgen 1000-0110-03 Brushless Servo Amplifier Magnedyne Used Working
11213 Interface IBX-4101 Processor Board PCB Card G01-001[12] Used Working
11214 Omron SYSMAC CQM1 Programmable Controller Therma-Wave 18-010940 Opti-Probe Used
11215 MKS Instruments CVO-KFKF-NCVV Pneumatic Valve Lot of 3 Used Working
11216 Novellus 03-10355-00 INTF CVD-W and ETCH PCB Board Used Working
11217 Edwards D15482000 Vacuum Assembly D15482070 PV16EKA Used Working
11218 Sanritz Automation SVME/AT-BCN/A PCB Card SVA603A-1S Used Working
11219 Edwards C41654000 Isolation Valve 1PV40EKS Used Working
11220 Bio-Rad Y5304400 Real Time Stage Reader Quaestor Q7 Used Working
11221 Computer Recognition Systems 8946BB290 VME/Overlay Interface PCB 8946-0001 Used Working
11222 Bio-Rad Y5304900 DSF VME Interface PCB Card Y5304901 Used Working
11223 Bio-Rad 70/005 Microscope Objective Silver Quaestor Q7 Used Working
11224 Bio-Rad 30/050 Objective Quastor Q7 Used Working
11225 Bio-Rad 35/006 Microscope Objective Quaestor Q7 Used Working
11226 Bio-Rad Y8000080 Optics Frame Assembly Y7802100 Quaestor Q7 Used
11227 Bio-Rad Y7603203 Pick and Place Elevator Quaestor Q7 Used Working
11228 Edwards NGW415000 Pneumatic Gate Valve Used Working
11229 Edwards C5371 High Vacuum Adaptor Tee ISO80 to ISO100 ISO-K NW25 Copper Used
11230 Edwards High Vacuum Adapter ISO100 ISO-F to ISO100 Grooved ISO-F Lot of 2 Used
11231 MKS Instruments 100764908 Conical Reducer ISO100 to ISO80 ISO-K Used Working
11232 Edwards C10517670 NW50 Bellows Stainless Steel 4.5″ Lot of 2 Used Working
11233 Edwards C10009123 ISO100 to NW50 Stainless Steel Adaptor Used Working
11234 Edwards NW50 to 100mm CF High Vacuum Adaptor iQDP Series Used Working
11235 Edwards C10007118 ISO63 to NW50 Stainless Steel Adaptor Lot of 2 Used Working
11236 Edwards C10008015 ISO80 ISO-K Flange Blankoff MKS HPS Lot of 5 Used
11237 Edwards ISO0100 ISO-F Non-Rotatable Blank-Off Flange Lot of 5 Used
11238 Edwards C10009049 ISO100 ISO-K Flange Blank-Off MKS HPS Lot of 5 Used
11239 Pfeiffer-Balzers PK D40 703 Dual Stage Vacuum Pump DUO 1.5A Tested As-Is
11240 Edwards D37272800 Pump Display Terminal Controller Damaged Used Working
11241 Bio-Rad Y5800000 High Intensity Light Assembly Quaestor Q7 Used Untested
11242 AdvancedTCA C89125-001 Single Board Computer Card NPIC89125 Used Working
11243 Bio-Rad Y5301266P AIMS DC Servo Board PCB Card Y5301267 Quaestor Q7 Used Working
11244 Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 Used Working
11245 Edwards High Vacuum Adaptor Tube Tee ISO63 to ISO80 ISO-K NW25 Used Working
11246 Nor-Cal 3300-04104 DN200CF Non-Rotatable Blank-Off Flange AMAT Used Working
11247 MKS Instruments Bellows Reducer ISO100 ISO-F to NW50 Used Working
11248 MKS Instruments Bellows Reducer ISO100 ISO-F to NW50 Used Working
11249 Edwards C5118 High Vacuum Tube ISO100 ISO-K NW25 Used Working
11250 Edwards High Vacuum Tube Tee NW50 NW25 Used Working
11251 Edwards C3095 High Vacuum Tube Adapter ISO63 ISO-F to ISO100 ISO-F NW25 Used
11252 Edwards C3095 High Vacuum Tube Adapter ISO63 ISO-F to ISO100 ISO-F NW25 Used
11253 Edwards C5614 High Vacuum Tube Adapter ISO63 to ISO80 ISO-K NW25 iQDP Used
11254 Edwards C10517294 Braided Flexable Pipeline 125mm Lot of 2 Used Working
11255 Edwards High Vacuum Adapter Tube Tee ISO63 to ISO80 ISO-K NW25 4″ iQDP Used
11256 AMAT Applied Materials 0040-44814 Lamp Feed Through Cap Lot of 16 New
11257 Edwards High Vacuum Conical Reducer ISO80 ISO-K to ISO40 Bolted NW25 4.20″ Used
11258 Edwards High Vacuum Conical Reducer ISO80 ISO-K to ISO40 Bolted NW25 4.20″ Used
11259 Edwards High Vacuum Conical Reducer ISO80 ISO-K to ISO40 Bolted NW25 5″ Used
11260 Edwards High Vacuum Conical Reducer ISO80 ISO-K to ISO40 Bolted NW25 5″ Used
11261 Edwards C10005080 High Vacuum Adapter ISO40 ISO-F Bolted to NW50 iQDP Used
11262 Edwards High Vacuum Adaptor Tube ISO100 ISO-K to ISO100 ISO-F 6.375″ Used
11263 Edwards High Vacuum Adapter Tube ISO100 ISO-K to ISO100 ISO-F 7.375″ Used
11264 Edwards High Vacuum Tube Tee ISO100 ISO-F NW25 iQDP QDP Series Used Working
11265 Edward High Vacuum Adapter Tee ISO63 ISO-F to ISO100 ISO-F NW25 14.25″ Used
11266 MDC High Vacuum Adapter Tee Flange 7.25″ O.D. NW40 Used Working
11267 Edwards High Vacuum Adapter Elbow Tee ISO100 ISO-K to NW50 NW40 9.25″ Used
11268 MKS Instruments Conical Reducer Tee ISO63 to ISO80 ISO-K 1/4″ VCR Used Working
11269 Edwards High Vacuum Adapter ISO100 ISO-K to ISO100 ISO-F NW25 1/4″ VCR 6.5″ Used
11270 MDC High Vacuum Adapter Tube Flange ISO100 ISO-F to QF100 3.5″ Used Working
11271 AMAT Applied Materials 0022-05342 LDM AB Type 1 Cover Reseller Lot of 6 New
11272 Edwards D37272000 Pump Display Terminal Controller Used Tested Working
11273 SMC HRZ010-WS Thermo Chiller TEL 3D13-000007-V1 Tested Not Working As-Is
11274 Zellweger Analytics 2414-0017 Non-Intrusive Calibrator and 705 Sensor Kit New
11275 Pentagon 233464115 SPU Shield CU MRC Material Research D117924 Used Working
11276 TEL Tokyo Electron 3D05-300142-V3 Insulator ESC Enclosure COC New
11277 TEL Tokyo Electron 3D05-350006-V1 Insulator Ring CD R&D New
11278 TEL Tokyo Electron 3D05-300067-V1 Insulator ESC Enclosure T3.5 New
11279 TEL Tokyo Electron 1D05-300188-12 Insulator Ring A7 LA4-8(Q)(DRM2) New
11280 AMAT Applied Materials 0010-02146 HDP-CVD RPSC Applicator 5200 CVD Used Working
11281 Asyst Technologies 4002-5202-01 End Effector Vacuum Fork 200mm 300mm Used
11282 MKS Instruments 421 Cold Cathode Gauge Micro-Controller HPS Used Working
11283 National Instruments 181445K-01 Controller with PI Actuator M-224.50 Used
11284 ASTeX FI20638 3.5 KW Assembly Magnetron Head D13449 AG9131 A Used Working
11285 DIP-Proofing Technology 0.5k523A5/6-P Voltage Inverter 0K523A5/6 Used
11286 Asyst Technologies 9701-2775-02 Plus Portal Controller Qcept ChemetriQ-3000 Used
11287 Qcept 6200-2069-01 Power Supply PM3327A-6-1-2-C ChemetriQ-3000 Portal Used
11288 Simco 5051272 LV Bar Ionizer 36″ SiC scorpION Asyst Used Working
11289 Brooks Automation 001-1984 Robot Teach Pendant Used Working
11290 Brooks Automation 001-1984 Robot Teach Pendant Used Working
11291 Novellus AMV-GPT3-SNSR RF Module 34-170141-00 14427 Lot of 2 Used Working
11292 Tylan General CDLD-21S06 Pressure Gauge Used Tested Working
11293 Bio-Rad X18 Xenon Light Source Quaestor Q5 Used Working
11294 MKS Instruments 127A-12874 Baratron Capacitance Manometer Used Tested Working
11295 Edwards D37291400 Electrics Module DP iTIM iH AC Used Working
11296 Eurotherm U30003813 Temperature Controller 808/T1/0/R1/0/0/QS/(AKAC506)/ Used
11297 LCDP70 Edwards A408-05-000 Vacuum Dry Pump with iL Frame Used Working
11298 LCDP70 Edwards A408-05-000 Vacuum Dry Pump with iL Frame Used Working
11299 Keyence PJ-50A Expandable Area Light Curtain Sensor Set PJ-55A Used Working
11300 Nor-Cal CSVP-2502-CF-SA Copper Seal Angle Valve Used Working
11301 RadiSys SYS-ZDT-100 System Control Computer Novellus 02-828034-00 Used Working
11302 MKS Instruments 124A-11465—-S Baratron Type 124 Used Tested Working
11303 MKS Instruments 122AA-00010DB Baratron Pressure Transducer Used Tested Working
11304 MKS Instruments 122A-11063 Baratron Transducer AMAT 1350-01019 Refurbished
11305 TMP Shimadzu TMP-280-L Turbo Molecular Pump Used Working
11306 Bio-Rad Y5307100 Power Distribution System 62194-695 Quaestor Q5 Used Working
11307 Cohu 6700 Series Monochrome CCD CCIR CAMERA 6712-200070000 Quaestar Q5 Used
11308 Computer Recognition Systems TRK2/3 VME Control Rack Bio-Rad Quaestor Q5 Used Us
11309 Computer Recognition Systems TRK2/5 VME Control Rack Bio-Rad Quaestor Q5 Used
11310 Bio-Rad PER3B00C Pick & Place Elevator PCB Quaestor Q5 Used Working
11311 Vickers International PER1B00C Pick & Place Pre-Aligner PCB Quaestor Q5 Used
11312 Vickers Instruments PER4B00C Pick & Place Transfer Arm PCB Quaestor Q5 Used
11313 Vickers Instruments PER8BOOC Pick & Place Solenoid PCB Quaestor Q5 Used Working
11314 Vickers Instruments PER2BOOC Pick & Place Linear Arm PCB PER2AXXD Quaestor Used
11315 Bio-Rad PER3B00C Pick & Place Elevator PCB PER3AXXD Quaestor Q5 Used
11316 Bio-Rad PER7AXXD Wafer Sensor Board PCB Quaestor Q5 Used Working
11317 Crouzet 89 401 411 Fiber Optic Sensor Reseller Lot of 6 Used Working
11318 Crouzet 89 401 036 Optical Sensor 8940136 Reseller Lot of 3 Used Working
11319 Bio-Rad Y7653000 Pneumatic Handler Module Quaestor Q5 Used Working
11320 Bio-Rad PMM10000 Pneumatic Wafer Aligner Module Quaestor Q5 Used Working
11321 Bio-Rad PMM40000 Pneumatic Handler Module Quaestor Q5 Used Working
11322 Bio-Rad Fiber Optic Cable Quaestor Q5 Used Working
11323 MKS Instruments 127AA-00001E Baratron Pressure Transducer Used Tested Working
11324 Turck VB 804Z-* Junction Box AMAT 0090-03978 Lot of 3 Used Working
11325 Yamamoto 840091 Differential Pressure Switch MS65H Manostar Lot of 2 Used
11326 AA40W Ebara AA40WNv1-E Dry Vacuum Pump Used Tested Not Working
11327 MKS Instruments 122BA-00100EB Baratron 100 Torr Type 122B Used Tested Working
11328 MRC Materials Research A117198 Power Module Rev. K Eclipse Star Used Working
11329 MRC Materials Research A11011 Line Voltage Junction Box Eclipse Star Used
11330 MRC Materials Research Corp 884-56-000 Solid State Relay PCB Eclipse Star Used
11331 Westinghouse JD3250F Industrial Circuit Breaker 1491D81G03 Series C JT3225T Used
11332 AMAT Applied Materials 0100-09266 System Interface UHP Board PCB P5000 Used
11333 Rorze RT107-1201-002 Robot Linear Track IKO TSL220-1200A/S20E558 Used Working
11334 Nova 310-22000-00 Measurement Unit Novascan Used Working
11335 AMAT Applied Materials 0190-09267 Magnetron Control Cable 55′ ASP Used Working
11336 AMAT Applied Materials 0150-35642 C/A M/F EXH Umbilical 32′ Cable Used Working
11337 AMAT Applied Materials 0150-35488 Monitor Cable Lot of 2 Used Working
11338 AMAT Applied Materials 0100-00008 TC Gauge P.W.B. PCB Card Precision 5000 Used
11339 AMAT Applied Materials 0100-09009 Buffer I/O PCB Card Precision 5000 Used
11340 Parker 1396.017.03 Stepping Motor Drive Digiplan AM5 AMAT Precision 5000 Used
11341 RFPP RF Power Power Products 7921302010 RF Filter Box AMAT Precision 5000 Used
11342 AMAT Applied Materials 0100-09268 Pneumatic UHP Manifold Assembly P5000 Used
11343 AMAT Applied Materials 0100-09267 Chamber Distribution UHP PCB P5000 Used
11344 AMAT Applied Materials 0100-70019 Controller Distribution WPS Board Rev. P2 Used
11345 AMAT Applied Materials 0100-09117 DIO Fuse Board PCB Precision 5000 Used Working
11346 AMAT Applied Materials 0100-09137 Encoder Interface Board Precision 5000 Used
11347 MKS Instruments 852A12TCA2NC Pressure Transducer 100 Torr Lot of 2 Used Working
11348 AMAT Applied Materials 0100-76015 Precision 5000 Cleanroom 8″ Robot Used Working
11349 AMAT Applied Materials 0100-09138 Robot Interconnect Board PCB Used Working
11350 MKS Instruments Type TC-1A Thermocouple Vacuum Gauge Lot of 2 Used Working
11351 AMAT Applied Materials 0100-09126 Remote Wiring Distribution Board Panel Used
11352 Astech ATL-100RA RF Automatic Matching Network RFPP AMAT Precision 5000 Used
11353 AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. F Used Working
11354 AMAT Applied Materials 0100-09127 Loader Interconnect Board PCB Used Working
11355 AMAT Applied Materials 0100-09123 Wafer Sensor Board PCB Precision 5000 Used
11356 Phasetronics P1038A Phase Angle Lamp Drive AMAT 0015-09091 P5000 Used Working
11357 Westinghouse FDB3125 Thermal Magnetic Breaker 6638C27G98 Series C Used Working
11358 AMAT Applied Materials 0100-09146 Centerfinder Sensor Board Precision 5000 Used
11359 AMAT Applied Materials 0100-09103 AC Interconnect Board PCB Precision 5000 Used
11360 AMAT Applied Materials 0100-09029 Turbo Interconnect PCB Precision 5000 Used
11361 Austin Intergrated Systems BES-530-8-PCB 5-Port Pneumatic Precision 5000 Used
11362 AMAT Applied Materials 0100-09011 AI MUX/CURRENT SENSE PCB Precision 5000 Used
11363 AMAT Applied Materials 0100-09012 Power Supply Backplane PCB Precision 5000 Used
11364 AMAT Applied Materials 0100-09010 System Electronics Backplane PCB P5000 Used
11365 STEC SEC-4400MC-UC Mass Flow Controller SEC-4400 50 SCCM WF6 Used Working
11366 STEC SEC-4400MC Mass Flow Controller SEC-4400 30 SCCM SiH4 Used Working
11367 MKS Instruments 852A61PCA2NC Pressure Transducer 60 PSIA Lot of 2 Used Working
11368 Nor-Cal Products ESV-1002-NWB Manual Isolation Valve Used Working
11369 Nor-Cal 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working
11370 Swagelok 6LVD1V333P-AB-RR Manual 3-Way Diaphragm Valve NUPRO Used Working
11371 Swagelok 6LVD1V3333P-AB-RR Manual 3-Way Diaphragm Valve NUPRO Used Working
11372 Fujikin 21339 Manual Diaphragm Soft-Seal Valve Used Working
11373 Swagelok 6LVDAFR4-P-6820 Manual Diaphragm Valve NUPRO Used Working
11374 Swagelok 6LV-DAN11P-GR Manual Diaphragm Angle Valve NUPRO Used Working
11375 Swagelok 6LVDAN33PGR6379 Manual Diaphragm Angle Valve NUPRO Used Working
11376 AMAT Applied Materials 0100-09386 DPA Interlock PCB Precision 5000 Used Working
11377 LDI Pneutronics 990-4350-082 Pneumatic PCB Card 691-0095 Rev. 1 MRC Eclipse Used
11378 MRC Materials Research 883-98-000 IDE PCB Card Lot of 2 Eclipse Star Used
11379 Gordos Arkansas PAM 3 Interface Board PCB MRC Eclipse Star Used Working
11380 MRC Materials Research 883-87-000 Resistor Board PCB Eclipse Star Used Working
11381 Opto 22 PB32P2 Relay Board PCB MRC Materials Research Eclipse Star Used Working
11382 Opto 22 PB32P2 Relay Board PCB ODC5Q MRC Materials Research Eclipse Star Used
11383 Komatsu 2000104C Heat Exchanger KIS-0007-4 Used Tested Not Working As-Is
11384 MRC Materials Research 884-13-000 LED Indicator PCB Rev. F Eclipse Star Used
11385 MRC Materials Research 884-59-000 Reactive Gas PCB Rev. B Eclipse Star Used
11386 MRC Materials Research 884-54-000 Process Control Interface POS. 2 Eclipse Used
11387 MRC Materials Research 885-11-000 Analog Process PCB POS. 3 Rev. D Eclipse Used
11388 MRC Materials Research 885-11-000 Analog Process PCB POS. E Rev. D Eclipse Used
11389 MRC Materials Research 885-24-000 Interface Board PCB Rev. B Eclipse Star Used
11390 Granville Phillips 275116 Vacuum 275 Convectron Gauge N2 Used Working
11391 Granville Phillips 275116 Vacuum 275 Convectron Gauge N2 Used Working
11392 Opto 22 885-23-000 Relay Board PCB MRC Materials Research Eclipse Star Used
11393 MRC Materials Research A116924 Chamber Select Panel Rev. B Eclipse Star Used
11394 AMAT Applied Materials 4332 B CVD Configured Chamber Precision 5000 Mark II Used
11395 MRC Materials Research A117082 Power Supply Panel Eclipse Star Used Working
11396 AMAT Applied Materials 0010-09297 Precision 5000 CVD 15 Volt Power Supply Used
11397 AMAT Applied Materials 0010-09181 Precision 5000 Platform DC Power Supply Used
11398 AMAT Applied Materials 0020-32860 Precision 5000 Photohelic Power Supply Used
11399 AMAT Applied Materials 0020-32860 Precision 5000 Photohelic Power Supply Used
11400 AMAT Applied Materials 0010-76001 Precision 5000 Cleanroom Storage Elevator Used
11401 Key Vacuum Products SL-150 Manual Isolation Valve Used Working
11402 Nor-Cal ESVP-1002-NWB Pneumatic Isolation Angle Vacuum Valve Used Working
11403 Nor-Cal ESVP-1002-NWB Pneumatic Isolation Angle Vacuum Valve Used Working
11404 MRC Materials Research A115105 KBD Remote Assembly Eclipse Star Used Working
11405 MRC Materials Research A115105 KBD Remote Assembly Eclipse Star Used Working
11406 Key High Vacuum SL-150-N Manual Isolation Valve Used Working
11407 Opto 22 PB32P2 Relay Board PCB IDC5Q 6 MRC Materials Research Eclipse Star Used
11408 MRC Materials Research A114569 Indexer Eclipse Star 200mm Used Working
11409 MRC Materials Research A113065 Index Chuck A114575 Eclipse Star Used Working
11410 MRC Materials Research A112990 Throttle Valve Assembly Rev. F Eclipse Star Used
11411 MRC Materials Research A112990 Throttle Valve Assembly Rev. F Eclipse Star Used
11412 MRC Materials Research A112990 Throttle Valve Assembly Rev. F Eclipse Star Used
11413 MRC Materials Research A117198 Power Module D117199 Rev. B Eclipse Star Used
11414 MRC Materials Research A0789A Plenum Chuck Assembly Eclipse Star Used Working
11415 MRC Materials Research A115375 Laminar Flow Blower AC Module Eclipse Star Used
11416 MRC Materials Research A115375 Laminar Flow Blower AC Module Eclipse Star Used
11417 CTI-Cryogenics 8039345 Cryopump Pressurized Hose Supply and Return Set MRC Used
11418 MRC Materials Research A120466 Power Module Rev. A Eclipse Star TEL Used Working
11419 Dynamic Display QES2014-132 Operator Interface MRC Eclipse Star Used Working
11420 Dynamic Display QES2014-132 Operator Interface MRC Eclipse Star Used Working
11421 Dynamic Display QES2014-132 Operator Interface MRC Eclipse Star Used Working
11422 MRC Materials Research A116367 RF Power Monitor Eclipse Star Used Working
11423 MRC Materials Research 884-60-000 Gas Interface PCB Rev. B Eclipse Star Used
11424 MRC Materials Research 884-60-000 Gas Interface PCB Eclipse Star Rev. D Used
11425 MRC Materials Research 883-96-000 Keyboard Control PCB Eclipse Star Used Working
11426 MRC Materials Research A119909 RF Module Rev. E Eclipse Star Tel Used Working
11427 Acopian A75HT560 Regulated Power Supply MRC A111450 Eclipse Star Used Working
11428 Acopian A75HT560 Regulated Power Supply MRC A111450 Eclipse Star Used Working
11429 OneAC 009-166 Power Supply FT1115 MRC Materials Research Eclipse Star Used
11430 MRC Materials Research A115695 DC Voltage Monitor Module 884-63-000 Used Working
11431 MRC Materials Research A115430 Power Transformer Module Eclipse Star Used
11432 MRC Materials Research A114570 Stepper Elevator Eclipse Star Used Working
11433 MRC Materials Research A114569 Stepper Elevator Eclipse Star Used Working
11434 MRC Materials Research D112823 I/O Intec Wrist Assembly A114645 Eclipse Used
11435 CTI-Cryogenics 8112898G005 On-Board 8F Cryopump MRC Eclipse Used Working
11436 CTI-Cryogenics 8112898G005 On-Board 8F Cryopump MRC Eclipse Used Working
11437 CTI-Cryogenics 8112898G005 On-Board 8F Cryopump 8112582G001 MRC Eclipse Used
11438 CTI-Cryogenics 8112898G005 On-Board 8F Cryopump 8112582G001 MRC Eclipse Used
11439 AMAT Applied Materials EEP-A-024 Interface Loadlock Cover Precision 5000 Used
11440 MRC Materials Research A118145 RF Power Adjustment Module Eclipse Used Working
11441 MRC Materials Research High Voltage Power Module Eclipse Star Used Working
11442 Fuji Electric BU-KDA3400 3-Pole Circuit Breaker Used Working
11443 RadiSys 002-1-23158-100 PME SIO-1 VME PCB Card Bio-Rad Quaestor Q7 Used Working
11444 Bio-Rad Y5304800P RATS Interface Board PCB Card Y5304803 Quaestar Q8 Used
11445 Computer Recognition Systems 8946AX265 VME/Overlay Interface PCB 8946-0001 Used
11446 Bio-Rad Y5304902 DSF VME Interface PCB Card Y5304903 Quaestor Q8 Used Working
11447 Computer Recognition Systems 8923F26 Tracker 3 Processor Card 8923-0000 Q8 Used
11448 Computer Recognition Systems 8922F33 Tracker 3 Video Card PCB 8922-0000 Q8 Used
11449 Fuji Electric 1NC5HO 3 Phase Magnetic Motor Contractor Starter SC-12N/UL Used
11450 Computer Recognition Systems 8843BQ346 Edge Detector PCB Rev. E Quaestor Q5 Used
11451 Computer Recognition Systems 8815CL462 Image Bus Controller Rev. G Q5 Used
11452 Computer Recognition Systems 8946AI174 VME/Overlay Interface PCB 8946-0001 Used
11453 RadiSys 23158-100 PME SIO-1 PCB Card K7034-002-2-23158-1001 Quaestor Q7 Used
11454 Bio-Rad Y5301266 AIMS DC Servo Board PCB Card Y5301267 Quaestor Q5 Used Working
11455 Bio-Rad Y5304800P RATS Interface Board PCB Card Y5304803 Quaestor Q7 Used
11456 Bio-Rad Y5304601 Video Controller PCB Card Questor Q5 Used Working
11457 Computer Recognition Systems 8937-0000 Gemini PC 1 8949A5203 Quaestor Q5 Used
11458 Computer Recognition Systems 10365 Quad Ram Board PCB 8805DB794 Quaestor Q5 Used
11459 Computer Recognition Systems 8933AB127 Vidio Board PCB 10779 Quaestor Q5 Used
11460 Kensington Prealigner Forsight CSMT-4 Compact Wafer Sorter Mapping Tool Used
11461 Kensington Touchscreen Operator Interface 21-0E01-0044 CSMT-4 Tool Used Working
11462 Kensington 4000-6097-00 TS2 MSP BOard PCB CSMT-4 Tool Lot of 3 Used Working
11463 Hitachi ZVL808-H Driver Interface Board PCB Card ZVL808 Used Working
11464 Hitachi ZVL808-J Driver Interface Board PCB Card ZVL808 Used Working
11465 Hitachi ZVL808-J Driver Interface Board PCB Card ZVL808 Used Working
11466 Hitachi ZVL897-4 Processor Control Board PCB Card OFV-DTCT ZVL897 Used Working
11467 Tachibana Tectron TVME2300 PCB Card Rev. A Kensington CSMT-4 Used Working
11468 Hitachi ZVL776/100 Processor Board PCB Card MH3000 I-900SRT Used Working
11469 Computer Recognition Systems 8938AE149 LCS Board PCB 1520-1000 Quaestor Q5 Used
11470 Force Computers 220169 Single Board Computer SYS68K/CPU-30BE/8 Quaestor Q5 Used
11471 A-B Allen Bradley 1404-M405A-DNT Powermonitor 3000 Set 1404-DM Used Working
11472 Sanyo Denki PY2E015A3MH1P00 Servo Amplifier BL Super PY Working
11473 Sanyo Denki PY2E015A3MH1P00 Servo Amplifier BL Super PY Working
11474 Panasonic MSD021A1XX AC Servo Driver Minas Used Working
11475 Panasonic MSD021A1XX AC Servo Driver Minas Used Working
11476 Oriental Motor UDX5107N 5-Phase Stepping Motor Amplifier Super Vexta Working
11477 Oriental Motor UDX5107N 5-Phase Stepping Motor Amplifier Super Vexta Working
11478 Kensington 4000D Servo Positioning Controller ARM WAIST Axis CSMT-4 Used Working
11479 Kensington 4000D Servo Positioning Controller TILT SCAN Axis CSMT-4 Used Working
11480 Hitachi M-05A2LS-400K RF Matching Box M-712E Shallow Trench Etcher Used Working
11481 Hitachi M-05A2LS-400K RF Matching Box M-712E Shallow Trench Etcher Used Working
11482 Hitachi Left Temperature Control Module M-712E CTE11-01 RKC REX-F7 Used Working
11483 Kyowa WGA-100A Instrumentation Amplifier Used Working
11484 Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working
11485 Hitachi EC2 Controller Module Etch Chamber 2 IOTU-01N M-712E Trench Etcher Used
11486 Jobin Yvon H-1061 UV Monochromator PTPA-01 PCB Hitachi M-712E Etcher Used
11487 Diavac Limited LCAV-40HF Pneumatic Angle Valve Used Working
11488 MKS Instruments 103250028 Pirani Vacuum Transducer 325 Moducell Used Working
11489 TMP Shimadzu EI-203MD Turbo Molecular Pump Controller Used Tested Working
11490 TMP Shimadzu EI-3203MD Turbo Molecular Pump Controller 1.8K Tested Used Working
11491 Hitachi ESC-2000E ESC Power Supply Assembly ESCID ESCOD Used Working
11492 Kyoto Denkiki KDS-30350W DC Power Supply Hitachi M-712E Used Working
11493 Hitachi Transformer Unit Etch Chamber M-712E Trench Etcher Used Working
11494 Hitachi EC1 Controller Module Etch Chamber 1 IOTU-OIN M-71zE Trench Etcher Used
11495 Pearl Kogyo ZDK-916L2C-P Tuner Controller Hitachi M-712E Etcher Used Working
11496 Pearl Kogyo APU500 Vpp RF Monitor Unit Hitachi M-712E Etcher Used Working
11497 Pearl Kogyo APU500 Vpp RF Monitor Unit Hitachi M-712E Etcher Used Working
11498 Pearl Kogyo CF-500-400K(CE) RF Power Supply Hitachi M-712E – TEST
11499 Hitachi MCCB51 ELB Unit M-511E Etcher Used Working
11500 MRC Materials Research 885-11-000 Analog Process PCB POS. E Rev. F Eclipse Used
11501 MRC Materials Research 885-11-000 Analog Process PCB POS. 1 Rev. D Eclipse Used
11502 MRC Materials Research 885-11-000 Analog Process PCB POS. 3 Rev. A Eclipse Used
11503 JDS Uniphase 2214-20SLUP Laser and Power Supply Set 2114P-20SLUP Used Working
11504 KLA-Tencor CRS-3000 Optical Assembly GSI Lumonics 000-3011003 000-3008528 Used
11505 KLA-Tencor CRS-3000 Optical Assembly GSI Lumonics 000-3011003 000-3008528 Used
11506 Ultrapointe 000276 Spectrometer PMT Preamp Assembly KLA-Tencor CRS-3000 Used
11507 Ultrapointe 000276 Spectrometer PMT Preamp Assembly KLA-Tencor CRS-3000 Used
11508 KLA-Tencor Microscope Optics Assembly Olympus BH3-5NRE-M CRS-3000 Used Working
11509 Ultrapointe 001050T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working
11510 Ultrapointe 001049T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working
11511 Ultrapointe 00678T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working
11512 Ultrapointe 001007T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working
11513 Ultrapointe 001009 Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working
11514 Ultrapointe 001019AD LON I/O Expander Board PCB KLA-Tencor CRS3000 Used
11515 GSI Lumonics 003-3002009 Optics PCB KLA-Tencor CRS-3000 Used Working
11516 GSI Lumonics 000-3015012 Optics PCB 311-15593-1 KLA-Tencor CRS-3000 Used Working
11517 Ultrapointe 001003 Fast Z Controller PCB Rev. 5 KLA-Tencor CRS-3000 Used Working
11518 Ultrapointe 000134 Page Scanner Control PCB Rev. 06 KLA-Tencor CRS-3000 Used
11519 Ultrapointe 001004 White Light Power Supply KLA-Tencor Used Working
11520 Ultrapointe 001004 White Light Power Supply KLA-Tencor Used Working
11521 Ultrapointe 001004 White Light Power Supply KLA-Tencor Used Working
11522 KLA-Tencor DC Module Power Supply CRS-3000 Confocal Review Station Used Workin
11523 SMC NCQ2B32-15DC Pneumatic Lift set of 2 VZ2120 KLA-Tencor CRS-3000 Used Working
11524 Hitachi DT-01 PCB Board Rev. A Hitachi M-712E Dry Etcher Used Working
11525 Hitachi RYY-1 PCB Board M-712E Dry Etcher Used Working
11526 Hitachi BBDP1-01 Backplane PCB Board M-712E Trench Etcher Used Working
11527 Hitachi BBDP2-01 Interconnect Backplane PCB M-712-E Trench Etcher Used Working
11528 Hitachi PUMP 1 Controller Module M-712E Shallow Trench Etcher Used Working
11529 Hitachi PUMP 2 Controller Module M-712E Shallow Trench Etcher Used Working
11530 Hitachi UNIT 2 DC Power Supply M-712E Shallow Trench Etcher Used Working
11531 Hitachi UNIT 3 DC Power Supply M-712E Shallow Trench Etcher Used Working
11532 Hitachi M-712E Microwave Assembly Complete EC2 Daihen SMA-20B ES7-IIA Used
11533 MRC Materials Research 883-87-000 Resistor Board PCB Rev. B Eclipse Star Used
11534 MRC Materials Research 884-13-000 LED Indicator PCB Rev. C Eclipse Star Used
11535 Hitachi Maintenance PC Power Module M-712E Trench Etcher Used Working
11536 Hitachi Heater Transformer Unit M-511E Etch Chamber Block Used Working
11537 KLA Instruments 710-609108-001 Stepper Controller KLA-Tencor e520XP Used Working
11538 KLA Instruments 710-608020-01 PCB Board KLA-Tencor e520XP E-Beam Used Working
11539 KLA-Tencor 740-607104-00 Magnetic LENS Current Supply e520XP E-Beam Used Working
11540 KLA-Tencor 740-607105-00 Magnetic WIEN Current Supply e520XP E-Beam Used Working
11541 KLA-Tencor 750-613674-000 X-Axis Driver PCB Card e520XP E-Beam Used Working
11542 KLA-Tencor 750-613674-000 Y-Axis Driver PCB Card eS20XP E-Beam Used Working
11543 KLA-Tencor 740-607108-005 Lower WIEN ELECT I PCB Card eS20XP Used
11544 KLA-Tencor 740-607107-003 Upper WIEN Electric PCB Card 710-609015-01 eS20XP Used
11545 KLA-Tencor 740-614417-000 WIEN V Power PCB Card 710-612697-000 eS20XP Used
11546 KLA-Tencor 740-612539-000 Electric WIEN 24V PCB Card eS20XP E-Beam Used Working
11547 KLA-Tencor 710-611539-000 Relay Power Supply PCB Card eS20XP E-Beam Used Working
11548 KLA-Tencor 710-613984-000 Laser Power Supply PCB Card eS20XP E-Beam Used Working
11549 KLA-Tencor 710-611534-000 SIB Power Supply PCB Card eS20XP E-Beam Used Working
11550 KLA-Tencor 710-611536-000 Eltron Power Supply PCB Card e520XP E-Beam Used
11551 KLA-Tencor 710-613083-000 LENS Power Supply PCB Card eS20XP E-Beam Used Working
11552 KLA-Tencor 710-613985-000 Magnetic WIEN Power PCB 710-613085-000 eS20XP Used
11553 KLA-Tencor 710-611540-000 Subrack #2 Main Power PCB Card eS20XP Used Working
11554 KLA-Tencor 710-611538-000 Subrack #1 Main Power PCB Card e520XP Used Working
11555 KLA-Tencor 710-611529-000 Subrack #3 Main Power PCB Card eS20XP Used Working
11556 Brooks Automation 002-0921-11 Work Chuck Robot KLA-Tencor e520XP Used Working
11557 Edwards C4164400 Vacuum Isolation Valve SIPV40PK Used Working
11558 VAT 07512-VA24-AAZ1 Pneumatic Slit Valve KLA-Tencor eS20XP E-Beam Used Working
11559 VAT 02012-BA24-AJG1 Pneumatic Slit Valve KLA-Tencor e520XP E-Beam Used Working
11560 KLA-Tencor 710-613084 Thick Board Assembly El Guapo Board eS20XP E-Beam Used
11561 Nor-Cal 990322-2 Pneumatic Isolation Valve KLA-Tencor eS20XP E-Beam Used Working
11562 Nor-Cal ESVP-1002-NWB-M Pneumatic Isolation Valve Used Working
11563 KLA-Tencor 740-608385-002 Upper WIEN Filter Box eS20XP E-Beam Used Working
11564 KLA-Tencor 740-614358-000 Video DC Converter Unit eS20XP E-Beam Used Working
11565 KLA-Tencor 710-608386-001 Lower WIEN Filter Box eS20XP E-Beam Used Working
11566 KLA-Tencor 740-615460-004 High Voltage Power Supply e520XP E-Beam Used Working
11567 KLA-Tencor 740-617415-001 High Voltage Relay Box II eS20XP E-Beam Used Working
11568 Shindengen Electric GY124RZGN Power Supply KLA-Tencor Es20XP E-Beam Used Working
11569 KLA-Tencor 740-613212-000 Video Front End 2 eS20XP E-Beam Used Working
11570 Granville-Phillips 275546-EU-1 275 Mini-Connectron Vacuum Gague Used Working
11571 KLA-Tencor 740-614351-001 Meter Aperature Current eS20XP E-Beam Used Working
11572 KLA-Tencor System Circuit Breaker Assembly eS20XP E-Beam Used Working
11573 KLA-Tencor Circuit Breaker/Power Supply Assembly eS20XP E-Beam Used Working
11574 KLA Instruments 710-613108-001 Stage Interface Board PCB Card e520XP Used
11575 KLA-Tencor 740-615774-003 Ramp Deflection PCB Assembly eS20XP E-Beam Used
11576 KLA-Tencor 710-609954-006 Ramp Junction PCB Assembly eS20XP E-Beam Used Working
11577 KLA-Tencor 710-615775-000 Ramp Generator Mother Board eS20XP E-Beam Used Working
11578 KLA-Tencor 710-606238-00 Ramp Generator Daughter Board Negative eS20XP Used
11579 KLA-Tencor 710-606238-005 Ramp Generator Daughter Board Negative eS20XP Used
11580 KLA-Tencor 710-606237-004 Ramp Generator Daughter Board Positive eS20XP Used
11581 KLA-Tencor 710-615505-000 Laser Optics Assembly eS20XP E-Beam Used Working
11582 KLA-Tencor 710-611725-002 Utility Connector Board eS20XP E-Beam Used Working
11583 KLA-Tencor 740-607293-02 Interferometer Receiver Y Assembly Used Working
11584 KLA-Tencor 740-607293-01 Interferometer Receiver X Assembly eS20XP Used Working
11585 Granville-Phillips 275116 275 Connectron Vacuum Gauge and Sensor Set 275203 Used
11586 KLA-Tencor 740-614614-000 Deflection Power 240 VAC Drawer #1 eS20XP Used Working
11587 Shimadzu 265-11088-15V1 Turbo Molecular Pump 262-78491-15V2 Cable Set ISM Used
11588 Hitachi 201A1 RF Cable 22 Meter 72 Foot M-511E System Used Working
11589 Hitachi 201A1 RF Cable 22 Meter 72 Foot M-511E System Used Working
11590 Hitachi 201A2 RF Cable 22 Meter 72 Foot M-511E System Used Working
11591 Hitachi 201A2 RF Cable 22 Meter 72 Foot M-511E System Used Working
11592 Hitachi 201M1 Vpp RF Cable 22 Meter 72 Foot M-511E System Used Working
11593 Hitachi 201M2 Vpp RF Cable 22 Meter 72 Foot M-511E System Used Working
11594 MRC Materials Research A116810 Relay I/O Assembly Eclipse Star Used Working
11595 MKS Instruments 2259C-11161 Mass Flow Controller Assembly SS-BN496-2C Used
11596 MKS Instruments 2259C-11161 Mass Flow Controller Assembly SS-BN496-2C Used
11597 MKS Instruments 1259C-00200RVA Mass Flow Controller Assembly Used Working
11598 MKS Instruments 1259C-00200RVA Mass Flow Controller Assembly Used Working
11599 AMAT Applied Materials 0010-70321 Slit Valve Actuator Assembly Used
11600 Acopian 51515T6A Triple Output Power Supply Used Working
11601 MKS Instruments 1259C-00200RVA-SP107-88 Mass Flow Controller Assembly Used
11602 MKS Instruments 1259C-00200RVA-SP107-88 Mass Flow Controller Assembly Used
11603 MKS Instruments MRC Pneumatic Angle Valve Lot of 7 Eclipse star Used Working
11604 TMC Stacis 2000 Active Piezoelectric Vibrations Control System Used Working
11605 MRC Materials Research A117082 Power Supply Panel Rev. D Eclipse Star Used
11606 CTI-Cryogenics 8107814G003 Cryopump CRYO-TORR 8F MRC Eclipse Used Working
11607 CTI-Cryogenics 8107814G003 Cryopump CRYO-TORR 8F MRC Eclipse Used Working
11608 CTI-Cryogenics 8043074G036 Cryopump Pressurized Hose Supply and Return Set Used
11609 Diavac Limited LCAV-25HK Pneumatic Angle Valve MRC Eclipse Star Used Working
11610 Divac Limited LCAV-25HKT Pneumatic Angle Valve MRC Eclipse Star Used Working
11611 Divac Limited LCAV-25H Pneumatic Angle Valve MRC Eclipse Star Used Working
11612 Netmercury Ion Guage Set of 3 MRC Materials Research Eclipse Star Used Working
11613 MRC Materials Research Corp 883-88-000 PCB Module Eclipse Star Used Working
11614 Federal Pioneer MGFR-1-ZB Ground Fault Relay PRO-DEC-TOR mgfr Used Working
11615 Siemens Sentron Series ED2 Circuit Breaker Reseller Lot of 4 50A 60A Used
11616 Edwards PN14702 Exhaust Check Valve System iQDP C10517294 Used Working
11617 Edwards C5167 High Vacuum Adaptor Tee ISO63 ISO-K to NW50 NW25 Used Working
11618 Edwards NRY1ND523 High Vacuum Adapter Tee ISO80 ISO-K to ISO-100 ISO-K NW25 Used
11619 Fuji Electtric EL Earth Leakage Relay Lot of 2 Sets EL60PO EL25PO Used Working
11620 Hitachi M-05A2LS-400K Vpp RF Matching Box M-511E Plasma Etcher Used Working
11621 SMC CDQ2B140C-J0406-XC11 Pneumatic Cylindar Wafer Chuck Hitachi M-511E Used
11622 SMC CDQ2B140C-J0406-XC11 Pneumatic Cylindar LED511 Wafer Chuck Hitachi Used
11623 Hitachi BBS210-2 12-Port PCB M-511E Lot of 2 Used Working
11624 Hitachi BBS511-1 15-Port PCB M-511E Used Working
11625 Hitachi HT94223B Relay PCB Board BD3 M-511E Plasma Etcher Used Working
11626 Hitachi M-511E Systems PCB Power Supply Module Plasma Etching System Used Working
11627 Hitachi RYX-2 Time Delay Relay PCB Board M-511E Plasma Etcher Used Working
11628 Nemic Lambda EWS50-15 Power Supply Lot of 2 Used Working
11629 Regal Joint FS-3OS Flow Sensor FS-S 2-Port Manifold Hitachi M-511E Used Working
11630 RKC Instrument REX-F7 High Limit Controller 0-400°C Used Working
11631 Hitachi CHT120-1 Pin Out PCB Panel M-511E Plasma Etcher Used Working
11632 Hitachi EPD Monochromater Panel Assembly H-1061 UV-V M-511E Etcher Used Working
11633 Hitachi DC Power Supply Unit Microwave Plasma Etching System Used Working
11634 Hitachi M-511E User Interface Panel Touch Screen TE6036A7 Used Working
11635 STEC SEC-4400M Mass Flow Controller 30 CCM HBr Used Working
11636 STEC SEC-4400M Mass Flow Controller 100 CCM Cl2 Used Working Used
11637 STEC SEC-4400M Mass Flow Controller 200 CCM HBr Used Working
11638 STEC SEC-4400M Mass Flow Controller 10 CCM He Used Working
11639 Diavac Limited LCAV-25HKPP Pneumatic Angle Valve MRC Eclipse Star Used Working
11640 Oriental Motor EM596-NAE-A3 5-Phase Stepping Motor Vexta Hitachi M-511E Used
11641 THK KR55 Linear Rail LM Guide Actuator Hitachi M-511E Plasma Etcher Used Working
11642 Hitachi RS06-2 PCB Card M-511E Microwave Plasma Etching System Used Working
11643 Hitachi IL36-I PCB Card M-511E Microwave Plasma Etching Used Working
11644 Hitachi PME02-1 PCB Card M-511E Microwave Plasma Etching Used Working
11645 Hitachi DIS1-2 PCB Card M-511E Microwave Plasma Etching Used Working
11646 Hitachi AI16-2 PCB Card M-511E Microwave Plasma Etching System Used Working
11647 Hitachi MM512-1 PCB Card M-511E Microwave Plasma Etching System Used Working
11648 Hitachi EPDI-I PCB Card M-511E Microwave Plasma Etching System Used Working
11649 Hitachi SBC09-2 PCB Card M-511E Microwave Plasma Etching Used Working
11650 Hitachi LAN1-4 PCB Card M-511E Microwave Plasma Etching Used Working
11651 Hitachi M-511E Wafer Alignment Unit Vacuum Chuck Assembly Used Working
11652 Hitachi M-511E Wafer Cassette Ergo Loader Assembly Used Working
11653 Daihen SMA-20B Microwave Waveguide Magnetron Assembly Hitachi M-511E Etcher Used
11654 Hitachi AO08-2 PCB Card M-511E Microwave Plasma Etching Used Working
11655 Hitachi M-511E Power Supply Assembly P150E-5-N P15E-5-N Used Working
11656 Hitachi D024-2 PCB Card M-511E Microwave Plasma Etching System Used Working
11657 MDX-10K AE Advanced Energy 2194-008-X DC Power Supply Slave Used Tested Working
11658 MDX-10K AE Advanced Energy 2194-008-R DC Power Supply SLAVE Used Tested Working
11659 ACG-10B ENI ACG-10B-02 RF Generator 1000W MRC Eclipse Star Used Tested Working
11660 ACG-10B ENI ACG-10B-02 RF Generator 1000W MRC Eclipse Star Used Tested Working
11661 MRC Materials Research A114265 Quad 1000 RF Deck Eclipse Star Used Working
11662 Nihon Koshuha AMC-39D-4502A-00 450MHz RF Automatching Tuner Used Working
11663 Hitachi HV On Timer Panel Unit M-511E Microwave Plasma Etching System Used
11664 AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
11665 Sanso Electric PV2-4/4-BTBDK2 Wet Pit Type Centrifugal Pump Used Working
11666 Square D FAL32100 Circuit Breaker 100 AMP Series 2 Used Working
11667 Electroglas RMHM4 Controller Module 253643-001 4085X Horizon Used Working
11668 Electroglas 253686-001 Keypad Operator Console PCB 4085X Horizon Used Working
11669 Advanced Input Devices 9370-00769-101 Keyboard Electroglas 028696-001 4085X Used
11670 Intel 752-520-0016 IMS 368 Channel Mother Board Electroglas 4085X Horizon Used
11671 Electroglas 250262-001 Theta Z Joystick PCB Card 4085X Horizon Used Working
11672 Electroglas 102944-010 Motion Control PCB Card 200mm 4085X Horizon Used Working
11673 Electroglas 247265-001 Handler Communications PCB Card 200mm 4085X Horizon Used
11674 Electroglas 244288-001 Tester Interface PCB Card 200mm 4085X Horizon Used
11675 Electroglas 246067-001 4 Port Serial I/O Assembly II PCB Card 4085X Horizon Used
11676 Electroglas 251411-002 CPU 020 PCB Card 200mm 4085X Horizon Used Working
11677 Electroglas 250012-002 TC Controller III PCB Card 200mm 4085X Horizon Used
11678 Electroglas 244736-001 Theta Z Inker Drivers PCB Card 200mm 4085X Horizon Used
11679 Electroglas 246713-001 PL TEMP Logic Mux PCB Card 200mm 4085X Horizon Used
11680 Electroglas 114824-002 28V Selenoid Drivers PCB Card 200mm 4085X Horizon Used
11681 Electroglas 246368-001 Tester I/F Sig Cond PCB Card 200mm 4085X Horizon Used
11682 Electroglas 250018-001 Pneumatic Interconnect PCB Board 4085X Horizon Used
11683 Electroglas 248057-001 Wafer Transfer Assembly 4085x Horizon PSM 200mm Working
11684 Electroglas 248057-001 Wafer Transfer Assembly 4085x Horizon PSM 200mm Working
11685 Electroglas Pneumatics Module Assembly 200mm 4085X Horizon Used Working
11686 Electroglas Wafer End Effector Lift 247012-001 200mm 4085X Horizon Used Working
11687 Electroglas 253822-001 Edge Sensor Inker 244325-001 200mm 4085X Horizon Used
11688 Electroglas Optical Wafer Inspection Assembly 200mm 4085X Horizon Used Working
11689 Electroglas Optical Wafer Stage Assembly 4085X Horizon 200mm Used Working
11690 Electroglas 255423-007 Remote Microscope Control Module 4085X Horizon Used
11691 Electroglas PSM-C Power Supply Module with PDS 4085X Horizon 200mm Used Working
11692 Electroglas PSM-C Power Supply Module with PDS 4085X Horizon 200mm Used Working
11693 Electroglas PSM-C Power Supply Module with PDS 4085X Horizon 200mm Used Working
11694 MRC Materials Research Variable Capacitor HEC HT57 Ceramic Eclipse Star Used
11695 Edwards D37207000 Electrics Module iQ Series Vacuum Pump Not Working As-Is
11696 Nikon 200mm Wafer Handler Assembly OPTISTATION 3 Inspection System Used Working
11697 Nikon 200mm Wafer Handler Assembly OPTISTATION 3 Inspection System Used Working
11698 Nikon 200mm Wafer Handler Assembly OPTISTATION 3 Inspection System Used Working
11699 Nikon 200mm Wafer Prealigner Assembly 2S013-076 Optistation 3 Used Working
11700 Hitachi EC Auto Tuner Assembly Daihen CMC-10 M-511E Etching System Used Working
11701 Hitachi Etcher Recorder Printer Assembly M-511E Etching System Used Working
11702 ABB Control EH160C-N 3-Phase Contactor 24V Coil Used Working
11703 Advantec Toyo Kaisha LHB-20HDW Duct Chiller Hitachi M-511E Used Tested Working
11704 High Vacuum QF100 Chain Clamp Turbomolecular Pump Lof of 5 Used Working
11705 Magnetek 638-171 Ignition T^ransformer Assembly Alcatel/MeiVac 2460 Used Working
11706 MKS Instruments 2259C-00200RV Mass Flow Controller Assembly Used Working
11707 MeiVac VQ250ISOHSM VARI-Q Throttle Valve 150-3 Aclatel 2460 Used Working
11708 VAT 14048-PE44-AAU1 High Vacuum Gate Valve MeiVac 2460 Used Working
11709 MKS Instruments NW25 Vacuum Bellows Flex Hose 1.5″ HPS Reseller Lot of 4 Used
11710 Banner Q45BB6F Fiber Optic Photoelectric Sensor Set of 2 MeiVac 2460 Used
11711 MKS Instruments 100314603 NW25 Vacuum Tee Reseller Lot of 5 Used Working
11712 MKS Instruments 100314403 NW25 Vacuum Elbow Reseller Lot of 5 Used Working
11713 Mass Multimedia LCDSA151-RM 15.1″ LCD Monitor Alcatel MeiVac 2460 Used Working
11714 MeiVac 2460 Chamber Lid Control Module Vacuum Sputter System Used Working
11715 Power-One HD24-4.8-A Power Supply Lot of 2 Used Working
11716 MKS Instruments 270C-4 Signal Conditioner Type 270 MeiVac 2460 Used Working
11717 MKS Instruments 252C-1-VPO-S Throttle Valve Controller MeiVac 2460 Used Working
11718 MKS Instruments 252C-1-VPO Exhaust Valve Controller Type 252C Used Working
11719 MKS Instruments 252C-1-VPO Exhaust Valve Controller Type 252C Used Working
11720 AE Advanced Energy 3150302-000 RF Controller MeiVac 2460 Used Working
11721 Granville-Phillips 307005/06 Vacuum Gauge Controller 307001 MeiVac 2460 Used
11722 Patlite WM-T Signal Tower FB013 Reseller Lot of 2 MeiVac 2460 Used Working
11723 Granville-Phillips 275806 275 Mini-Convectron Vacuum Gauge Used Working
11724 Granville-Phillips 275806 275 Mini-Convectron Vacuum Gauge Used Working
11725 Nor-Cal Products 911223-1 Manual Angle Isolation Valve Used Working
11726 Nor-Cal Products 911223-1 Manual Angle Isolation Valve Used Working
11727 Matrox VIP-1024A Frame Grabber PCB Card KLA-Tencor 750-400169-00 5107 Used
11728 KLA-Tencor 073-404423-00 PCB Card 5107 Overlay Used Working
11729 Micro Memory MM6326/8 PCB Card KLA-Tencor 712-404561-00 5107 Overlay Used
11730 Heurikon HK68/V2FA PCB Card KLA-Tencor 712-45673-00 5107 Overlay Used Working
11731 KLA-Tencor 073-404555-00 Driver Board 710-404556-00 5107 Overlay Used Working
11732 KLA-Tencor 073-401537-00 Encoder Interface PCB Card 710-451730-00 Used
11733 KLA-Tencor 073-404227-00 Limit Breakout Board 710-404228-00 5107 Used Working
11734 KLA-Tencor 073-404145-00 P2T Extention PCB Board 710-404146-00 5101 Overlay Used
11735 Lambda LFS-47-48 Regulated Power Supply KLA-Tencor 5107 Used Working
11736 MRC Materials Research 884-99-000 Power Supply PCB Assembly Eclipse Star Used
11737 MRC Materials Research A117198 Power Module A119126 Rev.C Eclipse Star Used
11738 Keyence VT3-Q5S Touch Panel Interpface with VT3-ES Hirata HQPLP-2DHP Used Working
11739 Sanyo Denki RS1L01AE0H2 AC Servo Driver 00483915-01 Hiarta Used Working
11740 Sanyo Denki RS1L01AE0H2 AC Servo Driver SANMOTION R Hiarta Used Working
11741 Sanyo Denki RS1L03AE0H2 AC Servo Driver SANMOTION R Hiarta Used Working
11742 Keyence KV-5000 Realtime Logic Controller KV-C64TCP KV-DN20 Hirata Used
11743 Keyence KV-5000 Realtime Logic Controller KV-C64TCP KV-DN20 Hirata Used
11744 Agilent PTS03101UNIV TriScroll Dry Vacuum Pump Genus Lynx2 Used Tested Working
11745 Omron S8M-CP04-RS Digital Multi Circuit Protector Hirata Used Working
11746 Hirata HPC-907B CPU Controller Assembly HPC-914 HQPLP-2DHP Used Working
11747 Sanyo Denki USD200P10 2 Phase Unipolar Servo Hirata Used Working
11748 Sanyo Denki 103H7123-0440 Stepping Motor Stepping Used Working
11749 Sanyo Denki 103H7123-0440 Stepping Motor Stepping Used Working
11750 OneAC 009-166 Power Supply FT1115 MRC Eclipse Star Used Working
11751 OneAC 009-166 Power Supply FT1115 MRC Eclipse Star Used Working
11752 OneAC 009-166 Power Supply FT1115 MRC Eclipse Star Used Working
11753 Sanyo Denki R2AA08075FBP77M AC Servo Motor Hirata HQPLP-2DHP Used Working
11754 Digital VS46K-EA System Computer VAXstation 4000 60 KLA-Tencor 5107 Overlay Used
11755 KLA-Tencor 716-402608-00 Prealinger Assembly 5107 Overlay Inspection System Used
11756 Intel PBA 115970-009 Multibus PCB Card MRC Eclipse Star Used Working
11757 MRC Materials Research 883-90-000 PCB Card Eclipse Star Used Working
11758 View Engineering 633594-002 SCSI Controller PCB Card MRC Eclipse Compeq Spare
11759 MKS Instruments 122B-00100EB-S Baratron AMAT 1250-01027 Used Tested Working
11760 KLA-Tencor 073-404207-00 Wafer Transport Assembly Rev. BA 5107 Overlay Used
11761 MKS Instruments 127AA-00001A-Sp003-91 Baratron Pressure Transducer Used Tested Working
11762  MKS Instruments 122AA-00100BB Baratron Pressure Transducer Used Tested Working
11763 Key GV-6AP-4010780 Gate Valve Throttle Valve Assembly MRC Eclipse A112990 Used
11764 Edwards W65531611 Barocel Pressure Sensor Transducer Used Tested Working
11765 MRC Materials Research Plenum Chuck Assembly S-A00290 Eclipse Star Used Working
11766 MRC Materials Research Plenum Chuck Assembly S-A00290 Eclipse Star Used Working
11767 Hitachi Microwave Auto Tuner Box Daihen CMC-10 M-712E Trench Etcher Used
11768 Hitachi HT94217 SBC Single Board Computer PCB Card CPU0 Ver. G Used Working
11769 Hitachi HT94217 SBC Single Board Computer PCB Card CPU0 Ver. G Used Working
11770 Hitachi HT94218A Processor PCB Card PM1 Ver. I Used Working
11771 Hitachi HT94218A Processor PCB Card PM1 Ver. I Used Working
11772 Hitachi HT94219A Processor PCB Card PI01 Ver. H Used Working
11773 Hitachi HT96611A ASN1 PCB Card Ver. G M-712E Shallow Trench Etcher Used Working
11774 Hitachi HT98309 Backplane MB5 PCB Board M-712E Trench Etcher Used Working
11775 Hitachi HT98309 Backplane MB5 PCB Board M-712E Trench Etcher Used Working
11776 Hitachi HT98822 BD14 PCB Connector Board M-712E Shallow Trench Etcher Used
11777 Hitatchi HT98312 BD12 PCB Connector Board M-712E SHallow Trench Etcher Used
11778 Hitatchi HT98313 BD13 PCB Relay Connector Board M-712E SHallow Trench Etcher Used
11779 Hitachi Wafer Alignment Unit HT98311 A-7125 Shallow Trench Etcher Used Working
11780 Hitachi CR-712V Clean Robot M-712E Shallow Trench Etcher Used Working
11781 Hitachi Wafer Transfer Robot M-712E Shallow Trench Etcher Used Working
11782 Shin-Etsu MW300G-A 300mm Purity Shuttle II Wafer Carrier Lot of 3 Used Working
11783 Hitachi BBMF-01 Backplane PCB Board M-712E Shallow Trench Etcher Used Working
11784 Hitachi BBB14-01 Backplane PCB Board M-712E Shallow Trench Etcher Used Working
11785 Eaton 453282 300mm PDB Interface Board PCB Rev. E Used Working
11786 Edwards A52844463 im Vacuum Pump Interface Module Used Working
11787 MKS Instruments 1479A-22931 Mass Flow Controller 10000 SCCM 95%He/5%H2 Used
11788 MKS Instruments 1479A53CR16M Mass Flow Controller 5000 SCCM N2 Used Working
11789 interlinkBT JBBS-57-E601 DviceNet Bus Stop Lot of 2 Used Working
11790 Hubbell 5125R6W Pin & Sleeve Receptacle 125A Axcelis Fusion ES3 Used Working
11791 Edwards D37370596 iQDP Extension Cable 4 Pin XLR 15M Lot of 2 Used Working
11792 CTI-Cryogenics 8043086 Cryopump Pressurized Hose Supply and Return set Used
11793 Cutler-Hammer CE15LN3 3 Pole Contractor C320KGS31 C320KGS22 Used Working
11794 Cutler-Hammer CE15LN3 3 Pole Contractor C320KGS32 C320KGS31 C320KGS22 Used
11795 Leybold 844265 COOLVAC Remote Cable AMAT Applied Materials 0190-03802 New
11796 Seiko Seiki SCU-STC-A Turbomolecular Pump STP Thermo Controller Used Working
11797 Pearl Kogyo ZDK-916 Tuner Controller RP-150-2MB Used Working
11798 Seiko Seiki DC Power Source Unit EAK-24-0R7 Used Working
11799 Pearl Kogyo RP-150-ZMB RF Power Generator RP-150 Used Working
11800 Pearl Kogyo F-2000-0.1 RF Filter Module Used Working
11801 APTech AP10065m 2PW MV4 MV4 Manual Diaphragm Valve Lot of 4 Used Working
11802 Patlite SEFBW-D Modular Signal Tower 01820M Reseller Lot of 2 Used Working
11803 Patlite SEFW-D Modular Signal Tower 01820M Reseller Lot of 3 Used Working
11804 Siemens ED43B080 Circuit Breaker Sentron Series ED4 Used Working
11805  A-B Allen-Bradley 100-C85KJ10 Contactor 100-C85*00 100-S Used Working
11806 Axcelis 554931 Zero Crossing Board PCB Fusion ES3 CES3590 Used Working
11807 Axcelis 560821 Lamp Control CPU Module Fusion ES3 CFS3590 Used Working
11808 Axcelis 075342 RF Detector Module RF-2 Used Working
11809 Axcelis 552771 Plasma GEN INT Board PCB Fusion ES3 CES3590 Used Working
11810 Jennings CVCE-250-6N833 Variable RF Capacitor Assembly 919-0278-010 Used Working
11811 HX+75 A Thermo Electron 386105021704 Recirculating Chiller Used Tested Working
11812 Verity 1000805 Monochromator Detector EP200Mmd Axcelis 485211 Fusion ES3 Used
11813 Axcelis 572881 Module Control Computer 300mm Fussion Es3 CES3590 Used Working
11814 Axcelis 572871 Module Power Distribution 300mm Fussion Es3 CES3590 Used Working
11815 Axcelis 560821C Lamp Control CPU Module Fusion ES3 CES3590 Used Working
11816 KLA Instruments 710-607901-02 M.S.I2 PCB Card 073-607901-01 Used Working
11817 KLA Instruments 710-609086-002 STAQ2 PCB Card 073-609086-00 Used Working
11818 KLA-Tencor 710-612545-004 Image Data Storage System PCB Card Used Working
11819 KLA Instruments 710-603180-003 Digital Deflection Generator PCB Card Used
11820 KLA Instruments 710-611867-000 Mustang Random Defect Detector PCB Card Used
11821 KLA Instruments 710-604769-002 KLA Cornerturn 3 PCB Card 073-655650-00 Used
11822 KLA Instruments 710-609995-003 Gray Level Correction PCB Card 073-609995-000 Used
11823 KLA Instruments 710-614256-001 Defect Buffer III PCB Card 073-604839-00 Used
11824 KLA Instruments 710-608019-00 VME Column Interface VCI PCB Card Used Working
11825 KLA Instruments 710-610801-001 Video F/O Receiver PCB Card Used Working
11826 KLA-Tencor 710-611487-001 Image Computer DC PCB Card 073-611487-000 Used Working
11827 KLA Instruments 710-610391-000 KLA XYI PCB Card 073-658909-00 Used Working
11828 Sun Microsystems 380-0339-01 Control Computer PC KLA 740-616732-001 eS2OXP Used
11829 KLA Instruments 710-651090-20 PCB Board 073-651089-00 KLA-Tencor 2132 Used
11830 KLA Instruments 710-658340-20 Robot Z PCB Board 073-650936-00 2132 Used Working
11831 KLA Instruments 710-657231-20 NSC2 PCB Board 073-657230-00 2132 Used Working
11832 KLA Instruments 710-658161-001 Image Sensor PCB 073-655201-00 2132 Used Working
11833 KLA Instruments 710-657068-20 AF Pulse Sensor Preamp PCB 073-657067-00 2132 Used
11834 KLA Instruments 710-650094-20 KLA VAC PCB Card 073-650093-00 2132 Used Working
11835 KLA Instruments 710-678525-001 KLA DF PCB Card n073-658362-001 2132 Used Working
11836 KLA Instruments 710-650044-20 KLA DD PCB Card 073-650043-00 2132 Used Working
11837 KLA Instruments 710-652840-20 KLA RIF PCB Card 073-652839-00 2132 Used Working
11838 KLA Instruments 710-659465-20 8IB PCB Card 073-653015-00 2132 Used Working
11839 KLA Instruments 710-650099-20 KLA DP PCB Card 073-650098-00 2132 Used Working
11840 Jeta Power Systems A501-1ADE power Supply KLA Instruments 2132 Used Working
11841 Jeta Power Systems A501-1ADE power Supply KLA Instruments 2132 Used Working
11842 KLA Instruments 006-657857-01 Joy Stick and Drive Assembly 2132 used Working
11843 KLA Instruments 760-661136-00 Insulated Verical Illuminator 2132 Used Working
11844 KLA Instruments 710-65887-00 Light Level Sensor Optic Assembly used Working
11845 KLA Instruments 710-657068-20 AF Pulse Sensor Preamp Optic Assembly 2132 Used
11846 KLA Instruments 655-651974-00 Laser Optics Lens Assembly 2132 Used Working
11847 KLA Instruments 655-657480-00 Laser Optics Lens Assembly 2132 Used Working
11848 KLA Instruments 655-731184-00 Laser Optics Lens Assembly 2132 Used Working
11849 KLA Instruments 655-731191-00 Laser Optics Lens Assembly 2132 Used Working
11850 KLA Instruments 655-730728-00 Laser Optics Mirror Assembly 2132 Used Working
11851 KLA Instruments 655-652019-00 Laser Optics Mirror Assembly 2132 Used Working
11852 KLA Instruments 655-657262-00 Laser Optics Mirror Assembly 2132 Used Working
11853 KLA Instruments 655-660188-00 Laser Optics Lens Assembly 2132 Used Working
11854 KLA Instruments 655-652857-00 Laser Optics Lens Assembly 2132 Used Working
11855 KLA Instruments 655-651932-00 Laser Optics Lens Assembly 2132 Used Working
11856 KLA Instruments 655-657520-00 Laser Optics Alignment Assembly 2132 Used Working
11857 KLA Instruments 655-659978-00 Laser Optics Mirror Assembly 2132 Used Working
11858 KLA Instruments 655-650325-00 Laser Optics Lens Assembly 2132 Used Working
11859 KLA 655-662143-00 Laser Optics Lens and Mirror Assemblies Lot of 8 Used
11860 KLA Instruments 710-609086-002 STAQ2 PCB Card 073-609086-00 Used Working
11861 KLA Instruments 710-658232-20 K.L.A. Memory Controller Phase 3 PCB 2132 Used
11862 KLA Instruments 710-659412-00 Mass Memory PCB Card 700-659412-00 2132 Used
11863 KLA Instruments 710-655651-20 KLA Cornerturn 3 PCB Card 073-655650-00 2132 Used
11864 KLA Instruments 710-655651-20 KLA Cornerturn 3 PCB Card 073-655650-00 2132 Used
11865 KLA Instruments 710-658172-20 Y-Interpolator C,PH3 PCB Card 2132 Used Working
11866 KLA Instruments 710-658172-20 Y-Interpolator C,PH3 PCB Card 2132 Used Working
11867 KLA Instruments 710-658177-20 X-Interpolator Phase 3 PCB Card Rev. F1 2132 Used
11868 KLA Instruments 710-659411-001 PCB Card 700-659411-001 2132 Used Working
11869 KLA Instruments 710-658041-20 Alignment Processor Phase 3 PCB Card 2132 Used
11870 KLA Instruments 710-658036-20 Alignment Processor (AP1) Phase 3 PCB 2132 Used
11871 KLA Instruments 730-658844-00 AC Power Box 2132 Wafer Defect System Used Working
11872 KLA Instruments 730-658844-00 AC Power Box 2132 Wafer Defect System Used Working
11873 KLA Instruments 740-653222-00 Y Tachometer Motor 3629-3X-REF 2132 Used Working
11874 KLA Instruments 740-653222-00 Y Tachometer Motor 3629-3X-REF 2132 Used Working
11875 KLA Instruments 740-651222-00 ND Filter Optics Assembly 2132 Used Working
11876 KLA Instruments 740-651222-00 ND Filter Optics Assembly 2132 Used Working
11877 KLA Instruments 750-660136-00 Autofocus Collimating Lens Assembly 2132 Used
11878 KLA Instruments 750-660136-00 Autofocus Collimating Lens Assembly 2132 Used
11879 KLA Instruments 750-660136-00 Autofocus Collimating Lens Assembly 2132 Used
11880 KLA Instruments 655-653668-00 Microscope Objective Turrent Assembly 2132 Used
11881 Opal 70313570100 DE0 Supervisor Board PCB AMAT Applied Materials VeraSEM Used
11882 Ludl Electronic Products 21016300019 AFC Motor Drive PCB Card AMAT VeraSEM Used
11883 Opal 70513640100 DCA Board PCB Card AMAT Applied Materials VeraSEM Used Working
11884 Opal 70210407100 AFS CPU PCB Card AMAT Applied Materials VeraSEM Used Working
11885 Opal 70513580000 Illumation Board PCB Card AMAT Applied Materials VeraSEM Used
11886 Opal 70512360100 SDT Module AMAT Applied Materials AMAT VeraSEM Used Working
11887 Opal 70512352000 SFI Board PCB Card AMAT Applied Materials VeraSEM Used Working
11888 Opal 30613120000 BIC Board PCB Card AMAT Applied Materials VeraSEM Used Working
11889 Opal 70512355 SFW INT. Board AMAT Applied Materials VeraSEM Used Working
11890 Anorad B-800157 Axis Encoder PCB Card AMAt Applied Materials VeraSEM Used
11891 Opal PCB Control Card Assembly 30612510 SBC VMIVME-7588-787 AMAT VeraSEM Spare
11892 Opal 70512565000 MI52 Board ACB Card AMAT Applied Materials VeraSEM Used Working
11893 Opal 70512527100 CVC Board PCB Card AMAT Applied Materials VeraSEM Used Working
11894 Opal 30612530100 SRA3 Board PCB Card AMAT Applied Materials VeraSEM Used Working
11895 Opal 70512560000 CCS Board PCB Card AMAT Applied Materials VeraSEM Used Working
11896 VERO 243-411216 Backplane PCB VMExcel J1 AMAT Applied materials VeraSEM Used
11897 Opal 30612595 OBB1 Board Backplane PCB AMAT Apllied Materials VeraSEM Used
11898 Lambda LIS-9I-24 Power Supply Card MAT Applied Materials VeraSEM Used Working
11899 Lambda PA-3N-4-6SG/001 AC/DC Power Supply AMAT Applied Materials VerSEM Used
11900 Opal 30612480000 CDM Monitoring Unit Card AMAT Applied Materials VeraSEM Used
11901 Opal 30612460000 CAPU CAP PS Unit PCB Card AMAT Applied Materials VeraSEM Used
11902 Opal 30612470000 CPSU Column PS Unit PCB Card AMAT VeraSEM Used Working
11903 Opal 30612450000 SPGU Sine Power Generator Unit PCB Card AMAT VeraSEM Used
11904 Opal 30614200000 S2DIO Assembly 30614210 AMAT Applied Materials VeraSEM Used
11905 Opal 30619035100 Power Supply Module H40554 AMAT Applied Materials VeraSEM Used
11906 Anorad Power Assembly MAP55-4003 AMAT Applied Materials VeraSEM Used
11907 Opal 70417890100 SMC-Micro Board PCB Card AMAT Applied Materials VeraSEM Used
11908 Nemic-Lambda YM-98-774 power Supply Card RWS30A-5/A AMAT VeraSEM used Working
11909 CTI-Cryogenics 8116081G006 On-Board 8F Cryopump w/ACM Used Working
11910 Opal 30612640100 ASA Assembly AMAT Applied Materials VeraSEM Used Working
11911 VAT 15040-PA24-0002 Pneumatic Gate Valve Used Working
11912 Opal 30612630000 Delta_V Assembly AMAT Apllied Materials VeraSEM Used Working
11913 Opal 70312980300 H.V. Controller Board PCB AMAT Applied Materials VeraSEM Used
11914 Opal 70312970000 Analog Control Board PCB AMAT Applied Materials VeraSEM Used
11915 Opal 70312891000 L.V. Power Supply Board PCB AMAT Applied Materials VeraSEM Used
11916 Bertan PMT-20CN-1 High Voltage Power Supply AMAT 70312822000 VeraSEM Used
11917 Bertan PMT-50CP-1 High Voltage Power Supply AMAT 70312829000 VeraSEM Used
11918 Bertan PMT-50CP-1 High Voltage power Supply AMAT 70312823000 VeraSEM Used
11919 Panasonic MQMZ022A291 Servo Motor Assembly AMAT Applied Materials VeraSEM Used
11920 Panasonic MQMZ022A291 Servo Motor Drive Assembly AMAT VeraSEM Used Working
11921 KLA Instruments 710-657600-20 Autofocus Control PCB Card 2132 used Working
11922 KLA Instruments 710-650879-20 Dual Stepper Driver PCB Robot-2 Cassette 2132 Used
11923 KLA 710-650879-20 Dual Stepper Driver PCB Shoulder Effector 2132 used Working
11924 KLA 710-650879-20 Dual Stepper Driver PCB Card Turret N/Z/F 2132 Used Working
11925 KLA Instruments 710-650879-20 Dual Stepper Driver PCB Card Theta Spare 2132 Used
11926 KLA Instruments 710-662033-00 Wafer Inspector Backplane PCB Card 2132 Used
11927 Bay Pneumatic BES4326 8 Port Manifold KLA Instruments 740-654246-00 2132 Used
11928 ELPAC Power Systems BFS 500-24 Transformer Capacitor Assembly KLA 2132 Used
11929 ELPAC Power Systems BFS 500-48 Transformer Capacitor Assembly KLA 2132 Used
11930 Power-One F5-25/OVP-A Power Supply +5V PLLAD P.S. KLA Instruments 2132 Used
11931 Power-One F5-25/OVP-A Power Supply +5V PLLAD P.S. KLA Instruments 2132 Used
11932 Power-One HDD15-5-A Power Supply ±15V P.S KLA Instruments 2132 Used
11933 Power-One HDD15-5-A Power Supply ±15V P.S KLA Instruments 2132 Used
11934 Opal 70412310310 Internal Power Distribution Unit PDU Box AMAT VeraSEM Used
11935 Pneutal SCP-160-A-1 Pneumatic Air Tank Used Working
11936 Copley Controls 800-494 Servo Drive Used Working
11937 CMC Cleveland Motion Controls BMR2005TCG00CEA002 PM Servo Motor Used Working
11938 VAT 24324-KA41-0001 Pneumatic Angle Valve AMAT VeraSEM Used Working
11939 MKS Instruments 100991588 Pneumatic Angle Valve Used Working
11940 Phoenix Mecano VIF/4-24-PO-115-OPAL Power Supply Module Used Working
11941 Oram 17000331 Power Supply Module PS5 AMAT Applied Materials VeraSEM Used
11942 Oram 17000330 Power Supply Module +5V PS3 AMAT Applied Materials VeraSEM Used
11943 Oram 17000330 Power Supply Module +8V AMAT Applied Materials VeraSEM Used
11944 Oram 17000330 Power Supply Module +15V -15V AMAT Applied Materials VeraSEM Used
11945 Oram 17000330 Power Supply Module +24V -24V AMAT Applied Materials VeraSEM Used
11946 AMAT Applied Materials Pick and Place Robot ITU Assembly VeraSEM Used Working
11947 Sony UP-890CE Video Graphic Printer B&W Analog Used Working
11948 KLA-Tencor 720-14679-000 XP Objective Lens 0110155-000 Untested As-Is
11949 TEL Tokyo Electron 200mm Wafer Transport Stepper Interface ACT12 Used Working
11950 Astec 080-25862-1817 Power Supply Powertec 9R5-600-381-2-51817 Used Working
11951 Nemic-Lambda NNS15-5 Linear Power Supply Used Working
11952 Phasetronics P1050-X2-60 Power Control System Used Working
11953 Precise Sensors 3223-30-01-G-4SF-P1-Q2833 Ultra High Purity Transducer New
11954 Nemic-Lambda NNS15-15 Power Supply Used Working
11955 Lambda Elecronics LSS-37-12 Compact Power Supply Used Working
11956 Panasonic MSM152A2D AC Servo Motor Used Working
11957 Nemic-Lambda NNS30-5 Power Supply Used Working
11958 Nemic-Lambda NNS30-5 Power Supply Used Working
11959 Nemic-Lambda EWS300-5 Power Supply EWS300 Used Working
11960 Nemic-Lambda EWS25-12 DC Power Supply EWS25-5 EWS15-12 EWS15-5 Lot of 9 Used
11961 Nemic-Lambda EWS50-24 DC Power Supply EWS50-12 Lot of 4 Used Working
11962 TDK RKW24-6R5 Power Supply RKW24-6R5C Lot of 2 Used Working
11963 Densi-Lambda JWS150-3/A Power Supply Lot of 2 Used Working
11964 Power-One SPM5F2F2KCS146 Switching Power Supply 460-0022-000 Used Working
11965 HPS Vacuum Products NW25 Wing Nut Clamp KF25 Lot of 25 MKS Edwards Nor-Cal Used
11966 HPS Vacuum Products NW25 Wing Nut Clamp KF25 Lot of 25 MKS Edwards Nor-Cal Used
11967 HPS Vacuum Products NW16 Wing Nut Clamp KF16 Lot of 25 MKS Edwards Nor-Cal Used
11968 HPS Vacuum Products NW16 Wing Nut Clamp KF16 Lot of 25 MKS Edwards Nor-Cal Used
11969 Varian C-H1801001 Driver Amplifier 8-Pin PCB Assembly H1801001 C-H1802001 Used
11970 Varian C-F5216001 Beam Scan Controller PCB Assembly F5216001 C-F5217001 Used
11971 Varian D-H1777001 Scanner Pre-Amp PCB Assembly H1777001 D-H1778001 Used Working
11972 Edwards W655-21-611 Barocel Pressure Sensor Transducer 10 Torr Used Tested
11973 Varian D12004063 AC to DC Power Module PCB Assembly D12004065 Used Working
11974 Edwards C5469 High Vacuum Tube Adaptor ISO100 to ISO160 NW25 Used Working
11975 MKS Instruments High Vacuum Flex Bellows ISO100 4VCR Port Tee HPS Used Working
11976 Millipore SureView DR Display Module AMAT 0940-00021 Lot of 10 Used Working
11977 Granville-Phillips 275262 Convection Pirani Vacuum Gauge 275 Used Working
11978 Granville-Phillips 275262 Convection Pirani Vacuum Gauge 275 Used Working
11979 Edwards High Vacuum Adaptor Tube ISO63 ISO-K to NW50 50mm Used Working
11980 Edwards High Vacuum Adaptor Tube ISO63 ISO-K to NW50 46mm Used Working
11981 MKS Instruments Conical Reducer NW50 to NW40 Lot of 6 HPS Nor-Cal Used Working
11982 Mykrolis CBUG3L0P1 Optimizer DI-L Disposable Filter AMAT 4020-00008 New
11983 Edwards High Vacuum Conical Reducer Tee ISO40 ISO-F to ISO100 ISO-F NW25 Used
11984 MKS Instruments High Vacuum Tube Tee ISO100 ISO-K NW16 5.5″ HPS Used Working
11985 MKS Instruments Conical Reducer Tee ISO63 to ISO80 ISO-K 4VCR and NW25 Used
11986 Swagelok NXT-DRP81ANNENE-C High Purity DRP Radial Diphragm Valve New
11987 Turck JBBS-57-E811 8-Port DeviceNet Hub InterlinkBT Reseller Lot of 4 New
11988 Swagelok PFA4-8-FTF-61-3 PFA Bulkhead Union with PFA Lot of 10 New
11989 Carten HF UNIPLEX Ultra-High Purity High Flow Bellows Manual Valve New
11990 Mykrolis CBUG3L0P1 Optimizer DI-L Disposable Filter AMAT 4020-00008 Lot of 2 New
11991 Edwards A52855000 Shaft Seal Purge Panel QDP Series Vacuum Pump Used Working
11992 VAT 217300 Series 10 Pneumatic Gate Valve Actuator Used Working
11993 Nor-Cal 99B1554-C High Vacuum Tube Tee Stainless Steel NW50 Used Working
11994 Qualiflow 2×10-9atm.cm3/Sec 4-Way Pneumatic Valve Reseller Lot of 4 Used Working
11995 Qualiflow 2×10-9atm.cm3/Sec 4-Way Pneumatic Valve F HF Series Lot of 2 Used Work
11996 Qualiflow 2×10-9atm.cm3/Sec 3-Way Pneumatic Valve Reseller Lot of 3 Used Working
11997 Irvine Optical 015-0851-01 4-Slot Motherboard Bcakplane PCB Board Used Working
11998 Koganel F-DAVP125-3W Pneumatic Valve Assembly Lot of 2 Used Working
11999 Nadatech 1000-00804 End Effector Narrow Scanning w/PTFE Pad Used
12000 Fujitsu Denso KS14-7799-H924 PM4 Power Supply PCB MP-M Y KS350-3913-H634 Used
12001 Fujitsu Denso KS14-7799-H922 PM2 Power Supply PCB MP-M Y KS350-3913-H634 Used
12002 Fujitsu Denso KS14-7799-H921 PM1 Power Supply PCB MP-M Y KS350-3913-H634 Used
12003 Fujitsu Denso KS14-7794-H921 Power Supply PCB HV MP-M KS350-3104-H469 Used
12004 Fujitsu Denso KS14-7792-H921 Power Supply PCB 3P RECT KS350-3104-H467 Used
12005 Fujitsu Denso KS14-7793-H921 Power Supply PCB 1P RECT KS350-3104-H468 Used
12006 Fujitsu Denso KS14-7799-H923 PM3 Power Supply PCB MP-M Y KS350-3913-H634 Used
12007 Fujitsu Denso KS14-7796-H921 Relay Display PCB LED MP-M KS350-3204-H538 Used
12008 Fujitsu Denso KS14-7795-H921 CONT MP-M Y PCB Board KS350-3104-H470 Used Working
12009 Fujitsu Denso KS14-7798-H921 RT-A MB PCB Board KS350-3913-H633 Used Working
12010 Inficon 399-001 Vacuum Switch VSA100A TEL 3D86-000485-V4 Used Working
12011 MKS Instruments Conical Reducer Stainless Steel ISO100 to ISO80 ISO-K Used
12012 Leybold 15730 Thermovac Pirani Transmitter TTR211S Used Working
12013 MDC Vacuum Products High Vacuum Conical Adapter ASA 1 to NWS Used Working
12014 MKS Instruments Conical Reducer Tube Stainless Steel ISO100 to NW50 25.125″ Used
12015 Edwards High Vacuum Adaptor Tube Tee ISO160 to ISO100 Dual NW25 With Elbow Used
12016 Edwards High Vacuum 4-Way Cross DN40CF CF70 Rotatable to Fixed Flange HPS Used
12017 Edwards Conical Reducer Tee ISO80 to ISO63 ISO-K 4VCR and NW25 Copper Used
12018 Edwards High Vacuum Tube Tee NW50 to NW50 NW25 iQDP Series Used Working
12019 Edwards High Vacuum Tube Tee NW50 to NW50 NW25 iQDP Series Used Working
12020 Edwards High Vacuum Adapter Tube Tee ISO160 to ISO100 Dual NW25 90° Elbow Used
12021 Edwards High Vacuum Conical Reducer Tee ISO63 ISO-F to NW50 NW25 Used Working
12022 Edwards NRY1V9521 Adapter Tube Tee ISO63 ISO-K to NW50 NW25 Copper Exposed Used
12023 Edwards E21909516 iQDP Power Cable Head Lot of 4 iQDP40 iQDP80 Used Working
12024 Edwards U20001189 IGX Series Vacuum Pump Power Cable 7 Foot Used Working
12025 Edwards U20001189 IGX Series Vacuum Pump Panel Cable Lot of 2 Used
12026 Edwards U20001189 IGX Series Vacuum Pump Panel Cable Lot of 2 Used
12027 Edwards IGX Series Vacuum Pump Power Cable 11 Foot Used Working
12028 MKS High Vacuum Tube Tee Stainless ISO100 4VCR 8VCR NW25 Nupro SS-DLTW4 Used
12029 Edwards High Vacuum Flexible Bellows Stainless ISO100 MKS HPS Nor-Cal Used
12030 Edwards High Vacuum Tube Tee ISO100 ISO-K 4VCR Male 4VCR Female 90° Elbow Used
12031 Fuji High Vacuum Tube Tee QF100 Rotatable ISO100 ISO-F NUPRO SS-DLTW4 7.8″ Used
12032 Fuji Seiki High Vacuum Tube Tee QF100 Rotatable ISO100 ISO-F NUPRO SS-DLTW4 6.75
12033 MKS Instruments High Vacuum Adapter Tube Stainless ISO100 ISO-K to NW50 2″ Used
12034 Edwards C3095 High Vacuum Adapter Tee ISO63 to ISO100 ISO-F NW25 Copper Used
12035 Edwards High Vacuum Tube Tee ISO63 ISO-F to ISO63 ISO-F Grooved NW25 Used
12036 Edwards High Vacuum Tube Tee ISO100 ISO-F 8-Hole NW25 iQDP QDP Series Used
12037 Edwards High Vacuum Adapter Tube Tee ISO100 ISO-F to ISO100 NW25 90° Elbow Used
12038 Progressive System Technologies 1000720 PALS Relay Board PCB Rev. A Used Working
12039 Progressive System Technologies 1000720 PALS Relay Board PCB Rev. B Used Working
12040 Condor HC24-2.4-A+ Power Supply Reseller Lot of 2 Used Working
12041 Fuji Seiki High Vacuum Tube Tee Stainless QF100 to ISO100 NUPRO SS-DLTW4 Used
12042 MKS Instruments High Vacuum Mitered Elbow 45° ISO100 ISO-K to ISO100 Used
12043 AMAT Applied Materials 0050-60347 90° High Vacuum Elbow ISO80 4VCR Used Working
12044 MKS Instruments Conical Reducer Nipple HPS DN150CF to 7.25″ Grooved 8-Hole Used
12045 Edwards High Vacuum Tee DN63CF Rotatable DN63CF Non-Rotatable Used Working
12046 Key High Vacuum Products KST-4525 Vacuum Tee NW25 Stainless Steel Lot of 2 Used
12047 MKS Instruments High Vacuum Elbow Tee 90° ISO63 4VCR Stainless Steel Used
12048 MKS Instruments 100314605 High Vacuum Tee NW40 Lot of 2 Used Working
12049 MDC Vacuum Products CF to VCR Adapter DN40CF to 8VCR 4VCR Lot of 3 Used
12050 Edwards High Vacuum Adapter Tee ISO63 ISO-F to ISO100 ISO-K NW25 4VCR Used
12051 MKS Instruments High Vacuum Flex Bellows NW50 to NW50 ISO63 ISO-K 6-Hole Used
12052 MKS Instruments High Vacuum Bellows Hose NW25 SMC Vacuum Switch ZSM1-115 Used
12053 Nor-Cal High Vacuum Conflat Elbow DN40CF 45° Lot of 3 MDC Varian MKS HPS Used
12054 MKS Instruments High Vacuum Bellows Adapter ISO100 ISO-F to NW50 Stainless Used
12055 MDC Vacuum Products High Vacuum Conical Adapter ASA 1 to ISO80 ISO-K Used
12056 Fuji Seiki Turbomolecular Pump Vacuum Adapter Flange QF100 to IS0100 Used
12057 MKS Instruments Flexible Bellows Vacuum Hose NW40 8 Foot 2438mm Stainless Used
12058 MKS Instruments Flexible Bellows Vacuum Hose NW40 8.5 Foot 2590mm Stainless Used
12059 MKS Instruments Flexible Bellows Vacuum Hose NW40 38″ Stainless Steel HPS Used
12060 MKS Instruments Flexible Bellows Vacuum Hose NW25 18″ Stainless Steel HPS Used
12061 MKS Instruments Flexible Bellows Vacuum Hose NW50 26″ Stainless Lot of 3 Used
12062 MKS Instruments Flexible Bellows Vacuum Hose NW25 9″ Stainless Lot of 4 Used
12063 MKS 100314911 Flexible Bellows Vacuum Hose NW16 4″ Stainless Lot of 4 Used
12064 Edwards C5167 High Vacuum Adapter Tee ISO63 ISO-K to NW50 NW25 Copper Used
12065 Edwards High Vacuum Reducer Tee NW50 NW25 iQDP Series Copper Used Working
12066 MKS Instruments High Vacuum Tube Elbow 90° NW40 9.5″ 9.25″ Lot of 2 Used Working
12067 Asyst 9700-5158-03 300mm Load Port 300FL, S2.1 25WFR Incomplete for Parts As-Is
12068 Asyst Technologies 9700-5158-03 300mm Load Port SMIF-300FL Incomplete As-Is
12069 Asyst Technologies 3200-1071-01 Load Port PCB MICRO-G SMIF-300FL Used Working
12070 Hama Sensors ROX-43AIC-14.5 Laser Sensor Used Working
12071 Oriental Motor CSD5807N-P 5-Phase Driver Vexta Servo Controller Used Working
12072 Cosel P150E-5-N Power Supply 5V 30A Used Working
12073 Cosel P30E-12-N Compact Power Supply P30E-12 12V 2.5A Lot of 2 Used Working
12074 Hitachi H20 AC Magnetic Contactor AC 600V YQ-24 Lot of 2 Used Working
12075 Hitachi 1B18079 ZVL897 OFV-DICT PCB Card Used Working
12076 Hitachi ZVL900/122 Memory IC PCB Card Used Working
12077 Tachibana Tectron TVME2300 Memory PCB Card Rev. B Used Working
12078 KEL VMEbus BACKPANEL J1 09 Backplane PCB Board Used Working
12079 UE Precision Sensors E36W-H148 Absolute Pressure Switch AMAT 1270-00999 New
12080 AMAT Applied Materials 0040-07477 Enclosure CB Cleaner Panel 0180-00385 Used
12081 Kensington Laboratories 77-4000-6197-00 Arm Axis PCB Card v10.59 HTLZA Used
12082 Kensington Laboratories 77-4000-6108-00 Axis Multilink PCB Card v10.59 ZCHD Used
12083 Kensington Laboratories 77-4000-6043-01 5-Axis Motherboard PCB Card Used Working
12084 Balzers BG 290 348 AU Measuring Amplifier PCB Card BG290348AU Used Working
12085 Balzers BG290563-U Potentiometer Switch PCB Card BG 290 563 U Used Working
12086 Balzers BG 290 561 U Transformer PCB Card BG 290 564 U Used Working
12087 Balzers BG290 339-U Transformer PCB Card BG 290 339 U Used Working
12088 Varian L6280-302 Manual Bellows Valve NW25-H/O L6280302 Used Working
12089 Pilz 774314 Safety Relay PNOZ X3 Z-Channel Used Working
12090 Edwards Mechanical Booster QMB500
12091 Digital Electronics LSI-11CPU PCB M7270 Balzers B 5278 854 PA for Repair As-Is
12092 Balzers BG 531 470 T Panel Interface LSI 11 PCB Card BG531470T Used Working
12093 Digital 50-13564-D MXV 11 A PCB Card M8047 Balzers BG 543 548 W Used Working
12094 Digital Electronics 5013128DP1 32k 18 Bit MOS MEM PCB Card M8044 Used Working
12095 Balzers BG 290 561 U Transformer PCB Card BG290565U Used Working
12096 Varian L6433-301 Cold Cathode Multi-Gauge PCB Card L6433-001 L6434 Used Working
12097 Brooks Instrument 5896B11A Two Channel Gas Flow Read-Out 5896 Used Working
12098 Balzers MCU 120 Magnetron Control Unit MCU120 Used Working
12099 Balzers MCU 105 Magnetron Control Unit MCU105 Used Working
12100 AE Advance Energy 3152243-002A MDX Channel Select Panel Used Working
12101 AE Advanced Energy 3152243-002B MDX Channel Select Panel Used Working
12102 Balzers TPG 100 M4 Multi Pirani Meter Module TPG100M4 Used Working
12103 Balzers PKG 100 Pirani Cold Cathode Vacuum Gauge Control PKG100 Used Working
12104 Balzers BG M12 505 Valve Control Unit RVG 040 Used Working
12105 Balzers BG 019 001 Tempurature Display Module ETD 101 ETD101 Used Working
12106 Balzers BG D22 500 Current Display Module ECD 101 ECD101 Used Working
12107 Balzers BG M61 001 Meisser Trap Control Module BSM 104 Used Working
12108 Balzers BG 541 037 T Transport Control PCB Board BG 542 485A Used Working
12109 Balzers BG 542 225 BT Shutter Control Button PCB Board BG 542 228D Used Working
12110 Balzers BG 542 370 Thermal Control PCB Board VC11M 415425 AS Used Working
12111 Balzers BG 542 481 T/A Thermal Control PCB Board BG 542 491 B Used Working
12112 Balzers BG 542 233 T Shutter Control Display PCB Board BG 542 236 Used Working
12113 Balzers QMS 064 Partial Pressure Gauge Unit QMG 064 QMS064 Used Working
12114 Balzers BG 290 709 TC 6-Channel Rate Adder Module RA 101 RA101 Used Working
12115 Balzers BG M76 250 Transport Control Unit TSC 101 TSC101 Used Working
12116 Balzers BG 290 709 CT 6-Channel Rate Adder Module RA 101 RA101 Used Working
12117 Balzers BG 525 473 T Argon Pressure DA 101 PCB Card BG 525 424 T Used Working
12118 Balzers BG 525 473 T 4-Channel Heater DA 101 PCB Card BG 525 424 T Used Working
12119 Balzers BG 525 460 AT Shutter Position OU 101 PCB Card BG 525 462 BU Used
12120 Balzers BG 525 460 AT Gas LC OU 101 PCB Card BG 525 462 BU Used
12121 Balzers BG 542 341 T Adress Control BL 201 PCB Card BG 542 344 T Used Working
12122 Balzers BG 542 272 Indicator Display IU 201 PCB Card BG 542 263 T Used
12123 Balzers BG 531 189 T Integrate Circuit AD 202 PCB Board BG 531 187 CT Used
12124 Balzers BG 542 272 T Indicator Display IU 201 PCB Card BG 542 263 T Used Working
12125 Digital Electronics 513008E-P1 VT100 Basic Video PCB Board 54-13097-00 Used
12126 Balzers BG M29 000 Power Supply PCB Card EPS 101 EPS101 Used Working
12127 Balzers BG 542 164 W Control Module PCB Card PC 201 PC201 Used Working
12128 Balzers BG M66 500 Dual RATE-TIME-ADDER Module RTA 101 RTA101 Used Working
12129 Balzers BG M70 000 Shutter Control Unit SSC 101 Used Working
12130 Balzers BG M54 500 Emergency Stop Module EEO 101 EEO101 Used Working
12131 AMAT Applied Materials Power Module Power-One HB24-1.2-A Orbot WF 736 DUO Used
12132 AMAT Applied Materials Brake Box Opto 22 12D10 Orbot WF 736 DUO Used Working
12133 AMAT Applied Materials RF Amplifier PCB Card ADS-432-303 Orbot WF 736 DUO Used
12134 Orbot Instruments 710-26351-DD WF Control PCB Card AMAT WF 736 DUO Used Working
12135 Orbot Instruments 710-26332-DD DDS Control PCB Card AMAT WF 736 DUO Used Working
12136 Orbot Instruments 710-26372-DD PMT CAM PCB Card AMAT WF 736 DUO Used Working
12137 Orbot Instruments WF29153 Backplane PCB Board AMAT WF 736 DUO Used Working
12138 G Zimmermann AG 9-9530.4F PCB Board Balzers BG 290 317 S Used Working
12139 G Zimmermann AG 9-9530.4F PCB Board Balzers BG 290 317 S Used Working
12140 GSI Lumonics 311-15593-1 Optics PCB CCA-10069 KLA-Tencor CRS-3000 Used Working
12141 Ultrapointe 000678T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working
12142 Ultrapointe 001008T Lon Motor PCB 0045 KLA-Tencor CRS-3000 Used Working
12143 Ultrapointe 0025243 Fast Z Controller PCB Board KLA-Tencor CRS-3000 Used Working
12144 GSI Lumonics 3M-14986 PCB Board CCA-10069 KLA-Tencor CRS-3000 Used Working
12145 Nikon 2S700-555 MST Video Sync PCB Card 2S015-064-4 V20.04 OPTISTATION 4 Used
12146 Nikon 2S700-598 MCR Board PCB Card 2S014-038-2 v1.11 OPTISTATION 3 Used Working
12147 Nikon 2S700-598 MCR Board PCB Card 2S014-038-2 v1.11 OPTISTATION 3 Used Working
12148 Nikon 2S700-601 Pulse Motor Control PCB Board 2S003-017-1 OPTISTATION 3 Used
12149 Nikon 2S700-601 Pulse Motor Control PCB Board 2S003-017-1 OPTISTATION 3 Used
12150 Nikon 2S700-601 Pulse Motor Control PCB Board 2S003-017-1 OPTISTATION 3 Used
12151 Cybor 512G Photo Resist Power Supply Module 512 Used Working
12152 Brooks Automation 002-0000r02 Wafer Handling Robot Untested As-Is
12153 Nikon 2S701-009 NTP-NMC-T PCB Card 2S020-061-1 OPTISTATION 3A 200mm Used Working
12154 Nikon 2S700-555 MST Video Sync PCB Card 2S015-064-4 V22.04 OPTISTATION 3A Used
12155 Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 OPTISTATION 3A Used Working
12156 Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 OPTISTATION 3A Used Working
12157 Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.23 OPTISTATION 3A Used
12158 Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.23 OPTISTATION 3A Used
12159 Nikon 2S700-529-1 GPIBII Backplane PCB Board 2S017-139-3 OPTISTATION 3 Used
12160 Nikon 2S700-529-1 GPIBII Backplane PCB Board 2S017-139-3 OPTISTATION 3 Used
12161 Orbot Instruments 710-26412-DD WFIOC PCB Board AMAT WF 720 Used Working
12162 Orbot Instruments 710-26811-DD WFSCENTER Backplane PCB Board AMAT WF 720 Used
12163 Anorad 62663 Servo Amplifier X-Axis PCB Card AMAT Orbot WF 720 Used Working
12164 Anorad 62663 Servo Amplifier Y-Axis PCB Card AMAT Orbot WF 720 Used Working
12165 Anorad C27363 Dual Axis Front End Logic PCB Card AMAT Orbot WF 720 Used Working
12166 Anorad C27843 Dual Axis Front End Logic PCB Card B27843 AMAT Orbot WF 720 Used
12167 Anorad 69810 Servo Amplifier PCB Card 3900045 AMAT Orbot WF 720 Used Working
12168 ACS Electronics 61754 SB202 Dual Axis Controller PCB Card AMAT Orbot WF 720 Used
12169 Anorad 66571 Controller Backplane PCB Card AMAT Orbot WF 720 Used Working
12170 Anorad 69812 Servo Amplifier X-Axis PCB Card 3900045 AMAT Orbot WF 736 DUO Used
12171 Anorad 69812 Servo Amplifier Y-Axis PCB Card 3900045 AMAT Orbot WF 736 DUO Used
12172 ACS Electronics SB214VME-E VME Controller PCB Card AMAT Orbot WF 736 Used
12173 Anorad 672474 V-SERV Backplane PCB Board D55398 AMAT Orbot WF 736 DUO Used
12174 Anorad 70936 Encoder Interface PCB Board AMAT Orbot WF 736 DUO Used
12175 Anorad 68712 Dual Motor Driver Backplane PCB C46717 AMAT Orbot WF 736 DUO Used
12176 Anorad 83753 Brake Box Transformer Power Module AMAT Orbot WF 736 DUO Used
12177 ACS Electronics AF91 Controller PCB Card Rev. B2 AMAT Orbot WF 720 Used Working
12178 ACS Electronics SB91/P Single Axis Controller PCB Card AMAT WF 720 Used
12179 ACS Electronics OR81 8 Channel Controller PCB Card AMAT Orbot WF 720 Used
12180 ACS Electronics WTM Backplane PCB Board Rev. B1 AMAT Orbot WF 720 Used Working
12181 Datacube MAX VIDEO 20 Arcgitectural Adapter 260-0599 PCB Card Orbot WF 720 Used
12182 Performance Technologies PT-SBS 915 PCB Card 124-010103 AMAT Orbot WF 720 Used
12183 Balzers BG 527 036 BU 24V Relay PCB Card BG 527 109 S Used Working
12184 Balzers BG 527 032 BU 24V Relay PCB Card BG 527 108 AS Used Working
12185 Balzers BG 525 570 CT Power Supply PCB Card BG 525 574 BS Used Working
12186 Orbot Instruments 71051911-DD WFRCENTER Backplane PCB Board AMAT WF 720 Used
12187 Schneider Automation 043 506 698 Modicon Micro 110 CPU 311 00 AEG Used Working
12188 RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-4 Orbot WF 736 Used
12189 RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-3 Orbot WF 736 Used
12190 RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-2 Orbot WF 736 Used
12191 Orbot WF29132 WFRECORDER REC_IP 4000074 PCB Card AMAT WF 736 DUO Used Working
12192 Orbot 710-75023-DD WFREGISTOR 4000021 PCB Card AMAT WF 736 DUO Used Working
12193 Orbot 710-75011-DD WFMEMORY MEM 4000020 PCB Card AMAT WF 736 DUO Used Working
12194 Orbot 710-75041-DD WFLTRX LTRX 4000022 PCB Card AMAT WF 736 DUO Used Working
12195 Orbot WF710-65803-DD WFTRX TRX_IP 4000076 PCB Card AMAT WF 736 DUO Used Working
12196 Orbot WF710-65803-DD WFTRX TRX_SC 4000073 PCB Card AMAT WF 736 DUO Used Working
12197 Orbot WF710-65803-DD WFTRX TRX_SC 4000073 PCB Card AMAT WF 736 DUO Used Working
12198 Orbot WF29132 WFRECORDER REC_SC 4000072 PCB Card AMAT WF 736 DUO Used Working
12199 Orbot WF29132 WFRECORDER REC_SC 4000072 PCB Card AMAT WF 736 DUO Used Working
12200 Orbot 710-75033-DD WFANALYZER ANA_9 4000140 PCB Card AMAT WF 736 DUO Used
12201 Orbot WF710-62201-DD WFVISION2 VIS_9 4000165 PCB Card AMAT WF 736 DUO Used
12202 Orbot 120087 WFALIGNER RV3 ALIGN 4000079 PCB Card AMAT WF 736 DUO Used Working
12203 Orbot WF710-65803-DD WFTRX TRX_SC9 4000167 PCB Card AMAT WF 736 DUO Used Working
12204 Orbot WF710-62201-DD WFVISION2 VISION 4000187 PCB Card AMAT WF 736 DUO Used
12205 Orbot WF710-62201-DD WFVISION2 VISION 4000187 PCB Card AMAT WF 736 DUO Used
12206 Orbot 710-65601-DD WF HISTOGRAMMER HIST_9 4000164 PCB Card AMAT WF 736 DUO Used
12207 Orbot W29132 WFRECORDER REC_SC9 4000166 PCB Card AMAT WF 736 DUO Used Working
12208 Orbot Instruments WF710-65803-DD WFTRX TRX_IP PCB Card AMAT WF 720 Used Working
12209 Orbot Instruments 1280085 WFCOMPARATOR RV2 COMP PCB Card AMAT WF 720 Used
12210 Orbot Instruments 1280087 WFALIGNER RV3 ALIGN PCB Card AMAT WF 720 Used Working
12211 Orbot Instruments 710-76501-DD WF LDDH DDH PCB Card AMAT WF 720 Used Working
12212 Orbot Instruments 710-75033-DD WFANALYZER PCB Card AMAT WF 720 Used Working
12213 Orbot Instruments WF 710-65701-DD WFMASKING PCB Card AMAT WF 720 Used Working
12214 Orbot Instruments WF29132 WFRECORDER REC_IP PCB Card AMAT WF 720 Used Working
12215 Orbot Instruments 710-75023-DD WFREGISTOR REG PCB Card AMAT WF 720 Used Working
12216 Orbot Instruments 710-75011-DD WFMEMORY MEM PCB Card AMAT WF 720 Used Working
12217 Orbot Instruments 710-65601-DD WF HISTOGRAMMER HIST PCB Card AMAT WF 720 Used
12218 Orbot Instruments 710-65601-DD WF HISTOGRAMMER HIST PCB Card AMAT WF 720 Used
12219 RadiSys 504802-008 Single Board Computer pSBC 386/258 U43-0 Orbot WF 720 Used
12220 RadiSys 504802-008 Single Board Computer pSBC 386/258 U43-0 Orbot WF 720 Used
12221 RadiSys 504802-008 Single Board Computer pSBC 386/258 U43L-2 Orbot WF 720 Used
12222 Optasy Light Works OPC232R8 PCB Card PWBOPC232 OPC-232-R8 Orbot WF 736 DUO Used
12223 Matrox GEN/P/16/8/STD SBC Single Board Computer Genesis Orbot WF 736 DUO Used
12224 Orbot Instruments 710-62101-DD WFSCSI PCB Connector Board Lot of 2 Used Working
12225 Nikon BD Plan 20/0.4 Microscope Objective 210/0 OPTISTATION 3 Used Working
12226 Nikon BD Plan 20/0.4 Microscope Objective 210/0 OPTISTATION 3 Used Working
12227 Nikon BD Plan 10 0.25 Microscope Objective 210/0 OPTISTATION 3 Used Working
12228 Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working
12229 Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working
12230 Nikon BD Plan 100 0.90 Dry Microscope Objective 210/0 OPTISTATION 3 Used Working
12231 Nikon BD PlanApo 100/0.90 Microscope Objective 210/0 OPTISTATION 3 Used Working
12232 Nikon BD Plan 60 0.80 Microscope Objective 210/0 OPTISTATION 3 Used Working
12233 Nikon BD PlanApo 40/0.80 Microscope Objective 210/0 OPTISTATION 3 Used Working
12234 Nikon BD PlanApo 40/0.80 Microscope Objective 210/0 OPTISTATION 3 Used Working
12235 Nikon BD Plan 40 0.65 Microscope Objective 210/0 OPTISTATION 3 Used Working
12236 Nikon M Plan 2.5 0.075 Microscope Objective 210/0 OPTISTATION 3 Used Working
12237 Nikon M Plan 2.5 0.075 Microscope Objective 210/0 OPTISTATION 3 Used Working
12238 Nikon M Plan 2.5 0.075 Microscope Objective 210/0 OPTISTATION 3 Used Working
12239 Nemic-Lambda ED-4-1212 DC Power Supply Reseller Lot of 2 Used Working
12240 Sun Microsystems 600-3676-01 SPARCsever 5 AMAT Orbot WF 720 Used Working
12241 ACS Electronics AF91 Controller PCB Card Rev. B1 AMAT Orbot WF 736 DUO Used
12242 ACS Electronics SB91/P Single Axis Controller PCB Card Orbot WF736 DUO Used
12243 ACS Electronics OR81-OR1 8 Channel Controller OR81 PCB Orbot WF 736 DUO Used
12244 ACS Electronics OR81 8 Channel Controller PCB Card AMAT Orbot WF 736 DUO Used
12245 LANCAST 4397 16-Part Ethernet Repeater Hub Used Working
12246 Nikon 2S700-385 Stage Focus EMO Keypad 2S070-032-2 OPTISTATION 3 Used Working
12247 Nikon 2S700-385 Stage Focus EMO Keypad 2S070-032-2 OPTISTATION 3 Used Working
12248 Nikon 2S700-386 Microscope Control Keypad 2S070-031-4 OPTISTATION 3 Used Working
12249 Nikon 2S700-386 Microscope Control Keypad 2S070-031-4 OPTISTATION 3 Used Working
12250 Todensha SSUX-Z27013 Microscope Joystick Nikon OPTISTATION 3 Used Working
12251 Todensha SSUX-Z27013 Microscope Joystick Nikon OPTISTATION 3 Used Working
12252 Sakae S40JBK-YO-20R2 Microscope Joystick Nikon OPTISTATION 3 Used Working
12253 Orbot Instruments 1280115 WF 730 Masking PCB Card AMAT WF 720 Used Working
12254 Orbot Instruments 1280114 WF 730-DECISION PCB Card AMAT WF 720 Used Working
12255 Orbot WF29132 WFRECORDER 4000074 PCB Card AMAT WF 736 DUO Broken Pull Tab Used
12256 RadiSys 504802-008 Single Board Computer pSBC 386/258 U43L-4 Orbot WF 720 Used
12257 Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-4 v2.31 OPTISTATION 3 Used
12258 Nikon 2S700-555 MST Board PCB Card 2S015-064-4 3.5 MST v5.16A OPTISTATION 3 Used
12259 Nikon 2S700-555 MST Board PCB Card 2S015-064-4 3.5 MST v5.16A OPTISTATION 3 Used
12260 Nikon 2S701-009 PCB Card 2S020-061 OPTISTATION 3 200mm Used Working
12261 Nikon 2S700-555 MST Video Sync PCB Card 2S015-064-4 V13.00 OPTISTATION 3 Used
12262 Nikon 2S700-552 Microscope Control Panel 25070-043 OPTISTATION 3 Used Working
12263 Nikon 2S700-552 Microscope Control Panel 25070-043 OPTISTATION 3 Used Working
12264 NEC FC-9801U Factory Computer Controller Model 2 Nikon OPTISTATION 3 Used
12265 NEC I36-457226-E-05 Motherboard Factory Computer Model 2 FC-9801U Used Working
12266 NEC PC-9801-29N Interface C Bus PCB Card FC-9801U Nikon OPTISTATION 3 Used
12267 Nikon Power Unit Roll Around Power Supply OPTISTATION 3 Used Working
12268 Nikon 2S700-552 Microscope Keypad PCB Board 2S070-043 OPTISTATION 3 Used Working
12269 NEC I36-455635-A-01 Backplane PCB Board FC-9801U Nikon OPTISTATION 3 Used
12270 KLA-Tencor 073-404581-00 PZT Controller PCB Card 710-404582-00 5107 Overlay Used
12271 Micro Memory MM6326/8u PCB Card Rev T KLA-Tencor 712-404561-00 5107 Overlay Used
12272 ITT Heynau Elektronki TYR-hB Speed Controller Balzers B5 123 154 AA Used Working
12273 ITT Heynau Elektronik 1TYRhB Speed Controller Balzers B5 123 154 AA Used Working
12274 Orbot 710-26811-DD WFSCENTER Backplane PCB Board AMAT WF 736 DUO Used
12275 Edwards QMB500 Vacuum Pump Mechanical Booster Used Untested As-Is
12276 Orbot 710-26412-DD WFIOC PCB Board AMAT WF 736 DUO Used Working
12277 Opto 22 PB24 Relay PCB Board PCB-1 000228V AG Associates 4100s Used Working
12278 Opto 22 PB24 Relay PCB Board PCB-2 000228V AG Associates 4 100s Used Working
12279 AG Associates 7100-0813-01 Door Reversal PCB Relay Assembly 4100s Used Working
12280 VersaLogic 2100-0120 Relay PCB Card VL-MIO-24 2340 AG Associates 4100s Used
12281 VersaLogic 7100-5192-02 Relay PCB Card VL-MIO-24 2340 AG Associates 4100s Used
12282 VersaLogic 7100-5192-03 Relay PCB Card VL-MIO-24 2340 AG Associates 4100s Used
12283 VersaLogic VL-MIO-24 Relay PCB Card STD MIO-24 AG Associates 4100s Used Working
12284 AG Associates 7100-5128-069 ERP Linearizer PCB Card 4100s Heatpulse Used Working
12285 Micro/Sys SB8420 PCB Card 2100-0080 SER1 AG Associates 7100-5190-01 4100s Used
12286 Micro/Sys SB8420 PCB Card 2100-0085 SER2 AG Associates 7100-5190-02 4100s Used
12287 Micro/Sys SB8420 PCB Card 2100-0085 SER3 AG Associates 7100-5190-03 4100s Used
12288 Micro/Sys SB8355 PCB Card 2100-0090 TMR AG Associates Heatpulse 4100s Used
12289 Analog Devices RTI-1260 PCB Card AG Associates 7100-5123-02 4100s Used Working
12290 Analog Devices RTI-1262 DA1 PCB Card AG Associates 2100-0150 4100s Used Working
12291 Ziatech ZT8812 CPU Card AG Associates 7100-5133-04 4100s Used Working
12292 Analog Devices RTI-1262 DA2 PCB Card AG Associates 2100-0150 4100s Used Working
12293 Ziatech ZT8820B MEM PCB Card AG Associates 7100-5170-01 4100s Used Working
12294 Ziatech ZT8950-0 FDC PCB Card AG Associates Heatpulse 4100s Used Working
12295 AG Associates 7100-5168-07 RMA Analog PCB Card 7500-5146-01 4100s Used Working
12296 Sony DXC-930 3CCD Camera with CMA-D2CE Adapter AMAT Orbot WF 720 Used Working
12297 Nikon OPTISTATION 3 Microscope Turret with Light Source Assembly Used Working
12298 Nikon 2S701-012 Microscope Optical Sensor Assembly OPTISTATION 3 Used Working
12299 Nemic-Lambda YM-96-884A Power Supply Assembly Orbot710-26960-AD WF 736 DUO Used
12300 Nikon Binocular Lens Eyepiece Microscope Assembly CFWN 10x/20 OPTISTATION 3 Used
12301 Nikon OPTISTATION 3 Binocular Eyepiece Microscope Assembly CFUWN 10x/26.5 Used
12302 Nikon OPTISTATION 3 Binocular Lens Eyepiece Microscope Assembly Used Working
12303 Nikon OPTISTATION 3 Microscope Prism Lens Optical Assembly Used Working
12304 Nikon OPTISTATION 3 Microscope Prism Lens Optical Assembly Used Working
12305 TMC Micro-g Gimbal Piston Isolators Set of 4 AMAT Orbot WF 720 Used Working
12306 JDS Uniphase 2214-20SLUP Laser System 2114P-20SLUP KLA-Tencor 5107 Used Working
12307 JDS Uniphase 2214-20SLUP Laser System 2114P-20SLUP KLA-Tencor 5107 Used Working
12308 HP Hewlett-Packard 10706B Plane Mirror Interferometer with Pivot Mount Used
12309 HP Hewlett-Packard 10780C Inferometer Optical Reciever Lot of 2 Used Working
12310 Hamamatsu Photomultiplier Tube Assembly H6534SEL H6534SELECT Orbot WF 720 Used
12311 Orbot Instruments 710-20081-DD WF 4_MOTOR_E PCB Board AMAT Orbot WF 720 Used
12312 Matrix Integrated Systems 1000-0085 PCB Board System 10 Used Working
12313 Matrix Integrated Systems BM-401 Backplane PCB Board System 10 Used
12314 Matrix Integrated Systems 1000-0065 Z80 Bus Interface PCB Board System 10 Used
12315 Boser HS-486H Single Board Computer SBC PCB Matrix 10 Style 1104 Used Working
12316 Boser HS-486H Single Board Computer SBC PCB Matrix 10 Style 1104 Used Working
12317 Blazers EVA 040 HX Vacuum Right Angle Valve BP V16 130 BPV16130 Used Working
12318 Blazers EVA 040 H Vacuum Right Angle Valve BP V16 000 BPV16000 Used Working
12319 Blazers EVA 025 P Vacuum Right Angle Valve BP V15 513 BPV15513 Used Working
12320 Blazers EVA 040 P Vacuum Right Angle Valve BP V16 001 BPV16001 Used Working
12321 Balzers EVA 040 P Vacuum Right Angle Valve BP V16 013 BPV16013 Used Working
12322 Orbot Instruments Wafer Stage Assembly AMAT Applied Materials WF 736 DUO Used
12323 KLA -Tencor 5107 Microscope Optics Assembly 150mm Olympus BH3-5NR6-M Used Workin
12324 AMAT Applied Materials 200mm Wafer Stage Plate Orbot WF 720 Used Working
12325 KLA-Tencor 5107 Laser Mirror & Prism Assembly 150mm Newport P100-P Used Working
12326 KLA-Tencor 5107 Optical Iris Assembly GSI Lumonics 000-3008528 Used Working
12327 KLA-Tencor 0015484-000 Polarized Lens Shutter Motor Assembly TRW H-2744-031 Used
12328 Balzers BG 525 570 DT Power Supply PCB Card BG 525 574 CS Used Working
12329 Balzers BG M52 000 Rack Mount Magnetron Switching Unit MSU 101 Used Working
12330 TURBOVAC TW 250 S Oerlikon Leybold 11352 Turbomolecular Vacuum Pump TW-250S Used
12331 TRUBO.DRIVE 300 Oerlikon Leybold 800072V0001 Frequency Converter TD300 Used
12332 UNIT Instruments UFC-1100 Mass Flow Controller 10 SLM O2 Used Working
12333 UNIT Instruments UFC-1100 Mass Flow Controller 10 SLM Ar Used Working
12334 UNIT Instruments UFC-1100 Mass Flow Controller 5 SLM NH3 Used Working
12335 UNIT Instruments UFC-1100 Mass Flow Controller 10 SLM N2 Used Working
12336 UNIT Instruments UFC-1100 Mass Flow Controller 200 SCCM HC1 Used Working
12337 Tescom 74-2461KRG20-037 Manual Pressure Regulator 60 PSI Used Working
12338 Tescom 74-2461KRG20-037 Manual Pressure Regulator 60 PSI Used Working
12339 APTech AP3550S DUAL V FV4FV4FV4 HPS/2 3-Way Pneumatic Valve Used Working
12340 Matrix Integrated Systems System 10 Style 1104 Control Computer PC Used Working
12341 Matrix Integrated Systems 1000-0068 Processor Board PCB System 10 Used Working
12342 KLA-Tencor 073-400554-00 Wafer Sensor Emitter PCB 710-400161-00 Rev. A 5107 Used
12343 KLA-Tencor 073-400554-00 Wafer Sense Emitter PCB 710-400161-00 Rev. C 5107 Used
12344 KLA-Tencor 710-450320-00 PID Controller Rev. CA PCB Board 5107 Overlay Used
12345 Schroff 23011-004 Backplane Assembly Lot of 3 KLA-Tencor 5107 Used Working
12346 Edwards D37208000 iQDP40 Vacuum Pump Electrics Module Warning 5413 Tested Used
12347 KLA-Tencor 716-402608-07 150mm Wafer Prealigner Assembly 5107 Used Working
12348 AG Associates 7200-0520-04 Wafer Carrier Assembly 4100s 200mm Heatpulse Used
12349 Nikon OPTISTATION 3 Dual 200mm Wafer Inspection Transport Assembly Used Working
12350 AG Associates 7200-0310-01 Cooling Station Assembly 4100s 200mm Heatpulse Used
12351 Nikon 200mm Wafer Inspection Transport Assembly OPTISTATION 3 Used Working
12352 Nikon 200mm Wafer Inspection Transport Assembly OPTISTATION 3 Used Working
12353 APTech AP3550SM 2PW FV4 FV4 Springless Diaphragm Valve Lot of 2 Used Working
12354 APTech AP3550SM 2PW FV4 FV4 Springless Diaphragm Valve Lot of 2 Used Working
12355 Omega Engineering 9812-0010-03 TC Amplifier TAC 386 KC AG Associates 4100s Used
12356 Nikon OPTISTATION 3 Pneumatic Manifold Koganei HM7F-9W V100E1 Used Working
12357 Matrix 1000-0073 Cluster Tool Phase Monitor PCB Board System 10 Style 1104 Used
12358 DUO 030A Pfeiffer Balzers PK D24 6oz C Rotary Vacuum Pump No Power Tested As-Is
12359 DUO 030A Pfeiffer Balzers PK D24 652 Vacuum Pump Will Not Pump Down Tested As-Is
12360 iQDP40 Edwards A532-40-905 Dry Vacuum Pump Tested For Parts or Repair As-Is
12361 iQDP40 Edwards A400-91-905 Dry Vacuum Pump Tested For Parts or Repairs As-Is
12362 iQDP40 Edwards A400-91-905 Dry Vacuum Pump Tested For Parts or Repairs As-Is
12363 Tylan General FC-280SAV Mass Flow Controller 2 SLPM Ar Used Working
12364 Cybor 2-113-002 Photo Resist Power Supply Module 512 Used Working
12365 Genesis Vacuum Technologies SS452-1018 108-000200 Balzers LLS 801 Used Working
12366 VAT F03-105962 Pneumatic Slit Valve Matrix System 10 Style 1104 Used Working
12367 Cyonics 2500 Laser Remote Interface Uniphase AMAT Orbot WF 720 Used Working
12368 AMAT Applied Materials AF Mircoscope Assembly 710-34041-DD Orbot WF 720 Used
12369 AMAT Applied Materials Optics Turret Assembly Microscope Lens Orbot WF 720 Used
12370 AMAT Applied Materials Optics Filter Index Wheel 710-34041-DD Orbot WF 720 Used
12371 AMAT Applied Materials Laser Optics Convex Lens Set of 2 Orbot WF 720 Used
12372 Leica 036-085.021 Microscope Motor Assembly WF710-34711-DD Orbot WF 720 Used
12373 AMAT Applied Materials WF-PDI Optical Head Microscope Orbot WF 720 200mm Used
12374 AMAT Applied Materials Mirror Wheel Assembly 710-34041-DD AMAT Orbot WF 720 Used
12375 AMAT Applied Materials 710-20081-DD Microscope PCB Assembly Orbot WF 720 Used
12376 AMAT Applied Materials Fiber Optic Cable 1 Laser 50% Orbot WF 720 Used Working
12377 AMAT Applied Materials Fiber Optic Cable 2 Laser 48% Orbot WF 720 Used Working
12378 AMAT Applied Materials Fiber Optic Cable 3 Laser 50% Orbot WF 720 Used Working
12379 AMAT Applied Materials Fiber Optic Cable 4 Laser 50% Orbot WF 720 Used Working
12380 AMAT Applied Materials Pneumatic System Tank D1H-A80SS Orbot WF 720 Used Working
12381 AMAT Applied Materials Pneumatic Manifold 92-812A C04-02-M00 Orbot WF 720 Used
12382 AMAT Applied Materials Anorad Linear Rail Wafer Stage Set of 2 Orbot WF 720 Used
12383 MKS 146B-13510 Vacuum Gauge Measurment Control System Used Tested Working
12384 Edwards C5118 High Vacuum Tube Tee ISO100 ISO-K NW25 New Surplus
12385 VAT B90002011 Pneumatic Gate Valve BGV LOTO Edwards NRY0TN000A New
12386 Edwards C5167 High Vacuum Adapter Tee ISO63 ISO-K to NW50 NW25 New Surplus
12387 Edwards High Vacuum Tube Tee NW50 NW25 New Surplus
12388 Edwards C10009670 High Vacuum Flexible Bellows Stainless ISO100 New Surplus
12389 Edwards C10517670 High Vacuum Flexible Bellows Stainless NW50 New Surplus
12390 Zellweger 2414-0017 Non-Intrusive Calibrator Digi-Cat Gauge Rev. 7 Used Working
12391 Richmond 4005184 PFC PulseFlow Controller PF20 Simco Used Working
12392 Red Lion Controls IMD13162 Intelligent Meter IMD1 Reseller Lot of 2 Used Working
12393 AMAT Applied Materials 710-20081-DD Microscope PCB Assembly Orbot WF 736 Used
12394 Edwards A52844460 Vacuum System im Interface Module New Surplus
12395 Edwards NRY0190412 6xPDT Switch Box for iGX Vacuum Pumps New Surplus
12396 Edwards U20000924 iNIM Network Interface D37310000 New Surplus
12397 Edwards U20001107P Eason Control Box Alarm Enclosure NRY0TN101US New Surplus
12398 Edwards NRY0DN523 High Vacuum Tube Tee NW50 to NW40 4VCR Stainless Steel New
12399 Edwards Braided Flexible Vacuum Bellows NW40 with NW25 New Surplus
12400 Edwards A52844463 Vacuum System im Interface Module New Surplus
12401 Evans Components PW-08-STRPS-M-ED PCWS Stick Edwards U30009009 New Surplus
12402 Evans Components PW-08-EZMF-GVPS-P3-PT PCWR Stick Edwards U30009016 New Surplus
12403 Evans Components NB-GL-8C-200-XX CFOS Stick U30007572 New Surplus
12404 Edwards U20000883 Helium Stick Swagelok SS-4BMG H6800SSL1/4PSS New Surplus
12405 Edwards NRY0DN522 3-Way High Vacuum Tube NW40 New Surplus
12406 Edwards D37370596 iQDP Extension Cable 5-Pin XLR 15 M New Surplus
12407 Edwards U20001189 iGX Series Vacuum Pupm Power Cable New Surplus
12408 Edwards D37370761 iGX Accessory Module New Surplus
12409 Edwards C37360330 Micro TIM Tool Interface TEL New Surplus
12410 Edwards D37280700 Pump Display NRY0190412 Switch Box for iGX Pumps New Surplus
12411 Pacific Scientific P22NSXA-LDN-NS-02 1.8�  Step Motor Vexta D6CL-6.3 Used Workin
12412 Lasertec 1LM21 Laser Microscope Amp Unit Zygo ARMI Used Working
12413 UE Precision Sensors E36W-H148 Absolute Pressure Switch AMAT 1270-00999 New
12414 Sena Fiber Optic Light System 2450DR MKII 50HTFI LPC50 Zygo ARMI Used Working
12415 Keyence BL-600HA Laser Barcode Reader with BL-U2 Power Supply Zygo ARMI Used
12416 Telemecanique XPSAT5100 Safety Relay Preventa XPS-AT Used Working
12417 Sti Safety Relay Set SL Limit Switch M12 SR06AM SR05A Zygo ARMI Used Working
12418 Zygo Automation Systems N2 Wafer Spray Arm Assembly N2-4 ARMI Used Working
12419 Agilent L6280-302 Manual Bellows Valve NW-25-H/O Varian Used Working
12420 AMAT Applied Materials Optics Turret Assembly Microscope Lens Orbot WF 736 Used
12421 Leica 036-085.021 Microscope Motor Assembly WF710-34711-DD Orbot WF 736 Used
12422 AMAT Applied Materials Anorad Linear Rail Wafer Stage Set of 2 Orbot WF 736 Used
12423 Balzers BG GO2 250 Pirani Vacuum Gauge TPR 010 Used Working
12424 Balzers BG GO1 503 Ion Gauge Head High Pressure Measuring Unit IMR 110 Used
12425 Balzers BP V15 513 Vacuum Right Angle Valve EVA 025 P BPV15513 Used Working
12426 Balzers BP V16 001 Vacuum Right Angle Valve EVA 040 P BPV16001 Used Working
12427 Barksdale D2T-H18-GE32 Pressure Switch Balzers BB 149 038-T Lot of 4 Used
12428 Fil-Tec 531 Thermocouple Vacuum Gauge NW16 Used Working
12429 VAT F12-60233 Manually Operated Vacuum Gate Valve Used Working
12430 Varian Semiconductor Equipment F2817-304 Cold Cothode Gauge 5247-2 Used Working
12431 Magnet-Schultz XAPX044K54D11 Vacuum Switch Balxers EVC 010 M Lot of 2 Used
12432 SMC 0242-34890 Gate Valve Actuator AMAT 3020-00077 0040-50505 Used Working
12433 AMAT Applied Materials 0010-25624 300mm Slit Valve Assembly 3700-02144 Used
12434 AMAT Applied Materials 200mm Wafer Stage Plate Orbot WF 720 Used Working
12435 Orbot Instruments 710-25201-DD THETA-Z WF PCB Board AMAT WF 720 Used Working
12436 KLA-Tencor 740-450321-00 Wafer Transport VFU Assembly 5107 Used Working
12437 Thomson Quickslide Wafer Transport Linear Rail KLA-Tencor 5107 Used Working
12438 TPH 240 Pfeiffer PM P01 320B Turbomolecular Vacuum Pump Used Working
12439 TCP 300 Pfeiffer PM C01 259 Turbomolecular Pump Power Supply Tested As-Is
12440 VAT High Vacuum Adapter LF320 to LF250 ISO-K NW320 NW250 Used Working
12441 KLA-Tencor 073-451386-00 PDA Multiplier PCB Board 5107 Used Working
12442 KLA-Tencor 720-451511-00 Optical Prism Housing Assembly 5107 Overlay System Used
12443 KLA-Tencor Diode Array Optical Lens Assembly Set of 2 5107 Overlay System Used
12444 KLA-Tencor Proximity Sensor Assembly 730-404397-00 Turck BC5-S18AP4X 5107 Used
12445 KLA-Tencor Optical Lens Mirror Housing Assembly 730-404395-00 5107 Used Working
12446 Mitutoyo 0-25mm Micrometer Head 0.01mm Ratchet Stop KLA-Tencor 5107 Used Working
12447 KLA-Tencor Microscope Optics Stage Assembly 730-450565-00 5107 Used Working
12448 SMC VEF3120-3 E-P Proportional Valve Flow Control KLA-Tencor 5107 Used Working
12449 AMAT Applied Materials Fiber Optic Cable Laser 54.7% Orbot WF 736 DUO Used
12450 AMAT Applied Materials Fiber Optic Cable Laser 54.5% Orbot WF 736 DUO Used
12451 AMAT Applied Materials Fiber Optic Cable Laser 55% Orbot WF 736 DUO Used
12452 AMAT Applied Materials Fiber Optic Cable Laser 55.2% Orbot WF 736 DUO Used
12453 Matrix Integrated Systems 1010-0074 Connector PCB Board System 10 Used Working
12454 MKS Instruments 122AA-00010BB Baratron Pressure Transducer Used Tested Working
12455 Zürrer PFV352 012 V Motor and Gearhead Assembly Balzers LLS 801 Used Working
12456 Eurotherm D901/40A/10V/PA TCS Input Converter Used Working
12457 Balzers BG 541 086-S/D Partial Pressure Gauge PCB Card EM 064 Used Working
12458 Balzers BG 541 087-S/B Partial Pressure Gauge PCB Card RF 064 Used Working
12459 Balzers 200mm Wafer Carousel Assembly LLS 801 Sputtering System Used Working
12460 Balzers 150mm Wafer Carousel Assembly LLS 801 Sputtering System Used Working
12461 Ultratech Stepper 2201-000001 Supershutter Interface UltraStep 1000 Used Working
12462 Semifusion 240 PEN Motor Drive Assembly Ultratech Stepper UltraStep 1000 Used
12463 HP Hewlett-Packard 10706A Plane Mirror Interferometer 10703A Pivot Mount Used
12464 HP Hewlett-Packard 10706A Plane Mirror Interferometer with Pivot Mount Used
12465 HP Hewlett-Packard 10780A Inferometer Optical Receiver Lot of 2 Used Working
12466 Agilent 10780C Inferometer Receiver HP Hewlett-Packard 10780B Lot of 2 Used
12467 Worthington Data Solutions LZ200 Barcode Scanner with R11/12 Data Reader Used
12468 HP Hewlett-Packard 10746-60001 Binary Interface Board PCB Card Rev. C Used
12469 HP Hewlett-Packard 10746-60001 Binary Interface Board PCB Card Rev. E Used
12470 Ultratech Stepper 0513 4197 00 Servo Stage PCB Card Semifusion Model 151 Used
12471 Semifusion 120 32 Bit Interface PCB Card Ultratech Stepper UltraStep 1000 Used
12472 Semifusion 170 Auto Photo Controller PCB Card Ultratech UltraStep 1000 Used
12473 HP Hewlett-Packard 10762-60001 Comparator PCB Card Ultratech 0503-300700 Used
12474 Ultratech Stepper 0513-555000 Servo Stage PCB Card Semifusion Model 151 Used
12475 Ultratech Stepper 0513 4197 00 Servo Stage PCB Card Semifusion Model 152 Used
12476 Ultratech Stepper 0513 4197 00 Servo Stage PCB Card UltraStep 1000 Used Working
12477 HP Hewlett-Packard 10764-60003 Fast Pulse Converter PCB Card Ultratech 1000 Used
12478 Semifusion 260 Jumper Board PCB Card Ultratech UltraStep 1000 Used Working
12479 Semifusion 311 Motor Driver PCB Card Ultratech UltraStep 1000 Used Working
12480 Semifusion 160 Comparator Connector PCB Lot of 4 Ultratech 1000 Used Working
12481 Semifusion 140 Stage Motor Amplifier PCB Board Ultratech 1000 Used Working
12482 Ultratech Stepper 0523-585800 System Driver PCB Board 311 UltraStep 1000 Used
12483 Semifusion 131-1 System Driver PCB Board 245 311 Ultratech UltraStep 1000 Used
12484 Semifusion 132 System Driver PCB Board 245 311 Ultratech UltraStep 1000 Used
12485 Densei-Lambda JWS300-24 Power Supply Reseller Lot of 2 Used Working
12486 Lambda LGS5A-24-OV-R Regulated Power Supply Reseller Lot of 2 Used Working
12487 Ultratech Stepper 0526-568900 Focus Monitor Assembly 250-1 UltraStep 1000 Used
12488 Ultratech Stepper 0516-537100 Focus Monitor Assembly 250-1 UltraStep 1000 Used
12489 Electroglas 253491-002 Communication Board PCB Card Rev. E 4085x Horizon Used
12490 Electroglas 247439-001 Solenoid I/O Interface PCB Board 4085x Horizon PSM Used
12491 Electroglas 247219-002 Prealign Subsystem PCB Card Rev. M 4085x Horizon PSM Used
12492 Electroglas 247222-002 Linear Motor Subsystem Assembly 4085x Horizon PSM Used
12493 Electroglas 247216-001 System I/O PCB Card 4085x Horizon PSM Used Working
12494 Electroglas 247225-002 XFR Arm Subsystem PCB Card 4085x Horizon PSM Used Working
12495 Electroglas 247228-001 X Theta Driver PCB Card Rev. P 4085x Horizon Used Working
12496 Electroglas 247213-003 Main System Board PCB Card 4085x Horizon Used Working
12497 Akira Seiki RWH20G 10ΩJ RWH10G 15ΩJ 0.1Ω Compact Resistor Reseller Lot of 9 Used
12498 Akira Seiki RWH200G 300ΩJ Ceramic Resistor Reseller Lot of 3 Used Working
12499 Akira Seiki RWH300G 350ΩJ Ceramic Resistor Reseller Lot of 3 Used Working
12500 Akira Seiki RWH300G 250ΩJ Ceramic Resistor Reseller Lot of 3 Used Working
12501 Daiichi LMK-10C Oblong Meter LK Series DC Voltmeter Ammeter Lot of 10 Used
12502 Yashibi 306SCR-2A Thyristor Transformer PCB Board IP-268A YCC-18K Used Working
12503 Yashibi HCU-IB Inverter Gate PCB Board IP-253B Used Working
12504 Yashibi HCU-3 Isolation Amplifier PCB Board IP-308A 90.2 Used Working
12505 Yashibi IP-246B Optical Sensor PCB Board 1993.10 Used Working
12506 Yashibi IP-248B IC Switch Control PCB Board 89.6 Used Working
12507 Yashibi IP-252 Connector Interface PCB Board Reseller Lot of 9 Used Working
12508 Yashibi IP-28IB Time Delay Relay PCB Board 1989.6 H3FA-A Reseller Lot of 3 Used
12509 Yashibi IP-245 Encapsulation DIP Bridge 88.6 PCB Board Used Working
12510 Electroglas 247265-001 Handler Communications PCB Card Rev. F 4085X Horizon Used
12511 Electroglas 102944-010 Motion Control PCB Card Rev. AE 200mm 4085X Horizon Used
12512 Electroglas 246067-001 4 Port Serial I/O Assembly II PCB Card Rev. L 4085X Used
12513 Electroglas 244288-001 Tester Interface PCB Card Rev. AA 4085X Horizon Used
12514 Electroglas 244288-001 Tester Interface PCB Card Rev. AE 4085X Horizon Used
12515 Electroglas 251411-002 CPU 020 PCB Card Rev. N 200mm 4085X Horizon Used Working
12516 Electroglas 256303-003 CPU 020 PCB Card Rev. N 200mm 4085X Horizon Used Working
12517 Electroglas 244736-001 Theta Z Inker Drivers PCB Card Rev. F DEV.3821 4085X Used
12518 Electroglas 114824-002 28V Solenoid Drivers PCB Card Rev. D 4085X Horizon Used
12519 Electroglas 258805-001 Cold Sort AUX CONT PCB Card 4085X Horizon Used Working
12520 Tencor 294420 Motor Distribution S8000 PCB Board KLA-Tencor AIT I Surfscan Used
12521 Electroglas 250259-001 CRT Controller Lamp Driver PCB Card 4085x Horizon Used
12522 Electroglas 255391-001 ILLUM & OPT CONT 3 PCB Board 4085x Horizon Used Working
12523 Electroglas 250047-001 ILLUM & OPT CONT II PCB Board 4085x Horizon Used Working
12524 Cosmicar/Pentax 8766721 Camera Controller PCB Board Type E Electroglas Used
12525 Cosmicar/Pentax 8766721 Camera Controller PCB Board Type B Electroglas Used
12526 Cognex VPM-3434-1 In-Circuit Test PCB Card 200-0057-1 Electroglas 4085x Used
12527 Electroglas 8767-1/001 Dual CCD Camera Module 4085x Horizon PSM 200mm Spare
12528 Electroglas 8767-1/001 Dual CCD Camera Module 4085x Horizon PSM 200mm Spare
12529 Trazer 1308-002 RF Match Network AMU1A-20 Matrix System 10 Style 1104 Used
12530 Nor-Cal Products AIVP-2002-NWB Pneumatic Angle In-Line Used Working
12531 Tylan General CMLB-11S06 Pressure Transducer CML Series Used Working
12532 MKS Instruments 127A-13427 Baratron Pressure Transducer Used Working
12533 MKS Instruments 127A-13431 Baratron Pressure Transducer Used Working
12534 MKS Instruments 127AA-00001A Baratron Pressure Transducer Used Working
12535 MKS Instruments 122AA-00100AB Baratron Pressure Transducer Used Working
12536 MKS Instruments 622A12TAE Baratron Capacitance Manometer Used Working
12537 MKS Instruments Type 127 Baratron Pressure Transducer Lot of 2 Used Working
12538 MRC Materials Research A114569 Stepper Motor Assembly Eclipse Star Used Working
12539 Electroglas Pneumatics Module Assembly Incomplete 200mm 4085X Horizon Used
12540 Keithley PIO-SSR-120 Multi-Channel Parallel Digital I/O PCB Board Used Working
12541 Eaton 544621 8-Port Serial Card 544611 PCB Board Used Working
12542 Texas Micro 92-005649-00X ISA SBC Single Board Computer 20-005650-02 Used
12543 Gast Manufacturing DOA-V127-DD Diaphragm Vacuum Pump Used Working
12544 MRC Materials Research 885-11-000 Analog Process PCB POS. 2 Rev. D Eclipse Used
12545 MRC Materials Research 884-53-000 Transfer Arm PCB Rev. B Board Eclipse Used
12546 MRC Materials Research 884-55-000 Stepper I/F Board PCB Rev. F Eclipse Star Used
12547 MRC Materials Research 884-55-000 Stepper I/F Board PCB Rev. H Eclipse Star Used
12548 MRC Materials Research 884-54-000 Analog Process PCB POS. 1 Rev. B Eclipse Used
12549 MRC Materials Research 884-54-000 Analog Process PCB POS. 3 Rev. B+ Eclipse Used
12550 MRC Materials Research 884-13-000 LED Indicator PCB Rev. D Eclipse Star Used
12551 MRC Materials Research 884-63-000 Sput Transformer PCB Lot of 3 Eclipse Used
12552 MRC Materials Research 884-60-000 Gas Interface PCB Rev. A Eclipse Star Used
12553 MRC Materials Research 884-60-000 Gas Interface PCB Rev. C Eclipse Star Used
12554 MRC Materials Research 884-99-000 Relay PCB Board Eclipse Star Used Working
12555 Farnell 144-010 Adjustable Power Supply PCB Board MRC Eclipse Star Used Working
12556 MRC Materials Research A111396 PCB Assembly 883-88-000 Eclipse Star Used Working
12557 MRC Materials Research A112990 Throttle Valve Assembly Rev. H Eclipse Star Used
12558 Key High Vacuum GV-6-AP-MRC Gate Valve MRC Eclipse Star Used Working
12559 Hine Design 02423-001 Arm Control Board PCB 778-2I GaSonics Aura A-2000LL Used
12560 Hine Design 885-2423 Arm Control Board PCB 778-1I GaSonics Aura A-2000LL Used
12561 Hine Design 02423-001 Arm Control Board PCB 06764-002 GaSonics A-2000LL Used
12562 Hine Design 02423-001 Arm Control Board PCB 06764-001 GaSonics A-2000LL Used
12563 GaSonics A90-005-06 Controller Board PCB A89-005-01 FabMation Aura A-2000LL Used
12564 GaSonics 90-2658 Controller Board PCB A89-005-01 Rev. A A-2000LL Used Working
12565 GaSonics 90-2658 Controller Board PCB A89-005-01 Rev. C A-2000LL Used Working
12566 GaSonics 90-2609 Display Decoder PCB A89-005-01 Rev. A A-2000LL Used Working
12567 GaSonics/IPC A90-014-01 Display Decoder PCB A89-014-01 A-2000LL Used Working
12568 GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. A A-2000LL Used Working
12569 GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. C A-2000LL Used Working
12570 GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. D A-2000LL Used Working
12571 MKS Instruments 127A-11008 Baratron Pressure Transducer Type 127 Used Working
12572 MKS Instruments 127AA-00001A Baratron Pressure Transducer Type 127 Used Working
12573 Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-005 Used
12574 Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-805 Used
12575 Hine 853-4290-002 Process Chamber Elevator GaSonics 94-1118 06763-805 Used
12576 Hine Design 853-4290-001 Load Lock Elevator GaSonics 94-1119 810-2140-005A Used
12577 Hine Design 200mm Chamber Robot Assembly Gasonics Aura A-2000LL Used Working
12578 Hine Design Inner Chamber Transfer Robot Assembly Gasonics Aura A-2000LL Used
12579 Novellus 90-2736 Dual Setpoint SCR Controller PCB Ver. D GaSonics A-2000LL Used
12580 Novellus 90-2736 Dual Setpoint SCR Controller PCB Ver. C GaSonics A-2000LL Used
12581 Novellus 90-2736 Dual Setpoint SCR Controller PCB Ver. B GaSonics A-2000LL Used
12582 Key High Vacuum GV-6AP-4010780 Gate Valve MRC Eclipse Star Used Working
12583 GaSonics A90-031-01 PLASMA/LAMP Failure Detection PCB Rev. C Aura A-2000LL Used
12584 GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. C Aura A-2000LL Used
12585 GaSonics A95-108-02-R-D LED and Interface Panel PCB A89-013-01 A-2000LL Used
12586 GaSonics A95-108-02-R-G LED and Interface Panel PCB A89-013-01 A-2000LL Used
12587 Ultratech Stepper 19887320033 Wide Field Optics Module UltraStep 1000 Used
12588 GaSonics A95-107-01-R-D LED and Interface Control Panel Aura 2000-LL Used
12589 GaSonics A95-107-01-R-D LED and Interface Control Panel Aura 2000-LL Used
12590 GaSonics A95-107-01-R-D LED and Interface Control Panel Aura 2000-LL Used
12591 CTI-Cryogenics 8120948G001 On-Board Waterpump MRC Eclipse Star Used Working
12592 Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Used Working
12593 Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Used Working
12594 Tencor Instruments 363251 4 Channel Motor Control PCB Card Rev. A AIT 1 Used
12595 Sanyo Denki US1D200P10 Micro Stepping Unipolar Driver Sanmotion F Used Working
12596 Omron S8VS-03005 DIN Rail Power Supply Reseller Lot of 4 Used Working
12597 Oriental Motor SB50W Brake Pack Reseller Lot of 4 Used Working
12598 Omron S8VS-24024 Power Supply DC24V 10A Used Working
12599 Sanyo Denki R2AA08075FBP77M AC Servo Motor Sanmotion R Hirata HQPLP-2DHP Used
12600 Sanyo Denki R2AA06020FXP77M AC Servo Motor Sumitomo ANFX-P110F-2RL3-9 Used
12601 Oriental Motor 4RK25GN-CW2M AC Magnetic Brake Motor Gearhead 4GN25S Used Working
12602 Sumitomo RNYX-1420-AG-30 Hyponic Drive and Gear Shaft Hirata HQPLP-2DHP Used
12603 Schneider Electric LOUTB-24 Tower Light Arrow Lot of 3 Hirata HQPLP-2DHP Used
12604 Asyst 04630-003 Load Elevator Indexer Hine GaSonics 94-1175 Aura 2000-LL Used
12605 TEL Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used
12606 TEL Tokyo Electron 3281-000088-12 PCB Y Interconnect 2 3208-000088-12 P-8 Used
12607 PRI Automation TRA035-LPS Track Controller KLA-Tencor 0000666-000 CRS-3000 Used
12608 Advanced Motion Controls PC3XD-PF1 X03 Pre-Aligner PCB Card Used Working
12609 MCSI Technologies 71800 ISA Passive Backplane Connector PCB Board Used Working
12610 KLA-Tencor 00102249-000 E84 Opto-Isolator PCB Board CRS-3000 Used Working
12611 Ampro Computers LB3-48E-Q-01 SBC Single Board Computer PCB CRS-3000 Used Working
12612 Diamond Systems EMERALD-MM V4B Digital I/O PCB Board 710145 KLA CRS-3000 Used
12613 Turbo-V 550 Varian 9699544S016 Turbomolecular Pump Controller Used Working
12614 Kimball Physics EGPS-1106A Electron Gun Power Supply KLA-Tencor eS20XP Used
12615 Brooks Automation 002-9400-04 Robot Controller KLA-Tencor eS20XP Used Working
12616 Brooks Automation TT1ENR2-1 Robot Teach Pendant KLA-Tencor eS20XP Used Working
12617 Brooks Automation 1-0125 Wafer Handling Robot KLA-Tencor eS20XP Used Working
12618 Brooks Automation 108378 DeviceNet Module BrooksNet DN KLA-Tencor eS20XP Used
12619 Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-3000 Used
12620 Ultrapointe 001000 Fast Z Controller PCB Rev. A KLA-Tencor CRS-3000 Used Working
12621 Ultrapointe 001050 Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working
12622 Ultrapointe 001008 Lon Motor PCB 00045 KLA-Tencor CRS-3000 Used Working
12623 GSI Lumonics 003-3002009 PCB Board 311-15593-1 KLA-Tencor CRS-3000 Used Working
12624 Ultrapointe 001019AD Lon I/O Expander Board PCB 001020T KLA-Tencor CRS-3000 Used
12625 Olympus NeoSPlan 5 NIC 0.13 IC 5 Objective KLA-Tencor CRS-3000 Used
12626 Olympus NeoSPlan 50 NIC 0.70 IC 50 Objective KLA-Tencor CRS-3000 Used
12627 Olympus NeoSPlan 20 NIC 0.40 IC 20 Objective KLA CRS-3000 Used Working
12628 Nor-Cal Products A121178 Manual Angle Isolation Valve Used Working
12629 Key High Vacuum SL-150-NA113802 Manual Isolation Valve Used Working
12630 MKS Instruments 2179A-22444 Mass Flow Controller Assembly 6LVV-DP6901-C Used
12631 MRC Materials Research A115355 Power Box A115395 Eclipse Star Used Working
12632 Stearns 2-12-1807-00-AEH Drive Assembly MRC Eclipse Star Used Working
12633 Stearns 2-12-1807-00-AEH Drive Assembly MRC A126257 Eclipse Star Used Working
12634 Dolan-Jenner 180 High Intensity Fiber Optic Illuminator Used Working
12635 Semiconductor Equipment Corp 4496-023 Pneumatic Manifold PCB 410 Bonder Used
12636 Semiconductor Equipment Corp 4496-022 Resistor PCB Board 410 Bonder Used Working
12637 Semiconductor Equipment Corp 4196-030 Amplifier PCB Board 410 Bonder Used
12638 SVG Silicon Valley Group 99-80293-01 I/O Expansion/LCD Interface PCB Rev. C Used
12639 Optrex DMF5005N LCD Display PCB Board SVG Silicon Valley Group 90S DUV Used
12640 SVG Silicon Valley Group 99-80316-01 Flow Switch Voltage Regulator PCB 90S Used
12641 TEL Tokyo Electron 2310-290647-7 Robot Pincette Ceramic Arm Used Working
12642 Mactronix STN-600 Manual Slide 150mm Wafer Cassette Transfer Tool Used Working
12643 H-Square WT28HLAS 200mm Manual Horizontal Wafer Transfer System Copper Cu Used
12644 H-Square WT6HLAS 150mm Manual Horizontal Wafer Transfer System Used Working
12645 H-Square WT6HLAS 150mm Manual Horizontal Wafer Transfer System Copper Cu Used
12646 H-Square WT6HLAS 150mm Manual Horizontal Wafer Transfer System Flat Cu Used
12647 H-Square MTM8 200mm Automatic Horizontal Wafer Transfer System Used Working
12648 Daitron 200mm Manual Horizontal Wafer Transfer System 8 Inch Used Working
12649 Pentagon Technologies 0020-24386 Cover Ring PB 150mm AMAT Applied Materials New
12650 Pentagon Technologies 0020-26403 Cover Ring Endura AMAT Applied Materials New
12651 Pentagon 0021-20572 Advanced 6″ Pedestal AMAT Applied Materials MRC Eclipse New
12652 Pentagon Technologies 0020-26589 6″ Preclean Shield AMAT Applied Materials New
12653 Pentagon Technologies 0020-24898 6″ Shield AMAT Applied Materials Endura New
12654 Pentagon Technologies PT-0943-0186 Lower Shield New
12655 Pentagon Technologies PT-0943-0192 Clamped Cover Ring TiW New
12656 Tencor Instruments P-11 Surface Profiler
12657 MDC CSM/Win-7200-QS2 Capacitance/Quasistatic CV Meter Assembly
12658 Pentagon Technologies PT-0943-0187 Upper Shield A/S New
12659 Pentagon Technologies PT-0143-0037 Gas Trench Cover New
12660 Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge 4861-65-GA-RM Used
12661 MRC Materials Research A114929 Plenum Chuck Assembly Eclipse Star Used Working
12662 MRC Materials Research Plenum Chuck S-A00290 Nupro SS-BNV51-C Eclipse Star Used
12663 MRC Materials Research Plenum Chuck Assembly Mosier S-A00290 Eclipse Star Used
12664 Keithley Instruments 595 Quasistatic Capacitance Voltage CV Meter Used Working
12665 ACS Electronics I-SERV-2-110 Anorad Power Conversion Equipment Used Working
12666 ACS Electronics I-SERV-1-110 Anorad Power Conversion Equipment Used Working
12667 Schaffner FN356-100-28 3 Phase EMC Power Line Filter 60287-21 New
12668 MDC Materials Development Corp 490 QuietChuck DC Controller Used Working
12669 Nemic-Lambda LUS10A-12 Power Supply GCMK-20X Used Working
12670 MRC Materials Research Etch Glow Start PS Module Eclipse Star Used Working
12671 MKS Instruments 2259C-11035 Mass Flow Controller Assembly Used Working
12672 MKS Instruments 2259C-11035 Mass Flow Controller Assembly 3E1 81 Used Working
12673 MKS Instruments 1259C-00100RVA Mass Flow Controller Assembly 3E1 81 Used Working
12674 Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working
12675 Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working
12676 TAZMO E0R05-2661 Pulse Generator PCB Card Semix TR6132U 150mm SOG Used Working
12677 TAZMO E0R05-2661A Pulse Generator PCB Card Semix TR6132U 150mm SOG Used Working
12678 TAZMO E0R05-1656 Protocol Controller PCB Card Semix TR6132U 150mm SOG Used
12679 TAZMO E0R05-1073C Decoder Controller PCB Card Semix TR6132U 150mm SOG Used
12680 TAZMO E0R05-1072F Processor Controller PCB Card Semix TR6132U 150mm SOG Used
12681 TAZMO E0R05-2708 Driver Receiver PCB Card Semix TR6132U 150mm SOG Used Working
12682 TAZMO E0R05-2977A Backplane Connector PCB Board Semix TR6132U 150mm SOG Used
12683 TAZMO Main Controller Module 20583 Hours Semix TR6132U 150mm SOG Used Working
12684 TAZMO E0R05-1068C Pulse Motor Servo Drive PCB Board Semix TR6132U 150mm SOG Used
12685 TAZMO AC Servo Unit Module Yaskawa CACR-PR01KA4BU Semix TR6132U 150mm SOG Used
12686 Mattson Technology 299-13000-00 Relay PCB Board 299-13001-00 Used Working
12687 Siemens FD63F250 Circuit Breaker FD6-A Sentron Series Used Working
12688 TAZMO Temperature Controller Module RKC REX-C 2000 RKC REX-C 2000 Used Working
12689 TAZMO E0R05-1625A Temperature Relay PCB Card Semix TR6132U 150mm SOG Used
12690 TAZMO E0R05-1812 Operator Panel PCB LM24008M Semix TR6132U 150mm SOG Used
12691 Sharp LM24008M 5.4 Inch LCD Transflective Display PCB Semix TR6132U SOG Used
12692 TAZMO E0R05-1813 Processor PCB Board PCB Semix TR6132U 150mm SOG Used Working
12693 TAZMO E0R05-2979B Interface PCB Board Semix TR6132U 150mm SOG Used Working
12694 TAZMO E0R05-1835 Operator Keypad PCB Board Semix TR6132U 150mm SOG Used Working
12695 TAZMO E0R05-1838 Connector PCB Board Semix TR6132U 150mm SOG Used Working
12696 TAZMO Pressure Gauge Panel Assembly Semix TR6132U 150mm SOG Used Working
12697 RKC Instruments REX-G9 Digital Temperature Controller Used Working
12698 RFX 1250 AE Advanced Energy 5012-000-J RF Generator 13.56MHz Used Tested Working
12699 RFX 1250 AE Advanced Energy 5012-000-B RF Generator 13.56MHz Used Tested Working
12700 MDX Pinnacle AE Advanced Energy 3152338-018 Dual Power Supply Untested As-Is
12701 Mitsubishi NV225-CS Circuit Breaker Reseller Lot of 2 Used Working
12702 Mitsubishi NV225-CF Circuit Breaker Reseller Lot of 2 Used Working
12703 Square D 4014001 Magnetic Circuit Breaker Reseller Lot of 2 Used Working
12704 CPS Control Process Systems GAS-SSR-MOD Test Module CPS-SSR-GAS-FSM Used Working
12705 Rorze RD-323M10 Micro Step Driver Used Working
12706 Acopian U24Y350 Unregulated Power Supply Used Working
12707 GaSonics 12N-010-13 Intel Mechanization PCB Used Working
12708 Omron C40H-C6DR-DE-V1 Programmable Controller SYSMAC C40H Used Working
12709 MKS Instruments 152H-P0 Pressure Controller Set 122AA-00010DB Baratron Used
12710 Sigmameltec PS-10N Adjustable Atmospheric Presure Switch Reseller Lot of 3 Used
12711 Spectronic Genesys 5 Spectrophotometer Milton Roy 336001 Used Tested Working
12712 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used
12713 Asyst Technologies 9700-9961-01 RFID Reader Advantag ATR Gateway Used Working
12714 Asyst Technologies 9700-9961-01 RFID Reader Advantag ATR Gateway Used Working
12715 Leica 567049 Microscope Objective PL Fluotar 2.5x/0.07 /- KLA 2132 Used Working
12716 Leica 567049 Microscope Objective PL Fluotar 2.5x/0.07 /- KLA 2132 Used Working
12717 Leica 567050 Microscope Objective PL Fluotar 10x/0.25 KLA 2132 Used Working
12718 Leica 567050 Microscope Objective PL Fluotar 10x/0.25 KLA 2132 Used Working
12719 Leica 567051 Microscope Objective PL Fluotar 20x/0.45 KLA 2132 Used Working
12720 Leica 567051 Microscope Objective PL Fluotar 20x/0.45 KLA 2132 Used Working
12721 Mitsubishi NF100-CWU3 075 Circuit Breaker NF100-CWU Reseller Lot of 4 Used
12722 Mitsubishi NV50-FAU 40A No-Fuse Circuit Breaker Reseller Lot of 4 Used Working
12723 Mitsubishi NV50-FAU 40A No-Fuse Circuit Breaker Reseller Lot of 4 Used Working
12724 SAGInoMIYA RNE-2N200HJ-025 Resistivity Meter TEL Tokyo Electron PR300Z Used
12725 Omron ZEN-10C2DR-D-V2 PLC CPU Unit Lot of 2 TEL Tokyo Electron PR300Z Used
12726 iH1000 HEAC Edwards A533-42-945 Dry Vacuum Pump System HCDP80 Refurbished
12727 iH1800 HEAC Edwards A533-25-945 Dry Vacuum Pump Used Tested Working
12728 HiPace 80 Pfeiffer PM P03 940 Turbomolecular Pump with TC110 Used Working
12729 Ebara A30W Multi-Stage Dry Vacuum Pump MP-BLOWER Overload Fault Tested As-Is
12730 CTI-Cryogenics 8052000 Cryo 8300 Compressor with Adsorber Used Tested Working
12731 Ebara A07V Dry Vacuum Pump
12732 Ebara A10S-B Multi-Stage Dry Vacuum Pump Blower Fault Tested Not Working As-Is
12733 Ebara A30 Dry Vacuum Pump
12734 Ebara A30WN Multi-Stage Dry Vacuum Pump 23627 Hours MP-BLOWER Fault Tested As-Is
12735 HC30 Kashiyama HC30B Screw Drive Dry Vacuum Pump No Feet Wheels Untested As-Is
12736 HC60 Kashiyama HC60B Screw Drive Dry Vacuum Pump Used Untested As-Is
12737 AMAT Applied Materials 0190-24484 Transponder and Sensor TLG-I2-AMAT-R1 Used
12738 AMAT Applied Materials 0240-50375 Transponder and Sensor TLG-I2-AMAT-R1 Used
12739 TDK S2091-86-001 Load Port Power Supply Assembly TAS300 Used Working
12740 TDK S2091-86-001 Load Port Power Supply Assembly TAS300 Used Working
12741 SMC 5-Port Pneumatic Manifold Lot of 2 SZ3360-5NLOZ-C6 Used Working
12742 KLA Instruments 710-658177-20 X-Interpolator Phase 3 PCB Card Rev. F0 Used
12743 KLA Instruments DD Assembly Board 710-650044-20 Rev. C4 Used Working
12744 Matrox IM-1280/E/1/4/F Video Board Image Series PCB KLA Instruments 2552X Used
12745 Matrox IM-CLD/AT/N Trigger and Video Board PCB KLA-Tencor 2552X Used Working
12746 KLA Instruments 710-659603-20 Y-SAC Single Axis Controller PCB Card 2132 Used
12747 KLA Instruments 710-658770-20 X-SAC Single Axis Controller PCB Card 2132 Used
12748 KLA Instruments 710-663509-000 Autofocus AF Control Board PCB Card 2132 Used
12749 KLA Instruments 710-650879-20 Dual Stepper Driver PCB Rev. B1 Robot-Z 2132 Used
12750 KLA Instruments 710-650879-20 Dual Stepper Drive PCB Shoulder Effector 2132 Used
12751 KLA-Tencor 870926 Processor Board TR2B.A.o PCB Card SE-7042 2552X Used Working
12752 Orchid 830-0135-1/C Video PCB Card Kelvin 64-VLB KLA Instruments 2132 Used
12753 KLA Instruments 941302 Communications Board PCB 23663-001 2132 Used Working
12754 SMC 61-600406-003 Ethernet Coax AUI PCB Card KLA Instruments 2132 Used Working
12755 KLA Instruments 710-652697-20 AVC PCB Card 2132 Used Working
12756 SMC PN4476 Communications PCB Card KLA 730-656503-00 2132 Used Working
12757 KLA Instruments 710-653699-20 MIB PCB Card 073-500100-00 2132 Used Working
12758 Micromanipulator Co. Series 4300 Probe Station StereoZoom 5 Used Untesed As-Is
12759 Aqua Media Ultraviolet Sterilizer
12760 Seiko Seiki STP-H1301L1 Turbomolecular Pump in pieces
12761 Ebara PDV250 Portable Dry Vacuum Pump Tested Not Working Needs Rebuild As-Is
12762 Perkin-Elmer REBG-PF-400 DI Ultek D-I Differential Ion Pump +HV Diode Used As-Is
12763 TDK TAS-LED Load Port Indicator Light Board PCB TAS300 Used Working
12764 KLA Instruments 740-658986-00 Pneumatic Vibration Isolator Set of 4 2132 Used
12765 KLA Instruments ZPOD 200mm Wafer Handling Robot 710-657412-20 2132 Tencor Used
12766 KLA Instruments ZPOD 200mm Wafer Handling Robot 710-657412-20 2132 Tencor Used
12767 UNIT Instruments 1100-100039 Mass Flow Controller UFC-1100A 10 SLM Ar Used
12768 APTech AP3550S 2PW FV4 FV4 Springless Diaphragm Valve Lot of 2 Used Working
12769 APTech AP3625S 2PW FV4 FV4 Manual Diaphragm Valve Reseller Lot of 6 Used
12770 Steag RTP Systems 7100-7870-06 AC Power Supply Used Working
12771 Fujikin Incorporated WVG-S2-Y-I38 Water Vapor Generator Used Working
12772 Fujikin Incorporated WVG-S2-Y-I35 Water Vapor Generator Used Working
12773 CTI-Cryogenics 8039345 Cryopump Pressurized Hose Lot of 4 Used Working
12774 CTI-Cryogenics 8043074 Cryopump Pressurized Hose G036 Used Working
12775 KLA Instruments 200mm Left Wafer Cassette Loader Stage 740-651233-01 2132 Used
12776 KLA Instruments 200mm Left Wafer Cassette Loader Stage 740-651233-01 2132 Used
12777 KLA Instruments 200mm Right Wafer Cassette Loader Stage 740-651233-02 2132 Used
12778 KLA Instruments 200mm Right Wafer Cassette Loader Stage 740-651233-02 2132 Used
12779 KLA Instruments Illuminator Power Supply Assembly Oriel 68868 2132 Used Working
12780 KLA Instruments Illuminator Power Supply Assembly Oriel 68868 2132 Used Working
12781 Reliance Motion Control MC-430 Brush Servo Drive Electro-Craft MAX-430 Used
12782 Todd Products MTX-253-0512F Component Type Custom Rectifier LR44594 Used Working
12783 Todd Products SC24-11F Component Type Custom Rectifier LR44594 Used Working
12784 OnTrak Systems 28-8875-002 OPTO Output Board PCB Used Working
12785 OnTrak Systems 22-8875-003 COMM Board PCB Used Working
12786 Gespac ICU-2A Inteconnect PCB Card GESICU-2A 8549 OnTrak DSS-200 Used Working
12787 OnTrak Systems 22-8875-005 Quad Micro Stepper PCB Card Used Working
12788 Gespac GESPIA-2A 8835 PCB Card PIA-2A OnTrak DSS-200 Used Working
12789 Gespac ADC-12A PCB Card GESADC-12A 9310 GESPCB-334 OnTrak DSS-200 Used Working
12790 Gespac MFI-1 PCB Card GESMFI-1 9119 OnTrak DSS-200 Wafer Scrubber Used Working
12791 KLA-Tencor 073-775012-00 Video Switch Module 2552X Analysis Station Used Working
12792 KLA-Tencor 710-658268-00 Y AMP Filter Board PCB 073-658267-00 2552X Used Working
12793 Bay Pneumatic BES4326 6-Port Manifold KLA Instruments 740-654246-00 2132 Used
12794 MRC Materials Research PBA 618793-002 Control PCB PSBC221S Eclipse Used Working
12795 Intel PBA 115970-008 Multibus PCB Card MRC Eclipse Star Used Working
12796 RadiSys 61-0575-10 PCB Card 60-0262-01 Eclipse Star 68-0070-11 Used Working
12797 MRC Materials Research 883-90-000 PCB Card Rev. A Eclipse Star Used Working
12798 MRC Materials Research 883-90-000 PCB Card Rev. X4 Eclipse Star Used Working
12799 Intel PBA 143461-015 Single Board Computer 88/40A PCB MRC Eclipse Used Working
12800 APTech AP1410S 2PW FV4 FV4 Manual Diaphragm Valve Used Working
12801 Swagelok SS-BNV51-C Bellow-Sealed Valve Lot of 2 Used Working
12802 Dynamic Display QES1014A-203 Operator Interface MRC Eclipse Star Used Working
12803 Electrohome 14H948GE2 Operator Interface Monitor 38-C05IMA-OP MRC Eclipse Used
12804 LH Research 851902-003 Power Supply EM1501-3/115 A1 Lot of 2 MRC Eclipse Used
12805 RKC Instruments CB100 Digital Temperature Controller Used Working
12806 HPS Vacuum Products NW40 Wing Nut Clamp KF40 Lot of 25 MKS Edwards Nor-Cal Used
12807 KLA Instruments 655-653668-00 Microscope Turret Assembly 740-651223-00 2132 Used
12808 KLA Instruments 655-653668-00 Microscope Turret Assembly 740-651223-00 2132 Used
12809 Eastern Air Devices LA23GCKC-2A1 Focus Zoom Motor KLA 740-659653-00 2132 Used
12810 Eastern Air Devices LA23GCKC-2A1 Focus Zoom Motor KLA 740-659653-00 2132 Used
12811 KLA Instruments 655-658899-00 Laser Optics Lens and Mirror Assembly Used Working
12812 KLA Instruments 760-651952-00 Laser Optics Lens Assembly 2132 Used Working
12813 Lambda LFS-40-2 Regulated Power Supply KLA Instruments 2132 Used Working
12814 MRC Materials Research Corp A128814 Power Supply Eclipse Star Used Working
12815 Power-One MAP130-1012 DC Power Supply Reseller Lot of 2 Used Working
12816 Power-One MAP180-1005 DC Power Supply MAP180-4003 Reseller Lot of 3 Used Working
12817 Power-One HBB5-3/OVP-A Power Supply International Series Reseller Lot of 3 Used
12818 Turbo-V 70D Varian 9699361 Turbomolecular Pump and Controller Set 969-9505
12819 Power-One HB120-0.2-A Compact Power Supply HAA15-0.8-A Reseller Lot of 6 Used
12820 Power-One HE15-9-A Power Supply HE24-7.2-A HDCC-150W-A Reseller Lot of 3 Used
12821 LH Research 851902-003 Power Supply EM1501-3/115 A1 Reseller Lot of 2 Used
12822 Nemic-Lambda RWS100A-12/A Compact Power Supply RWS 100A Used Working
12823 Nemic-Lambda RWS100A-15/A Compact Power Supply RWS 100A Used Working
12824 Ebara Technologies 80×25 Multi-Stage Dry Vacuum Pump System Untested As-Is
12825 Nemic-Lambda EWS LUS Series Compact Power Supply Reseller Lot of 8 Used Working
12826 Indramat 222721 Servo MAC112C-0-ED-1-B/130-A-0/-I00625/S005 AMAT 1080-90009 Used
12827 Edwards UHV Straight Reducer Nipple Adapter ISO250 ISO-F to 14.125″ 12-Hole Used
12828 AG Associates 7100-5425-03 Six Gas Interlock PCB 7310-4323-01 Used Working
12829 Omron CPM1A-40CDR-D Programmable Controller CPM1A-20EDR I/O Unit Used Working
12830 KLA Instruments 710-658076-20 Rev. C0 Defect Processor PCB 2132 Used Working
12831 KLA Instruments 710-658232-20 Rev. G0 K.L.A. Memory Controller Phase 3 PCB Used
12832 VAT 16548-PA21-AAB1 Pneumatic Pendulum Isolation Valve Series 16.5 New Surplus
12833 VAT 92548-PA21-AAK1 Pneumatic Pendulum Isolation Valve AMAT 3870-02618 New
12834 Shimadzu EI-D3603M Prototype1 Turbomolecular Pump Controller Used Tested Working
12835 TURBOTRONIK NT 20 Leybold 857 20 Pump Controller NT20 V1.4 Used Tested Working
12836 RF30H RFPP RF Power Products 660-093816-001 RF Generator 7522170011 Tested As-Is
12837 CPS-250 Comdel CPS-250/3.39 RF Power Source 250W @ 3.39Mhz Used Tested Working
12838 PDX 1250 AE Advanced Energy 3156024-030 B RF Generator Used Tested Working
12839 PDX 500 AE Advanced Energy 3156024-105 RF Generator F/R B Used Tested Working
12840 Edwards Seiko Seiki SCU-A1603C
12841 PDX II AE Advanced Energy 3150310-100A Plasma Drive 2000 Used Tested Working
12842 Yaskawa XU-RC350D-D91 Dual End Effector Wafer Handling Robot Untested As-Is
12843 Yaskawa XU-RC350D-D91 Dual End Effector Wafer Handling Robot Untested As-Is
12844 iGX100L Edwards A591-10-958 Dry Vacuum Pump No Power Tested Not Working As-Is
12845 KLA Instruments 710-657068-20 AF Pulse Sensor Preamp Rev. EBO 2132 Used Working
12846 Riken Keiki RKP-62069 Indicator Alarm H2 Sensor GP-581 Lot of 2 Used Working
12847 Asyst Technologies 3200-1112-01 PCB Card 3000-1112-01 Lot of 5 Used Working
12848 PITTMAN GM8712J110 Servo Motor LO-COG Used Working
12849 PITTMAN 14202D764 Servo Motor LO-COG Used Working
12850 KLA Instruments 710-659412-00 Rev. B0 Mass Memory PCB 700-659412-00 2132 Used
12851 KLA Instruments 710-658041-20 Rev. C0 Alignment Processor Phase 3 PCB 2132 Used
12852 KLA Instruments 710-658363-20 Rev. C0 DF Assembly Board 2132 Used Working
12853 KLA Instruments 710-650099-20 Rev. L0 KLA DP PCB Card 073-650098-00 2132 Used
12854 KLA Instruments 710-659274-20 Rev. E0 KLA PLA-8 Assembly 2132 Used Working
12855 KLA Instruments 710-658046-20 Rev. E0 Processor Board 2132 Used Working
12856 KLA Instruments 710-659412-00 Rev. C1 Alignment Processor (AP1) Phase 3 Used
12857 KLA Instruments 710-659724-00 Defect Filter PCB Card Rev. C0 2132 Used Working
12858 KLA Instruments 710-658086-20 Rev. D0 Interface 1 Phase 3 PCB 2132 Used Working
12859 KLA Instruments 710-652840-20 Rev. D3 KLA RIF PCB 2132 Used Working
12860 KLA Instruments 710-659465-20 Rev. A0 8IB PCB Card 2132 Used Working
12861 KLA Instruments 710-651090-20 Rev. H0 PCB Board 073-651089-00 2132 Used
12862 Brooks Automation WTM511-2-FWS02-V1-CU Wafer Robot AMAT 0190-08246 Copper Used
12863 Brooks Automation 6-0002-0706-SP Wafer Robot WTM AMAT 0190-08246 Copper Used
12864 Brooks Automation 6-0000-4663-PC Wafer Robot AMAT 0195-02883 Copper Exposed Used
12865 Brooks Automation WTM-511-2-FWS02-V1 Wafer Handling Robot AMAT 0190-08245 Used
12866 Yaskawa XU-RC350D-D91 Dual End Effector Wafer Handling Robot Incomplete As-Is
12867 Burkert DA2.S Flow Switch Damper Actuator Tee Valve New Surplus
12868 Haug Biel AG HB-0521 Static Line Charge Eliminator 01.7780.400 EN SL RLC New
12869 Tektronix 7603 Mobile Oscilloscope Scope-Mobile 203-2 Used Tested Working
12870 Veeco MS-9 Leak Detector System Welch Duo-Seal 1400 Tested No Working As-Is
12871 Saia-Burgess Controls PCD3.M3120 Central Processing Unit Saia PCD New Surplus
12872 Grundfos 49Z52251-P1-0522 002 05 Vertical Pump TP 40-160/2 X-6-A-RUUE New
12873 KLA Instruments 710-658787-00 Light Level Sensor PCB 2132 Used Working
12874 KLA Instruments 710-657058-20 A/F LED Driver PCB Set of 2 2132 Used Working
12875 Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR QC-20C-S44 Used untested As-Is
12876 Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR Missing Cover untested As-Is
12877 Danfoss 178B7654 Frequency Converter VLT 5000 VTL5016PT5C20STR3DLF13A00C0 New
12878 Bosch 1070917161-101 Servo Module SM-4.7/20-G16 B48674-003 MOOG Used Working
12879 Bosch 1070917160 Servo PCB Module SM 3.5/8 G16 B48359-003 MOOG Used Working
12880 Bosch 1070917160-101 Servo PCB Module SM 3.5/8 G16 B48359-004 MOOG Used Working
12881 Saia-Burgess PCD7.D202 Text Terminal Display Module New Surplus
12882 IC Electronic 97-00-34 P-Line AC Semiconductor Contactor SC 3 DD 4020 New
12883 Vacuubrand MZ 2C Chemistry Diaphragm Vacuum Pump Used Tested Working
12884 Belimo AM230-S Open Close Damper Actuator 230VAC 18Nm New Surplus
12885 WEG Motors and Drivers GG24765 Electric Motor 220-480VAC New Surplus
12886 Matrix System 10 Style 1104 100-200mm Wafer Descum System Chamber Untested As-Is
12887 Ebara A30W Multi-Stage Dry Vacuum Pump 20092 Hours VAC2D Used Tested Working
12888 Ebara A30W Multi-Stage Dry Vacuum Pump 9008 Hours with VAC2D Used Tested Working
12889 QMB500 Edwards A302-86-905 Mechanical Booster Vacuum Pump 60Hz QMB Refurbished
12890 QMB500 Edwards A302-86-905 Mechanical Booster Vacuum Pump 60Hz QMB Refurbished
12891 HiPace 80 Pfeiffer Vacuum PM P03 940 A Turbo Pump w/TC 110 Used Tested Working
12892 HiPace 80 Pfeiffer Vacuum PM P03 940 A Turbo Pump w/TC 110 Used Tested Working
12893 TMH 071 P Pfeiffer PM P02 980 C Turbomolecular Pump Turbo Used Tested Working
12894 AMAT Applied Materials 0100-90025 24V 1A Power Supply PCB Card Issue Y XR80 Used
12895 AE Advanced Energy 3157507-011 PE-10K
12896 AE Advanced Energy 3157512-006 PE Power Pack F/R A
12897 AE Advanced Energy 3157512-006 PE Power Pack F/R C
12898 AE Advanced Energy 3157512-006 PE Power Pack F/R D
12899 AE Advanced Energy 3157512-008 PE Power Pack F/R C
12900 AE Advanced Energy 3157515-000 PE-10/20K
12901 AE Advanced Energy 7512-006-A PE Power Pack
12902 AE Advanced Energy 7512-006-B PE Power Pack
12903 AE Advanced Energy 7514-002A PE-20/30K
12904 AE Advanced Energy 7515-000-A PE-20/30K
12905 AE Advanced Energy 7515-000-C PE-10/20K
12906 VAT 14044-PE44-0004 HV Pneumatic Actuated Gate Valve Used Working
12907 AMAT Applied Materials 0100-90831 Scan Clock PCB Card 0120-93033 XR80 Used
12908 TURBOTRONIK NT 20 Leybold 857 21 Pump Controller NT20 SW2.6 Used Tested Working
12909 Halmar Robicon 115Z-C SSR Power Control Panel 22159 Reseller Lot of 2 Used
12910 Ebara A10S Multi-Stage Dry Vacuum Pump with 30997 Hours Used Tested Working
12911 MDX-052 AE Advanced Energy 2052-000-B Magnetron Remote Interface Used Working
12912 MDX-052 AE Advanced Energy 2052-018A Magnetron Remote Interface Used Working
12913 MDX-052 AE Advanced Energy 2052-018-B Magnetron Remote Interface Used Working
12914 Ebara A10S Multi-Stage Dry Vacuum Pump with 50538 Hours Used Tested Working
12915 Ebara A10S Multi-Stage Dry Vacuum Pump with 49499 Hours Used Tested Working
12916 Ebara A10S Multi-Stage Dry Vacuum Pump with 30634 Hours Used Tested Working
12917 Ebara A30W Multi-Stage Dry Vacuum Pump 27941 Hours MP-BLOWER Fault Tested As-Is
12918 Ebara A30W Multi-Stage Dry Vacuum Pump 7884 Hours MP-MOTOR Fault Tested As-Is
12919 CTI-Cryogenics 8052000 Cryogenic Helium 8300 Compressor Used Tested Working
12920 Leybold 160 91 Pressure Switch PS 112 Ex E Ex ib II C T6 Used Working
12921 Leybold 287 25 B1 Right Angle Pneumatic Vacuum Valve ISO63 Used Working
12922 Pradler-Getriebetechnik 92090185 Linear Actuator Assembly Used Working
12923 Leybold 160 04 Vacuum Pressure Switch PS 115 Used Working
12924 Leybold 297 21 Right Angle Pneumatic Vacuum Valve NW16 Used Working
12925 Nor-Cal Products CSTVP-1502-CF-S12 Pneumatic Straight-Through Poppet Valve Used
12926 Nor-Cal Products CSTVP-1502-CF Pneumatic Straight-Through Poppet Valve Used
12927 PMT MORI 200 RF-Driven Helicon Plasma Source 13.56 MHz Used Working
12928 Rorze Automation RC-233 Generate Master I/O Controller Module Used Working
12929 Nor-Cal Products 11222-0400R Pneumatic Linear Gate Valve Used Working
12930 Vacuum Apparatus 125-0400 UHV Pneumatic Linear Gate Valve Used Working
12931 Granville-Phillips 307091 Rack Mount Vacuum Gauge Controller 01 Used Working
12932 Ebara VIF70AM1 Vacuum Control Panel Interface Module AMAT P5000 Used Working
12933 Ebara Vacuum Control Panel Interface Module Omron H3BH AMAT P5000 Used Working
12934 Tencor Instruments 077860 PCB Card 058629 Surfscan 4500 KLA-Tencor Used Working
12935 Tencor Instruments CDI CPU-9 MM/BS PCB Card Surfscan 4500 KLA-Tencor Used
12936 Tencor Instruments 077054 PCB Card 077046 Surfscan 4500 KLA-Tencor Used Working
12937 DY4 Systems DY00469-H-A1-304D 10/83 PCB Card Tencor 060534 Surfscan 4500 Used
12938 SPC STD-Z80 CPU II Processor Card PCB Tencor 063983 KLA Surfscan 4500 Used
12939 DY4 Systems DY00485-H-A1-3 Processor PCB Card Tencor Surfscan 4500 Used Working
12940 DY4 Systems PD-STD503-000-001 Processor PCB Card Tencor Surfscan 4500 Used
12941 Tencor Instruments 054135 PCB Card 054143 Surfscan 4500 KLA-Tencor Used Working
12942 Tencor Instruments 128066 PCB Card 128074 Rev. C Surfscan 4500 KLA-Tencor Used
12943 Tencor Instruments 054291 Keyboard Panel PCB Surfscan 4500 KLA-Tencor As-Is
12944 Tencor Instruments 079553 Photomultiplier Assembly R2066-03 Surfscan 4500 Used
12945 General Scanning Z1986 Laser Mirror Tencor Surfscan 4500 KLA-Tencor Used Working
12946 Tencor Instruments Wafer Cassette Sender/Reject Indexer Surfscan 4500 KLA Used
12947 Tencor Instruments Wafer Cassette Accept Indexer Surfscan 4500 KLA Used Working
12948 Optem International 25-81-01 HF Video Microscope Electroglas 4085x Horizon Used
12949 Optem 28-90-77 HV Video Microscope Stage Electroglas 4085X Olympus SZ-STP Used
12950 Electroglas 255901-001 200mm Wafer Prober Stage Electroglas 4085X Used Working
12951 Electroglas 256266-001 3 CCD Camera Logic Board PCB Electroglas 4085X Used
12952 Electroglas 248228-002 QIK LDR/WFR Sensor Interface Board PCB Rev. P 4085X Used
12953 Electroglas 200mm Wafer Handling Linear Transport 247012-001 4085X Working Spare
12954 Electroglas 200mm Wafer Prealigner Inspection Stage Camera 256286-001 4085X Used
12955 Edwards C41211000 Right Angle Isolation Valve PV16PKA B Used Working
12956 Computer Products PM342 Power Conversion Linear Series Reseller Lot of 4 Used
12957 Omnitron Systems 4320 Ethernet Fiber Media Converter FlexPoint 10T/2 Used
12958 SMC VXZ2240L Pilot Operated Solenoid Valve VXZ Reseller Lot of 4 Used Working
12959 MKS Instruments 141AA-00010BB-S Baratron Vacuum Switch Used Tested Working
12960 MKS Instruments 141AA-00001BB-S Baratron Vacuum Switch Used Tested Working
12961 MKS Instruments 141A-13670—-S Baratron Vacuum Switch Used Tested Working
12962 MKS Instruments CT27A13TDC910 Digital Baratron CommunicaTorr Used Tested Working
12963 MKS Instruments CT27A11TDC910 Digital Baratron CommunicaTorr Used Tested Working
12964 MKS Instruments 627A.1TAD—–S Baratron Transducer Used Tested Working
12965 Balzers TPG 252 A Vacuum DualGauge TPG-252A TPG-252-A Used Tested Working
12966 MKS CV7627B-41 Baratron Vacuum Isolation System 627B-27102 Tested Used Working
12967 MKS CV7627A-05 Vacuum Isolation System 627A.1TAD—–S 722A Tested Used Working
12968 MKS Instruments 225A-25538 Baratron Differential Transducer AMAT 0190-17150 New
12969 MKS Instruments 850B12PCD2GC Baratron Pressure Transducer AMAT 1350-01303 New
12970 MKS Instruments 51A13TCA1AA999 Mini Vacuum Pressure Switch AMAT 1350-01331 New
12971 MKS Instruments 51A13TCA1BA800 Mini Baratron Vacuum Pressure Switch New Surplus
12972 MKS Instruments 51A13TCA2BA800 Mini Baratron Vacuum Pressure Switch New Surplus
12973 MKS Instruments 51A13TCA2AA800 Mini Baratron Vacuum Pressure Switch New Surplus
12974 MKS Instruments 750C13TCE2GA Absolute Pressure Transducer Used Tested Working
12975 MKS Instruments 750B11TCD2GG Absolute Pressure Transducer Used Tested Working
12976 ASTeX Applied Science AX7650 Remote Plasma Source ASTRON Used Tested Working
12977 MKS Instruments 51A13TCA1AA850 Baratron Pressure Switch Used Tested Working
12978 MKS Instruments 51A11TCA2BA002 Baratron Pressure Switch Used Tested Working
12979 MKS Instruments 51A13TCA1AA999 Baratron Pressure Switch Used Tested Working
12980 MKS Instruments 51A13TCA2BA700 Baratron Pressure Switch Used Tested Working
12981 MKS Instruments 41A12DCA2BA050 Baratron Pressure Switch Used Tested Working
12982 MKS Instruments 41A11DCD2BA003 Baratron Pressure Switch Used Tested Working
12983 MKS Instruments 127A-13431 Baratron Pressure Transducer Tested Not Working As-Is
12984 MKS Instruments 141A-11442—-s Vacuum Switch Tested Not Working As-Is
12985 MKS Instruments R750B-14606 Baratron Signal Conditioner Module Used Working
12986 MKS Instruments CV7627A-05 Isolation System Signal Conditioner Module Used
12987 MKS Instruments CV7627A-05 Isolation System Signal Conditioner Module Used
12988 MKS Instruments CV7627A-05 Isolation System Signal Conditioner Module Used
12989 MKS Instruments CV7627A-01 Isolation System Signal Conditioner Module Used
12990 MKS Instruments 627A.1TAD—–S Baratron Pressure Transducer Used Tested As-Is
12991 MKS Instruments 627A.1TAD—–S Baratron Pressure Transducer Used Tested As-Is
12992 MKS Instruments 627A.1TAD—–S Baratron Transducer No Output Used Tested As-Is
12993 MKS Instruments 750B11TCD2GG Baratron Pressure Transducer Used Tested Working
12994 TMH 071 P Pfeiffer Vacuum PM P02 980 C Turbomolecular Pump with TC100 Used
12995 TMU 262 X Pfeiffer PM P03 115 Turbomolecular Pump w/TC100 70955 Tested Working
12996 Leeson C42D17FK1C Direct Current Permanent Magnet Motor Reducer W6215034 Used
12997 A-B Allen-Bradley 161S-AA04NPU Adjustable Frequency Drive Series B Used Working
12998 Carlo Gavazzi SSRM2.2 Relay Assembly RA4890-D12 90A @ 480VAC Used Working
12999 Modus Instruments T30-09E Pressure Transmitter Reseller Lot of 3 Used Working
13000 Schmitt-Kreiselpumpen MPN 130 Fluid Pump Emod Motoren Type 71L/2 Used Working
13001 GE Fanuc Series 90-30 10-Slot PLC Controller System IC693CPU313V Used Working
13002 DNS Screen CEMB-0015 Main Power Distribution Module SC-W60A-AV Used Working
13003 DNS Dainippon Screen CESB-2012 Processor PCB Card BP-0008B SC-W60A-AV Used
13004 DNS Dainippon Screen CEBB-1011 Bake Controller PCB Card AP-1031D AP-1059B Used
13005 Ebara 1606W-TF Turbo-Molecular Pump Controller AET16-4490C – TEST
13006 Tylan General CMLB-11S06 Baratron Capacitance Gauge CML Used Tested Working
13007 Tylan General CMLB-11S06 Baratron Gauge Millipore CMLB1106 Used Tested Working
13008 Millipore CMLB-11S06E Baratron Capacitance Gauge CMLB1106E Used Tested Working
13009 Tylan General CMLA-21 Baratron Gauge Lam 853-017643-003-D Used Tested Working
13010 Tylan General CMLA-21 Baratron Lam 853-017643-003-HY-LEAN Used Tested Working
13011 Tylan General CMLA-21 Baratron Lam 853-017643-003-H-LEAN Used Tested As-Is
13012 Tylan General CMLA-21 Baratron Lam 853-017643-003-F-C139 Used Tested Working
13013 Millipore CMLA-21 Baratron Gauge Lam 853-017643-003-H-LEAN Used Tested Working
13014 AMAT Applied Materials Bezel and Front Panels Precision 5000 Mark II P5000 Used
13015 AMAT Applied Materials 0190-38830 Slit Valve Door Plate New Surplus
13016 APTech AP3625SM DUAL V AM/2 Manual Line Regulator Reseller Lot of 3 Used Working
13017 Swagelok 6LV-DAFR4-P-BL-6820 Manual Diaphragm Valve Reseller Lot of 4 Used
13018 Total Control 0980011-01 Teach Pendant Operator Interface Used Working
13019 iL70N Edwards A533-55-945 Dry Vacuum Pump Copper Exposed Used Tested Working
13020 iL70N Edwards NRB4-46-945 Dry Vacuum Pump 50860 Hours Copper Used Tested Working
13021 Pentagon Technologies 16-126664-01 1 Stage Shield Novellus New Surplus
13022 AMAT Applied Materials 0010-76001 5000 Cleanroom Storage Elevator P5000 Used
13023 DNS Dainippon Screen 2VC12556 Interconnect Module SC-W60A-AV Used Working
13024 DNS Dainippon Screen CEMR-0011 Power Module AP-1224B SC-W60A-AV Used Working
13025 Oriental Motor UDX5107 5-Phase Driver Super Vexta Used Working
13026 DNS Dainippon Screen AP-1186B Start Stop Keypad Board PCB SC-W60A-AV Used
13027 Sanoh IDP-0-2 Start Stop Keypad Board PCB Screen SC-W60A-AV Used Working
13028 AMAT Applied Materials 0010-09263 Precision 5000 Pneumatic Panel 0100-09008 Used
13029 AMAT Applied Materials 0100-09134 DIO Fuse Board PCB P5000 Precision 5000 Used
13030 AMAT Applied Materials 0100-09107 TEOS Gas Interface PCB Precision P5000 Used
13031 AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface PCB P5000 Used
13032 AMAT Applied Materials 0100-09224 Expanded RS232 Interconnect PCB P5000 Used
13033 AMAT Applied Materials 0100-70019 Controller Distribution WPS PCB P5000 Used
13034 AMAT Applied Materials 0100-35180 DPA System Distribution Board PCB P5000 Used
13035 AMAT Applied Materials 0100-09362 DPA DIDO Piggy-Back Board PCB P5000 Used
13036 AMAT Applied Materials 0100-09153 Gas Panel Board PCB P5000 Precision 5000 Used
13037 AMAT Applied Materials 0100-09237 Dual FREQ Wiring Interconnect PCB P5000 Used
13038 AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. G Used Working
13039 Edwards C41646000 Pneumatic Isolation Valve IPV40PKA-NPT Used Working
13040 IPUP E100L Edwards A535-72-945 Dry Vacuum Pump 1072 Hours AMAT Tested As-Is
13041 Micromanipulator Model 110 Precision Pneumatic XYZ Right Probe Positioner Used
13042 AMAT Applied Materials 0226-48119 Time Delay Panel Precision 5000 P5000 Used
13043 AMAT Applied Materials 0100-09011 AI MUX/CURRENT SENSE PCB Rev. G P5000 Used
13044 AMAT Applied Materials 0100-00014 OPTO Detect PCB Card Precision 5000 P5000 Used
13045 AMAT Applied Materials 0100-09009 Buffer I/O PCB Card Rev. H Precision 5000 Used
13046 Lambda Electronics LIS-7I-15 Power Supply PCB Card +15VDC Used Working
13047 Lambda Electronics LIS-7I-15 Power Supply PCB Card +15VDC Used Working
13048 Lambda Electronics LIS-7I-15 Power Supply PCB Card +15VDC Used Working
13049 AMAT Applied Materials 0100-11002 Digital I/O PCB Card Precision 5000 P5000 Used
13050 AMAT Applied Materials 0010-70001 8″ Cassette Handler 0223-09246 P5000 Used
13051 AMAT Applied Materials 0010-13445 Stand Alone VGA Monitor Base P5000 Used
13052 CTI-Cryogenics 3620-00503 IS-1000 Compressor LV AMAT Used Tested Working
13053 CTI-Cryogenics 3620-00503 IS-1000 Compressor LV AMAT Used Tested Working
13054 CTI-Cryogenics 3620-00503 IS-1000 Compressor LV AMAT Used Tested Working
13055 McLean Engineering UES17H115S29 Fantray AMAT 0190-70066 P5000 Used Working
13056 TMH 071 P Pfeiffer Vacuum PM P02 980 C Turbomolecular Pump with TC100 Used
13057 TMH 071 P Pfeiffer Vacuum PM P02 980 C Turbomolecular Pump with TC100 Used
13058 TMU 262 X Pfeiffer PM P03 115 Turbomolecular Pump w/TC100 36967 Tested Working
13059 AMAT Applied Materials 0021-78097 UPA Manifold Assembly ITV2031-31N3N4-X97 Used
13060 MRC Materials Research D119691 12″ Preclean Bell Jar 4629211-0001 Eclipse Used
13061 MDC Vacuum Products KAV-100-P-SP Pneumatic Angle Valve HV Series Used Working
13062 MDC Vacuum Products KAV-100-P-SP Pneumatic Angle Valve HV Series Used Working
13063 MRC Materials Research A112936 Power Supply Assembly Eclipse Star Used Working
13064 MRC Materials Research A118037 Pneumatic Manifold Set of 2 Eclipse Star Used
13065 Hitachi FFL100-HB11 EMC Compatibility Filter 3T016025-1 Used Working
13066 HiPace 80 Pfeiffer PM P03 940 Turbomolecular Pump with TC 110 Used Working
13067 TeleFrank TEP110-24 AC-DC Converter 013500-510-27 Power Supply Used Working
13068 TDK TAS-RIN8 Backplane Interface Board PCB TAS300 300mm Load Port Used Working
13069 TDK TAS-CNEXT Load Port Interface Board PCB Reseller Lot of 2 TAS300 Used
13070 TDK TAS-IN6 Backplane Interface Board PCB Rev. 1.10 TAS300 Load Port Used
13071 TDK TAS-IN6 Backplane Interface Board PCB Rev. 1.20 TAS300 Load Port Used
13072 TDK TAS-IN6 Backplane Interface Board PCB Rev. 2.30 TAS300 Load Port Used
13073 TDK TAS-RIN11 Backplane Interface Board PCB Rev. 1.30 TAS300 Load Port Used
13074 TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Load Port Used Working
13075 TDK TAS-IN8 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working
13076 TDK TAS-IN12 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working
13077 TDK TAS-SW LED SW1 Indicator Board PCB Rev. 5.10 TAS300 Load Port Used Working
13078 TDK TAS-LED Indicator Light Board PCB Rev. 6.01 300mm TAS300 Load Port Used
13079 TDK TAS-LED Indicator Light Board PCB Rev. 5.11 300mm TAS300 Load Port Used
13080 Brooks Automation 812100055 LED Light Board PCB 013501-155-17AEZ02 TAS300 Used
13081 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.10 TAS300 Load Port Used Working
13082 AMAT Applied Materials 0190-24484 Transponder with Antenna 0190-10813 Spare
13083 AMAT Applied Materials 0190-24484 Transponder with Antenna 0190-10813 Spare
13084 Brooks Automation TLG-I2-FL6M-01 Transponder Reader Sensor ANT-ID2-INFIXR6M Used
13085 Hermos TLG-I1-AMAT-01 Transponder Reader ASC-I1 Set with Sensor ANT-2K15 Used
13086 Omron V640-HAM11-V2 RFID Amplifier Unit with CIDRW Head V640-HS61 Used Working
13087 Sanyo Denki PMDPC1C3PA0 PM Driver AMAT 1080-00202 SD Stepper 0130-00537 Used
13088 Asyst Technologies 9700-9961-01 RFID Reader Advantag ATR Gateway Rev. E Used
13089 EXT 70H 24V Edwards B722-26-991 Turbomolecular Pump ISO100 Used Working
13090 IPX 500 Edwards NXD5-14-000 Dry Vacuum Pump Needs Rebuild Used Tested Working
13091 SV65 Leybold SOGEVAC SV65BIFC Vacuum Pump SV 40/65 Oil in Intake Tested As-Is
13092 TURBOVAC 360CSV Leybold 00903 Turbomolecular Pump ISO100 Used Working
13093 Inficon 250-220 Pneumatic Vacuum Angle Valve VAP025-A Used Working
13094 Inficon IGG26000 Compact Full Range Vacuum Gauge PKR251 Used Working
13095 Inficon IGG26750A Compact Pirani Vacuum Gauge TPR265 Used Working
13096 VAT 14046-PE44-AAL1 Pneumatic High Vacuum Gate Valve Used Working
13097 Granville-Phillips 275 Convectron Gauge Controller Used Tested Working
13098 Granville-Phillips 275 Convectron Gauge Controller Used Tested Working
13099 Beijer Electronics 02440G Graphic Operator Terminal Interface E700 Used Working
13100 Kokusai Electric D2E01306 PCMCIA PC Card Reader PCB CARD2-IF A/0 Vertron Used
13101 Kokusai Electric D2E01362 Processor Board PCB LCONT2/A0 Vertron Used Working
13102 Kokusai Electric N214-1003 Vertron Keypad Input Board PCB Used Working
13103 Kokusai Electric D3E01195A Video Graphic Board GRAPH A/1 PCB Vertron Used
13104 Sharp LJ512U25 EL Display LCD Panel Screen 8.6″ 640×480 Used Working
13105 Kokusai Electric CX1103 Tube Controller Operator Interface CX-2000 CX13IPL As-Is
13106 Varian Semiconductor Equipment SD-40 Rotary Vane Vacuum Pump Used Tested Working
13107 TCP 380 Pfieffer PM C01 680 Turbomolecular Pump Controller – Test
13108 CTI-Cryogenics 8096-013 G001 Cryogenic Compressor Helix – Test
13109 EXT250 HP Edwards B74004000 R Turbomolecular Pump Untested As-Is
13110 Varian 843 Vacuum Ioniziation Gauge Controller – Test
13111 Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 V2.31 OPTISTATION 3A Used
13112 MKS Instruments PDR-C-2C Power Supply Readout – Test
13113 MKS Instruments PDR-C-1B Power Supply Readout – Test
13114 Leroy Somer UL-E68554-G LSMV Vacuum Pump Induction Motor Used Tested Working
13115 Osaka Vacuum TGI300 Compound Turbomolcular Pump Used Untested As-Is
13116 Yaskawa SGDA-02A12A Servo Drive Servopack 200V with Cable Set Used Working
13117 Sanyo Denki PM-UDPD2A01-30 Servo Drive PM Driver Used Working
13118 TEL Tokyo Electron U1650B10 Backplane Interface Board PCB Used Working
13119 TEL Tokyo Electron U1600B10 Connector Interface Board PCB Used Working
13120 SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. E Used
13121 SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. A Used
13122 STEC SEF-4500M Mass Flow Meter SEF-4500 10 LM N2 Used Working
13123 STEC SEC-4500MC-SUC-016 Mass Flow Controller SEC-4500 10 LM H2 Used Working
13124 UNIT Instruments UFM-1100 Mass Flow Meter 200 SCCM N2 Used Working
13125 UNIT Instruments 1100-100137 Mass Flow Meter UFM-1100 200 SCCM N2 Used Working
13126 UNIT Instruments 1100-100137 Mass Flow Meter UFM-1100 200 SCCM N2 Used Working
13127 UNIT Instruments 1100-100232 Mass Flow Meter UFM-1100 200 SCCM N2 Used Working
13128 Force Computers 100247 Rear I/O Transition Module PCB Board SYS 68K/IOBP-1 Used
13129 Schroff 23000-041 Backplane PCB VME JI 11 SLOT Used Working
13130 RGI Raster Graphics 6000700-09A VMEbus Interface Board PCB Card RG700 Used
13131 Computer Recognition Systems 8946AW261 VME/Overlay Interface PCB 8946-0001 Used
13132 Computer Recognition Systems 8946AQ233 VME/Overlay Interface PCB 8946-0001 Used
13133 Bio-Rad Y5304803 RATS Interface Board PCB Card Quaestor Q7 Used Working
13134 TURBOTRONIK NT 20 Leybold 857 21 Pump Controller NT20 SW2.6 Used Tested Working
13135 SV65BIFC Leybold 960465V3002 SOGEVAC Vacuum Pump Will Not Pump Tested As-Is
13136 iH600 Edwards NRB4-47-945 Dry Vacuum Pump Package HCDP80 HCMB600 Refurbished
13137 RF APEX 1513 AE 0190-31898-000 RF Generator 3156110-205 Used Tested Working
13138 Osaka Vacuum TG1300MBWC Compound Turbomolecular Pump Used Tested Working
13139 RF30H RFPP RF Power Products 660-093816-001 RF Generator 3150053-001 Refurbished
13140 RF30S RFPP RF Power Products 3150017-008 RF Generator RF-30SWC Refurbished
13141 RF30S RFPP Power Products 7520758011 RF Generator 490-0530 Used Tested Working
13142 AMAT Applied Materials 0190-35113 Halogen Lamp Bulb 2000W Reseller Lot of 4 New
13143 Seiko Seiki SCU-A2503PV STP Control Unit – TEST
13144 Therma-Wave 18-021358 Adjustable Lens Optics Rev. A Opti-Probe 2600B Used Working
13145 Therma-Wave 18-009251 Adjustable Shutter Aperture Opti-Probe 2600B Optics Used
13146 Therma-Wave 18-009254 Adjustable Laser Mirror Opti-Probe 2600B Optics Used
13147 Therma-Wave 18-007612 Polarized Laser Lens Opti-Probe 2600B Optics Used Working
13148 Therma-Wave 18-009479 Lens Shutter Block Opti-Probe 2600B Optics Used Working
13149 Therma-Wave 18-011029 Lens Filter Motor Block Opti-Probe 2600B Optics Used
13150 Newport 15-3701-1425-25 300MM Wafer Transfer Robot AMAT 0190-19124 Used Working
13151 Asyst Technologies 4001-4302-XX 200mm Wafer SMIF-Pod Orange Used Working
13152 Brooks Automation 002-7800-05 SMIF Express 200mm Wafer Loadport FEI CLM-3D Used
13153 Brooks Automation 002-7200-21 200mm Wafer Loadport FEI CLM-3D Used Working
13154 Brooks Automation 017-0950-01 Reliance 200mm Wafer Handling Robot CLM-3D Used
13155 Brooks Automation 002-7391-08 200mm Wafer Pre-Aligner FEI CLM-3D Used Working
13156 Brooks Automation 002-9400-04 Series 8 Robot Controller FEI CLM-3D Used Working
13157 Brooks Automation TEC-300 Controller SMC-S PRI FEI CLM-3D Used Working
13158 Brooks Automation TT1ENR2-1-TVS-ES-BROOKS6 Robot Teach Pendant CLM-3D Used
13159 Brooks Automation TT1ENR2-1-TVS-ES-BROOKS8 Robot Teach Pendant CLM-3D Used
13160 Ion Systems Model 5685 Ionizer 22″ Bar Set of 2 FEI Company CLM-3D Used Working
13161 DNS Dainippon Screen 150mm Wafer Developer Stage SC-W60A-AV Photoresist Used
13162 JEOL MP002901(00) Panel ITF PB Interface PCB Card JEM-2010F USed Working
13163 JEOL MP002817-00 ASID ITF PB Interface PCB Card EM-24015BU JEM-2010F Used
13164 JEOL MP002698-00 CM FC ITF PB Interface PCB Card EM-2010F Used Working
13165 JEOL MP0021742-01 DEF LENS ITF PB Interface PCB Card EM-2010F Used Working
13166 JEOL MP003169(01) Data Memory PB Board PCB Card EM-2010F Used Working
13167 JEOL MP002402-01 RE ITFPB Interface Board PCB Card EM-2010F Used Working
13168 JEOL MP002403-01 RS RE ITF PB Interface Board PCB Card EM-2010F Used Working
13169 JEOL MP002768-02 HT VAC ITF PB Interface Board PCB Card EM-2010F Used Working
13170 JEOL MP003460-00 MPU PB Processor Board PCB Card EM-20090 EM-2010F Used Working
13171 JEOL MP003619-00 KYBD ITF PB Interface Board PCB Card EM-2010F Used Working
13172 JEOL MP003117-01 MOT DR ITF PB Interface Board PCB Card EM-2010F Used Working
13173 JEOL MP002407-03 FILM CRT ITF PB Interface Board PCB Card EM-2010F Used Working
13174 JEOL MP002902(02) CRT DSPL ITF PB Interface Board PCB Card EM-2010F Used Working
13175 JEOL MP002406-02 CAMERA ITF PB Interface Board PCB Card EM-2010F Used Working
13176 JEOL MP003470-01 MOTOR DRIVE Board PCB Card TA EM-2010F Used Working
13177 JEOL MP003471-02 MOT SHIFT DR PB Board PCB Card SHIFT Y TA EM-2010F Used
13178 JEOL MP003471-02 MOT SHIFT DR PB Board PCB Card SHIFT X TA EM-2010F Used
13179 JEOL MP003470-01 MOTOR DRIVE Board PCB Card TILT X TA EM-2010F Used Working
13180 JEOL MP003470-01 MOTOR DRIVE Board PCB Card TILT Y TA EM-2010F Used Working
13181 JEOL MP002899(01) R PANEL I PB Board PCB Assembly MP002962-00 JEM-2010F Used
13182 JEOL MP002897(01) L PANEL 1 PB Board PCB Assembly MP002962-00 JEM-2010F Used
13183 JEOL MP003083(00) PIRANI PB Vacuum Interface Board PCB JEM-2010F Used Working
13184 JEOL MP003084(00) VAC DISPLAY PB Vacuum LED Display Board PCB JEM-2010F Used
13185 JEOL MP003633-00 FEG PANEL PB Board Assembly PCB JEM-2010F Used Working
13186 JEOL MP002694-00 FREE CONT PB Board Assembly PCB EM-FLC40 JEM-2010F Used
13187 JEOL MP002495 CARD UNIT Backplane Board PCB JEM-2010F Used Working
13188 Gatan 678.35000 Gate Valve Controller 678.35cK JEM-2010F TEM Used Working
13189 Gatan 679.3400 STEM Interface Controller 679.34CK JEM-2010F TEM Used Working
13190 Gatan Model 688 DigiScan JEM-2010F TEM Transmission Electron Microscopy Used
13191 JEOL EM138707 Camera Assembly Matsushita B5HB4 JEM-2010F TEM Used Working
13192 Osaka TD2001-C Turbomolecular Pump Controller Power Supply Used Tested Working
13193 JEOL MP003648-00 AC PB Relay Power Distribution Board PCB JEM-2010F TEM Used
13194 JEOL MP003648-00 DC PB Power Distribution Board PCB JEM-2010F TEM Used Working
13195 Seren 9400000019 Automatic RF Matching Network AT6 AT-SERIES 102206461 New
13196 JEOL MP003276-00 Gun SIP Monitor Pump Panel JEM-2010F TEM Used Working
13197 JEOL IPC20 Gun SIP Power Supply JEM-2010F TEM Microscopy System Used Working
13198 JEOL MP002847(01) STIG AMP PB PCB Card KI JEM-2010F TEM Used Working
13199 JEOL MP002848(01) ALIGN AMP PB PCB Card KI JEM-2010F TEM Used Working
13200 JEOL MP002846 (01) DEF REF PB PCB Card KI JEM-2010F TEM Used Working
13201 FEI Company 4022 268 01181 SED Assembly CLM DSPB 4022 262 44073 CLM-3D Used
13202 FEI Company 4035 272 26092 Preamp CDEM Assembly Mod 19201 CLM-3D Used
13203 FEI Company 4022 261 5227 Preamp SED Electrical 4022 268 00491 CLM-3D Used
13204 FEI Company 4035 272 12081 30KV Lens Cable Assembly 8′ Sidewinder CLM-3D Used
13205 FEI Company 4035 272 06301-B HV Connector 4035 278 01231 Sidewinder CLM-3D Used
13206 JEOL EM-24070 MCP Controller and Cable Set JEM-2010F TEM Used Working
13207 FEI Company 4022 268 00588 SEM HTSU CLM Controller Column Electron CLM-3D Used
13208 JEOL MP003418(00) DEF INTCON PB Backplane Board PCB JEM-2010F TEM Used Working
13209 JEOL MP001828-02 PS-STB PB Power Supply Board PCB JEM-2010F TEM Used Working
13210 JEOL High Voltage Junction Box Power Supply JEM-2010F TEM Used Working
13211 FEI Company 4022 262 3649 IGPic Module CLM-3D 200mm CLM DualBeam Used Working
13212 JEOL DEF/LENS Power Supply Module MP002793(01) JEM-2010F TEM Used Working
13213 JEOL SIP Power Supply Column Ion Pump JEM-2010F TEM Used Working
13214 JEOL 376515-1 SIP Power Supply GUN-60L Pump JEM-2010F TEM Used Working
13215 Schott-Fostec 20750 Fiber Otpic EKE Light Source DCRII with Cable CLM-3D Used
13216 FEI Company 100-019970 FIB Electronics Module CLM-3D 200mm CLM Working Spare
13217 FEI Company 100-019970 FIB Electronics Module CLM-3D 200mm CLM Working Spare
13218 NM Nanomotion AB1A-2A-HR-E16 AB1A Driver Box Motion Controller FEI CLM-3D Used
13219 NM Nanomotion AB1A-2a-0 AB1A Driver Box Motion Controller FEI CLM-3D Used
13220 Nyquist NY3522/10 Motion Controller FEI 9419 035 22201 CLM-3D Used Working
13221 Cherokee Europe PE3168/70 Rack Mount Power Supply FEI Company CLM-3D Used
13222 FEI Company 4035 272 55591 System Control Rack 200mm CLM-3D 98490 Used Working
13223 Micrion 150-002520 CLM Optics Controller PCB Card FEI 4035 285 13391 CLM-3D Used
13224 Micrion 150-002550 Electrostatic Optics STIG/SHIFT PCB Card FEI CLM-3D Used
13225 Micrion 150-002540 CLM Electrostatic Optics Gain Rotation PCB Card FEI Used
13226 FEI Company 150-002720 Current Sense Amplifier PCB Card CLM-3D Used Working
13227 FEI Company 150-002730 Test and Diagnostics PCB Card CLM-3D Used Working
13228 FEI Company 150-002650 CLM/FIB Blanker Assembly PCB Card CLM-3D Used Working
13229 FEI Company 4022 192 9627 DRCU Detector Rack Control Unit PCB Card CLM-3D Used
13230 FEI Company 4035 272 27021 DCEM Controller PCB Card 4035 272 35261 CLM-3D Used
13231 FEI Company 4035 272 26561 GRID HVPS Power Supply PCB Card CLM-3D Used Working
13232 FEI Company 4035 272 26551 BIAS HVPS Power Supply PCB Card CLM-3D Used Working
13233 FEI Company 4035 272 75251 GAIN HVPS Power Supply PCB Card CLM-3D Used Working
13234 FEI Company 4035 272 25001 FDCPS Power Supply PCB Card CLM-3D Used Working
13235 FEI Company 4022 192 9350 Power Supply PCB Card SEM EDCU CLM-3D Used Working
13236 Micrion 150-002550 Optics STIG/SHIFT PCB Card 101001084A FEI CLM-3D Used
13237 Micrion 150-002540 CLM Optics Gain Rotation PCB Card 170000553A EDCU FEI Used
13238 FEI Company 150-002670 CLM Optics Quad Detection PCB Card CLM-3D SEM EDCU Used
13239 FEI Company 150-002630 SEM Electrostatic Optics CLM Backplane CLM-3D Used
13240 FEI Company 4022 296 0109 Backplane Board PCB 29501092 CLM-3D Used Working
13241 FEI Company 4022 192 9656 Backplane Board PCB 4022 192 8656 CLM-3D Used Working
13242 JEOL JUS-MSI Microscope Camera Distribution Server Set AXIS 2400+ JEM-2010F Used
13243 Oxford Instruments 51-1100-103 Inca X-Stream Module JEOL JEM-2010F Used Working
13244 JEOL EM-07130 Microscope Controller fasTEM Panel TEM JEM-2010F Used Working
13245 JEOL Microscope Tilt X/Y Pedal Controller Set of 2 JEM-2010F Used Working
13246 JEOL MP002369 PCB Card Slot Extender JEM-2010F TEM Used Working
13247 FEI Company 150-002620 Aperture Motor Amplifier CLM-Motion Chassis PCB Used
13248 FEI Company 150-002570 Amplifier Board PCB Card CLM-3D 200mm CLM Used Working
13249 Micrion 150-002560 CLM-SERVO Controller Power Converter Board PCB Card FEI Used
13250 FEI Company 150-002600 Pneumatic Interface Board PCB Card 150-002610 FEI Used
13251 Micrion 150-002221 FIB-NETWORK Processor PCB Board FEI CLM-3D Used Working
13252 Micrion 150-002300 FIB-NETWORK I/O Network CPU Power Board FEI CLM-3D Used
13253 FEI Company 150-002270 FIB-PROC MOD-NETWORK VACCON PCB Board FEI CLM-3D Used
13254 FEI Company 150-002280 CLM-PROC MOD-NETWORK Loadlock Control PCB CLM-3D Used
13255 FEI Company 150-002590 CLM-MOTION CHASSIS-AMPLIFIER Backplane PCB CLM-3D Used
13256 Acopian S11792-2 FIB EOCU DC Power Supply FEI Company CLM-3D Used Working
13257 TEAL 3850046 Power Conditioner PCDU-ROBOTSERVO FEI Company CLM-3D Used Working
13258 TEAL 2450065-02 Power Conditioner PDU-SPCLM FEI 4035 272 23221 CLM-3D Used
13259 FEI Company 4035 285 53481 General I/O Module with DeviceNet CLM-3D Used Working
13260 ADE Technologies 3800 Non Contact Capactive Dimensional Gaging Module Used
13261 Spicer Consulting SC12 Field Cancelling System with Sensor FEI CLM-3D TEM Used
13262 FEI Company 4022 262 3649 IGPec Module CLM-3D 200mm CLM DualBeam Used Working
13263 FEI Company 4022 262 3649 IGPeg Module CLM-3D 200mm CLM DualBeam Used Working
13264 FEI Company 4035 272 14481 CCM Processor PCB Card CLM-3D 200mm Used Working
13265 FEI Company 4035 272 14661 PIM Pneumatic Interface PCB Card US11524 CLM-3D Used
13266 Cherokee Europe 9415 041 21011 Power Supply PCB Card PE4121/01 FEI CLM-3D Used
13267 Edwards C41644000 Pneumatic Sealed Bellows Vacuum Valve SIPV40PKA Used Working
13268 MDC Vacuum Products 311074 Pneumatic Angle Valve KAV-150-P Used Working
13269 SanRex 5M28111T4 DC Auto HKD Power Supply Remote Control 10 M Used Working
13270 JEOL SM-45150 6x7cm Camera Adapter Assembly JEM-2010F TEM Used Working
13271 JEOL SM-45150 4×5 Inch Camera Adapter Assembly JEM-2010F TEM Used Working
13272 JEOL SM-45150 85x108mm Polaroid Film Holder Assembly JEM-2010F TEM Used Working
13273 EPX TWIN 180L Edwards A419-61-222 High Vacuum Dry Pump Used Tested Working
13274 Gatan 678-17004 GIB Lens Driver LENS 3 PCB Card Rev. 6 JEOL JEM-2010F Used
13275 Gatan 678-17004 GIB Lens Driver LENS 3 PCB Card Rev. 5 JEOL JEM-2010F Used
13276 AMAT Applied Materials 50312440000 UI Switch Board PCB 50312441000 Used Working
13277 AMAT Applied Materials 0100-00611 Smoke & Water Leak Detector Board PCB Used
13278 Advantest A021105B Processor Board PCB BLD-024487 Used Working
13279 AMAT Applied Materials 0100-02146 EVR Gap Servo PCB Card Used Working
13280 DNS Dainippon Screen HLS-MC1A Network Control Board PCB PC-97040A Used Working
13281 DNS Dainippon Screen HLS-MC4 Interface Board PCB PC-97019 Used Working
13282 DNS Dainippon Screen HLS-MC2 Relay Board PCB PC-97013B Used Working
13283 RF30S RFPP RF Power Products 3150017-026 RF Generator 490-0530 Refurbished
13284 RF30S AE Advanced Energy RFPP 7520758011 RF Generator 490-0530 Refurbished
13285 RF30S RFPP RF Power Products AE 3150017-000 RF Generator F/R M Refurbished
13286 RF30S RFPP RF Power 3150017-026 RF Generator RF-30S Missing Parts Untested As-Is
13287 RF30S RFPP RF Power Products 3150017-026 Generator 490-0530 Used Tested Working
13288 RF30S RFPP RF Power Products 3150017-026 Generator 490-0530 Used Tested Working
13289 JEOL 10x Binocular Microscope Assembly JEM-2010F TEM Microscopy System Used
13290 JEOL EM-21020 Standard Room Temperature Retainer Specimen Holder JEM-2010F Used
13291 JEOL EM-24015BU TEM Electron Microscope Optical Lens Assembly JEM-2010F Used
13292 Shimadzu 263-14025-20V1 TMP Turbomolecular AC Cable TEL 3D86-004932-V1 20M New
13293 Shimadzu 263-14025-20V1 TMP Turbomolecular AC Cable TEL 3D86-004930-V1 20M New
13294 RF-30SWC RFPP 7520758010 RF Generator 3150017-026 RF30S Used Tested Working
13295 FEI Company 565 002 793 Wafer Stage Assembly CLM-3D 200mm CLM S-9SRH-0176 Used
13296 Edwards B65251000 Pneumatic Gate Valve GVI 063 P FEI Company 160-009450 Used
13297 VAT 07512-UA44-0002 Pneumatic Atmospheric Door FEI Company CLM-3D Used Working
13298 FEI Company 4022 262 26331 CLM Column SEM Assembly CLM-3D 4022 268 00581 Used
13299 FEI Company Facilities Panel Pneumatic and Water Assembly CLM-3D Used Working
13300 Hitachi Heater Transformer Unit Etch Chamber M-712E Trench Etcher Used Working
13301 MKS Instruments 161-0040K Inline Manual Valve Used Working
13302 JEOL EM-24015BU Power Supply Assembly JEM-2010F TEM Used Working
13303 JEOL EM-2011F Mechanical Rotary Vacuum Pump Assembly JEM-2010F TEM Used Working
13304 JEOL EM-Z6189T Power Supply PCB Module JEM-2010F TEM MP003922-00 Used Working
13305 Mitutoyo 155-124 Telescoping Gage 1-1/4″ to 2-1/4″ Reseller Lot of 5 New Surplus
13306 JEOL High Voltage Power Interconnect Cable JEM-2010F TEM Used Working
13307 Edwards B65251000 Pneumatic Gate Valve GVI 63P Used Working
13308 iH1800 SYSTEM Edwards A533-25-908 Dry Vacuum Pump 1 Hour Refurbished
13309 APEX 1513 AE Advanced Energy 0190-19022-001 RF 3156110-005 Used Tested Working
13310 Power-One SPM5D2D2KH Switching Power Supply 24V Used Working
13311 TMC Micro-g Gimbal Piston Isolators Set of 5 JEOL JEM-2010F TEM Used Working
13312 Pearl Kogyo RP-300-2MX-C RF Matching Unit Used Working
13313 Pearl Kogyo ZDK-916E RF Power Generator Tuner Controller Used Working
13314 QMB1200 60Hz Edwards A305-86-905 Mechanical Booster Pump Factory Refurbished
13315 DIP Incorporated EH0111(D)-10C Power Supply PCB EH0111 DB-D56-101E Used Working
13316 Hitachi HT98310 PS Card PCB Reseller Lot of 2 Used Working
13317 OEM-650A ENI OEM-6A-11491-52 Soild State Power Generator Used Tested Working
13318 Cosel 504136900T AC/DC Adjustable Voltage Power Supply AD960-30 Used Working
13319 Komatsu 30022730 NOP OM-P Processor Board PCB CADK00360 Used Working
13320 Komatsu 3001216A0 KE-2007 Interface Board PCB Used Working
13321 Komatsu 300818200 KE-2018 Interface Board PCB Used Working
13322 Komatsu 300090801 KE-2003 Display Panel Board PCB Used Working
13323 Komatsu 30025500 KE-2014-1 Power Supply Board PCB Used Working
13324 Koganel CR1131W-D 200mm Wafer Handling Robot Alpha Series TEL Mark-8 Used
13325 AMAT Applied Materials 0100-00415 G2/G3 Protection and Delatch PCB Used Working
13326 Applied Micro Technology 1317-1-F-0962-0787 Analog Input PCB Card ST4303-16 Used
13327 Applied Micro Technology ST4303-32-1 Analog PCB Card Lam 810-01317-001 Used
13328 AMAT Applied Materials 8030R2-H-LM-APPLIEDMATERIAL Teach Pendant Quantum Use
13329 Bio-Rad 70/0.50 Microscope Objective Quaestor Q7 Used Working
13330 MKS Instruments FRCA-25761 Delta Flow Ratio Controller 2000 SCCM N2 New Surplus
13331 OEM-650A ENI OEM-6A-11491-51 Soild State Power Generator Used Tested Working
13332 Therma-Wave 14-007135 AF Interconnect AT Stage Assembly Opti-Probe 2600B Used
13333 EPX 180LE Edwards A419-43-712 High Vacuum Dry Pump HiVac Series New Surplus
13334 Cymer 06-02003-00B Blower Motor ELS Laser System Used Working
13335 Cymer 05-04556-01 Chamber Adjustment Panel ELS-6400 Laser System Used Working
13336 Cymer 06-02003-00 Blower Motor ELS Laser System Used Working
13337 Cymer 05-04555-00 Chamber Adjustment Panel ELS-6400 Laser System Used Working
13338 Cymer 05-04555-01 Chamber Adjustment Panel ELS-6400 Laser System Used Working
13339 Cymer 06-05200-00A Interface Board PCB 06-05201-00 ELS-6400 Used Working
13340 Cymer 107270-B Relay Interface Board PCB 107269 ELS-6400 Used Working
13341 EPX TWIN 180L Edwards A419-61-222 High Vacuum Dry Pump Used Tested Working
13342 QDP40 Edwards A528-40-905 Dry Vacuum Pump with QMB250 Copper Cu Tested As-Is
13343 Oxford Instruments 6498 EDS Energy Dispersive Link Pentafet JEOL JEM-2010F Used
13344 Oxford Instruments 1108-096 U.D.C. Pneumatic Unit JEOL JEM-2010F Used Working
13345 JEOL JUS-FEGBB Ion Pump Battery Backup Module JEM-2010F Used Working
13346 JEOL EM-24015BU ASID Module TEM Transmission Electron Microscopy JEM-2010F Used
13347 JEOL EM-CP10 Air Compressor TEM Transmission Electron Microscopy JEM-2010F Used
13348 iH80 NEW LOOK Edwards A533-50-945 Dry Vacuum Pump Missing Parts Tested As-Is
13349 JEOL SM-45150 CSI TEM Microscope Camera Polaroid 545i Film Holder JEM-2010F Used
13350 Verteq 1099596-1 SRD Spin Rinse Dryer Rotor A82M-0215 H-BAR-IN Used Working
13351 Verteq 1103080-3 SRD Spin Rinse Dryer Rotor A72-40MB-0215 H-BAR-OUT Used Working
13352 Verteq 1075459-1 SRD Spin Rinse Dryer Rotor A182-60M-0215 H-BAR-IN Used Working
13353 Semitool A72-40MB-9826-5R 100mm SRD Spin Rinse Dryer Rotor A72-40MB Verteq Used
13354 Semitool A72-40MB-9826-5W 100mm SRD Rotor H-BAR-OUT A72-40MB Verteq Used Working
13355 Semitool A72-40MB-9826-5AD 100mm SRD Rotor H-BAR-OUT A72-40MB Verteq Used
13356 Varian K4816-307 18″ High Vacuum Diffusion Pump VHS-400 K4816307 Untested As-Is
13357 RF-30SWC RFPP Power Products 7520758011 Generator 490-0530 Untested As-Is
13358 SMC INR-244-230W Power Supply 12inch THERMO-CON Used Working
13359 SMC INR-244-216W Power Supply RCP THERMO-CON Used Working
13360 Balzers Unaxis LLS 502 Load Block Metal Film PVD Sputtering System Used Working
13361 Nikon 4S015-096 Processor Board PCB Card NK-C303-40 NSR-S202A Working Spare
13362 Leroy Somer UL-E68554-G LSMV Induction Motor – Test
13363 Nikon 4S018-395-A Interface Relay Card PCB WS8DRV NSR-S202A Used Working
13364 Polycold Systems PEC-400LT @ Eric
13365 GHW ENI GHW-85A RF Power Supply 13.56Mhz 8.5kW Untested As-Is
13366 APD Cryogenics 263517D Cryotiger Compressor Cooling System Untested As-Is
13367 MDX Pinnacle AE Advanced Energy 3152316-000B Power Supply Used Tested Working
13368 ESDP30 Edwards ESDP 30 A Scroll Vacuum Pump Anest Iwata Used Tested Working
13369 RF20M RF Power Products 0334-716-02 RF Generator 7521403050 Used Tested Working
13370 Yaskawa EELQ-8ZT Scroll Pump Motor Edwards ESDP 30 48 Hours Used Tested Working
13371 Yaskawa EELQ-8ZT Scroll Pump Motor Edwards ESDP 30 0 Hours Used Tested Working
13372 Nidec EN-8ZT2 Scroll Pump Motor Oerlikon SC30D 0 Hours Used Tested Working
13373 MDX-2.5K AE Advanced Energy 3152224-039A Magnetron Drive 8kW Nordiko Refurbished
13374 RF-50S RFPP 7520581010 RF Generator Cart AE 3150013-000 Used Tested Working
13375 MDX-10K AE Advanced Energy 2012-120-A Magnetron Nordiko Used Tested Working
13376 VLSI Standards SHS-50.0 Q Step Height Standard Calibration Tool Used Working
13377 VLSI Standards SHS-880 QC Step Height Standard Calibration Tool Used Working
13378 VLSI Standards SHS-8 8.16um Step Height Standard Calibration Tool Used Working
13379 VLSI Standards SHS-880 Step Height Standard Calibration Tool Used Working
13380 VLSI Standards 1.79um Step Height Standard Calibration Tool Used Working
13381 VLSI Standards 917A Step Height Standard Calibration Tool Used Working
13382 VLSI Standards 9218Å Step Height Standard Calibration Tool Used Working
13383 Roger K. Sherman Company 12565 Valley Precision Calibration Standard Used
13384 Mahr Perthen 6820101 Metrology Calibration Standard Gage PEN-10-1 Used Working
13385 VLSI Standards SHS-1.8 Step Height Standard Metrology Calibration Tool Used
13386 VLSI Standards STR10-1000 Surface Topography Standard Metrology Used Working
13387 Karl Suss MicroTec Model 1000 UV Intensity Meter 10013995 405nm Used Working
13388 Omega Engineering HHF710 Digital Hygro-Thermometer Anemometer Meter Set Used
13389 Alpha Probes Gold Card 0 Probe Card PCB Standard B48-XXL Open Meters 2 Used
13390 Alphatronics Gold Card 1 Probe Card PCB Standard B481 5.20 Ohms Meters 1&4 Used
13391 Alphatronics Gold Card 2 Probe Card PCB Standard B481 20.1 Ohms Meters 1&4 Used
13392 Alphatronics Gold Card 3 Probe Card PCB Standard B481 100.0 Ohms Meters 1&4 Used
13393 Alphatronics Gold Card 4 Probe Card PCB Standard B481 20.0 Mohms Meters 2 Used
13394 Alphatronics Gold Card 5 Probe Card PCB Standard B481 10.0 Kohms Meters 3 Used
13395 OAI Instruments 0358-010-01 High Intensity Stepper Exposure Analyzer 358 Used
13396 Genmark Automation GB3 Wafer Handling Robot GencoBot 3 GBIII Refurbished
13397 Sparc-le V AE Advanced Energy 3152330-003 A DC Pulsing ARC Used Tested Working
13398 RF30 RFPP RF Power Products 7520758170 RF Generator Nordiko Not Working As-Is
13399 RF-30SWC RFPP Power Products 7520758010 RF Generator Nordiko Not Working As-Is
13400 OEM-6B ENI OEM-6B-01M4 RF Generator Tested Not Working As-Is
13401 RF20H RF Power Products 660-093816-001 Generator 7522170011 Used Tested Working
13402 RF20H RF Power Products 7004-0020-4 RF Generator 3150233-001 Used Tested Working
13403 RF10M RFPP RF Power Products 7524140011 RF Generator Used Tested Working
13404 MDX Pinnacle AE Advanced Energy 3152363-004 A DC Generator Used Tested Working
13405 DRYVAC2 100 P Leybold 13885 Dry Vacuum Pump 12 mTorr Used Tested Working
13406 RF VII PT-II-CE Universal Match Tuner Controller Module Used Working
13407 Signatone S460-USB Probe Station Stage XY-Base Assembly 0460-6069 Used Working
13408 VAT 64246-UE52-0101 Motor Controlled High Vacuum 8″ Gate Valve Used Working
13409 VAT 14040-PE44-1008 Pneumatic High Vacuum 4″ Gate Valve Used Working
13410 VAT 02010-AA44-0002 Pneumatic High Vacuum 12″ Slit Valve Used Working
13411 VAT 02010-BA24-1001 Pneumatic High Vacuum 12″ Slit Valve Used Working
13412 VAT 02010-BE44-0001 Pneumatic High Vacuum 12″ Slit Valve Used Working
13413 VAT 02010-BA24-0008 Pneumatic High Vacuum 12″ Slit Valve Used Working
13414 VAT 14046-PE44-0006 Pneumatic High Vacuum 10″ Gate Valve Refurbished
13415 Polaris 306570-001 7th Axis Robot Main I/C Cable Used Working
13416 Materion Microelectronics 7113050 99.95% Co/Fe 10% at% Target New Surplus
13417 Umicore AKQ515 Planar Magnetron Sputtering Target Kit NiFe45.5 wt% New Surplus
13418 Materion Microelectronics 7113419 Ni/Fe 14% wt% Target New Surplus
13419 Materion Microelectronics 7113419 NiFe14 Bonded Target New Surplus
13420 Williams Advanced Materials ZTH08446 Ni/Fe 18.2% wt% Target New Surplus
13421 Materion Microelectronics ZTH08197 Bonded Ti Target for Cymetra New Surplus
13422 Materion Microelectronics 7106509 Ni/Fe 17% wt% Target New Surplus
13423 Materion Microelectronics ZTH07212 Cr Chromium Target for Cymetra New Surplus
13424 Materion Microelectronics 7105330 Bonded CS Ti Target New Surplus
13425 Materion Microelectronics ZTH-7179 Ti Titanium Target for Nordiko Used Working
13426 RFPP RF Power Products 0334-717-01 RF Source & Matching Network 8400003010 Used
13427 VAT 10848-UE44-0004 Pneumatic UHV Gate Valve Series 10 Used Working
13428 MKS Instruments AX7645PS-10 Remote Plasma Generator Astron hf-s AX7645 HFS Used
13429 RF-30SWC/MT RFPP RF Power Products 934-15007-00 RF Generator 7520758056 As-Is
13430 Turbo-V 550 ICE Varian 9699078 Turbomolecular Pump TV 550 Used Tested Working
13431 MKS Instruments AX7645RH-10 Plasma Source Astron HFS AX7645 ASTeX Working Spare
13432 SH-100 Varian SH01001UNIV Dry Scroll Pump Agilent SH0110TS Used Tested Working
13433 ESDP 12 Edwards A710-02-909 Dry Scroll Vacuum Pump Used Tested Working
13434 VAT 02009-ZA24-1006 Rectangular Slit Valve Monovat New Surplus
13435 HiPace 80 Pfeiffer PM P03 940 Turbo Pump w/TC 110 Used Tested Working
13436 TMH 071 P Pfeiffer Vacuum PM P02 980 C Turbomolecular Pump Used Tested Working
13437 TURBOVAC SL80 Oerlikon Leybold 800002V3001 Turbomolecular Pump Used Working
13438 Leybold 88772 High Vacuum Flexible Bellows Stainless ISO160 ISO-K New Surplus
13439 Lam Research 853-017805-045 RF Cable 45 Foot 13 Meter Used Working
13440 APD Cryogenics T1101-01-000-14 Cryotiger Compressor Cooling System As-Is
13441 Oxford Instruments 91-00014-006 M600 Helium Compressor Used Working
13442 CTI-Cryogenics 8043069G004 On-Board Frequency Converter Used Tested Working
13443 DRYSTAR Edwards QDP40 Vacuum Pump Rack with Q Series 2 MCM Used Tested Working
13444 QDP40 Edwards 528-40-905 Vacuum Pump Rack w/ Q Series 2 MCM Used Tested Working
13445 VAT 641PM-16PM-0002 Adaptive Pressure Controller PM-5 64PM.3C.00 Used Working
13446 Eurotherm Controls 818 Series Celsius Temperature Controller Programmer Used
13447 Eurotherm Controls 818 Series Celsius Temperature Controller Programmer Used
13448 Granville-Phillips 370501-A10-T1 Remote Power Supply Module Used Working
13449 MKS Instruments 120AA-000.1RAJ Baratron Capacitance Manometer Used Working
13450 GE Fanuc A06B-6089-H105 Amplifier Servo Unit B-65192 α Alpha Series Used Working
13451 Optimised Control D281 Motion Controller Card PCB esMINT v2.67j/m Used Working
13452 Optimised Control D571 Processor Card PCB D573-2-JED Used Working
13453 Optimised Control OPT003-501 Interface Board Card PCB D531 Used Working
13454 Harmonic Drive Systems KXA-48-16/AUX/PS Servo Drive Power Supply Card PCB Used
13455 Heason Technologies Group Operator Interface Panel D641 Key Pad PCB Used Working
13456 Optimised Control D311 Servo Drives Interface Board PCB Used Working
13457 Fanuc A20B-2001-0902/02B AC Servo Interface Board PCB ME-1 Used Working
13458 Fanuc A20B-1004-0960/04B AC Servo Power Supply Board PCB Used Working
13459 Fanuc A20B-2100-0021/07G AC Servo Mainboard PCB 420B-2901-0480/01A Used Working
13460 Eurotherm 461/083/13/19/ENG/008/055/96/00 Analogue Input Thyristor Unit 461 Used
13461 Eurotherm 461/081/13/19/008/055/96/00 Analogue Input Thyristor Unit 461 Used
13462 Tektronix TDS 420A 4-Channel Digitizing Oscilloscope TDS420A Used Working
13463 Leda-Mass Spectra Vacscan 100 DUAL X100 Residual Gas Analyzer RGA LM6 LM62 Used
13464 Intelligent Instrumentation EDAS-2000E-2A PLC Control Unit EDAS-2000E-1 Used
13465 Intelligent Instrumentation EDAS-2000E-2A PLC Control Unit EDAS-2000E-1 Used
13466 Intelligent Instrumentation EDAS-2008M-1 PLC Serial Port Unit EDAS RS-232 Used
13467 Intelligent Instrumentation EDAS-2008M-1 PLC Serial Port Unit EDAS RS-232 Used
13468 Intelligent Instrumentation EDAS-2003M-1A PLC Analog Input Unit EDAS Used
13469 Intelligent Instrumentation EDAS-2004M-1 PLC Digital Input Unit EDAS Used
13470 Intelligent Instrumentation EDAS-2004M-1 PLC Digital Input Unit EDAS Used
13471 Intelligent Instrumentation EDAS-2006M-1 PLC Analog Output Unit EDAS Used
13472 Intelligent Instrumentation EDAS-2006M-1 PLC Analog Output Unit EDAS Used
13473 Intelligent Instrumentation EDAS-2005M-1 PLC Analog Output Unit EDAS Used
13474 Intelligent Instrumentation EDAS-2005M-1 PLC Analog Output Unit EDAS Used
13475 B&B Electronics ESR904 4-Port Industrial Ethernet Serial Server V-LINX Used
13476 Parker Veriflo 44900005-PE High Purity Bellows Valve 4V1-P4K-11AC-SSV-PE Used
13477 Parker Veriflo 4V1-P4K-11AC-SSV-PP High Purity Bellows Valve Used Working
13478 VAT 28332-GE01-0002 Manual Right Angle Vacuum Valve UHV Used Working
13479 VAT 28332-GE11-0002 Pneumatic Right Angle Vacuum Valve UHV Used Working
13480 HP Compaq dx2200 Desktop Nordiko 9606 Control Computer System 7478 Used Working
13481 HP Compaq dx2300 Desktop Nordiko 9606 S09 Control Computer System 7478 Used
13482 FSI 404126-001 Chemfill Operator Interface Panel Used Working
13483 NTI Network Technologies VOPEX-2KVIM-A 2-port Video Switching KVM Splitter Used
13484 NTI Network Technologies VOPEX-2KV-A 2-Port Video Switching KVM Splitter Used
13485 Granville-Phill​ips 360121 Ion Gauge UHV-Y STABIL-ION Used Working
13486 VAT 26328-KA11-0001 Pneumatic Right Angle Vacuum Valve Used Working
13487 VAT 26328-KA11-0001 Pneumatic Right Angle Vacuum Valve Used Working
13488 Granville-Phill​ips 275256 Convectron Pirani Vacuum Gauge 275 Used Working
13489 CX-200S Comdel FP3013R1 V-Quad RF Generator 13.56MHz CXV-200 Used Tested Working
13490 VAT 02010-BA24-1003 Pneumatic Vacuum Slit Valve Used Working
13491 VAT 02010-BA24-1003 Pneumatic Vacuum Slit Valve Used Working
13492 VAT 02012-BE24-ABD1 Pneumatic Vacuum Slit Valve Used Working
13493 VAT 14050-CE44-AAC1 Pneumatic Actuator HV High Vacuum Gate Valve Used Working
13494 VAT 64250-CE52-1101 Motorized Actuator HV High Vacuum Gate Valve Used Working
13495 VAT 64250-CE52-1101 Motorized Actuator HV High Vacuum Gate Valve Used Working
13496 ATH1603M Adixen YY5621H0 Turbomolecular Pump 8774 Hours Used Tested Working
13497 ATH1603M Adixen 112745 Turbomolecular Pump 35954 Hours Used Tested Working
13498 ATH1603M Adixen 112745 Turbomolecular Pump 35960 Hours Used Tested Working
13499 ATH1603M Adixen YY5621H0 Turbomolecular Pump 1415 Hours Used Tested Working
13500 ATH1603M Adixen YY5621H0 Turbomolecular Pump 8774 Hours Used Tested Working
13501 TMH 1001 P Pfeiffer PM P03 300 G Turbomolecular 101621 Hrs Used Tested Working
13502 TMH 1001 P Pfeiffer PM P03 300 G Turbomolecular 101179 Hrs Used Tested Working
13503 TMH 1001 P Pfeiffer PM P03 300 G Turbomolecular 101044 Hrs Used Tested Working
13504 TMH 1001 P Pfeiffer PM P03 300 G Turbomolecular Pump TC600 Tested Working New
13505 Harrington Hoist ED 250 120V Electric Chain Hoist 250 Pound Used Tested Working
13506 Edwards D37207596 iQDP Extension Cable 4 Pin XLR 15M Lot of 3 New Surplus
13507 Cicoil 413H100-2 YSS Flex Cable MSX SVG Silicon Valley Group 859-0948-001 New
13508 Swagelok SS-4BMRG-VCR Bellows Sealed Metering Valve Nupro Used Working
13509 Swagelok SS-4BMG-VCR Metering Bellows Sealed Valve NUPRO Used Working
13510 Shrader Scientific DN63CF Manual Isolation Vacuum Valve Used Working
13511 Asyst Technologies 9700-6209-01 Robot Power Distribution Center New Surplus
13512 Asyst 96B1-FDDR-AUBJ Temperature Control Module Watlow New Surplus
13513 Asyst Technologies 9700-5819-01 FFU Fan Filter Unit Controller New Surplus
13514 Asyst Technologies Robot System Relay Module CyberResearch CYSSR 24 New Surplus
13515 Asyst Technologies 4002-1719-01 REM Alignment Spacer AXYS MODEL 21 ROBOT New
13516 Equipe Technologies ATM-107 Wafer Transfer Robot Used Working
13517 Equipe Technologies ESC-212 Robot Controller KLA-Tencor AIT I Used Working
13518 Nikon 2S700-664 Prealigner Optical Sensor Assembly 2S700-536 OPTISTATION 3 Used
13519 Aerotech Motion Controls MX10-B MX Multiplier Board 690C1510 Used Working
13520 Aerotech Motion Controls MX25-B MX Multiplier Board 690C1510 Used Working
13521 Dolan-Jenner A-240 Illuminator System Fiber-Lite A-240L KLA-Tencor AIT I Used
13522 Tencor Instruments 328014 Cradle Power AIT Board PCB KLA-Tencor AIT I Used
13523 Tencor Instruments 317195 Robot Distribution Board PCB S8000 KLA AIT I Used
13524 Tencor Instruments 188859 4-Channel PWM Motor Drive PCB KLA-Tencor AIT I Used
13525 Tencor Instruments 294420 Motor Distribution S8000 Board PCB Rev. A KLA AIT Used
13526 Tencor Instruments 285315 Distribution S8000 Board PCB KLA-Tencor AIT I Used
13527 Tencor Instruments 183270 CASS SZ SW 100-200MM Board PCB KLA-Tencor AIT I Used
13528 Tencor Instruments 297208 AOD AIT Board PCB 325759 KLA-Tencor AIT I Used Working
13529 Aerotech 690D1504 BB501 Interface Board PCB ESP792/EFN188 KLA-Tencor AIT I Used
13530 KLA-Tencor AIT I Surfscan Microscope Assembly Olympus U-D5BDREM U-RLA Used
13531 Osicom Technologies 00-501-451 Interface Module DCP-1A/LPF-1451 KLA AIT I Used
13532 KLA-Tencor AIT I Surfscan Inspection Scanning Lens Assembly 315974 284726 Used
13533 KLA-Tencor AIT I Surfscan Inspection Camera Assembly Sony DXC-950 LTAF-8000 Used
13534 KLA-Tencor 315° Inspection Mirror Assembly AIT I Surfscan Incomplete As-Is
13535 MDX-2.5K AE Advanced Energy 3152224-039A Magnetron Nordiko Used Tested Working
13536 KLA-Tencor 45° Inspection Mirror Assembly AIT I Surfscan 284726 Used Working
13537 Bertan PMT-10CN-3 Adjustable High Voltage Power Supply Used Working
13538 KLA-Tencor 515051 Wafer APS2 AIT2 Board PCB Card AIT I Used Working
13539 Matrox MRV2/VID Audio Video Graphics I/O PCB Card 521-0201 MARVEL_2 Used Working
13540 Commtech FASTCOM:4W Four Port RS-232 Adapter PCB Card Used Working
13541 Helix Technology 8127211G001 Logic Board PCB 8127210G001 CTI-Cryogenics Used
13542 Helix Technology 8127213G001 Power Board PCB CTI-Cryogenics 8113160G001 Used
13543 Yaskawa XU-RC350D-D91 Dual Arm Wafer Handling Robot with End Effectors As-Is
13544 Yaskawa XU-RC350D-D91 Dual Arm Wafer Handling Robot with End Effectors As-Is
13545 Yaskawa XU-RC350D-D91 Dual Arm Wafer Handling Robot with End Effectors As-Is
13546 Yaskawa XU-RC350D-C51 Dual Arm Wafer Handling Robot with End Effectors As-Is
13547 Helix Technology 8127213G001 Power Board PCB CTI-Cryogenics 8113056G001 Used
13548 Power-One HN5-9/OVP-A Power Supply KLA-Tencor AIT I Used Working
13549 Power-One HN28-3-A Power Supply KLA-Tencor AIT I Used Working
13550 KLA-Tencor GPIO Polarized Lens Assembly Laser Optics AIT I Surfscan Used Working
13551 KLA-Tencor 200umx9mm Slit Lens Assembly TSX-1D Stage GPIO AIT I Surfscan Used
13552 KLA-Tencor Chirp Lens Focus Assembly GPIO Laser Optics AIT I Surfscan Used
13553 KLA-Tencor Filter Lens Stage Assembly GPIO Laser Optics AIT I Surfscan Used
13554 KLA-Tencor Mirror Stage Assembly GPIO Laser Optics AIT I Surfscan Used Working
13555 KLA-Tencor Crystal Lens Assembly GPIO Laser Optics 4109-1 AIT I Surfscan Used
13556 KLA-Tencor Prism Lens with WFR Adjuster Set of 2 GPIO Laser Optics AIT I Used
13557 ATH 500M Adixen Vacuum Products V13121B1 Turbomolecular Pump Used Working
13558 Nikon OPTISTATION 3 Binocular Eyepiece Microscope Assembly CFWN 10x/20 Used
13559 Equipe Technologies FPD 400 Flat Panel Display Robot INDEXER 360 MM Used Working
13560 CTI-Cryogenics 08105449 A Network Terminal Motherboard PCB 502-082 Used Working
13561 Helix Technology 8132392G001 DC-DC Power Module PCB CTI-Cryogenics Used Working
13562 Soyo Group MV4-V4S471/472P Ver. 1.0 Motherboard PCB 805-01702-101 Used Working
13563 Yutaka Engineering ERSB-3019-WX Manual Valve Reseller Lot of 2 Used Working
13564 Yutaka Engineering ERSB-3019-WX Manual Valve Reseller Lot of 2 Used Working
13565 Brooks Automation 2002-0012-07 Robot Power Cable 2.1M Used Working
13566 Brooks Automation 2002-0011-07 Robot Signal Cable 2.1M Used Working
13567 KLA-Tencor 200mm Wafer Chuck AIT I Surfscan Patterned Inspection System Used
13568 Asyst Technologies 9700-3858-01 Wafer Indexer 360 MM INX 3600 Used Working
13569 MRC Materials Research MR-22377 150mm Eclipse Star Wafer Carousel Assembly Used
13570 MRC Materials Research D111611 150mm Eclipse Star Wafer Carousel Assembly Used
13571 MRC Materials Research D122742 150mm Eclipse Star Wafer Carousel Assembly Used
13572 TMH 071 P Pfeiffer PM P02 980 C Turbomolecular Pump w/TC100 Used Tested Working
13573 HiPace 80 Pfeiffer PM P03 940 Turbomolecular Pump w/TC 110 Used Tested Working
13574 TMU 262 X Pfeiffer PM P03 115 Turbomolecular Pump w/ TC100 Used Tested Working
13575 Kokusai Electric CX1103 Tube Controller Operator Panel CX-2000 Bad Screen As-Is
13576 Kokusai Electric CX1103 Tube Controller Operator Panel CX-2000 CX13iPL Used
13577 ATP Adixen Alcatel ATP 80 Turbomolecular Pump ISO63 Used Working
13578 MDX Pinnacle AE Advanced Energy 0190-26287-001 Power Supply Used Tested Working
13579 DCG-200E OPTIMA ENI DCG-400E-0J DC Generator MASTER 0190-22568 Tested Working
13580 MDX-10K AE Advanced Energy 3152012-041 AB Power Supply MASTER Tested Working
13581 Watlow 986A-20CE-MARG Microprocessor-Based Temperature Process Controller Used
13582 Watlow 986A-20FD-MARG Microprocessor-Based Temperature Process Controller Used
13583 DRYVAC 50 P Leybold E13833 Dry Vacuum Pump 9 mTorr Used Tested Working
13584 DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. E 0190-08034 Tested Working
13585 Power-One HN5-9/OVP-A Power Supply Lot of 2 KLA-Tencor AIT I Used Working
13586 Tektronix A6902A Isolator 2-Channel with Probes Used Working
13587 AE Advanced Energy 2196-000-01 DC Interface Module Display Panel Used Working
13588 AE Advanced Energy 2196-000-A DC Interface Module Display Panel Used Working
13589 AE Advanced Energy 3152196-000A DC Interface Module Display Panel Used Working
13590 AE Advanced Energy 3152334-000B Interface Monitor Display Panel Used Working
13591 AE Advanced Energy 3152334-000B Interface Monitor Display Panel Used Working
13592 MDX 10K AE Advanced Energy 3152194-008 V DC Supply SLAVE Used Tested Working
13593 PDP 2500 AE Advanced Energy 3156012-002A RF Pulsed Plasma Used Tested Working
13594 MDX 10K AE Advanced Energy 3152194-039 B DC Supply MASTER Tested Not Working
13595 MDX-10K AE Advanced Energy 2194-008-V DC Supply SLAVE Used Tested Working
13596 Kaijo 78101-AD6-UL Ultrasonic Generator HI MEGASONIC 900 Used Working
13597 CTI-Cryogenics 8052001 Controller 8001 Reseller Lot of 2 Untested As-Is
13598 CTI-Cryogenics 8052300G001 Control Module 8011 Used Working
13599 HC30 Kashiyama HC30B Screw Drive Dry Vacuum Pump Varian VSp30 Untested As-Is
13600 Ultrapointe 801-1002-01 BF/DF Control Motor Driver PCB 000675 Used Working
13601 Ultrapointe 801-1002-01 Filter Wheel Driver Board PCB Rev. 03 KLA CRS-1010S Used
13602 Ultrapointe 801-1002-01 Filter Wheel Driver Board PCB Rev. 04 KLA CRS-1010S Used
13603 Edwards D37410212 iH iL Dry Vacuum Pump Standard Control Module Used Working
13604 Kofloc 3810M Mass Flow Sensor 3810 60 SLM N2 Edwards A53340003 iH Tested Working
13605 SCI Solid Controls Inc 440-560 Processor Board PCB Card 440-579 Used Working
13606 SCI Solid Controls Inc 8024-0130 Fuse Board PCB Card 428-628 Used Working
13607 SCI Solid Controls 428-957 Transformer Board PCB Card VSE 0428-9570 Used Working
13608 SCI Solid Controls 428-957 Transformer Board PCB Card 0428-9560 Used Working
13609 SCI Solid Controls 428-400 Firing Controller Board PCB Card 428-399 Used Working
13610 SCI Solid Controls 428-4001 Firing Controller PCB Card 428-399 8024-0137 Used
13611 SCI Solid Controls 428-406 System Controller PCB Card 428-405 Used Working
13612 SCI Solid Controls 428-4060 System Controller PCB Card VSE 0428-4060 Used
13613 Hine Design 48V Elevator Wafer Transport Nordiko Technical Services 9550 Used
13614 Hine Design Wafer Transfer Robot Assembly Nordiko Technical Services 9550 Used
13615 Clippard Instrument Laboratory EMC-08 8-Port Pneumatic Control Board PCB Used
13616 Nikon 4S017-866-B Interface Relay Card PCB STGLIUIF NSR-S202A Used Working
13617 Nikon 4S018-168 Interferometer LC IF PCB Card LCLIUIF NSR-S202A Working Spare
13618 HP Hewlett-Packard 10897-60002 Laser Axis Control Card PCB 10897B NSR-S202A Used
13619 Texas Instruments 2540169-0001 Industrial CCD Inspection Camera NSR-S202A Used
13620 Heason Technology 100-00915 Fast Shutter Motion Controller Nordiko 9550 Used
13621 VAT 641PM-36PM-0002 Adaptive Pressure Controller PM-5 87881-R1 Used Working
13622 Glassman LV 300-3.5 DC Power Supply 0-300 Volts 0-3.5 Amps Used Working
13623 Nordiko Watchdog Module N600613EE 9550 Shield Metal Film PVD System Used Working
13624 Hine Design 48V Elevator Wafer Transport Nordiko Technical Services 9606 Used
13625 VAT 02011-BA24-1002 Pneumatic Vacuum Slit Valve Nordiko 9550 Used Working
13626 VAT 20046-PA14-1001 Vatterfly Valve Series 200 Used Working
13627 VAT 14046-CE34-0005 High Vacuum Gate Valve Nordiko 9550 Used Working
13628 Leda-Mass Spectra Vacscan 100 Residual Gas Analyzer RGA Cables Nordiko 9550 Used
13629 MKS Instruments 120AA-000.0RAJ Baratron Absolute Capacitance Manometer Used
13630 Parker GV6K-U12E Servo Drive Controller Gemini GV6K Used Working
13631 MKS Instruments LM2 RGA Residual Gas Analyzer Probe LM10 RF Head Spectra Used
13632 Nordiko Technical Services N600596EE Processor PCB Card TLTD-2 9550 Used Working
13633 Nordiko Technical Services D00022 Amplifier PCB Card TLTD-2/425 9550 Used
13634 Nordiko Technical Services D00022 Amplifier PCB Card TLTD-2/425 Full Posts Used
13635 Nordiko Technical Services D00022 Amplifier PCB Card TLTD-2/425 Plugs Used
13636 Nordiko Technical Services N930037.SA Operational Amplifier PCB Card Used
13637 SCI Solid Controls 428-409 Smart Board PCB Card VSE 8024-0139 Used Working
13638 SCI Solid Controls 428-409 Smart Board PCB Card 428-408 Used Working
13639 RFPP RF Power Products 9520317010 RF Filter Box Used Working
13640 Huntington Mechanical Laboratories EV-100-SF Manual Angle Vacuum Valve Used
13641 MKS Instrument 161-0040C Inline Manual Valve 2.75″ Conflat HPS Used Working
13642 VAT 64250-UE52-AAT1 Motorized Actuator HV High Vacuum Gate Valve Used Working
13643 Seren 9200010000 Automatic RF Matching Network Controller MC2 MC2-110 Used
13644 RF10S RFPP RF Power Products 7520709030 RF Generator 1000W Nordiko 9550 Used
13645 Cesar 1350 Dressler 61300101 RF Power Generator 5000W @ 13.56MHz AE Used Working
13646 MDX Pinnacle AE Advanced Energy 3152363-016 B DC Generator Used Working
13647 QDP40 Edwards 528-40-905 Vacuum Pump Drystar Rack Q Series 2 MCM Tested Working
13648 QDP40 Edwards 528-40-905 Vacuum Pump Drystar Rack Q Series 2 MCM Tested Working
13649 Eurotherm 462/062/13/19/008/055/96/00 Analogue Input Thyristor Unit 462 Used
13650 B&B Electronics ESR904 4-Port Industrial Ethernet Serial Server V3.0 V-LINX Used
13651 MRC Materials Research A120024 Sputtering System Remote Stand Eclipse Star Used
13652 Kollmorgen 00D09D02001-1M Servo Motor with Gearhead PG60-005 Nordiko 9550 Used
13653 RFPP RF Power Products 7004-0100-5 RF Match Network Controller I22050001 Used
13654 RFPP RF Power Products 7004-0100-5 RF Match Network Controller I22050001 Used
13655 AE Advanced Energy 3150302-000 B RF Match Network Controller Used Working
13656 RFVII 3150302-000 B RF Match Autotuning Network Controller Used Working
13657 Merrimac PS-5-13.5/26453 RF Coaxial Phase Shifter with Cables 0260-1752-20 Used
13658 Astech PSC-2 II RF Auto Phase Shifter 13.56MHz with Cables Used Working
13659 CTI-Cryogenics 8042002G003 Temperature Indicator Used Working
13660 MKS Instruments 270D-4 High Accuracy Signal Conditioner Type 270D Used Working
13661 MKS Instruments 252D-1-VPO Exhaust Valve Controller Type 252 Used Working
13662 Granville-Phillips 307001 307 Vacuum Gauge Controller 307005/06 Used Working
13663 Granville-Phillips 307502-D00-T1 Vacuum Gauge Controller 307100 Used Working
13664 Seren 9400250011 Automatic RF Matching Network AT50/140 5000W 13.56MHz Used
13665 Seren 9400250001 Automatic RF Matching Network AT50/140 5000W 13.56MHz Used
13666 Seren 9400410004 Automatic RF Matching Network ATS10M 1000W 13.56MHz Used
13667 Fanuc A20B-1006-0490/02A Servo Test Board PCB Nordiko 9550 Used Working
13668 SVG Silicon Valley Group PDPI Photoresist Catch Cup 200mm 90S DUV Used
13669 Seren 9500160000 27.12MHz RF Trap LPF2S5K with 10.5M RF Cable Used Working
13670 VAT 26328-KA01-0001 Manual Right Angle Vacuum Valve Used Working
13671 Granville-Phill​ips 370121 Ion Gauge UHV-Y STABIL-ION NW40 Used Working
13672 Nordiko D00021 Platform Low Tension DC Power Supply 9550 PVD Sputtering Used
13673 Nordiko Rotating Magnet Drive Controller Copley 423 9550 PVD Sputtering Used
13674 Nordiko Rotating Magnet Power Supply Copley TR239-45 9550 PVD Sputtering Used
13675 Nordiko D00019 Platform Low Tension DC Power Supply 9550 PVD Sputtering Used
13676 Nordiko MAG AMP Rotating Magnet Amplifier Controller 9550 PVD Sputtering Used
13677 PML Printed Motor GM16T-1174 DC Disc Servo Motor Assembly Nordiko 9550 Used
13678 Kollmorgen 00-01607-043 DC Disc Servo Motor Assembly Nordiko 9550 Used Working
13679 Lenze 0.37 28-12.622.10 1-71L/4 Motor Nordiko A03520 9550 Used Working
13680 Nixsys NX81 Desktop PC Nordiko 9550 GFX II Control Computer System Used Working
13681 HP Hewlett-Packard 500B MT Desktop PC GFX II Computer System Nordiko 9550 Used
13682 MeiVac 2460/2480 Turbo and Cryo System Control Computer Alcatel 2460 Used
13683 MeiVac 2460/2480 Turbo and Cryo System Control Computer 1.0HGz Alcatel 2460 Used
13684 MeiVac 2460 Turbo and Cryo System Control Computer 3.0GHz Alcatel 2460 Used
13685 Ultratech Stepper 4700 Titan Wafer Projection Stepper
13686 Ultratech Stepper 4700 Titan Wafer Projection Stepper
13687 Sankyo Seiki 5407001 4-Axis Pick & Place Robot SR5407 SCARA ART Applied As-Is
13688 RFX 2500 AE Advanced Energy 5011-000-D RF Generator – TEST
13689 iF1400 Edwards iF 1400 Rapid Loadlock Dry Pump 13471 Hours Used Tested Working
13690 iF1400 Edwards iF 1400 Rapid Loadlock Dry Pump 14310 Hours Used
13691 GX6/100N Edwards A537-31-958 Dry Vacuum Pump Used Tested Working
13692 Nordiko NLS90V Linear Servo Controller 9550 Shield Metal Film PVD System Used
13693 Intelligent Instrumentation EDAS-2004M-1 PLC Digital Input Unit EDAS Used
13694 GE Fanuc Series 90-30 10-Slot PLC Control System IC693PWR321S IC693CPU331N Used
13695 GE Fanuc Series 90-30 10-Slot PLC Control System IC693PWR321S IC693CPU331Y Used
13696 GE Fanuc Series 90-30 10-Slot PLC Control System IC693PWR321N IC693MDL645B Used
13697 GE Fanuc Series 90-30 10-Slot PLC Control System IC693PWR321S IC693MDL645D Used
13698 GE Fanuc Series 90-30 10-Slot PLC Control System IC693PWR321S IC693MDL741E Used
13699 Agilent G1099-60222 Mass Selective Detector Analyzer HP 5973 Loose Plug Used
13700 MeiVac CVQ-250-ISO-U-SM Aluminium Radial Vane VARI-Q Throttle Valve Used Working
13701 MKS Instruments Straight Nipple Adapter UHV High Vacuum ISO250 ISO-F 3″ HPS Used
13702 Genmark GENCOBOT 4/3L Wafer Handling Robot Ultratech Stepper 4700 Titan Used
13703 Motorola 84-W8839B01B Transition Module PCB Card MVME 712/M Ultratech 4700 Used
13704 Ultratech Stepper 03-15-02088 Trans Combo Board PCB Card 4700 Used Working
13705 Ultratech Stepper 03-15-02066 6-Axis Laser Trans X-Axis PCB Card 4700 Used
13706 Ultratech Stepper 03-15-02066 6-Axis Laser Transition XT-Axis PCB Card 4700 Used
13707 Ultratech Stepper 03-15-02066 6-Axis Laser Transition XP-Axis PCB Card 4700 Used
13708 Ultratech Stepper 03-15-02066 6-Axis Laser Transition Y-Axis PCB Card 4700 Used
13709 Ultratech Stepper 03-15-02066 6-Axis Laser Transition YT-Axis PCB Card 4700 Used
13710 Ultratech Stepper 03-15-02066 6-Axis Laser Transition YP-Axis PCB Card 4700 Used
13711 Ultratech Stepper 03-20-01705 General I/O Transition PCB Card 4700 Titan Used
13712 Ultratech Stepper 03-20-01705 General I/O Transition PCB Card Rev. D1 Titan Used
13713 Ultratech Stepper 03-20-01705 General Transition PCB Card GEN I/O 1 Titan Used
13714 Ultratech Stepper 03-20-01705 General Transition PCB Card GEN I/O 2 Titan Used
13715 Ultratech Stepper 03-20-01124 Transition X Stage ASH PCB Card Rev. D Titan Used
13716 Ultratech Stepper 03-20-01124 Transition Y Stage ASH PCB Card Rev. D Titan Used
13717 Ultratech Stepper 03-20-01299-02 Transition Alignment ASH PCB Card Rev. C Used
13718 Ultratech Stepper 03-15-00308 Transition ASH Stepper PCB Card WAS Titan Used
13719 Ultratech Stepper 03-15-02702 Transition Step MOT/COOLER ASH PCB Card Titan Used
13720 Ultratech Stepper 03-20-01961 5 Axis ASH Focus Transition PCB Card Rev. D Used
13721 Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left X
13722 Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left X
13723 Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left Y
13724 Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right X
13725 Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right Y
13726 Ultratech Stepper 03-15-00302 Transition Driver Stepper PCB Card WAS DVR Used
13727 Ultratech Stepper 03-20-01600 Transition Stepper Motor Driver PCB Card Titan
13728 Ultratech Stepper 03-20-02040 Focus Driver Transition PCB Card Titan 4700 Used
13729 SyQuest 35004 SCSI Backup Drive 1.5GB SYJET1SI Ultratech Stepper Titan 4700 Used
13730 Lambda Electronics LIS-3I-15 Power Supply PCB Card -15VDC Used Working
13731 LaCie Tsunami 540MB Quantum Fireball External Drive Ultratech Titan 4700 Used
13732 Ultratech Stepper 03-20-01989 5 Axis Focus Driver FOCUS PCB Card Titan Used
13733 Ultratech Stepper 03-20-00933-03 Switching Power Supply Rev. A1 PCB Card Titan
13734 Ulvac Ceraus Z-1000PVD 200mm Multi Chamber Sputtering PVD System Z-1201 (1E2T)
13735 Ulvac Ceraus Z-1000PVD Chamber Rack
13736 Cognex 200-0028 VM-14 Vision Processor VME PCB Card Rev. Q Ultratech 4700 Titan
13737 Ultratech Stepper 03-20-04940 5 Axis Stage ASH PCB Board Rev. A 4700 Titan Used
13738 Ultratech Stepper 03-20-00784-02 VME Slave Processor PCB Board 4700 Titan Used
13739 Ultratech Stepper 03-20-00784-01 VME Slave Processor PCB Board 4700 Titan Used
13740 Ultratech Stepper 03-20-04940 5 Axis Stage ASH PCB Board Rev. C 4700 Titan Used
13741 Ultratech Stepper 03-20-00784 VME Slave Processor Board PCB MCVME Titan Used
13742 Ultratech Stepper 03-20-01967 5 Axis ASH Focus Control Board PCB Titan Used
13743 Ultratech Stepper 03-20-00917 Stepper Motor ASH Board PCB Titan Used Working
13744 Ultratech Stepper 03-20-01933 5 Axis ASH Alignment Board PCB Titan Used Working
13745 VAT 14048-PE44-1017 HV High Vacuum Gate Valve Alcatel 2460 Used Working
13746 Ultratech Stepper 03-20-02567 Photomultiplier Amplifier M2000 PCB Board Used
13747 Ultratech Stepper 03-15-02149 I-Line Laser Distribution PCB Board Used
13748 Ultratech Stepper 03-20-01321 Analog Alignment Board PCB 4700 Titan Used Working16890
13749 Ultratech Stepper 03-15-05643 Theta Vac/Chuck Clamp Board PCB 4700 Titan Used
13750 Ultratech Stepper 03-15-07175 Chuck Vacuum Auto Apature Board PCB 4700 Titan Used
13751 RFPP RF Power Products 762102001 RF Automatic Matching Network AM-50 5000W Used
13752 RFPP RF Power Products 7621020040 RF Automatic Matching Network AM-50 5000W Used
13753 RFPP RF Power Products 7624923010 RF Automatic Matching Network AM-20 2000W Used
13754 RFPP RF Power Products 7610807021 RF Automatic Matching Network AM-20 2000W Used
13755 Ultratech Stepper 01-15-00326 Laser Lamp Power Indicator Switch 4700 Titan Used
13756 Ultratech Stepper Interchangeable Ignition Transformer 638-171 4700 Titan Used
13757 Ultratech Stepper Interchangeable Ignition Transformer A10-LA2 4700 Titan Used
13758 Leeson Electric C4D17FK5F Direct Current Permanent Magnet Motor 108022.00 Used
13759 Leeson Electric C4D17FK5G Direct Current Permanent Magnet Motor 108022.00 Used
13760 Leeson Electric C4D17FK5I Direct Current Permanent Magnet Motor 108022.00 Used
13761 Granville-Phillips 274006 Ionization Vacuum Gauge with 25′ Cable Used Working
13762 RFPP RF Power Products 0251-0331-3 RF Cable 24 Foot Alcatel 2460 Used Working
13763 MKS Instruments 122AA-00100BB Baratron Pressure Transducer 100 Torr Used Working
13764 MKS Instruments 2259C-00200RV Mass Flow Controller MFC Assembly 200 SCCM N2 Used
13765 MKS Instruments 2259C-00200RV-S Mass Flow Controller MFC Assembly 200 SCCM He
13766 Granville-Phillips 275503 275 Mini-Convectron Vacuum Gauge Used Working
13767 Granville-Phillips 275806-EU 275 Mini-Convectron Vacuum Gauge Rev. 0 Used
13768 RFPP RF Power Products 0251-0331-6 2kW RF Cable Rev. L 24 Foot Used Working
13769 RFPP RF Power Products 0251-0331-6 2kW RF Cable Rev. M 24 Foot Used Working
13770 Nor-Cal Products ESVP-1502-NW Pneumatic Isolation Angle Vacuum Valve Used
13771 Nor-Cal Products ESVP-075 Pneumatic Isolation Angle Vacuum Valve Lot of 2 Used
13772 MKS Instruments 93-0501 Ultra High Vacuum Right Angle Two-Stage Pneumatic Valve
13773 Bison 507-02-133C Right Angle DC Gearmotor 26-999-2004-005 Reseller Lot of 2
13774 Bison 507-02-133C Right Angle DC Gearmotor 26-999-2004-005 Reseller Lot of 2
13775 Ultratech Stepper 03-20-01955 5 Axis Focus A/D Board PCB 4700 Titan Used Working
13776 Ultratech Stepper 03-20-01420 Air Gauge Amplifier Board PCB 4700 Titan Used
13777 Ultratech Stepper 03-15-06034 Dual Stack Reticle Library Board PCB Used Working
13778 Ultratech Stepper 03-20-01321 Analog Alignment Board PCB Rev. C 4700 Titan Used
13779 Ultratech Stepper 03-20-01321 Analog Alignment Board PCB Rev. B 4700 Titan Used
13780 Ultratech Stepper 03-20-03996 WTC Breakout Board PCB 4700 Titan Used Working
13781 Ultratech Stepper 03-20-02114 Transfer Arm Interconnect Board PCB 4700 Used
13782 Ultratech Stepper 03-15-02049 WAS Breakout Board PCB 4700 Used Working
13783 Ultratech Stepper 03-15-02049 WAS Breakout Board PCB 4700 Used Working
13784 Ultratech Stepper 03-15-07175 Auto Aperture Vacuum Chuck Board PCB 4700 Used
13785 Litton Poly-Scientific AC4598-6 Slip Ring Assembly Nordiko 9550 Used Working
13786 HP Hewlett-Packard 10740-60001 Backplane Coupler Board PCB Used Working
13787 VAT 10846-TE24-0004 UHV High Vacuum Gate Valve Used Working
13788 Ultratech Stepper 03-15-04253 Power Driver Backplane PCB 4700 Used Working
13789 Ultratech Stepper 03-20-04930-01 GEN I/O #2 Drive Breakout Transition PCB Card
13790 Ultratech Stepper 03-20-04930-02 GEN I/O #2 Drive Breakout Transition PCB Card
13791 Ultratech Stepper 03-20-02032-02 Power Distribution Board PCB Card Used Working
13792 Ultratech Stepper 03-20-02032-02 Power Distribution Board PCB Card Used Working
13793 Ultratech Stepper 03-20-02294-02 General I/O Beakout 1 PCB Card Used
13794 Ultratech Stepper 03-20-0221-02 General I/O Breakout II PCB Card Used Working
13795 Ultratech Stepper 03-15-06698-02 General I/O Breakout 3 PCB Card Used Working
13796 Ultratech Stepper 03-20-01379 Power Supply PCB Card WR24A05/5000U Used Working
13797 Ultratech Stepper 03-20-00954 Stepper Motor MUX Board PCB Card Used Working
13798 Ultratech Stepper 03-20-00954 Stepper Motor MUX Board PCB Used Working
13799 RF20H RFPP RF Power Products 7500000002 RF Generator Tested Not Working As-Is
13800 RF20H RFPP RF Power Products 7500000002 RF Generator 2460 Used Tested Working
13801 Excel 1012A Plane Mirror Interferometer Laser Assembly with 1016A 1013A Used
13802 Lambda LRS-55-24 Regulated Power Supply LRS-53-24 LRS-53-5 Lot of 3 Used Working
13803 Ultratech Stepper 01-08-01233 Pneumatic Operation Panel UltraStep 1700 MVS Used
13804 Ultratech Stepper Theta Stage Wafer Assembly 0555-591400 UltraStep 1700 MVS Used
13805 Ultratech Stepper 0584-700668 Reticle Stage with Slider UltraStep 1700 MVS Used
13806 Ultratech Stepper 01-08-00572 Pneumatic Air Gauge Control Assembly 1700 MVS Used
13807 Ultratech Stepper 0553-615900 Optical Edge Switch PCB 1700 MVS Used Working
13808 Ultratech Stepper 0523-701008 PMT Stage Safety Board PCB 1700 MVS Used Working
13809 Ultratech Stepper 01-08-00545 ACTINIC/Exposure Shutter Driver 1700 Used Working
13810 Agilent Technologies 5517B Laser Head 277uW Ultratech 1700 MVS Used Working
13811 Ultratech Stepper Illuminator Exposure Lamp and Shutter Assembly 1700 MVS Used
13812 HP Hewlett-Packard 10780F Remote Receiver Option C09 Agilent Technologies Used
13813 RPS Radiation Power Systems 3060 Igniter Module UltraStep 4700 Used Working
13814 RPS Radiation Power Systems UTS3060 Igniter Module UltraStep 4700 Bent Used
13815 HP Hewlett-Packard 10737R 3-Axis Interferometer Assembly Ultratech 4700 Used
13816 Ultratech Stepper 01-08-00572 Pneumatic Air Gauge Control Assembly 4700 Used
13817 Ultratech Stepper Transducer and Pneumatic Distribution Assembly 4700 Titan Used
13818 Ultratech Stepper 01-17-00047 Optical Mirror Lens Stepper Assembly 4700 Used
13819 MKS Instruments 153D-15575 Throttle Valve Controller Type 153 Used Working
13820 Ulvac KEYTRAN-II Ultra High Vacuum Wafer Transfer Robot UHV Ceraus ZX-1000 Used
13821 Ultratech Stepper 04-15-04026 Reticle Stage with Slider UltraStep 4700 Used
13822 Ultratech Stepper 01-15-06778 Reticle XY Alignment Sensor UltraStep 4700 Used
13823 Ultratech Stepper Wafer Transport Assembly 03-15-06672 UltraStep 4700 Used
13824 Ultratech Stepper Wafer Transport Assembly 03-15-06672 UltraStep 4700 As-Is
13825 Ultratech Stepper Wafer Inspection Stage Transport Assembly UltraStep 4700 Used
13826 Ultratech Stepper Wafer Inspection Stage Transport Assembly UltraStep 4700 As-Is
13827 Ultratech Stepper 01-15-04736D Reticle Gripper Swing Arm UltraStep 4700 Used
13828 Ultratech Stepper Wafer Holder End Effector Transfer Fork UltraStep 4700 Used
13829 RPS Radiation Power Systems 01-15-04354 Illuminator Controller Ultratech 4700
13830 Ultratech Stepper Illuminator Exposure Detector Focus Assembly 4700 Titan Used
13831 Ultratech Stepper Illuminator Exposure Lamp and Shutter Assembly 4700 Titan Used
13832 Ultratech Stepper 03-15-02305 Photo Preamplifier PCB UltraStep 4700 Used Working
13833 Ultratech Stepper Right Left Alignment Lens Assembly 14-15-05800 4700 Used
13834 Ultratech Stepper Lens Block UltraStep 4700 Titan Wafer Stepper Used Working
13835 Ultratech Stepper 01-17-00006 Photomultiplier Lens Alignment Assembly 4700 Used
13836 Kensington Laboratories 77-4000-6108-00 Axis Multilink PCB Card v10.45 ZCHD Used
13837 Intec Electronic IDEPA V2.2 Amplifier Board PCB Used Working
13838 Intec Electronic IDEVV V2.1 Processor Interface Board PCB Used Working
13839 Intec Electronic TC V2.1 Processor Contoller Board PCB IMCC31 V2.0 Used Working
13840 Semitool 16799-501-0068 Processor Interface Board PCB Used Working
13841 Intec Electronic IDEPS V1.1 DC/DC Convertor Board PCB Used Working
13842 Applied Motion 5560 Step Motor Driver Reseller Lot of 2 Used Working
13843 Marsh Bellofram 966-630-000 Pneumatic Transducer Type 1001 T-1001 Used Working
13844 Brooks Automation TLG-L1-1000-S0-00EB Transponder Reader w/ ANT-1FXX-IR5-2 Used
13845 Ultratech Stepper 03-15-02860 MVS Interface 2244I PCB UltraStep 4700 Used
13846 Ultratech Stepper 03-15-02860 MVS Interface 2244I PCB Missing Switch Used
13847 Genmark Automation 910500012 Robot Prealigner Cable 7.5 Foot Rev. 6 Used Working
13848 Genmark Automation 910500012 Robot Prealigner Cable 7.5 Foot Rev. 4 Used Working
13849 Genmark Automation Prealigner Cable 14’ Ultratech Stepper UltraStep 4700 Used
13850 Genmark Automation Robot Cable 14’ Ultratech Stepper UltraStep 4700 Used
13851 Logosol MC21.17.1427 Three Axis DSP Controller PCB MC-21-1007 FlexWare Genmark
13852 Logosol LS-4.954.0190 LS4 DSP Controller PCB Card LS-4 #7044 FlexWare Genmark
13853 Logosol LS-4.954.0125 LS4 DSP Controller PCB Card LS-4 #6486 FlexWare Genmark
13854 Logosol DE/RS-1-1111 Processor PCB Card 9507 DE/RS 11-1111 Used Working
13855 Aaeon 1907411002 SBC Single Board Computer PCB Card SBC-411/411E Used Working
13856 Logosol BC-06-0002 Backplane Interface Board PCB 9506 Used Working
13857 Brooks Automation 398-19599-1 Wafer Robot Paddle End Effector Fork Used Working
13858 Brooks Automation 398-19335-1 Wafer Robot Paddle End Effector Fork Used Working
13859 Asyst Technologies 9700-5819-01 Fan Filter Unit Controller CMS II Rev. 5 Used
13860 AP Tech AP1210SM 2PW FV8 FV8 Manual Pressure Regulator Valve Used Working
13861 AMAT Applied Materials ULP-2-.350-N/S Low Pass Filter 0190-09186 Lot of 2 Used
13862 Ulvac Technologies 310003B3 Arc Monitor ARQUEST D-1000 Used Working
13863 Oriental Motor PK564-NB 5-Phase Stepping Motor Vexta Used Working
13864 Bausch & Lomb StereoZoom 4 Microscope Head with Bracket Reseller Lot of 3 As-Is
13865 GaSonics A90-039-02 Aura Lamp Current Sensors Reseller Lot of 3 Used Working
13866 GaSonics/IPC A90-040-01 Heater/Lamp Detect Board PCB Used Working
13867 CTI-Cryogenics 8112579G001 Roughing Valve Cryopump Used Working
13868 AMAT Applied Materials 0240-30104 Delta TEOS or NITRIDE Gas Box Kit New Surplus
13869 Edwards E21909516 iQDP Power Cable 1 Foot iQDP40 iQDP80 Reseller Lot of 3 Used
13870 Parker 71-023382-50 Rotary Motor Encoder Cable Compumotor 50 Foot SPMX Used
13871 Parker 71-021627-50 Rotary Motor Power Cable Compumotor 50 Foot SPMX Used
13872 Parker 71-023382-10 Encoder and Power Cable 71-021627 Set of 2 10 Foot SPMX Used
13873 Clippard EMC-12 12-Port Electronic Pneumatic Manifold Board PCB Used Working
13874 Berkeley Process Control USA2-11-28-UR Multi-Axis Servo Pump Amp Used Working
13875 Omron 61F-GP-NH Floatless Level Switch with Socket Reseller Lot of 4 Used
13876 TDK FAW24-6K Power Supply EAK12-2R5G EAK24-2R1G Reseller Lot of 10 Used Working
13877 Omron SYSMAC C200HS Programmable Logic Controller PLC Assembly C200HS-CPU01 Used
13878 Omron SYSMAC CS1G Programmable Logic Controller PLC Assembly CS1G-CPU43H Used
13879 Welwyn C1609 Tubular Vitreous Enamelled Wirewound Resistor 33K 5% Lot of 4 Used
13880 Ulvac Technologies High Voltage Relay Interface Assembly Gigavac 3CXS7 Used
13881 Ulvac Technologies M-13 Ionization Vacuum Gauge Sensor Head New Surplus
13882 Inficon 3310-00282 Pirani Capacitance Diaphragm Gauge PCG550 Used Working
13883 UE Precision Sensors E48W-H79 Absolute Pressure Switch Used Working
13884 MDC Vacuum Products 463020 Glass Seal Off NW16 New Surplus
13885 Panasonic MQDB022AAD AC Servo Drive Used Working
13886 Sanyo Denki PV1A015SMT1P50 Servo Amplifier BL Super PV Used Working
13887 Sanyo Denki PV2A015S7F1PA2 Servo Amplifier BL Super PV AMAT 0190-14711 Used
13888 Sanyo Denki PV1A015SM51P50 Servo Amplifier Super PV AMAT 0870-01085 Refurbished
13889 Sanyo Denki PV1A015SM51P50 Servo Amplifier Super PV AMAT 0870-01085 Refurbished
13890 SMC XGT223-46236-1C-X411 Pneumatic Slit Valve Used Working
13891 Ulvac Technologies MV08-9601-0 Quartz Disc Used Working
13892 Ulvac Technologies MV08-9601-0 Quartz Disc Used Working
13893 Ulvac Technologies EM1026-169-10A Cathode Cover Ring Used Working
13894 Ulvac Technologies EM1259-130-02A 8″ Quartz Platen Ring New Surplus
13895 Ulvac Technologies EM1638-090-34D 8″ End Effector Ceraus ZX-1000 200mm New
13896 Ulvac Technologies VM0386-045-02B Pick Up End Effector 200mm Ceraus ZX-1000 New
13897 Ulvac Technologies EM1638-090-34C 8″ Pick Up End Effector 200mm ZX-1000 New
13898 Ulvac Technologies EM2120-130-02A 8″ Quartz Platen Ring Ceraus ZX-1000 200mm New
13899 Ulvac Technologies EM2120-130-02A 8″ Quartz Platen Ring ZX-1000 200mm Used
13900 Ulvac Technologies WPB-10-034 Vacuum Pirani Gauge Sensor Head New Surplus
13901 Ulvac Technologies M-24 Ionization Vacuum Gauge Sensor Head New Surplus
13902 Ulvac Technologies ST-Z-K-001 K Sheath Thermocouple Set of 2 Ceraus ZX-1000 New
13903 Ulvac Technologies EM1263-683-01A Bellows Lifter Ceraus ZX-1000 New Surplus
13904 Ulvac Technologies EM1323-138-25A Thermocouple Set of 2 Ceraus ZX-1000 New
13905 Ulvac Technologies EM0867-131-25A Thermocouple Set of 2 Ceraus ZX-1000 New
13906 Ulvac Technologies EM1474-176-21B Plasma Jig Mounting Plate Ceraus ZX-1000 New
13907 VAT 212473 Aluminium Gate Special Seal Ulvac Technologies 1027804 New Surplus
13908 Ulvac Technologies WP-01 Vacuum Pirani Gauge Sensor Head New Surplus
13909 Ulvac Technologies EM0852-160-04A Ti Target Holder Ceraus ZX-1000 New Surplus
13910 Ulvac Technologies Quartz Heater Cover 200mm Ceraus ZX-1000 PVD New Surplus
13911 Ulvac Technologies EM0867-131-24B Cu Sheet B Ceraus ZX-1000 PVD New Surplus
13912 GM Associates 673-1018518 Ring Set of 2 1018518-03 GMA049-0017 GMA049-0018 New
13913 GM Associates 673-1018518 Ring Set of 2 1018518-03 GMA049-0017 GMA049-0018 New
13914 GM Associates 673-1015980 Pedestal Nest 40D C’BORE 1015980-07 GMA347-0001 New
13915 GM Associates 673-1015980 Pedestal Nest 40D C’BORE 1015980-07 GMA347-0011 New
13916 Ulvac Technologies EM0867-169-02A Ring Insulator Ceraus ZX-1000 PVD New Surplus
13917 Ulvac Technologies EM1562-153-05B Shield Ring Cover Ceraus ZX-1000 PVD New
13918 Ulvac Technologies KH-2901H 8″ Hot Plate PVD Ceraus ZX-1000 PVD Working Spare
13919 Ulvac Technologies EM1435-176-12A Plasma Adjusting Jig Ceraus ZX-1000 PVD Used
13920 Ulvac Technologies EM0867-181-02B Bellows Unit Ceraus ZX-1000 PVD New Surplus
13921 Ulvac Technologies EM0663-025-03C Bellows Cassette Lifter Ceraus ZX-1000 New
13922 Nippon Bearing SERS15AUU2-670P Slide Guide Ulvac Ceraus ZX-1000 New Surplus
13923 VAT 77006-R1 Aluminium Gate Ulvac Technologies 1019042 New Surplus
13924 Tech-Etch 1017451 Side Applicator Gasket Reseller Lot of 4 Ulvac ZX-1000 New
13925 Tech-Etch 1017450 Side Applicator Filter Reseller Lot of 2 Ulvac ZX-1000 New
13926 Ulvac Technologies NAXI-6040 Bearing Set IKO LRT 607025 NAX 7040 ZX-1000 New
13927 GM Associates 673-1018882-X0 Quartz Applicator Tube 1018882-03 GMA-810-0007 New
13928 GM Associates 673-1018882-X0 Quartz Applicator Tube 1018882-03 GMA-810-0008 New
13929 Hamamatsu R955 Photomultiplier Tube Multialkali Photocathode New Surplus
13930 Kobold SMW-7122DR0874 Flowmeter Switch Type SMW New Surplus
13931 Parker FF352 2-453 O-Ring Ultra Parofluor FF352-75 1043737 New Surplus
13932 BHK 80-7025-01 Low Pressure Mercury UV Analamp Reseller Lot of 2 New Surplus
13933 Watlow AF1106701 Thermocouple Z260247 Reseller Lot of 4 New Surplus
13934 Parker 2-388-SHG O-Ring Perfluoroelastomer Seal 880-5123-88 1019200 New
13935 Parker Seals 2-223 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 8 New
13936 Parker Seals 2-326 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 8 New
13937 Parker Seals 2-114 O-Ring Ultra Parofluor Seal FF352 1043726 Lot of 14 New
13938 Parker Seals 2-314 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 6 New
13939 Parker Seals 2-130 O-Ring Ultra Parofluor Seal FF352 1037994 Lot of 6 New
13940 Parker Seals 2-320 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 4 New
13941 Parker Seals 2-263 O-Ring Ultra Parofluor Seal FF352 New Surplus
13942 Parker Seals 2-366 O-Ring Ultra Parofluor Seal FF352 1043736 New Surplus
13943 Parker Seals FF352 Small O-Rings UHP Ultra Parofluor Seal Reseller Lot of 20 New
13944 Parker Seals 2-334 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 2 New
13945 Parker Seals 2-259 O-Ring Ultra Parofluor Seal FF352 1043731 New Surplus
13946 Parker Seals 2-330 O-Ring Ultra Parofluor Seal FF352 Reseller Lot of 3 New
13947 Parker Seals FF352 Medium O-Rings Ultra Parofluor Seal Reseller Lot of 11 New
13948 GM Associates 673-1017446 Quartz Downstream Tube Applicator Set of 2 Ulvac New
13949 Valqua 22010914 P-300 O-Ring D0270 Fluoride 4640 Reseller Lot of 2 Ulvac ZX-1000
13950 Valqua V-275 BUVc O-Ring D0270 Fluoride Reseller Lot of 3 Ulvac ZX-1000 New
13951 Valqua 6X1820LE BUVc O-Ring D0270 Fluoride Reseller Set of 2 Ulvac ZX-1000 New
13952 Valqua 6X1720LE BUVc O-Ring D0270 Fluoride Reseller Lot of 2 Ulvac ZX-1000 New
13953 Valqua V 420 BUVc O-Ring D0270 Fluoride Reseller Lot of 3 Ulvac ZX-1000 New
13954 Ulvac Technologies EM0867-147-08B Cooling Water Inlet Port Lot of 2 New
13955 Ulvac EM0867-169-09C Cooling Water Inlet Port Insulator Lot of 3 Used Working
13956 Ulvac Technologies WIB Vacuum Gauge Sensor Ion Tube New Surplus
13957 Valqua P-360 BUVc O-Ring D0270 Fluoride Reseller Lot of 2 Ulvac ZX-1000 New
13958 Ulvac Technologies EM1638-090-34D 8″ Pick Up End Effector 200mm ZX-1000 Used
13959 Sentec HA-50S Y Axis Sensor Head Nikon NSR Series Used Working
13960 Sentec HA-50S X Axis Sensor Head Nikon NSR Series Used Working
13961 Edwards A52844430 Vacuum Pump im Interface Module MCM TIM Used Working
13962 Edwards A52844430 Vacuum Pump im Interface Module MCM TIM Used Working
13963 Edwards U20001189 iGX Series Vacuum Pump Power Cable 7 Foot Lot of 4 Used
13964 Edwards A52844460 Vacuum Pump im Interface Module Used Working
13965 Oriental Motor 2GK5K Gear Head Reducer Reseller Lot of 4 Used Working
13966 Oriental Motor PH266-01GK Stepping Motor VEXTA Step Reseller Lot of 3 Used
13967 Oriental Motor PH266-01 Stepping Motor VEXTA Step Reseller Lot of 5 Used
13968 QMB500 Edwards A302-86-905 Vacuum Pump Mechanical Booster Used Tested Working
13969 Yaskawa Electric SGDH-01AEY903 Servo Drive SERVOPACK AMAT 0190-08038 Used
13970 Yaskawa Electric SGDH-CA04EA Sevro Drive PCB Used Working
13971 Yaskawa Electric SGDH-CB01AA-T Sevro Drive Power/Interface PCB DF9203769-A0 Used
13972 Scientech 301090 Flow Controller Regulator 301 Used Working
13973 Yaskawa Electric SGDH-04AEY904 Servo Drive SERVOPACK AMAT 0190-08039 Used
13974 Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV Lot of 5 Missing Door New
13975 Oriental Motor UDK5114NW2 5-Phase Servo Driver VEXTA Hitachi 1-827865-02 New
13976 Sanyo Denki PV2A030WN61A0Y Servo Amplifier BL Super PV SERCOS Missing Door Used
13977 Sanyo Denki 103-7501-7020 Stepping Motor with Encoder HEDS-5600 Lot of 2 Used
13978 Sanyo Denki 103-7501-7020 Stepping Motor with Encoder HEDS-5645 Lot of 2 Used
13979 SoftSwitching DS10050A120V2SH1000A Dynamic Voltage Sag Corrector MINI DySC Used
13980 Rorze Automation RE120-002-001 Indexer Mapping Elevator Assembly RC-234 Used
13981 Nippon Pillar PPLG0133A Bellows Metering Pump AMAT 3620-00328 New Surplus
13982 Millipore WGEN21CN1 Gen 2 Terminal Photoresist Pump Controller Used Working
13983 SMC US16219 Pneumatic Cylinder Actuator Head 15-169406-00 Used Working
13984 Eastek P1228-PWR-208 Power Supply PCB 36-0428 Used Working
13985 Eastek P1228-LSC Power Supply PCB 36-0427 Used Working
13986 YSI 3500 Water Quality Monitor Temperature Conductivity pH-mV 3550 Chamber Used
13987 Estek 398-18886-1 Pneumatic Vacuum Chuck Control Board PCB 398-18886-1 Used
13988 Nordiko Technical Services N930022SA Amplifier PCB Card TLTD-1/425 9550 Used
13989 TEL Tokyo Electron 308-600044-2 Backplane Interface Board PCB P-8 Used Working
13990 TEL Tokyo Electron 2981-600374-11 FDD Extension Board PCB ACT12 Used Working
13991 Taiyo Machinery CN-BASE Backplane Interface Board PCB ACT12 System Used Working
13992 Sanyo Denki 103F7581-70XE44 Stepping Motor StepSyn Used Working
13993 Sanyo Denki 103F5508-70XE42 Stepping Motor StepSyn Used Working
13994 DNS Dainippon Screen DS-1211-ANALOG(D) I/O PCB Module SN-2016-TRDS Used Working
13995 DNS Dainippon Screen DS-1211-ANALOG(D) I/O PCB Module SN-2016-TRDS Lot of 3 Used
13996 iQDP80 Edwards IQ7140204XS Dry Vacuum Pump QMB1200 12145 Hours Tested Working
13997 QMB1200 Edwards A305-85-905 Mechanical Booster 2808 Hours Used Tested Working
13998 QMB1200 Edwards A305-86-905 Mechanical Booster 3505 Hours Copper Tested Working
13999 QMB1200 60Hz Edwards A305-86-905 Mechanical Booster No Skins Used Untested As-Is
14000 QMB500 Edwards A302-85-905 Mechanical Booster -1 Hours Used Tested Working
14001 QMB500 Edwards A302-85-905 Mechanical Booster -1 Hours Used Tested Working
14002 iQDP40 Edwards A532-40-905 Dry Vacuum Pump -2497 Hrs Copper Used Tested Working
14003 iQDP40 Edwards A532-40-905 Dry Vacuum Pump 14911 Hrs Copper Used Tested Working
14004 Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working
14005 Edwards D02172000 Active Pirani Vacuum Gauge APG-M-NW25 ST/ST Used
14006 Edwards C10517294 Braided Flexible Pipeline Bellows 5.5″ NW50 Used Working
14007 Edwards A44003000 Vacuum Exhaust Check Valve NW40 iQDP Series Used Working
14008 Shimadzu 262-78491-15V2 Turbomolecular Pump Cable 50 Foot 15M Used Working
14009 Shimadzu 263-11088-15V1 Turbomolecular Pump Signal Cable 50 Foot 15M Used
14010 Shimadzu 263-78187-20V1 Turbomolecular Pump Signal Cable 65 Foot 20M Used
14011 Hitachi 201F1 RF Cable 72 Foot 23M M-511E Microwave Plasma System Used Working
14012 Hitachi EVAC CONT UNIT Power Distribution Module S-9300 No Control Board As-Is
14013 Edwards C41401000 Electromagnetic Vacuum Valve PV40EKA Used Working
14014 Varian Semiconductor Equipment VPI251205060 Vacuum Pump Isolation Valve Used
14015 ASTRONex MKS Instruments FI80131 Plasma Source 2788 hours AMAT 0920-00057 Tested
14016 APEX 1513 AE Advanced Energy 660-032596-214 C RF Generator Used Tested Working
14017 iH1000 MK5 Edwards A59030945XS Dry Vacuum Pump System Tested Not Working As-Is
14018 iQDP80 Edwards A532-80-905 Dry Vacuum Pump -1 Hours No Skins Used Tested Working
14019 TEL Tokyo Electron T5163 Bell Jar Blankoff Plate MRC Materials Research Used
14020 Panasonic KJIU0287 Servo Drive Board PCB GCMK-41X KJIU0290A JCI-DIS Used Working
14021 iQDP80 Edwards A401-91-905 Vacuum Pump 24488 Hours No Skins Used Tested Working
14022 iQDP80 Edwards IQ2100004xs Dry Vacuum Pump QMB500 Used Tested Working
14023 Metron Technology D129805 200mm Wafer Holder Housing (MOD) MRC New
14024 Pfeiffer TC 400 Split Vacuum Pump – TEST
14025 Pfeiffer TMH 071 Turbomolecular Pump
14026 Pfeiffer TMH 071 Turbomolecular Pump
14027 Pfeiffer TMH 071 Turbomolecular Pump
14028 Pfeiffer TMU 262 Turbomolecular Pump – TEST
14029 Leybold SL80 Turbomolecular Pump w/TD400 Controller – TEST
14030 KLA Instruments 710-657058-20 A/F LED Driver PCB Optical Assembly 2132 Used
14031 Cosel PBA300F-24 Power Supply 24 Volt Reseller Lot of 6 Used Working
14032 AMAT Applied Materials 0100-91055 Gap Servo PCB Card 0120-93017 Used Working
14033 AMAT Applied Materials 0100-90940 Spin Scan Relay PCB Card Used Working
14034 AMAT Applied Materials 0100-90899 Operator Prompt PCB 0120-92833 Used Working
14035 Rorze RA310-812-001 300mm Wafer Aligner RA310 Series Used Working
14036 Bristol Babcock 506008-630 Pressure Switch Reseller Lot of 2 Used Working
14037 SensArray 1501A-8-0638 Process Probe Instrumented Wafer 200mm Used Working
14038 Mactronix MCL-825 Transfer Machine MacLite 200mm KA198-80M Metal Cassette Used
14039 MKS Instruments 622A12TBE Baratron Pressure Transducer 100 Torr Used Working
14040 NESLAB EX 17 Thermo Fisher 277003200100 Recirculating Bath Used Tested Working
14041 EX 17 Thermo NESLAB 277003200000 Recirculating Bath Used Tested Working
14042 Horiba Inspection Lens Sensor Assembly PMP-01 Olympus OM-System PD-201A Used
14043 Horiba Inspection Sensor Assembly PMP-01 47u/35V(x2) PD-201A Used Working
14044 Kyowa Optical TES-2 Techniscope with Hitachi KP-120 Camera Horiba PD-201A Used
14045 Toshiba LGK-7628JH He-Ne 632.8nm Laser with Power Supply LPG-3223 Horiba PD-201A
14046 General Scanning MG325D Servo Motor Used Working
14047 Horiba Fiber Optic Light Source Assembly with Cables PD-201A Used Working
14048 Copal Electronics 8412 Mini Motor Type 103 Reseller Lot of 3 Used Working
14049 Copal Electronics 8412 Mini Motor Type 107 Reseller Lot of 2 Used Working
14050 Horiba H488828B Optical Sensor Board PCB GZP-0I PD-201A Used Working
14051 Horiba H334535B Interface Board PCB PRT-02 PD-201A Used Working
14052 Horiba H340337 LET Indicator Interface Board PCB PSC-01 PD-201A Used Working
14053 Horiba H220765 Driver Board PCB DRV-1 PD-201A Used Working
14054 Horiba H101325A High Voltage Board PCB HVB-01 Hamamatsu CI903-02S PD-201A Used
14055 Horiba H101359C AC/DC Power Board PCB PWR-02 PD-201A Used Working
14056 Horiba H101358B AC/DC Power Board PCB PWR-01 PD-201A Used Working
14057 Horiba H214277B Signal Range Board PCB Card RAP-01 PD-201A Used Working
14058 Horiba H214350B Signal Output Board PCB Card OUT-01 PD-201A Used Working
14059 Horiba H214348A Signal Input Board PCB Card IN-01 PD-201A Used Working
14060 Horiba H214435B Buffer Board PCB Card BUF-01 PD-201A Used Working
14061 Horiba H220087A Controller Board PCB Card CTL-01 PD-201A Used Working
14062 ASM Advanced Semiconductor Materials 201026 Processor Board PCB ETMI 201025 Used
14063 Danaher Motion T019-0001 SynQnet Interface Device (SQID) PCB 1007-0098 Used
14064 ASM Advanced Semiconductor Materials 201017 LED Board PCB ETMI 201016 Used
14065 ASM Advanced Semiconductor Materials 201012 Connector Board PCB ETMI 201011 Used
14066 Watlow Anafaze 30760-00 Interface Base Board PCB MLS300 AIM TB Used Working
14067 Watlow Anafaze 30750-00 Board PCB MLS300 MUX-16 D/C 0045 Used Working
14068 Watlow Anafaze 30740-00 MLS300 V/F Board PCB D/C 0016 Used Working
14069 MKS Instruments 135280-G3 Precision Controller Board PCB Used Working
14070 Hitachi DCM-05A03-E1200 Permanent Magnet Motor Used Working
14071 Hitachi DCM-05A02-E 660 Permanent Magnet Motor Reseller Lot of 2 Used Working
14072 Lambda Electronics LIS-3I-12 Power Supply PCB Card +12VDC Used Working
14073 MKS Instruments 627A.1TAD—–S Baratron Pressure Transducer Tested As-Is
14074 Datex DTX-2100S HDD Emulation Card PCB Semifab CELE-200 40GB Drives SKYtech Used
14075 SBS Technologies CL7R2Q0B00C14W18 SBC PCB Card CL7 30GB AMAT 0190-12695 Used
14076 Inova Computers 11249 UPS Board PCB Card 1-ICP-UPS AMAT 0190-07905 Used Working
14077 Inova Computers 11249 UPS Board PCB Card 1-ICP-UPS UPS-27.10.00 Used Working
14078 Inova Computers 11249 UPS Board PCB Card 1-ICP-UPS UPS60-27.10.00 Used Working
14079 Mesa Power Systems 10651 100 Watt UPC PCB Card AMAT 0190-08875 Used Working
14080 AMAT Applied Materials 90K CPU Processor Board PCB AKT Division Used Working
14081 Texas Microsystems D486/DX4 CPU Processor Board PCB Card D-DX4/100 Used Working
14082 Danaher Motion T021-0001 SynqNet Interface PCB SQIO-ADC4DAC4 1007-0101 Used
14083 Revolve Magnetic Bearings 804-0063-001 Interface Board PCB 704-0063-002 SKF Used
14084 Crystalfontz HAC0006D Power Supply Board PCB Used Working
14085 SBS Technologies MC303-S00026 Carrier PCB Card AMAT 0190-07847 0190-07910 Used
14086 DIP 15049105 DeviceNet PCB Card CDN491 AMAT Applied Materials 0190-02506 Used
14087 AMAT Applied Materials 0100-09196 E Chuck Controller PCB Card Used Working
14088 Comtrol A10061 Interface Connector Board PCB 9916C Used Working
14089 Texas Instruments 52045-312 Arc Detector II Board PCB 52045-313 Used Working
14090 AMAT Applied Materials 0100-71141 TC Input K-Type Thermocouple Board PCB Used
14091 TCM 180 Pfeiffer PM C01 650 A Turbomolecular Controller – TEST
14092 AMAT Applied Matrials 0100-71267 8 Channel PCM Card PCB AKT USed Working
14093 RF Navigator AE Advanced Energy 3155123-011 RF Match Network Used Working
14094 Edwards A53259000XS iQDP Series Gas System Module Series 2 Used Tested Working
14095 Edwards D37208000 Vacuum Pump Sensor Module iQDP40 Tested Working Spare
14096 Alcatel 5150 CP Turbomolecular Pump Turbo Used Tested Working
14097 BTU Engineering 3161525 Analog I/O Microprocessor VME PCB Card 3161521 Used
14098 BTU Engineering 3162154 Logic Processor VME PCB Card Used Working
14099 BTU Engineering 3162012 Signal Processor Pyrogenic Oxidation VME PCB Card Used
14100 BTU Engineering 3162024 7900 Backplane Board PCB 3162020 Used Working
14101 CFF 450 TURBO Alcatel 8220 Turbomolecular Pump Controller Used Tested Working
14102 Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 500 SCCM HF Used
14103 Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 2 SLPM N2 Used
14104 Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 5 SLPM N2 Used
14105 Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 5 SLPM H2 Used
14106 Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 5 SLPM O2 Used
14107 Tylan General FC-2902M Mass Flow Controller MFC 2900 Series 500 SCCM CL2 Used
14108 Nor-Cal Products AIVP-1502-NWB Pneumatic Angle In-Line Used Working
14109 VAT 01032-KE12-0002 Mini UHV Ultra High Vacuum Gate Valve Used Working
14110 Oriental Motor C8741-9212KM Stepping Motor Magnetic Brake VEXTA Used Working
14111 Banner SM51RB6 LED Scanner KLA Instruments 750-657649-01 200mm 2132 Used Working
14112 Banner SM51RB6 LED Scanner Receiver KLA Instruments 750-657650-01 2132 Used
14113 Banner SM51RB6 LED Scanner R/H Emitter KLA Instruments 750-657649-02 2132 Used
14114 Banner SM51RB6 LED Scanner R/H Receiver KLA Instruments 750-657650-02 2132 Used
14115 Oriel 68867 Illuminator Power Supply KLA Instruments 200mm 2132 Used Working
14116 Kensington Laboratories HR90310-06 Z-Axis Board PCB Card 4000-60002 Used Working
14117 Kensington Laboratories HR90437-01 Y-Axis Board PCB Card 4000-60002 Used Working
14118 Kensington Laboratories HR95649-10 X-Axis Board PCB Card 4000-60002 Used Working
14119 Kensington SB96257-07 SBC Single Board Computer PCB Card 4000-60010 Used Working
14120 Kensington Laboratories 77-4000-6043-00 5-Axis Motherboard PCB Card Used Working
14121 KLA Instruments 760-661136-00 Insulated Vertical Illuminator 2132 No Cover Used
14122 Leybold MAG.DRIVE 3000 Digital Turbo Pump Controller
14123 Sorenson Power Supply
14124 Electronic Measurements TCR Power Supply
14125 Alcatel A100L IPUP Dry Vacuum Pump
14126 Polycold PCC Compact Cooler
14127 Oerlikon Leybold MAG.DRIVE Frequency Converter Lot of 2
14128 Oerlikon Leybold MAG.DRIVE Frequency Converter Lot of 2
14129 Oerlikon Leybold MAG.DRIVE Frequency Converter
14130 Oerlikon Leybold SL300 Turbomolecular Pump Turbovac
14131 Oerlikon Leybold SL300 Turbomolecular Pump Turbovac
14132 Oerlikon Leybold MAG W 600 Turbomolecular Pump Turbovac
14133 Oerlikon Leybold MAG W 600 Turbomolecular Pump Turbovac
14134 Oerlikon Leybold MAG W 600 Turbomolecular Pump Turbovac
14135 Lambda Electronics UBK 13GZ-2113 600 Watt Power Supply UltraFlex Series Used
14136 Bay Pneumatic BES4326 5-Port Manifold KLA Instruments 740-654246-00 2132 Used
14137 Oriental Motor PH266-01GK Stepping Motor KLA Instruments 740-651222-00 2132 Used
14138 Sony XC-711RR CCD Vision Camera Module RGB KLA Instruments 2132 Used Working
14139 KLA Instruments 710-658268-20 Y AMP Filter Board PCB 073-658267-00 2132 Used
14140 Leica 567052 Microscope Objective PL Fluotar 32x/0.75 ∞/0 KLA 2132 Used Working
14141 Leica 567056 Microscope Objective PL APO 50x/0.90 ∞/0 Used Working
14142 MKS Instruments 0154A-200RK High Flow Control Valve 154A Used Working
14143 Schumacher 1471-0201 Bubbler Alarm Controller LSU-II 1730-0040 Used Working
14144 Watlow 988A-22FA-NRRG Microprocessor-​Based Temperature Process Controller Used
14145 Xenon RC-500B Pulsed UV Curing System Controller Used Working
14146 Motorola 01-W3866B04D SBC Single Board Computer VME PCB Card MVME 162-263 Used
14147 VMIC 332-002536-000 B 5V Optically Coupled Digital I/O PCB Card VMIVME 2536 Used
14148 VMIC 332-002536-200 B 24V Optically Coupled Digital I/O PCB Card VMIVME 2536
14149 TreNew VME-Monolithic Bus 6-Slot Backplane PCB Electronic Solutions 01-0032006
14150 Weidmuller 117816 PLC Interface Unit RS-C64 B/S Reseller Lot of 5 Used Working
14151 JEOL AP002131-03 CRT Display Board PCB Card CRT DSPL(1) PB JSM-6400F Used
14152 JEOL AP002133-02 CRT Display Board PCB Card CRT DSPL(2) PB JSM-6400F Used
14153 JEOL AP002127(01) Processor Board PCB Card FIS(2)PB JSM-6400F Used Working
14154 JEOL AP002129(01) Processor Board PCB Card MPU PB JSM-6400F Used Working
14155 JEOL AP002126(01) Processor Board PCB Card FIS(1)PB JSM-6400F Used Working
14156 JEOL AP002100(00) Backplane PCB KI Assembly LENS MPB JSM-6400F Used Working
14157 JEOL AP002134-02 CRT Display Board PCB Assembly CRT DSPL(2)MPB JSM-6400F Used
14158 JEOL AP002132-02 CRT Display Board PCB Assembly CRT DSPL(1)MPB JSM-6400F Used
14159 IDE Integrated Dynamics Engineering 400133-02 EMI Compensation System MK4 Used
14160 JEOL OPN Control Panel Assembly PCB AP002136(00) OPN PANEL(2)PB JSM-6400F Used
14161 JEOL ERS Unit Electron Spin Resonance PCB Card AP001174-00 JSM-6400F SEM Used
14162 JEOL SM-40080 Unit Scan Rotation Card PCB AP000393 SR-02C JSM-6400F SEM Used
14163 JEOL AP002128(01) Processor Board PCB Card FIS(3)PB JSM-6400F Used Working
14164 JEOL AP002114(01) Processor Board PCB Card SCAN GEN(1)PB TN JSM-6400F Used
14165 JEOL AP002108(00) Processor Board PCB Card VIDEO CONT(1)PB TN JSM-6400F Used
14166 JEOL AP002116-00 Processor Board PCB Card MAGCONTROL TN JSM-6400F Used Working
14167 JEOL AP002379-00 Processor Board PCB Card AFC PB TN JSM-6400F Used Working
14168 JEOL AP002113(01) Processor Board PCB Card SCAN I/O PB TN JSM-6400F Used Working
14169 JEOL AP002106(01) Processor Board PCB Card INTER FACE(1)PB JSM-6400F Used
14170 JEOL AP002115-01 Processor Board PCB Card SCAN GEN(2)PB TN JSM-6400F Used
14171 JEOL AP002359(00) Processor Board PCB Card CONT(3)PB KI JSM-6400F Used Working
14172 JEOL AP002269(00) Processor Board PCB Card LENS I/O(1)PB KI JSM-6400F Used
14173 JEOL AP002268(00) Processor Board PCB Card LENS CONT(1)PB KI JSM-6400F Used
14174 JEOL AP002270(00) Processor Board PCB Card EXT I/O(FE)PB JSM-6400F Used Working
14175 JEOL AP002119(01) Processor Board PCB Card HT I/O PB JSM-6400F Used Working
14176 JEOL AP002210(00) Processor Board PCB Card FE CANSEL PB TN JSM-6400F Used
14177 JEOL AP002109(00) Processor Board PCB Card SELECT PB TN JSM-6400F Used Working
14178 JEOL AP002380(00) Processor Board PCB Card VIDEO CONT(3)PB TN JSM-6400F Used
14179 JEOL AP002103(00) Processor Board PCB Card LENS I/O(2)PB KI JSM-6400F Used
14180 JEOL AP002104(00) Processor Board PCB Card LENS CONT(2)PB KI JSM-6400F Used
14181 JEOL AP001116-01 Processor Board PCB Card VIDEO CONT(2)PB TN JSM-6400F Used
14182 JEOL AP002125(00) Backplane Board PCB FIS MPB JSM-6400F SEM Used Working
14183 JEOL AP002118(00) Backplane Board PCB HT I/O MPB JSM-6400F SEM Used Working
14184 JEOL AP002105(00) Backplane Board PCB INTERFACE MPB JSM-6400F SEM Used Working
14185 JEOL AP002139(00) PCB Card Slot Extender Board EXTENSION(3)PB JSM-6400F Used
14186 KLA Instruments 740-657758-00 200mm Wafer Stage Assembly 2132 Incomplete As-Is
14187 JEOL AP002107(00) Backplane PCB TN Assembly IMAGE CONT MPB JSM-6400F Used
14188 JEOL AP002112(00) Backplane PCB TN Assembly SCAN/MAG MPB JSM-6400F Used
14189 JEOL SM-45150 CSI UHR Microscope Camera Polaroid 545i Film Holder JSM-6400F Used
14190 JEOL TN High Voltage Power Supply Assembly JSM-6400F SEM Used Working
14191 JEOL SM-45020 Cathode Ray Tube Toshiba E2665PFA JSM-6400F SEM Used Working
14192 Daihen CMC-10A Tuning Control Unit Box Used Working
14193 JEOL AP002179 Microscope Control Panel and Joystick Set of 2 JSM-6400F SEM Used
14194 JEOL PS RGLTR CCT 1 Temperature Controlled PCB Card JSM-6400F SEM Used Working
14195 JEOL PS RGLTR CCT 2 Temperature Controlled PCB Card JSM-6400F SEM Used Working
14196 JEOL CRT PA1 Temperature Controlled PCB Card JSM-6400F SEM Used Working
14197 JEOL MAG PWRAMP Temperature Controlled PCB Card JSM-6400F SEM Used Working
14198 Dell OptiPlex GX200 Desktop Computer System MMP JEOL JSM-6400F SEM No Boot As-Is
14199 JEOL High Tension Supply JSM-6400F SEM Scanning Electron Microscope Used Working
14200 Nikon 2S700-530 Pulse Motor Control PCB Board 2S003-016 OPTISTATION 3 Used
14201 Nikon 2S701-421 Pulse Motor Control PCB Board 2S007-237 OPTISTATION 3 Used
14202 Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3 Used
14203 Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3 Damaged
14204 Nikon 2S700-580 SCPU Board PCB Card 2S014-033-3 v16.01 OPTISTATION 3 Used
14205 Nikon 2S700-651-1 NCPA Board PCB Card 2S015-081-1 v1.50 OPTISTATION 3 Used
14206 Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 v2.23 OPTISTATION 3 Used
14207 Nikon 2S701-009 NTP-NMC-T Board PCB Card 2S020-061 v1.07 OPTISTATION 3 Used
14208 Nikon 2S701-009 NTP-NMC-T Board PCB Card 2S020-061-1 OPTISTATION 3 No EPROM Used
14209 Nikon 2S700-579-1 Interface Board PCB 2S013-072-3 OPTISTATION 3 Used Working
14210 Nikon 200mm Wafer Indexer Lift Assembly OPTISTATION 3 Inspection System Used
14211 Nikon 200mm Linear Rail Wafer Transport Assembly 1 OPTISTATION 3 Used Working
14212 Nikon 200mm Linear Rail Wafer Transport Assembly 2 OPTISTATION 3 Used Working
14213 Nikon 200mm Wafer Prealigner Assembly OPTISTATION 3 Incomplete No PCB Used
14214 Nikon 2S013-076 200mm Wafer Prealigner PCB 2S700-600 OPTISTATION 3 Used Working
14215 Nikon Binocular Eyepiece Microscope Assembly CFWN D10x/20 OPTISTATION 3 Used
14216 Nikon Microscope Eyepiece Prism Lens Optical Assembly OPTISTATION 3 Used Working
14217 Nikon 200mm Wafer Microscope Inspection Stage 2S700-534 OPTISTATION 3 Used
14218 Nikon Microscope Optical Sensor Assembly 2S700-320 OPTISTATION 3 Used Working
14219 Nikon Microscope Turret Assembly with Objectives 2S700-409 OPTISTATION 3 Used
14220 Nikon Microscope Turret Assembly 2S700-409 OPTISTATION 3 Used Working
14221 Nikon Microscope Fiber Optic Cable Assembly OPTISTATION 3 Used Working
14222 Nikon 200mm Wafer Inspection Transport OPTISTATION 3 SST55D3C020 Used Working
14223 Nikon Microscope Optical Sensor Assembly 2S701-012 OPTISTATION 3 Used Working
14224 Sony DXC-151A Microscope Inspection Camera CCD-IRIS/RGB Nikon OPTISTATION 3 Used
14225 MRC Materials Research Gear Motor Assembly Oriental B&B Motor Eclipse Star Used
14226 Edwards D37207000 Electrics Module iQDP Tested Not Working No Response As-Is
14227 Edwards D37208202 Flash Module PCB iQDP Series 801-1047-01 Used Working
14228 DRYSTAR Edwards QDP40 Dry Pump Tested Not Working Seized Motor Copper Cu As-Is
14229 iQDP40 Edwards A532-40-905 Dry Vacuum Pump -4856 Hours Used Tested Working
14230 Brooks Automation 002-9401-01 Robot Controller Series 8 Used Working
14231 Brooks Automation 017-0266-01 Wafer Handling Robot Reliance 017-0950-01 As-Is
14232 Autonics TZ4ST Loadlock Chamber Heater Temperature Controller Used Working
14233 Shimadzu TMP-V2304LM (PB 21) Turbomolecular Pump EI-V04M Turbo Tested Working
14234 Shimadzu TMP-V2304LM (PB 21) Turbomolecular Pump EI-V04M Turbo Tested Working
14235 TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo Tested Working
14236 TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo Tested Working
14237 TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo Tested Working
14238 TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo Tested Working
14239 TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo Tested Working
14240 TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo New Surplus
14241 TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo New Surplus
14242 TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo New Surplus
14243 TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump Turbo New Surplus
14244 Seiko Seiki RN10880-01 4/4 TMP Turbomolecular Pump Signal Cable 32 Foot 10M Used
14245 Seiko Seiki LJ07002-11-11 TMP Turbomolecular Pump Signal Cable 26 Foot 8M Used
14246 Seiko Seiki RK21092-01-4 TMP Turbomolecular Pump Signal Cable 26 Foot 8M Used
14247 Seiko Seiki RK21092-01-1 TMP Turbomolecular Pump Signal Cable 26 Foot 8M Used
14248 Seiko Seiki RK21092-01-3 TMP Turbomolecular Pump Signal Cable 26 Foot 8M Used
14249 Seiko Seiki RK21092-02-1 TMP Dual Turbomolecular Pump Cable 26′ 8M Turbo Used
14250 Seiko Seiki SD-8m TMP Turbomolecular Pump Cable 26 Foot 8M Turbo Used Working
14251 Synrad D48-Z-115SW 40w CO2 Laser Writer w/Stylus Lumonics LW-CO2 Untested As-Is
14252 Star Gate Tech 742244-02 Communications PCB Card 500144-03 Lumonics LW-CO2 Used
14253 Laser Identification Systems 6050029 PC Communicator PCB Lumonics LW-CO2 Used
14254 Opto 22 AC5 I/O Module Controller ISA Interface PCB Card Lumonics LW-CO2 Used
14255 Interaction Systems ISI 4004 Processor PCB Card Lumonics LW-CO2 Used Working
14256 Cabletron Systems 9000342-04 Processor PCB Card Lumonics LW-CO2 Used Working
14257 Compumotor S57-102-MO Stepping Motor Parker Used Working
14258 Microscan FIS-0610-0002 Barcode Scanner MS-610 Used Working
14259 Condor FNBB-119W Open Frame Power Supply S-I Used Working
14260 Teknic M-5075-829 Servo Motor 8000 Quad SST 2100839 Delta Design Summit ATC Used
14261 JEOL AP001129-01 Vacuum System Operator Panel PCB AP002013-01 JSM-6400F Used
14262 Teknic M-2348-103 Servo Motor 4000 Quad SST 2100844 Delta Design Summit ATC Used
14263 Teknic M-2330-109 Servo Motor 4000 Quad SST Delta Design 2100868 Summit ATC Used
14264 Delta Design 1909151-001 Servo Motor 1670577-604H with Encoder Summit ATC Used
14265 Warner Electric M063-LE-507E Stepping Motor SLO-SYN Delta 1905710-001 ATC Used
14266 Cohu Electronics 8762-1 CCD Camera Delta Design 1924999-001 ATC Used Working
14267 Cohu Electronics ER-8106A CCD Camera Delta Design 1924999-001 ATC Used Working
14268 SMC ITV2030-31N2N4 Electro-Pneumatic E/P Regulator ITV2000 Series Used Working
14269 AMAT Applied Materials 0010-01929 RF Match H.E. BESC MATCH PVD Untested As-Is
14270 AMAT Applied Materials 0010-01929 RF Match H.E. BESC MATCH PVD Assembly Used
14271 AMAT Applied Materials 0190-19764 Flexible Fiber Optic Spectrograph Line Used
14272 MDX-052 AE Advanced Energy 3152052-016 B DC Magnetron Remote Interface Used
14273 Varian E62111440 E62111450 Dose Controller Chips PCB Set of 2 Used Working
14274 Vicor VI-RURNN-EYWW Autoranging AC-DC Switcher Power Supply FlatPAC Used Working
14275 Vicor VI-LU3-CV Autoranging AC-DC Switcher Power Supply FlatPAC Used Working
14276 Animatics SM2310SQ Servo Motor with Gearhead 64-116834-9696 ADE 031304-02 Used
14277 ADE Corporation 020040-92 RES Gage Utility Board PCB Card 020041-01 Used Working
14278 ADE Corporation 031131-01 Wafer Notch Finder Board PCB 031130-01 Used Working
14279 ADE Corporation 031154-01 Supinating Arm Board PCB 031153-01 Used Working
14280 ADE Corporation 025964-01 GPIB Terminator Board PCB 025963-01 Used Working
14281 Kensington 8500-6X8 (+) X Y Translation Wafer Stage Therma-Wave 18-006566 Used
14282 Edwards iH100 Vacuum P{ump A533-52-945 iH 1000 used working
14283 RFX 600A AE Advanced Energy 3155082-331 C RF Generator Used Tested Working
14284 RFX 600A AE Advanced Energy 3155082-331A RF Generator Used Tested Working
14285 AMAT Applied Materials 0100-91072 Source Magnet Control PCB Card 0120-93578 Used
14286 AMAT Applied Materials 0100-91025 Vendor Interface A MAG PCB Card Used Working
14287 AMAT Applied Materials 0100-90302 DAQ MICRO PCB Card 0120-92751 Used Working
14288 AMAT Applied Materials 0100-90480 DAQ EXPANSION PCB Card 0120-92848 Used Working
14289 AMAT Applied Materials 0100-90941 H.V/A.MAG Motherboard Issue B PCB 0100-90015
14290 AMAT Applied Materials 0100-90851 H.V/A.MAG Motherboard Issue E PCB 0100-90015
14291 AMAT Applied Materials 0100-90875 Suppression Logic Board PCB Issue B Used
14292 AMAT Applied Materials 0190-16171 Drive Assembly Hard Disk w/Floppy CD-Rom Used
14293 SVG Silicon Valley Group 80166F3-01 PVB Station Processor CPU PCB Card Used
14294 NSK W250WF-22PSI-C5Z16 Robot Leadscrew Ball Screw 1001-0092 New Surplus
14295 Ebara LE306 Cold Trap Turbomolecular Assembly 0760-470000 Ulvac Untested As-Is
14296 Micron Technology 2829002 Quartz Pedestal Rev. B3 Saint-Gobain Refurbished
14297 HX-2010 SMC INR-498-016C Thermo Chiller HX Over Temp Fault Tested Not Working
14298 DNS Dainippon Screen HLS-MC2 Relay Board PCB PC-97013B Used Working
14299 DNS Dainippon Screen HLS-MC3 Bridge Board PCB Card PC-97014 Used Working
14300 DNS Dainippon Screen HLS-MC1A Network Control Board PCB PC-97040A Used Working
14301 Bruce Systems 9011086 6 Channel Overtemp Module PCB Model 7630 Used Working
14302 AMAT Applied Materials 0190-41422 Assembly High Impendence Junction Box New
14303 Edwards iH1000 Vacuum Pump A533-52-945 Used Working
14304 Seiko Seiki SCU-P027PRT3 STP Turbomolecular Pump Control Unit Tested Working
14305 Leybold 400036V0002 Drive/Bearing Pump Cable New
14306 Leybold 20300032487 MAG W 2010 C Turbomolecular Pump Unlisted
14307 Leybold NT 20 Turbotronik Pump Controller Tested Working Unlisted
14308 Seiko Seiki SCU-A2503PV STP Turbomolecular Pump Control Unit Turbo No Power
14309 Asyst Technologies 3200-1071-01 Load Port PCB MICRO-G 6018-1002-10B FL 300 I/O
14310 Heidenhain 368172-01 Sealed Linear Encoder Adapter Cable Reseller Lot of 3 New
14311 QMB Edwards QMB250 Vacuum Pump Mechanical Booster Vacuum Pump 8750 Hours Tested
14312 iQDP80 Edwards A532-80-905 Dry Vacuum Pump QMB500 10414 Hours Tested Working
14313 PRI Automation PRE-300-CE 300mm Wafer Prealigner Working Spare
14314 Asyst Technologies 9700 300mm Load Port SMIF-300FL 3200-1065-04 Working Spare
14315 Axiom SSC-486VGA 486DX ISA BIOS SBC Single Board Computer PCB Card Working Spare
14316 Robotrol 2020301 ADA88 Analog I/O PCB Card Plasma-Therm Clusterlock 7000 Spare
14317 Robotrol 2020301 ADA88 Analog I/O PCB Card Plasma-Therm Clusterlock 7000 Spare
14318 Plasma-Therm 77026 96 Channel Digital I/O PCB Card Clusterlock 7000 Spare
14319 Plasma-Therm 80568 Signal Relay Board PCB Lot of 3 Clusterlock 7000 Spare
14320 Plasma-Therm 98209140 ISA 14 Slot Backplane Board PCB Clusterlock 7000 Spare
14321 Electroglas 263726-001 Joystick-Lightpole Controller Board PCB 263352-001 Spare
14322 Electroglas 262411-001 Load Port Controller Board PCB 262410-001 Working Spare
14323 Comtrol 94100-2 16-Port RS-232 Interface Module RocketPort Working Spare
14324 iQDP Edwards iQDP80 iQ Dry Pumping System Vacuum Pump No Skins Tested Working
14325 Plasma-Therm 4480159501 THNTD PCB Board Clusterlock 7000 Reseller Lot of 2 Spare
14326 Plasma-Therm 3400 TURBO COMM+4 Board PCB Card Clusterlock 7000 Working Spare
14327 Plasma-Therm 3400 TURBO COMM+4 Board PCB Card Clusterlock 7000 Working Spare
14328 Plasma-Therm 81539 Cassette Module Interlock Board PCB Clusterlock 7000 Spare
14329 Axiom SSC-486VGA 486DX ISA BIOS SBC Single Board Computer PCB Card No Processor
14330 PRI Automation ATM Robot Cable Set of 2 2002-0011-07PCE 2002-0012-07SCE Working
14331 PRI PRE Prealigner Cable Set 2002-0011-07PCE 2002-0012-07SCE 2002-0031-07SCE
14332 VAT 641PM-16PL-1002 Adaptive Pressure Controller PM-5 64PM.3C.00 Working Spare
14333 VAT 641PM-16PL-0002 Adaptive Pressure Controller PM-5 64PM.3C.00 Working Spare
14334 SC Technology DES-310 Delta Optical Head Endpoint Detection Working Spare
14335 SC Technology 2-Channel Intensity Gauge Plasma-Therm Clusterlock 7000 Spare
14336 RFPP RF Power Products 80000.82169.010 RF Matching Network Clusterlock 7000 Used
14337 RFPP RF Power Products 8621104010 RF Matching Network Clusterlock 7000 Used
14338 Brooks Automation 001-1984 Robot Teach Pendant Handheld Controller Untested
14339 Plasma-Therm T534 Robot Teach Pendant Handheld Controller QTERM-II Working Spare
14340 Plasma-Therm T534 Robot Teach Pendant Handheld Controller QTERM-II Working Spare
14341 Princeton Instruments IRY-1024S/RB Diode Array Detector/Camera Clusterlock 7000
14342 Jobin Yvon 23049140 A Laser Camera Plasma-Therm Clusterlock 7000 Working Spare
14343 Sofie Instruments DGCAM-CC-655nm Laser Camera Plasma-Therm Clusterlock 7000 Used
14344 MKS Instruments 625A12TBE Baratron Pressure Transducer Type 625 Working Spare
14345 Plasma-Therm Optical Endpoint Detector Clusterlock 7000 Etching System Spare
14346 MKS Instruments 103250012 Pirani Vacuum Transducer 325 MODUCELL HPS Spare
14347 MKS Instruments 103250010 Pirani Vacuum Transducer 325 MODUCELL HPS Spare
14348 RF30S RFPP RF Power Products 7520758020 RF Generator Tested Working Spare
14349 RF20S RFPP RF Power Products 7520689071 RF Generator Tested Working Spare
14350 Axiom ASC486 486DX ISA SBC Single Board Computer PCB Card Plasma-Therm Spare
14351 RFPP RF Power Products 7622429010 RF Matching Network Clusterlock 7000 Used
14352 Balzers BGD28500 Quadrupole Mass Spectrometer Prisma QME 200 Plasma-Therm Used
14353 Balzers BKM25250 Mass Spectrometer Cathode Sensor QMA 200 Plasma-Therm Used
14354 MKS Instruments 1160B-00500RV Mass Flow Controller MFC 500 SCCM N2 Working Spare
14355 UNIT Instruments UFC-8160 Mass Flow Controller MFC 100 SCCM O2 Working Spare
14356 UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM Cl2 Working Spare
14357 CTI-Cryogenics 8116030G001 On-Board 8F Cryopump Refurbished Unlisted
14358 UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM CHF3 Working Spare
14359 UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM BCl3 Working Spare
14360 UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM HI Working Spare
14361 UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM CF4 Working Spare
14362 UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM Ar Working Spare
14363 UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM HCl Working Spare
14364 UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM N2 Working Spare
14365 UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM O2 Working Spare
14366 305W Ebara REM01 PWM 20M Turbomolecular Pump Controller Turbo Tested As-Is
14367 Ebara LE Series Cold Trap Turbomolecular Pump Assembly 0760-471000 Genesis Used
14368 Ebara LE Series Cold Trap Turbomolecular Pump Assembly 0760-470000 Varian Used
14369 Ebara LE-559 Cold Trap Turbomolecular Pump Assembly HT-018-MCPQ Varian Used
14370 Ebara LE-289 Cold Trap Turbomolecular Pump Assembly HT-018-MCP1 Varian Used
14371 Ebara LE-336 Cold Trap Turbomolecular Pump Assembly HT-018-MSP0 Varian Used
14372 ET Ebara ET300P Turbomolecular Vacuum Pump Turbo ME92-4501-0 Used Tested Working
14373 ATH 500M Adixen Vacuum Products V13121B1 Turbomolecular Pump Used Working
14374 SEIKO SEIKI STP-H1301L1 TURBOMOLECULAR PUMP TURBO FOR REBUILD BINDING ROTOR
14375 Leybold  400110V0021 MAG W 1300 C Turbomolecular Pump New
14376 STP Edwards STP-F2203C Turbomolecular Pump VG250 Turbo 0 Hours Refurbished
14377 Leybold UL200 Helium Leak Detector 14002 Tested Working Unlisted
14378 Edwards EPX 180L Dry Vacuum Pump A419–41-152 Tested Working
14379 Seiko Seiki SCU-A2503P STP Turbomolecular Pump Control Unit Tested Working
14380 Seiko Seiki SCU-H301C Turbo Pump Control Unit – TEST
14381 STP Edwards STP-F2203C Turbomolecular Pump VG250 Turbo 1 Hour Refurbished
14382 Alcatel ACT 1000M Turbo Pump Controller – TEST
14383 ASTRON ASTeX Applied Science AX7657 Remote Plasma Source FI20661 Tested Working
14384 ET Ebara ET300P B Turbomolecular Vacuum Pump Turbo Error TRP-C Not Working As-Is
14385 ET Ebara ET300-P Turbomolecular Vacuum Pump Turbo Error TRP-C Not Working As-Is
14386 ET Ebara ET300P Turbomolecular Vacuum Pump Turbo Error TRP-C Not Working As-Is
14387 ET Ebara ET300P Turbomolecular Vacuum Pump Turbo TRP-1/VIB Not Working As-Is
14388 TPH 520M Pfeiffer Vacuum PM P01 697 Turbomolecular Pump Turbo Used Working
14389 SD-40 Varian P 1111 301 Rotary Vane Vacuum Pump Used Tested Not Working As-Is
14390 MKS Instruments B-5002-02 RF Generator – TEST
14391 ATS Advanced Thermal Sciences 4084670-001 B Chiller NX20A-GL 7801 Tested As-Is
14392 H-2010 SMC INR-498-016C Recirculating Chiller THERMO CHILLER HX Tested Working
14393 UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM SF6 Working Spare
14394 Perkin Elmer SFC 2010 Mass Flow Controller MFC DNA 200 SCCM Cl2 Working Spare
14395 Perkin Elmer SFC 2010 Mass Flow Controller MFC DNA 200 SCCM SF6 Working Spare
14396 Sofie Instruments DGLEM-CC Laser Camera Controller Plasma-Therm Clusterlock 7000
14397 Watlow 988A-22FA-NRRG Temperature Process Controller Reseller Lot of 3 Spares
14398 MKS Instruments 919 Hot Cathode Controller Ionization High Vacuum HPS Spare
14399 Equipe Technologies FPD-3153 Transfer Robot Plasma-Therm Clusterlock 7000 Spare
14400 Comtrol A10056 PCI 8-Port Serial Board 94800-1 RocketPort A00056 PCB Card Spare
14401 Acumen 10011PCB Vision Plug-In Board PCB Card Plasma-Therm 2010-0389-4605 Spare
14402 Acumen 10010 Image Acquisition Card PCB Card Plasma-Therm 2016-0305-4405 Spare
14403 Equipe Technologies Robot Cable Set of 2 2-02-011-07 2-02-012-07 ESC-200 Spare
14404 Plasma-Therm 4377027401 96 Channel Digital I/O Board PCB Card Clusterlock 7000
14405 Nova Research 10372 PCB Card Analog Digital Serial I/O ADS168 Working Spare
14406 Plasma-Therm 9011 ISA 14 Slot Backplane Board PCB 11911411 Clusterlock Spare
14407 Plasma-Therm 20 Slot PC Backplane Board PCB Clusterlock 7000 RIE Working Spare
14408 Axiom SSC-486VGA 486DX ISA BIOS SBC Single Board Computer PCB Card Ver. C Spare
14409 Logosol 9344 Genmark Robot Input Interface 9411 MC-11-2050 PCB Card Spare
14410 Logosol 9344 Genmark Robot Input Interface 9411 MC-11-2050 PCB Card Bend Pins
14411 Micro Alliance 714 System Control Computer Plasma-Therm Clusterlock 7000 Spare
14412 Micro Alliance 714 System Control Computer 03-0021-101 Plasma-Therm Clusterlock
14413 Plasma-Therm PM#4: 486 Drive Assembly 1.2GB Hard Disk w/Floppy Clusterlock 7000
14414 Plasma-Therm PM#4: 486 Drive Assembly 256MB Hard Disk w/Floppy Clusterlock 7000
14415 3Com 03-0021-100 EtherLink III Adapter Board PCB Card Clusterlock 7000 Spare
14416 Adaptec AHA-1540CF ISA SCSI Interface Therma-Wave Clusterlock 7000 Spare
14417 Therma-Wave Distribution Power Box Robot Aligner Clusterlock 7000 Working Spare
14418 AMAT Applied Materials 0040-04658 Rev.004 Fixed Lower Wing Assembly Used Unlisted
14419 AMAT Applied Materials 0010-12088 Rev. 008 Hybrid Etch RF Match Used  Unlisted
14420 AMAT Applied Materials 0010-20524 Preclean II RF Match As-Is Unlisted
14421 AMAT Applied Materials 0010-09416W RF Match Assembly Used Unlisted
14422 AMAT Applied Materials 0010-36162W RF Match Assembly Used Unlisted
14423 AMAT Applied Materials 0010-02977 Sub Zero Besc PVD RF Match Used Unlisted
14424 AMAT Applied Materials 0010-21748 300mm Preclean/RPC PVD RF Match Used Unlisted
14425 AMAT Applied Materials 0100-09009 Buffer I/O PCB Board Used Working Unlisted
14426 MKS Instruments AS01391-21 Digital I/O PCB Card CDN391R Used Working Unlisted
14427 AMAT Applied Materials 0100-00156 Rev. B Isolation Amplifier Used Working Unlisted
14428 Nemic-Lambda JWS300-24 Power Supply Used Working Unlisted
14429 XP Power 101-261-01 DC Power Supply 0015-02149 Refurbished Unlisted
14430 AMAT Applied Materials 0010-15669 200mm Ceramic ESC New Unlisted
14431 KoMiCo HI M10 SLD Enabler New Unlisted
14432 AMAT Applied Materials 0010-30690 8″ Heater Assembly SR AXZ Refurbished Unlisted
14433 AMAT Applied Materials 0020-02157 Guard Cross Rotation Coupling 300mm New Unlisted
14434 AMAT Applied Materials 0020-01425 Isolation Pumping Ring CVD Low K 300mm New Unlisted
14435 Cryco 100110 Boatloader Controller Board PCB CRYCO III Rev. C Working Surplus
14436 Cryco 100110 Boatloader Controller Board PCB CRYCO III 100156 Rev. B Surplus
14437 Bruce Technologies 73510-9760158 Process Control Unit 7351C 3181181 Spare
14438 Bruce Technologies 7351C-9760158 Process Control Unit 7351C 3181181 Spare
14439 VAT 0200X-CA44-1001 Pneumatic Slit Valve Plasma-Therm Clusterlock 7000 Spare
14440 VAT 64244-PE52-0101 HV High Vacuum Gate Valve Plasma-Therm Clusterlock Spare
14441 Advanced Crystal Sciences 500 Gas Flow Monitor Controller Working Spare
14442 Parker Compumotor OEM Series Indexer Lot of 3 OEM650X-RC CP*OEM650XRC1015 Spare
14443 Westinghouse JD3250F Circuit Breaker JD 25k Trip Unit JT3250T Working Spare
14444 Telemecanique LC1F265 Contactor LX9 FH 1272 LA1 DN 20 Working Spare
14445 American Precision Industries E1000-375 Motor 9429 with Optical Encoder Working
14446 American Precision Industries E1000-375 Motor 9429 with Optical Encoder Chipped
14447 American Precision Industries M343-11 Servo Motor Working Spare
14448 Oriental Motor PK264-02A Stepping Motor Bayside NR23S-015 Reseller Lot of 2 Used
14449 Oriental Motor PK264-02A Stepping Motor Bayside NE23-015 Reseller Lot of 2 Used
14450 iQDP40 Edwards A400-91-905 Dry Vacuum Pump A532-40-905 -1 Hrs Tested Working
14451 Varian 971-1016 M2-014 Vacuum Pump Automatic Valve Control Meter Working Spare
14452 Princeton ST-116 Optical Emission System Lucas Plasma-Therm Clusterlock Spare
14453 Dell OptiPlex GL 5100 Desktop System Computer Plasma-Therm Clusterlock As-Is
14454 VAT 0200X-CA44 Pneumatic Slit Valve Unmarked Plasma-Therm Clusterlock Spare
14455 Reliance 0728-39-003-007 Motor E728 Electro-Craft Plasma-Therm 43800-82745-010
14456 Reliance 0243-03-011 Servo Motor E243 Electro-Craft Plasma-Therm Clusterlock
14457 Reliance 0288-32-003-007 Servo Motor E288 Electro-Craft Plasma-Therm Clusterlock
14458 Reliance 9077-0648 Servo Amplifier DC-45L Electro-Craft Plasma-Therm Clusterlock
14459 API Controls Division P261X Power/Drive Indexer Plasma-Therm Clusterlock Spare
14460 Plasma-Therm ISO100 Flexible Vacuum Bellows 6″ Edwards MKS Clusterlock Spare
14461 Plasma-Therm Conical Vacuum Reducer Tee ISO160 to ISO100 NW50 NW16 Clusterlock
14462 IMS Intelligent Motion Systems IP406 Unregulated Power Supply Lot of 2 Spare
14463 MKS Instruments 103450010 Pirani Gauge Series 345 HPS Vacuum Working Spare
14464 Harmonic Drive Systems RH-8-6006-E100AL Hi-t Drive Servo Actuator Untested As-Is
14465 Keyence FS-L71 Photoelectric Sensor Amplifier Assembly Plasma-Therm Working
14466 Lam Research 853-540066-009-C-1273 End-Point Detector FPD Continuum Spare
14467 Lam Research 810-707056-002 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare
14468 Lam Research 810-707056-003 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare
14469 Lam Research 810-707059-001 PM Node 4 PCB Panel 810-707150-001 Continuum Spare
14470 Lam Research 810-707061-003 PM Node 2 PCB Panel 810-707150-001 Continuum Spare
14471 Lam Research 810-707019-001 System Interlock Board Panel PCB FPD Continuum Spare
14472 Lam Research 810-707060-001 PM Node 3 PCB Panel 810-707150-001 Continuum Spare
14473 Lam Research 810-707061-001 PM Node 2 Board PCB FPD Continuum Working Spare
14474 Lam Research 810-707103-001 Power Convertible Board PCB Continuum Working Spare
14475 Lam Research 810-707150-001 Neuron C Module 50020-10 PCB FPD Continuum Spare
14476 Cutler-Hammer LD3600F Industrial Circuit Breaker LD 35k Lam Continuum Spare
14477 ABB SK 826 401 3 Pole Contactor EH 300 SK 829 002-A EH300 Lam Continuum Spare
14478 Comet CV1C-500U/15 Variable Vacuum Capacitor 12-500pF 15kV/9kV Working Spare
14479 Comet CV05C-500W/5 Variable Vacuum Capacitor 5-500pF 5kV/3kV Working Spare
14480 iH1000 Edwards A590-30-945 Dry Vacuum Pump IH100-MK5 200 New Surplus
14481 iGX600M Edwards A546-32-958 Dry Vacuum Pump Combination System New Surplus
14482 AMAT Applied Materials 0200-02397 Producer SE Ceramic Bottom Liner New Unlisted
14483 AMAT Applied Materials 0200-01427 Isolator Ceramic CVD Low K 300mm Producer New Unlisted
14484 AMAT Applied Materials 0200-02396 Liner Ceramic Middle Producer SE New Unlisted
14485 AMAT Applied Materials 0200-03403 Pumping Ring Ceramic Enlarged Hole Low K 300 New Unlsited
14486 AMAT Applied Materials 0200-02408 Pumping Ring Ceramic C-Channel Side 2 300mm New Unlisted
14487 AMAT Applied Materials 0200-02407 Pumping Ring Ceramic C-Channel Side 1 300mm New Unlisted
14488 AMAT Applied Materials 0040-61317 Aluminum Oxide Coated Bell Jar 300mm Used Working Unlisted
14489 Neslab 386104060208 Recirculating Chiller HX-75 COOLFLOW Tested Working Spare
14490 HX-75 Neslab 386104060208 Recirculating Chiller COOLFLOW Not Cooling As-Is
14491 iQDP40 Edwards iQ Dry Pumping System Vacuum Pump -1 Hour Tested Working Spare
14492 iQ Series Edwards iQDP40 Dry Vacuum Pump D37207000 30914 Hours Tested Working
14493 QDP Series Edwards QDP40 Dry Vacuum Pump with QMB250 1 Hour Tested Refurbished
14494 iQ Series Edwards iQDP40 Dry Vacuum Pump Tested Working Needs Rebuild As-Is
14495 iQDP80 Edwards A532-80-905 Dry Vacuum Pump 22469 Hours Tested Working Spare
14496 Neslab HX-75 – TEST
14497 HX Neslab HX 150 Instruments Recirculating Chiller Tested Not Cooling As-Is
14498 QDP Series Edwards QDP40 Dry Vacuum Pump with QMB250 1 Hour Tested Refurbished
14499 iQDP40 Edwards A532-40-905 Dry Vacuum Pump Tested Working Needs Rebuild
14500 HFG 2500 AE Advanced Energy 3155072-000B RF Power Supply Tested Working Spare
14501 Osaka Vacuum TD701/1101 Turbomolecular Pump Controller Turbo Tested Working
14502 CX-2500 4MHz Comdel FP3304RH RF Generator 2500 Watt Tested Working
14503 Comdel CPMX-3000/RJ/4 RF Matching Network Lam Research FPD Working Spare
14504 Comdel CPMX-3000/4/RJ RF Matching Network Lam Research FPD Working Spare
14505 Comdel FP2414R3 RF Matching Network CPMX-3000/4/RJ Lam Research FPD Spare
14506 Osaka Vacuum TG1113MBA Turbomolecular Pump Turbo – TEST
14507 VAT 64246-XE52-1107 Gate Valve and PM-5 Controller 641PM-36PM-1001 Set Lam FPD
14508 VAT 64246-XE52-1107 Gate Valve and PM-5 796-093088R001 Controller Set Lam FPD
14509 VAT 64246-XE52-1107 Gate Valve and PM-5 641PM-36PM-0002 Controller Set Lam FPD
14510 VAT 64246-XE52-1107 Gate Valve and PM-5 641PM-36PM-1004 Controller Set Lam FPD
14511 Verity Instruments EP200Mmd .2 Meter Monochromator Detector Lam FPD Continuum
14512 Lam Research TCP Autotune RF Match Controller Unit FPD Continuum 810-015987-101
14513 Anafaze 11225-00 MLS AIM TB Assembly MLS-LAM Lam Research 778-091827-001 Working
14514 Pittman 676-5800 Servo Motor Sterling S9123A-PG022 Hine 1704-2311 Lam Continuum
14515 MKS Instruments 93-4536 Ultra High Vacuum Right Angle Two-Stage Pneumatic Valve
14516 Lam Research 853-032292-001-A-DFT RF Tune/Load Motor Assembly Lam Continuum
14517 MKS Instruments 100763506G ISO-63 ISO-MF Flange Bellows Lam Continuum Working
14518 MKS Instrumnets 152-0050K-S02 Isolation Vacuum Valve NW50 Lam Continuum Spare
14519 Edwards C41419000 Vacuum Angle Valve PV40PKS-LAM Lam Research Continuum Spare
14520 Inficon 365-011 Vacuum Capacitance Diaphragm Gauge CDG025 SKY CDG Working Spare
14521 Inficon 365-011 Vacuum Capacitance Diaphragm Gauge CDG025 SKY CDG Working Spare
14522 MKS Instruments 623A13TBE Baratron Capacitance Manometer Type 623 Working Spare
14523 Tylan General CDL-12S13 Baratron Manometer Lam 685-092784-001 Continuum Spare
14524 Millipore CDL-12S13 Baratron Manometer Lam 685-092784-001 Continuum Spare
14525 MKS Instruments 625A-12407 Baratron Capacitance Manometer Type 625 Working Spare
14526 MKS Instruments 625A-12407….S Baratron Capacitance Manometer Type 625 – TEST
14527 VAT 26328-KA11-1001 Pneumatic Right Angle Vacuum Valve Lam FPD Continuum Spare
14528 Varian L6280-302 Manual Bellows Valve NW-25-H/O Lam FPD Continuum Working Spare
14529 MKS Instruments 109070019CE Analog Convection Transducer ACT Series 907 Lot of 3
14530 UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM HCL Working Spare
14531 UNIT Instruments UFC-1660 Mass Flow Controller MFC 1 SLM CL2 Working Spare
14532 UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM SF6 Working Spare
14533 Aera FC-7700CD Mass Flow Controller MFC 1 SLPM CL2 9-Pin D Working Spare
14534 Aera FC-7810CU Mass Flow Controller MFC 1 SLPM CL2 Card-Edge Working Spare
14535 Aera FC-7810CU Mass Flow Controller MFC 500 SCCM HCL Working Spare
14536 Aera FC-7800CU Mass Flow Controller MFC 500 SCCM O2 Working Spare
14537 UNIT Instruments UPC-1300 Pressure Controller UPC MFC Lam 797-097183-104 Spare
14538 UNIT Instruments UFC-1200A Mass Flow Controller 500 SCCM CHF3 Working Spare
14539 UNIT Instruments UFC-1200A Mass Flow Controller Lam 797-098570-604 Working Spare
14540 Precision Sensors D48W-14 Differential Pressure Switch Reseller Lot of 2 Spare
14541 Precision Sensors E36W-H55 Absolute Pressure Switch Lam 768-093959-003 Lot of 2
14542 MKS Instruments 109070019CE Analog Convection Transducer ACT Series 907 New
14543 Hine Design 810-4930-01 Uno Motor Control PCB Lam Research FPD Continuum Spare
14544 Lam Research 810-190402-001 ESC 5kV Power Supply Board PCB Continuum Spare
14545 Orbot Instruments S2X-018009 PCB ORBOT-S2X-018008-REV A Lam Continuum Spare
14546 Festo DSM-16-270-P-FW Rotary Actuator Pneumatic Cylinder Reseller Lot of 2 Spare
14547 Lam Research 853-015982-001-D-3653 TCP RF Tune/Load Module FPD Continuum Spare
14548 Lam Research 853-015982-001-D-C519 TCP RF Tune/Load Module FPD Continuum Spare
14549 Lam Research 518-025348-001 Temperature Calibration Source FPD Continuum Spare
14550 Lam Research 715-704170-001 Wafer Chuck Lift 715-704169-001 FPD Continuum Spare
14551 Verity EP200Mmd .2 Meter Monochromator Detector Lam 853-704360-001-E3 Spare
14552 Lam Research 810-707022-001 Gas Box Expansion Board PCB Continuum Working Spare
14553 Lam Research 810-015987-101 TCP Autotune Board PCB Continuum Working Spare
14554 Keyence SL-C Series Safety Light Curtain Set SL-C16F-R SL-C16F-T SL-R11 Spare
14555 Deltron 11686XA Power Supply 666 Watts Lam Research FPD Continuum Working Spare
14556 Deltron 11686XB Power Supply 666 Watts Lam 853-707255-001 FPD Continuum Working
14557 Brooks Automation 001-7338-09 Robot MagnaTran 60 Lam FPD Continuum Spare As-Is
14558 Dell Optiplex 755 Alliance Envision Backup Computer Lam Research Continuum Spare
14559 Kimball 70-00153-01 System Computer Lam Research 685-097073-241 Continuum Spare
14560 FEI Company CLM-3D System Computer PC 200mm CLM DualBeam Metrology System As-Is
14561 QMB Edwards QMB250 Vacuum Pump Mechanical Booster Vacuum Pump Tested Working
14562 iQDP40 Edwards iQ Dry Pumping System Vacuum Pump Tested Working Needs Rebuild
14563 Edwards A52857000 iQDP Seal Purge Module Dry Vacuum Pump Tested Working Spare
14564 Edwards A52857000 iQDP Seal Purge Module Dry Vacuum Pump Tested Working Spare
14565 Edwards iQDP40 Thermostatic Water Temperature Valve QMB Danfoss 003N2110 Spare
14566 Edwards iQDP40 Thermostatic Water Temperature Valve QMB Danfoss 003N2110 Spare
14567 QMB Edwards QMB250F Vacuum Pump Mechanical Booster Vacuum Pump Tested Working
14568 Edwards iQDP40 Thermostatic Water Temperature Valve QMB Danfoss 003N2120 Spare
14569 Edwards A52858000 QDP Gas Ballast Module Dry Vacuum Pump Tested Working Spare
14570 Edwards D37211920 Gas Flash TP/XF-78 Control PCB D37211202 801-1047-01 Spare
14571 Kofloc 3810U Mass Flow Sensor 60L/Min N2 Edwards Dry Vacuum Pump Working Spare
14572 Kofloc 3810U Mass Flow Sensor 60L/Min N2 Edwards Dry Vacuum Pump Working Spare
14573 Anafaze 11225-00 MLS AIM TB Temperature Unit MLS-LAM Lam 778-091827-001 Spare
14574 Seiko Precision VP-4500 Thermal Video Printer 220-240VAC Working Spare
14575 FEI Company 4035-285-18801 Microscope Stage Control Panel 24470 CLM-3D Spare
14576 APTech AP1010S 2PW MV4 FV4 Manual Regulator Valve Reseller Lot of 12 Working
14577 Lam Research 853-707093-001 RF Cable 4.5 Feet FPD Continuum Working Spare
14578 Lam Research 853-707092-002 RF Cable 7.5 Feet FPD Continuum Working Spare
14579 Lam Research 853-707092-003 RF Cable 7.5 Feet FPD Continuum Working Spare
14580 Lam Research TRU-6834A-08L1 RF Cable 7.5 Feet FPD Continuum Working Spare
14581 VAT 81392 Master/Slave Interface Cable Adaptive Pressure Controller PM-5 Spare
14582 CTI-Cryogenics 8080025K001 Adsorber Helium Filtration Cartridge IS-1000 Used
14583 RFX 600A AE Advanced Energy 3155082-331 D RF Generator Tested Working Spare
14584 iQDP40 Edwards iQ Dry Pumping System Vacuum Pump 2 Hours Tested Working Spare
14585 Lam Research 853-017160-002-F-C301 Rear EMO Assembly PCB 810-017003-004 Spare
14586 UNIT Instruments UFC-8160 Mass Flow Controller MFC 1 SLM N2 Working Spare
14587 UNIT Instruments UFC-8160 Mass Flow Controller MFC 1 SLM O2 Working Spare
14588 UNIT Instruments UFC-8160 Mass Flow Controller MFC 100 SCCM CF4 Working Spare
14589 UNIT Instruments UFC-8160 Mass Flow Controller MFC 500 SCCM N2 Working Spare
14590 Tokyo Electron Ltd. / TEL D124680-150 Sputter Shield. New Old Stock <
14591 TEL Tokyo Electron CT2985-436088-W5 SCT-R Coat Process Station Cup Assembly Used
14592 Nikon 4S018-384 Driver Card PCB AFDRVX2 NSR-S202A Step-and-Repeat Working Spare
14593 Nikon 4S018-354 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat Spare
14594 Nikon 4S015-119 Processor Board PCB Card NK386SX4 4S015-150 NSR System Spare
14595 Nikon 4S018-351-A Control Board PCB Card OPDCTRL2 NSR System Working Spare
14596 AMAT Applied Materials 0200-02597 300mm Internal Insulator Rev. 003 Refurbished Unlisted
14597 AMAT Materials 0010-05940 RH-3 Magnet RP Assembly Rev. 002 Used Working unlisted
14598 AMAT Applied Materials 0010-21748 RF Match Preclean/RPC PVD Assembly Rev. 007 CU Used Unlisted
14599 AMAT Applied Materials 0040-62718 Bond 300mm Electrode Single Crystal Showerhead New
14600 AMAT Applied Materials 0020-06108 LID HPM Dual Gas Feed 300mm DPS 601-108-45 New
14601 AMAT Applied Materials 0200-05638 Blade Ceramic Open 300mm New
14602 AMAT Applied Materials 0190-A1490 Rev. A Ceramic Wafer Chuck AP32DDR-RF Refurbished
14603 AMAT Applied Materials 0040-84820 Wafer Indexer Used Surplus
14604 Nikon 4S015-129 Processor Board PCB Card BUSMON4 NSR System Working Spare
14605 Nikon 4S018-379 Scanner Board PCB Card SPIOX2 NSR System Working Spare
14606 iH1000 Edwards A533-42-945 Dry Vacuum Pump System Codes 15201 3912 Tested As-Is
14607 Varian 936-40 PORTA-TEST Helium Leak Detector with Cart Tested Working
14608 Ebara AAS300WN Dry Vacuum Pump AAS Series Needs Flow Switch Tested Working
14609 Met One 2083993-04 Particle Counter 3315-.5-1-SS Pacific Scientific Working
14610 Tencor Surfscan 7000 Patterned Wafer Analyzer
14611 MDX Pinnacle 6kW AE Advanced Energy 3152427-511 Dual DC Generator Tested Working
14612 CTI-Cryogenics 8052261 Cryo Compressor 8010 Controller New Surplus
14613 ETO 80-S04 RF Generator Rack
14614 SVG Rite Track 8826-28-36 88 Series Photoresis Coater
14615 SVG 8826-8836 88 Series Photoresist Coater
14616 IPUP T100P Toyota 3620-00463 Vacuum Dry Pump AMAT Pump Overload Not Working
14617 Tegal 803 Inline Automatic Plasma Etcher
14618 Headway Research PWM32-PS-R790 5″ Spinner System Photoresist Coater Tested As-Is
14619 Solitec 5110 Single Head Wafer Processing Photoresist Spin Coater Tested Working
14620 CTI-Cryogenics 8112854 On-Board 8 Cryopump FastRegen 24591 Hours Tested As-Is
14621 CTI-Cryogenics 8116014G002 On-Board 8 Cryopump 32767 Hours Tested Working
14622 CTI-Cryogenics 8112855 On-Board 8 Cryopump 32767 Hours Tested Working Spare
14623 TMU 261 P Pfeiffer PM P02 826 H Turbomolecular Pump with Heater PM 041 905 GT
14624 Leybold NT20 Turbomolcular Pump Controller
14625 UNIT Instruments DX-5 MFC Mass Flow Controller Digital Control System Working
14626 Comdel Match Pro RF Generator Controller Tested Working Spare
14627 Turbo-V 70LP MacroTorr Varian 9699366 Turbomolecular Pump Turbo Tested Working
14628 Turbo-V 70D MacroTorr Varian 969-9361S008 Turbomolecular Pump Turbo Tested
14629 Turbo-V 300HT MacroTorr Varian 9699037 Turbomolecular Pump Turbo Tested Working
14630 TV 141 NAVIGATOR Varian 969-9385 Turbomolecular Pump Turbo Working Surplus
14631 Edwards STP-A3003CV Turbomolecular Pump No Levitation ISO250F Turbo Tested As-Is
14632 ATH 1603M Adixen 804533 Turbomolecular Pump Pfeiffer Turbo New Surplus
14633 ATH 1603M Adixen 804533 Turbomolecular Pump Y25221B0 Pfeiffer Turbo New Surplus
14634 MKS Instruments 146B-ACONM Vacuum Gauge Measurement & Control System Spare
14635 Shimadzu FTI-2301D (T1)-D3R Turbomolecular Pump Controller Turbo 3Z80-000025-V1
14636 ENI Power Systems OEM-12 Solid State RF Power Generator 13.56 MHz Tested Working
14637 ENI Power Systems OEM-12J Solid State RF Power Generator 13.56MHz Tested As-Is
14638 STP-A3003CV Edwards PT43-96-040 Turbomolecular Pump No Levitation Tested As-Is
14639 LPG-1A ENI LPG-1A-000-51 Solid State RF Power Generator 100 KHz Tested Working
14640 ENI RFC-2000 Controller
14641 ENI HF-300T RF Generator
14642 ENI HF-300 RF Generator
14643 Ulvac CRTM-9100G Crystal Oscillation Type Deposition Controller Working Spare
14644 UNIT Instruments UCAL-1000 Mass Flow Transfer Calibrator Working Spare
14645 Cesar 2720 AE Advanced Energy 61400023 RF Power Generator 2000w Tested Working
14646 ENI RFC-4T Controller
14647 TPS 601 Pfeiffer PM 041 821 AT Turbomolecular Pump Controller Turbo Tested
14648 TMU 1001 P Pfeiffer PM P03 305 G Turbomolecular Pump Turbo – TEST
14649 TMU 200M P Pfeiffer PM P03 405-A Turbomolecular Pump Turbo Tested Working
14650 TCM1601 Pfeiffer PM C01 677 Turbomolecular Pump Controller Turbo Tested Working
14651 TCM1601 Pfeiffer PM C01 677A Turbomolecular Pump Controller Turbo Tested Working
14652 TURBOTRONIK NT 20 Leybold 855 62 Turbomolecular Pump Controller V1.2 Tested
14653 TURBOTRONIK NT 20 Leybold 857 21 Turbomolecular Pump Control  V1.4 Bent Tested
14654 TURBOTRONIK NT 20 Leybold 857 20 Turbomolecular Pump Control NT20 SW2.6 Scuffs
14655 TCP600 Pfeiffer PM C01 320 C Turbomolcular Pump Controller Tested Working Spare
14656 TCP600 PfeifferTurbomolculer Controller – FOR TEST DEPARTMENT
14657 TURBOTRONIK NT 20 Leybold 857 21 Turbomolecular Pump Controller NT20 V1.4 Tested
14658 TURBOTRONIK NT 20 Leybold 855 62 Turbomolecular Pump Controller Tested Working
14659 ASTeX AX3120 1.5kW Circulator Directional Couple Plasma-Therm SLR 770 Spare
14660 ASTeX Applied Science & Technology TSM2 Waveguide Auto Tune Plasma-Therm SLR 770
14661 ASTeX Applied Science & Technology LS Waveguide Assembly Plasma-Therm SLR 770
14662 ASTeX SXRHA 10kV 2.45GHz Microwave Head Assembly Plasma-Therm SLR 770 Working
14663 RFPP RF Power Products AM-5 Dual RF Match Network with Switch Box Plasma-Therm
14664 TURBOTRONIK NT 150/360 Leybold 85472-3 Turbomolecular Pump Controller – TEST
14665 VAT 641PM-16PL-1002 Adaptive Pressure Controller PM-5 87895-R1 Working Spare
14666 VAT 640CV-99LJ Gate Valve Pressure Controller Cable 33′ Series 64 Working Spare
14667 MKS Instruments 290C-01 Ion Gauge Controller Type 290 Working Spare
14668 MKS Instruments 290C-01 Ion Gauge Controller Type 290 Faulty Switch As-Is Spare
14669 VAT F12-60366 Pneumatic Gate Valve 4″ Plasma-Therm SLR 770 Working Spare
14670 ASTeX Applied Science & Technology AX3045-3 Smart Tuner Plasma-Therm SLR 770
14671 ASTeX 00473081 ECR Power Supply EMS 27-185-2-D-10T-0697A Plasma-Therm SLR Spare
14672 ASTeX 00473103 ECR Power Supply EMS 20-125-2-D-10T-0697A Plasma-Therm SLR Spare
14673 Micro Alliance 714 System Control Computer Plasma-Therm SLR 770/770MF Spare
14674 RF5S RFPP RF Power Products RF-5S SE#037 RF Generator 500W HLV Fault As-Is
14675 VAT DN250CF HV High Vacuum Gate Valve ASS 81518-R1 Plasma-Therm SLR 770/770MF
14676 ASTeX Applied Science & Technology ECRM Magnet Assembly Plasma-Therm SLR 770
14677 MKS Instruments 1160B-00100RV Mass Flow Controller MFC 100 SCCM N2 Working Spare
14678 MKS Instruments 1160B Series Mass Flow Controller MFC 50 SCCM BCL3 Working Spare
14679 MKS Instruments 1160B-00010RV-SPCAL Mass Flow Controller MFC 10 SCCM He Working
14680 MKS Instruments 1160B-00050RV Mass Flow Controller MFC 50 SCCM N2 Working Spare
14681 UNIT Instruments UFC-1100 Mass Flow Controller MFC AMAT 3030-01058 Working Spare
14682 Tylan General FC-260 Mass Flow Controller MFC 50 SCCM N2 Working Spare
14683 Tylan General FC-260 Mass Flow Controller MFC 100 SCCM N2 Working Spare
14684 Tylan General FC-260KZ Mass Flow Controller MFC 100 SCCM N2 Working Spare
14685 MKS Instruments 124AA-00010AB Baratron Pressure Transducer Working Spare
14686 MKS Instruments 623A13TDE Baratron Pressure Transducer Working Spare
14687 MKS Instruments 628A-13114 Baratron Pressure Transducer Working Spare
14688 MKS Instruments 627A.1TCD Baratron Pressure Transducer Working Spare
14689 MKS Instruments 623A13TCE Baratron Pressure Transducer Working Spare
14690 MKS Instruments 623A13TCE Baratron Pressure Transducer No Cap Ring Working Spare
14691 Plasma-Therm 200mm Wafer End Effector 8″ SLR 770/770MF Plasma Etching Spare
14692 Plasma-Therm 1000-IS Low Entropy Systems Camera Assembly SLR 770/770MF Working
14693 MKS DN16CF Pneumatic Angle Vacuum Valve Plasma-Therm SLR 770/770MF HPS Lot of 2
14694 MKS DN40CF Pneumatic Angle Vacuum Valve Plasma-Therm SLR 770/770MF HPS Lot of 2
14695 MKS NW25 Pneumatic Angle Vacuum Valve Plasma-Therm SLR 770/770MF HPS Lot of 2
14696 MKS Pneumatic Angle Vacuum Valve Lot of 3 NW25 NW40 Plasma-Therm SLR HPS Working
14697 MKS Instruments 153D-4-100-1 Throttle Control Valve Type 153 Plasma-Therm Spare
14698 Plasma-Therm 79578 Status Interlock PCB Module SLR 770/770MF Working Spare
14699 Plasma-Therm 4475507501 Relay Board PCB SLR 770/770MF Working Spare
14700 Leybold 85766-001-5M TURBOTRONIK to TURBOVAC Turbomolecular Pump Cable 5M Spare
14701 Leybold 857 66 5M TURBOTRONIK to TURBOVAC Turbomolecular Pump Cable Unmarked
14702 Leybold 85765-000-3M TURBOTRONIK to TURBOVAC Turbomolecular Pump Cable 3M Spare
14703 Micron P90PCI Operator Interface Computer PC Plasma-Therm SLR 770/770MF Spare
14704 JDS Uniphase 2202-5BLT .5W Air Cooled Argon Laser Head Working Spare
14705 CTI-Cryogenics 8031300 8500 Helium Compressor Power Supply Assembly New Surplus
14706 Tencor Instruments 136867 Laser Shuter MOD PCB Assembly Working Spare
14707 Met One 2087142-03 Particle Counter 3313LL .3 1 CFM SS Pacific Scientific
14708 ESI Electro Scientific Industries 77944A Scribeview Electronics Camera Module
14709 ESI Electro Scientific Industries 66200 Illuminator Module 9250 Laser Tool Spare
14710 Condor HDD15-5-A+ DC Power Supply ±15V Power-One Working Spare
14711 Condor HDD24-7.2-A+ DC Power Supply 24V Power-One Working Spare
14712 Edwards A52844480 Vacuum Pump im Interface Module LAM TCP Working Spare
14713 Edwards A52844410 Vacuum Pump im Interface Module Applied Smart Pump Working
14714 Nor-Cal Products 67211.00024.999 Pneumatic Angle Isolation Valve NW25 Working
14715 iGX600M Edwards A546-32-958 Dry Vacuum Pump Combination System New Surplus
14716 ESI Vision Products TurboHR+ Camera Module INTELLEDEX 9250 Working Spare
14717 ESI Electro Scientific Industries AC Power Control Unit 9250 Laser System Spare
14718 ESI 61321 B.P. Electronics Power Supply 9250 Laser System Working Spare
14719 ESI 61323 AUX. Electronics Power Supply 9250 Laser System Working Spare
14720 CTI-Cryogenics 0190-19389 On-Board IS-8F Cryopump 40106 Hr Copper Tested Working
14721 CTI-Cryogenics 0190-19389 On-Board IS-8F Cryopump 40135 Hr Copper Tested Working
14722 ESI Electro Scientific Industries 76892 Power Distribution Unit 9250 Laser Spare
14723 Kensington Laboratories WFH4C TT/LR Tranfer Robot ESI 9250 Laser System Working
14724 Kensington Laboratories Z-AXIS Robot Signal Cable 7.5 Foot ESI 9250 Working
14725 Kensington Laboratories WAIST Robot Signal Cable 7.5 Foot ESI 9250 Working
14726 Kensington Laboratories ARM Robot Signal Cable 7.5 Foot ESI 9250 Working
14727 Genmark GENCOBOT 7/2L Wafer Transfer Robot GBR Philips PW 2830 XRF Working
14728 Silicon Control SV-FOC 020 Controller Brooks FALMO 300 OC Philips PW 2830 XRF
14729 Secme CT.01109.PCX01 Keyboard Assembly Philips PW 2830 XRF Working Spare
14730 Genmark Automation 910500012 Robot/Prealigner Cable 7.5 Foot Rev. 7 Working
14731 Genmark Automation 910500012A Robot/Prealigner Cable 7.5 Foot Rev. A Working
14732 Nijkerk Display Systems IP03.1069 14″ Touchscreen Monitor Philips PW 2830 Spare
14733 STU-1600 Edwards YT76-Z0-Z00 Turbomolecular Pump Controller Turbo Tested Working
14734 EDWARDS SCU-1600
14735 ESI Electro Scientific Industries Air Handler Control Module 9250 Laser Spare
14736 ESI Electro Scientific Industries 62486 Cooling Fans Module 9250 Laser Spare
14737 ESI Electro Scientific Industries CKA 58632 Processor PCB Card B.I.T. Working
14738 ESI Electro Scientific Industries CKA 60559 SYS BUS Monitor PCB Card Working
14739 ESI Electro Scientific Industries CKA 73435 Illuminator Control PCB Card Working
14740 ESI Electro Scientific Industries CKA 78735 Step Motor Control PCB Card Working
14741 Edwards iQDP40 Dry Vacuum Pump with Frame Untested for Parts or Repair As-Is
14742 ESI Electro Scientific Industries CKA 78735 Step Motor Control PCB Card Working
14743 ESI Electro Scientific Industries CKA 60565 MAN FUNCT Interface PCB Card Working
14744 ESI Electro Scientific Industries CKA 69779 Laser Interferometer PCB Card Spare
14745 ESI Electro Scientific Industries CKA 67737-03 Servo Controller PCB Card Spare
14746 ESI Electro Scientific Industries 77697 L&O Laser and Optics PCB Card Working
14747 ESI Electro Scientific Industries 76992 Z&VAC Controller PCB Card Working Spare
14748 ESI Electro Scientific Industries CKA 73833 DIG Correlator PCB Card Working
14749 ESI Electro Scientific Industries CKA 64390 Theta Correction PCB Card Working
14750 ESI Electro Scientific Industries CKA 78152 Local MMB Backplane PCB Working
14751 ESI Electro Scientific Industries CKA 58642 Beam Postion I/O Backplane PCB Spare
14752 ESI Electro Scientific Industries CKA 77266 Auxiliary I/O Backplane PCB Working
14753 ESI Electro Scientific Industries CKA 77288 VME/MMB Interface PCB Card Working
14754 SBE 9010-33 Processor VME PCB Card VCOM-8 ESI 9250 Laser Processing System Spare
14755 HP Hewlett-Packard A2336-66013 VME Single Board Computer SBC PCB Card 743 Spare
14756 Motorola 01-W3960B 33B Embedded Controller PCB Card MVME162-510A ESI 9250 Spare
14757 Leybold 200 61 710 Power Distribution LV Module PCB Card ULTRATEST UL 500 Spare
14758 Leybold 200 61 710 Power Distribution LV Module PCB Card UL 500 Working Spare
14759 Leybold 200 61 710 Power Distribution LV Module PCB Card UL 500 Working Spare
14760 Leybold 200 61 710 Power Distribution LV Module PCB Card UL 500 Working Spare
14761 Leybold 200 29 937 Power Distribution LV Module PCB Card ULTRATEST UL 500 Spare
14762 ESDP30 Edwards ESDP 30 A Vacuum Scroll Pump 15.6 Hours EELQ-8ZT Tested Working
14763 ISP Series Iwata ISP-500 Vacuum Scroll Pump 599 Hours EELQ-8ZT Tested Working
14764 Varian 600DS Vacuum Scroll Pump 108.5 Hours Yaskawa EELQ-8ZT Tested Working
14765 ISP Series Iwata ISP-500 Vacuum Scroll Pump 322.6 Hours EN-8ZT Tested Working
14766 ISP Series Iwata ISP-500 Vacuum Scroll Pump 271 Hours EN-8ZT Tested Working
14767 ISP Series Iwata ISP-500 Vacuum Scroll Pump 3910 Hours EELQ-8ZT Tested Working
14768 ISP Series Anest Iwata ISP-500 Vacuum Scroll Pump EELQ-8ZT Tested Working
14769 Leybold 200 29 937 Power Distribution LV Module PCB Card UL 500 Working Spare
14770 Leybold 200 57 205 Power Distribution LV Module PCB Card UL 500 Working Spare
14771 Leybold 200 29 939 Turbo Pump Supply TPV Module PCB Card ULTRATEST UL 500 Spare
14772 Leybold 200 29 939 Turbo Pump Supply TPV Module PCB Card ULTRATEST UL 500 Spare
14773 Leybold 200 29 942 Mass Spectrometer Supply MV Module PCB Card UL 500 Working
14774 Leybold 200 29 942 Mass Spectrometer Supply MV Module PCB Card UL 500 Working
14775 Leybold 200 61 966 Control Motherboard CPU STE Module PCB Card UL 500 Working
14776 Leybold 200 29 941 Control Motherboard CPU STE Module PCB Card UL 500 Working
14777 Leybold 200 29 941 Control Motherboard CPU STE Module PCB Card UL 500 Working
14778 Leybold 200.29.688 Power Supply LA Leistungsaufbereitung PCB Card UL 500 Working
14779 Leybold 200.29.688 Power Supply LA Leistungsaufbereitung PCB Card UL 500 Working
14780 Leybold 20029938 Power Supply LA 200.29.688 PCB Card ULTRATEST UL 500 Working
14781 Leybold Vacuum 200.29.689 Back Plane PCB ERNI 044.772 ULTRATEST UL 500 Working
14782 Leybold Vacuum 200.29.689 Back Plane PCB ERNI 044.772 ULTRATEST UL 500 Working
14783 TURBOVAC 150 LS Leybold 20017385 Turbomolecular Pump Turbo UL 500 Working Spare
14784 MKS Instruments B-3013-05 RF Generator Spectrum Used Working
14785 TURBOVAC 150 LS Leybold 20017385 Turbomolecular Pump Turbo UL 500 Damaged Spare
14786 Met One 2082784-01 Laser Particle Counter A2408-1-115-1 Tested Working
14787 Met One 2083226-01 Laser Particle Counter A2400-1-115V-1 Tested Working
14788 TRIVAC D65B Leybold 112 96 Rotary Vane Vacuum Pump Used Tested Working
14789 TRIVAC D25B Leybold Vacuum 112 75 Rotary Vane Vacuum Pump Seized Not Working
14790 NESLAB EX 17 Thermo Fisher 277003200100 Recirculating Bath Used Working Spare
14791 Vacuubrand MV 2 Diaphragm Vacuum Pump Leybold ULTRATEST UL 500 Tested Working
14792 B-3013 MKS Instruments SPECTRUM 3013-15 RF Generator ENI Working Spare
14793 HX-75 Neslab 386104060208 Recirculating Chiller COOLFLOW BAd Pump Motor As-Is
14794 Leybold 200.59.928 UL 500 Leak Detector Pre-Amp Magnet Assembly & Cables Spare
14795 Leybold 200.59.928 UL 500 Leak Detector Preamplifier Magnet Assembly Working
14796 Leybold Vacuum 200 29 430 LP1/EMV Board PCB 200.29.430 ULTRATEST UL 500 Working
14797 Leybold Vacuum 200.29.431 19.3.87 Board PCB 20029431 ULTRATEST UL 500 Working
14798 Leybold Vacuum 200 99 016 Display/Audio Assembly ULTRATEST UL 500 Working Spare
14799 Inficon 200 99 016 Display/Audio Assembly UL 500 Dry ULTRATEST Leybold Working
14800 Leybold 200 30 682 Keyboard and CPU PCB 200 30 750 UL 500-CPU ULTRATEST Working
14801 Leybold Vacuum 200 30 459 Star/Stop Button PCB ULTRATEST UL 500 Working Spare
14802 Leybold Vacuum FKF 2LD01 LCD Display Board PCB UL 500 ULTRATEST Working Spare
14803 Leybold Vacuum FKF 2LD01 LCD Display Board PCB UL 500 ULTRATEST Working Spare
14804 Leybold 200 30 476 Vacuum Fluorescence Indicator Board PCB UL 500 ULTRATEST
14805 Leybold Vacuum 200 30 475 Audio and Display Board PCB UL 500 ULTRATEST Spare
14806 Leybold Vacuum 200 59 693 Interface Board PCB ULTRATEST UL 500 Working Spare
14807 Leybold Vacuum 20030569 Input Feed VF2 Board PCB ULTRATEST UL 500 Working Spare
14808 Leybold 200 59 444 DC/DC Converter PCB LH-PCB 20.11.87 ULTRATEST UL 500 Working
14809 CTI-Cryogenics 0190-19393 On Board IS Controller AMAT Applied Materials Working
14810 Swagelok B-500-8-2 Brass Elbow Connector Reseller Lot of 70 New Surplus
14811 Swagelok B-4F2-90 Brass In-Line Particulate Filter Reseller Lot of 10 New
14812 Nor-Cal 139393 Conical Adapter Nipple NW40-1.33″ ANC-NW-40-133 Lot of 6 New
14813 Osaka Vacuum TG1113MBA Turbomolecular Pump 2 mTorr Turbo Tested Working
14814 Osaka TG1113EM Turbomolecular Pump 7 mTorr Turbo Water Cooled Tested Working
14815 Osaka TG1113EM Turbomolecular Pump 7 mTorr Turbo Water Cooled with Fan Tested
14816 Osaka Vacuum TG1113EM Turbomolecular Pump 7 mTorr Turbo Tested Working
14817 Osaka Vacuum TG700-3200M Turbomolecular Pump Signal Cable Turbo Tested Working
14818 Osaka Vacuum TG1113EM Turbomolecular Pump Turbo Bearing Failure Tested As-Is
14819 Osaka 7999-9644 Turbomolecular Pump Remote Cable Turbo Lam 853-707172-001 Spare
14820 Osaka 7999-9644 Turbomolecular Pump Remote Cable Turbo Lam 853-707172-002 Spare
14821 Todd MAX-504-1205AF Power Supply ESI Electro Scientific Industries 9250 Spare
14822 ESI Electro Scientific Industries 9010-82 Interface PCB 5BE-VME-232 9250 Working
14823 ESI 200mm Wafer Stage and Controller Set B.P. ECFM-391101-A 9250 Laser System
14824 ESI Electro Scientific Industries CKA 62413 Manual Controller PCB 9250 Working
14825 ESI Electro Scientific Industries 61322 B.P. High Voltage Power Supply Working
14826 ESI Electro Scientific Industries Incident Pulse Detector Assembly CKA 73330
14827 ESI Electro Scientific Industries Camera View Module Laser Assembly CKA 72974
14828 ESI Electro Scientific Industries Camera and Mirror Assembly JAI CV-340E Spare
14829 ESI Electro Scientific Industries 9250 Laser Mirror Assembly Parker 008-8994
14830 ESI Electro Scientific Industries 47064 Magnetic Actuator LA14-15-000A Working
14831 ESI Electro Scientific Industries LCA Laser Rail MVBE Shutter CKA 76646 73140
14832 ESI Electro Scientific Industries 74592 Camera Zoom Lens Assembly CV-340E Spare
14833 ESI Electro Scientific Industries 9250 IR Infrared Illuminator Camera Assembly
14834 HP Hewlett-Packard 5517B Laser Head ESI Electro Scientific Industries 9250 Spare
14835 HP Hewlett-Packard 10793c Cable ESI Electro Scientific Industries 62093 Spare
14836 HP Hewlett-Packard 10780C Receiver ESI Electro Scientific Industries 66323 Spare
14837 HP Hewlett-Packard 10780C Receiver ESI Electro Scientific Industries 66323 Spare
14838 Pneumatics Actuator S4TR-16E0B-AVC0 ESI Electro Scientific Industries 6250 Spare
14839 ESI Electro Scientific Industries 70038 Vacuum Intertie Board PCB 9250 Working
14840 RFPP RF Power Products 9520317010 RF Filter Box SE#100 Working Spare
14841 Meiden UA205/011S-3406 Indexer Slave Controller PC HEDC-5011 Computer Spare
14842 Electroglas Keyboard and Joystick Assembly 4085x Horizon PSM 200mm Working Spare
14843 Temptronic Thermochuck 200mm Wafer Chuck Assembly PAC Electroglas 4085X Working
14844 Electroglas 253245-001 200mm Wafer Prealigner Inspection Stage 4085X Working
14845 Electroglas 244863-001 Pneumatic Non-Contact Edge Sensor PCB Assembly Working
14846 Electroglas 200mm Wafer Inspection Stage 253229-001 253230-001 4085x Working
14847 Electroglas 247180-002 Prealign Module Interface Board PCB 4085X Working Spare
14848 Mitsubishi HC-KFS23 AC Servo Motor HC-KFS Series Working Surplus
14849 Mitsubishi HC-KFS23G2 AC Servo Motor HC-KFS Series Working Surplus
14850 Edwards A53259000 Series 2 iQDP Gas System Module D37211970 Copper Working Spare
14851 Edwards A53259000 Series 2 iQDP Gas System Module D37211950 Copper Working Spare
14852 Comdel CPS-1000/4 RF Power Source 4.000 MHz CPS-1000 Tested Working Spare
14853 MDC Vacuum Products KAV-150-P Pneumatic Angle Valve NW40 Working Spare
14854 MDC Vacuum Products 997330 Pneumatic Angle Valve KAV-150-PSP NW40 Working Spare
14855 Edwards A52857000 iQDP Seal Purge Module Dry Vacuum Pump No Cable Working Spare
14856 Granville-Phillips 20275-500 275 Mini-Convectron Vacuum Gauge Working Spare
14857 MKS Instruments 750A22TCD2GG Baratron Pressure Transducer Working Spare
14858 VAT 14046-PE44 HV High Vacuum Pneumatic Gate Valve DN200 ISO-F Series 14 Spare
14859 Edwards A532-40-905 Dry Vacuum Pump iQDP40 Copper Cu Exposed Untested As-Is
14860 KLA-Tencor 515051 Wafer APS2 AIT2 Processor Board PCB Card 381845 Working Spare
14861 Sony UP-895MD Monochrome Video Graphic Printer ESI 9250 Working Spare
14862 HX 150 Neslab Instruments 388104040246 Recirculating Chiller Tested Working
14863 HX 150 Neslab Instruments 388104040246 Recirculating Chiller Tested Working
14864 PRI Terminator Set of 4 2005-0112 RS-232 Robot Communication & 50-Pin Prealigner
14865 DCG-200A ENI DC24M-A041300110AL Generator MASTER Rev. C AMAT 0190-07962 Tested
14866 iQDP80 Edwards A532-80-905 Dry Vacuum Pump 8753 Hours Tested Working Spare
14867 Kulicke & Soffa 980-4130-000 Dicing Saw Main Logic Board PCB Card Working Spare
14868 Kulicke and Soffa 780-4145-000-01 Power Logic PCB Card 00797-4145-001-01 Working
14869 Kulicke and Soffa 00980-4027-000 V.D.G Board PCB Card 1483-4827-1 Working Spare
14870 Kulicke and Soffa 980-4027-000 V.D.G Board PCB Card 1483-4027-1 Working Spare
14871 Kulicke and Soffa 00980-4121-000-02 GPC PCB Card 00918-4192-001-01 Working Spare
14872 Kulicke and Soffa 00980-4121-000-05 GPC PCB Card DS023 00918-4192-001-01 Working
14873 Kulicke and Soffa 00780-4541-000 X Servo Board PCB Card 6300-4541-001-01 Working
14874 Kulicke and Soffa 780-4541-000 X-Servo Board PCB Card 6300-4541-001-01 Working
14875 Kulicke and Soffa 980-4035-000-00 M.P.U Board PCB Card 01483-4035-001-03 Working
14876 Kulicke and Soffa 980-4035-000-00 M.P.U Board PCB Card DS020 01483-4035-001-03
14877 Kulicke and Soffa 00780-4350-000 T MSC Board PCB Card 06100-4311-001-01 Working
14878 Kulicke and Soffa 00780-4350-000 T M.S.C S/W PCB Card 06100-4311-001-01 Working
14879 Kulicke and Soffa 00780-4350-000 Y MSC PCB Card 06100-4311-001-01 Working Spare
14880 Kulicke and Soffa 00780-4310-000 Y MSC S/W PCB Card 06100-4311-001-01 Working
14881 Kulicke and Soffa 00780-4340-000 Z MSC S/W PCB Card 06100-4311-001-01 Working
14882 ACS SB-1001CE-KS Digital Current Loop Kulicke and Soffa 00980-9310-000 Working
14883 SCP Santa Clara Plastics 2700 Standard Recirculation Unit Preco Tested Working
14884 CFT-75 Neslab Instruments 349104040116 Refrigerated Recirculator Tested Working
14885 Lambda Electronics 2B4DB1A-0749 Power Supply 02001F Reseller Lot of 2 Working
14886 RV Series Edwards RV12 Rotary Vane Dual Stage Vacuum Pump 0 mTorr Tested Working
14887 RV3 Edwards A65202906 Rotary Vane Dual Stage Vacuum Pump 0 mTorr Tested Working
14888 HX Series Neslab HX-75 Recirculating Chiller Tested Working Spare
14889 SCP Santa Clara Plastics 2700 Standard Recirculation Unit Dim Screen Working
14890 CFT-75 Neslab 395104041507 Refrigerated Recirculator 703-707-1A Tested Working
14891 Yamada 852687 Air Powered Double Diaphragm Pump NDP-15BPS Working Spare
14892 STP-A3003CV Edwards PT43-96-040 Turbomolecular Pump Turbo Not Working As-Is
14893 Kulicke and Soffa 00780-4400-000 DC Power Board PCB 00780-4400-001 Working Spare
14894 Kulicke and Soffa SSA-12/40 Power Amp ELMO PCB Assembly 00780-4507-001 Working
14895 Kulicke and Soffa 780-4800-000 Mixer Board PCB Assembly Working Spare
14896 Kulicke and Soffa 00797-4830-000-00 Drive Board PCB Card Working Spare
14897 TC600 Pfeiffer Vacuum PM C01 720 Turbomolecular Pump Controller Turbo Working
14898 Aera FC-7800CD Mass Flow Controller MFC 100 SCCM SiH4 Hitachi Working Spare
14899 ASM 120H Alcatel 797206 Portable Helium Leak Detector Tested Not Working As-Is
14900 A103P Adixen A3G2151020000 Dry Vacuum Pump Pfeiffer Working Surplus
14901 Lam Plate, Cool GDP 10 Hole 810-02433R
14902 Lam Plate Focus Ring Adapter Cera-R 810-02432R
14903 Lam Cover Elctd Hsgesc 811-02493R working
14904 Lam Focus Ring (outer) 413-054-00-2-0 New
14905 Lam Liner Extendedheated Ceramic 810-02460R
14906 LAM Research 710-7930-1 Rev C PCB 810-7930-1 490 590 Etcher Used Working
14907 Lam Etcher 490  590 Motor PCB 474-1-C-677-385  055252
14908 LAM Research 017-018-0390 Etcher 490 590 PCB 055258
14909 Lam Etcher 490 590 PCB 055237 810-503-3 rev B
14910 Tokyo Electron ES Ring Insulator A7HF0.5 1D05-300185-W1 new
14911 Millipore Planargard Point-of-Use Filters CM1301E06 Lot of 6 new
14912 Millipore Planargard Point-of-Use Filters CM1301E06 Lot of 6 new
14913 LAM Research Etcher Chem Sply Valve Block 766-020032-001 new
14914 LAM 6 Station Pneumatic Manifold 766-004254-003 new
14915 Edwards D37215000 Vacuum Flash Module Used Working
14916 PDX 500 AE Advanced Energy 3156024-105C RF Generator Used Working
14917 Komatsu KIS-0007-3 Heat Exchanger Used Tested Not Working As-Is
14918 Accurate Gas Control Systems 3019 Gas Control Heavy Black Tank Jacket Used
14919 Carten Controls DPV 750/500 Duplex Valve Lot of 4 New
14920 LAM Mesh Switch 0577-1-L-807-0787 working
14921 Bold Technologies Pneumatic Polypro Pump 1046
14922 WaFab ChemKleen Controller MPC-203
14923 Integrated Circuit Development Corp. 6500 SCP Wet Sink Control
14924 LAM 810-17041 PCB Brushless Motor Driver-Speed Control Board
14925 Hine Design 04290-201 Process Chamber Elevator Gasonics 94-1118
14926 VAT F12-95575 16mm Gate Valve 12124-KA44-0001 new
14927 LAM Research 810-017016-001 PCB Stepper Motor Driver Board Assembly New
14928 Lam Research 28-8875 Communication Board PCB ASM 28-8875-027 22-8875-003 New
14929 Lynn Electrode Showerhead L147-G263SFG New
14930 Lam Research Upper Baffle Plate 715-011902-001 new
14931 Lam Research 716-140427-001 STD 6 ESC NCH Hot Edge Ring 15DEG New Surplus
14932 LAM Focus Ring 8″ ESC 716-330190-081 New
14933 Ace Company CS33-333 Showerhead 233-2089-77 New Surplus
14934 Lam Research 716-330190-081 Focus Ring 8″ ESC Used Working
14935 Lynn Electrode Showerhead L147-587513NC New
14936 Lam Research Blank Cover 713-21816-1 Rev.B
14937 AMAT Applied Materials 0220-03190 RF Monitor working
14938 AMAT Applied Materials 0010-10010 Manual Control Gate Valve Precision 5000
14939 Applied Materials AMAT 650247 Lid Flange 0010-15222
14940 AMAT Applied Materials AC Window Assembly 0240-00598
14941 AMAT Applied Materials Anti-Cloud Assembly 0090-00044
14942 AMAT Applied Materials A.C. Window Control 0090-00045
14943 Tylan General HPC-20 CDG Adapter Unit
14944 Leybold 200 80 513 DRYVAC System Remote Controller M100S Used Working
14945 Edwards D37215000 Vacuum Flash Module Used Working
14946 Robitech 990-9131 Valve Module PCB 681-0181-009 980-2300 Series Used Working
14947 Robitech 990-9131 Valve Module PCB 681-0181-009 980-2300 Series Used Working
14948 AceCo Aluminum Cathode Upper Electrode CS33-054A Lam  new
14949 SVG ASML 90S End Station CPU Board 99-80333-01 Rev. 2 Working
14950 Parker Laser Stage Positioning System Model 4082
14951 Cybor Pneumatic Interface Control Module ADS660-00 working
14952 Ebara 350W Turbo-Molecular Pump 20M Controller working
14953 Ebara Turbopump Controller 305W-A untested
14954 Varian E15000040 Serial Loop Master PCB Card E14000040 Used Working
14955 Varian TI PCB 80xp Ion Implanter Board TM990/310
14956 TEL Tokyo Electron Focus Ring 3D10-201599-V1
14957 SVG ASML 90S Interlock Board 99-80302-01 Rev. F Working
14958 Delta Design Power Supply Control Board 1662998-501 Rev. F Working Lot (2)
14959 Accel Implanter Control Panel AXDRC/21D working
14960 SVG Silicon Valley Group 99-80315-01 Exhaust Flow Controller Board PCB 90S Used
14961 TEL Tokyo Electron CLP Ring 200mm D127254
14962 Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Refurbished
14963 Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV New Surplus
14964 Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV New Surplus
14965 Panasonic MQDB015A1D01 AC Servo Motor Drive Used Working
14966 BTU Engineering Video Interface Board 3161524 EPROM V2.3 Working
14967 Leybold Coolvac Power Supply 844235 0190-03798
14968 TESCOM Regulator 44-3261JRU2-376 50PSIG OUTPUT MAX
14969 Verity Instruments 1005530AT Laser Optical Module APD220 AMAT 0190-10026 Used
14970 AMAT Applied Materials 9090-00491 Network Module Used Working
14971 Sanyo Denki PV1A015SFYNP50 Servo Amplifier BL Super PV Used Working
14972 Sanyo Denki PV1A015SM51P50 Servo Amplifier BL Super PV Used Working
14973 Sanyo Denki PV1A015SMT1P50 Servo Drive AMAT 1080-00053 Used Working
14974 Sanyo Denki PV1A015SMT1P50 Servo Drive AMAT 1080-00053 Used Working
14975 Sanyo Denki PV1A015SM61P50 Servo Amplifier BL Super PV AMAT 0870-01072 Used
14976 Samsung Digital Servo Drive CSDJ-A5CK1  50W
14977 Yaskawa SGDA-01APPY126 Servo Drive SERVOPACK TEL 2980-193384-11 ACT12 Used
14978 Sanyo Denki BL Super PV Servo Drive PV1A015SM61P50DB1
14979 Sanyo Denki PV1A030SGDNP50 BL Super Servo Drive Used Working
14980 Sanyo Denki PV2B050SXX1AZ0 Servo Amplifier BL Super PV Used Working
14981 MDC AV-150M-P Angle Valve 313029 New
14982 VAT 0210X-CA24-BJC1 Monovat Rectangular Dual Slit AMAT 3870-04810 Refurbished
14983 Newport Kensington 15-3701-1425-25 Robot AMAT 0190-19124CW
14984 Newport Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 CU Used
14985 STEC SEC-4600M Mass Flow Controller AMAT 3030-09676 100 SLM N2 Refurbished
14986 LAM Research Leveling Electrode 515-011835-001 new
14987 TEL Tokyo Electron Clean Track Unit Controller UC-510 working
14988 Kokusai 3160711 PCB Serial Interface Board Used Working
14989 Kokusai 3160711 PCB Serial Interface Board Used Working
14990 Varian Filler Ring Assembly 108384004R-2
14991 BTU Engineering Video Interface Board 3161524 EPROM V1.1 Working
14992 Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working
14993 Sanyo Denki PV1A015SM61P50 Servo Amplifier  BL Super PV AMAT 0870-01072 Used
14994 Shimadzu EI-3203MD Turbo Molecular Pump Controller TMP Power Unit As-Is
14995 GaSonics Valve Control PCB Assembly 90-1002-02
14996 GaSonics Abort Reset Over Temp PCB Card 90-1033-02
14997 GaSonics MFC/MFM Interface Board PCB 90-1036-01
14998 SVG Spin Station Expansion Card PCB 99-80207-02
14999 Alcatel Control Board PCB P0176-D working
15000 Edwards A52850000 QDP Series 3 Exhaust Pressure Module Used Working
15001 Shimadzu EI-281 TMP Power Source Used Working
15002 Cymer Interface Board 05-05182-00 Working
15003 BTU Engineering Video Interface Board 3181180 EPROM V2.1 Working
15004 Cymer ADC Interface Board 05-05184-00 Rev. 011 Working
15005 Cymer ADC Interface Board 05-05184-00 Rev. 001 Working
15006 BTU Engineering System I/O Board 3161161 Rev. 7/14 Working
15007 ASML ATWI SS2 MKII Carrier Conn PCA 4022.471.84321 new
15008 IPUP E100L Edwards A535-72-945 Dry Vacuum Pump 8241 Hours AMAT Tested As-Is
15009 SAM Fantas SFC1483F MFC Mass Flow Controller N2, 60SLM
15010 STEC Mass Flow Controllr SEC-Z12DWM Ar 20SLM 0190-16804
15011 SAM Fantas MFC Mass Flow Controller SFC1480F H2 3SLM
15012 SAM SFC480F3PD Mass Flow Controller AMAT 3030-00607 Used
15013 Agilent ASML I/O Card PCB Z4206A working
15014 Nor-Cal Products 3870-00165 Intellisys Pressure Controller AMAT Used Working
15015 AMAT Applied Materials 0190-35113 Halogen Lamp Bulb 2000W Reseller Lot of 10 New
15016 Pacific Scientific Servo Controller SC755A040-08 SVG 90
15017 Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV Used Working
15018 Integrated Dynamics Engineering TC-V3 Isolation System working
15019 LF-5 RFPP RF Power Products 7520572050 RF Generator LF-5SWC Used Tested Working
15020 LF-5 RFPP RF Power Products 7520572050 RF Generator LF-5SWC Used Tested Working
15021 Omron Power Controller G3PX-220EUN working
15022 Brooks Automation 200mm Prealigner Chamber 10600-10
15023 Agilent ASML WIPA PPCA PCB Board 4022.471.78842 new
15024 TEL Tokyo Electron Short Comp. Pod Door Shield D114056
15025 Millipore Wafergard F Inline Gas Filter lot C4NM6905
15026 Sanyo Denki BL Super PV Servo Drive PV2A015WNF1A00
15027 Millipore FC-2952MEP5-T Mass Flow Controller 10 SLPM He New
15028 Orion Machinery ETS214-ATAA-A Pel Thermo Chiller Used Working
15029 TEL Tokyo Electron Long Door Shield (11″ Cath.) D115635
15030 TEL Tokyo Electron S-2 Old Pod Shield D117579 new
15031 MRC Materials Research 200mm Pod Shield D126409-200SP new
15032 Horiba STEC SEC-7330M Mass Flow Controller 1 SLM O2 Refurbished
15033 GaSonics Data Tech. 16-bit ISA IDE Control Card DTC2280
15034 Parker P1M063C/KMC6N046 Pneumatic Cylinder Series Double Acting New
15035 GaSonics DTC2280 M/N 95-0289 Data Technology Corp 16-bit ISA IDE Control Card
15036 AceCo 150mm Aluminum Cathode CS33-605
15037 TEL Tokyo Electron Sputter Shield D122081 new
15038 Vacuum Cntr. Manifold
15039 Vacuum Cntr. Manifold
15040 Vacuum Cntr. Manifold
15041 Seiko Seiki Turbopump Controller STP-H1301L1 working
15042 Shimadzu EI-3203MD-A1 TMP Turbopump Power Unit  AMAT 3620-01616 New
15043 KLA-Tencor CIP XP Column Extension Assy. 0110157-000
15044 RF Services Inc. RF Match RFS 5005 5kW working
15045 Novellus Digital Dynamics SIOC Controller 02-258471-00
15046 Pentagon Technology 17-165771-02A PVD Shield new Novellus
15047 Glentek Amplifier Controller SMA8310-1 KLA 003964-000 working
15048 Arrow Electronics Power Supply Switcher VAD610669-A
15049 Novellus Shield Assembly 16-117928-00 Rev.D new
15050 KLA-Tencor Lid Lift Leg Assy. 781-11759-000 working
15051 Tosoh A-S Wafer Shield 06225-000/003412 new
15052 LSA Cleanpart Wafer Shield 16-140875-00 new
15053 Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-1010 Used
15054 Lintec Liquid Flow Meter LM-1100M-8 TEOS 1.5g/min New Surplus
15055 ATMI NOWPak SM4BKAFD-050812 Photo Resist Dispenser new surplus
15056 AMAT 25 lb Weight Kit 0240-16987 300mm rebuilt
15057 AMAT 300mm Retaining Ring Grooved PL PPS 0021-17340 new
15058 AMAT Titan SP Head 300mm Fixture Kit 0240-13190 new
15059 AMAT Titan Head Membrane Support 0040-41846 new
15060 AMAT 300mm Grooved Retaining Ring 0040-84056 new
15061 AMAT 300mm Retaining Ring, Grooved 0040-84056 new
15062 LSA Anodic Technologies Bracket Assy. 17-122526-00 new
15063 LSA Cleanpart ESC Lift Pin Assy. 16-116351-00 new
15064 STEC Liquid Mass Flow Meter TiCl4 LF-210A-EVD  NEW
15065 KLA-Tencor Upper Housing Assy. 781-21332-000 working
15066 KLA-Tencor Housekeeping Control 0075164-002 working
15067 TEL Tokyo Electron 3M10-311737-12 300mm Shower Spacer New
15068 TEL Tokyo Electron Barrel Shield WZ10-102853-11 new
15069 Scinics MC-301 Stirrer Controller MultiStirrer AMAT 1050-01008 New Surplus
15070 KLA-Tencor CRS1010 Solenoid PCB Assy. 000678 working
15071 Vicor MP6-76542 Power Supply AMAT Applied Materials 1140-01379 Used Working
15072 Vicor MP4-74523 DC Power Supply MegaPAC AMAT 1140-74523 Tested Working
15073 Ultrapointe 000675T Lon Motor Driver Board PCB 00045 KLA-Tencor CRS-1010S Used
15074 AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used
15075 AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used
15076 AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used
15077 Kollmorgen 1000-0115-00 4-Channel Magnet Driver AMAT 0190-36315 New
15078 TMP Shimadzu EI-3203MD-A1 Turbomolecular Pump Power Unit AMAT 3620-01616 New
15079 TMP Shimadzu EI-3203MD-A1 Turbomolecular Pump Power Unit AMAT 3620-01616 New
15080 SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004F Working
15081 KLA-Tencor CRS1010S DC Power Supply working
15082 Inficon 0190-19969 Heated Left Turbo Elbow AMAT Applied Materials New
15083 Inficon 0190-19967 Heated Vert Cross Weldment AMAT Applied Materials New
15084 Inficon 0190-19968 Heated Right Turbo Elbow AMAT Applied Materials New
15085 Cutler-Hammer AF91AG0 B002D Adjustable Frequency Drive G1002BG0DA Used Working
15086 Ultrapointe 000674T5 Filter Wheel Driver Board PCB KLA-Tencor CRS-1010S Used
15087 Ultrapointe 801-1002-01 A-Stop Control Lon Motor Driver PCB 55050-00 Used Working
15088 Ultrapointe 000276 Spectrometer PMT Preamp Assembly KLA-Tencor CRS1010 Used
15089 KLA-Tencor CRS1010 Fast Z Controller 001000 PCB working
15090 M.E.C. Tech MEC81104-200L Cathode Covers AMAT 0030-0102 Reseller Lot of 101 New
15091 TEL Tokyo Electron Teflon Coil Cup WZ10-101255-11 New
15092 M.E.C. Tech Insulator Ring 26-83622-00 new AMAT Lot of 78
15093 Sanyo Denki PMDPA1C3P20 PM Driver Type C AMAT 1080-01276 Used Working
15094 KLA-Tencor 001003 Fast Z Controller PCB Rev. A CRS1010 Used Working
15095 GSI Lumonics 003-3002009 Control PCB KLA-Tencor CRS1010 Used Working
15096 Daihen DCP-208-24 DC Power Supply working
15097 Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Copper Exposed Used
15098 GSI General Scanning Inc. Lumonics 311-15593-1 Control PCB KLA-Tencor Used
15099 Cutler-Hammer Circuit Breaker lot GD 22K working
15100 InUSA AFX RB5L Multi-Channel Ozone Controller Used Working
15101 VAT 0750X-UE24-ACK1 Rectangular Door Valve L-VAT Series 075 AMAT 3870-00403 New
15102 InUSA RB3L External Multi-Channel Control System RB5L Used
15103 KLA-Tencor CRS1010S Review Station DC Power Supply
15104 HiTek Power Series 2500 High Voltage Power Supply 2.5kV Refurbished
15105 SVG Silicon Valley Group 99-80266-01 Station CPU PCB Card Rev. F 90S DUV Used
15106 STEC SEC-4600M Mass Flow Controller 50 SLM H2 Refurbished
15107 Entegris 6500-XX-F02-B30-K-P1-U1-M15 NT Integrated Flow Controller New Surplus
15108 QML/MSXLOD 200mm Chuck 879-0362-002D
15109 MKS TOOLweb Sensor Integration Platform SIP BlueBox new
15110 KLA-Tencor CRS1010 Lens Filter Assembly 002001 working
15111 GPI General Precision Remote Panel RCD-1-4 I working
15112 KLA-Tencor CRS1010 Camera Filter Assembly 000056 working
15113 Power-One Cosel SVG 90 DC Power Supply lot working
15114 Yaskawa Linear Motor Controller CLSR-A504-N2SB Nikon
15115 JAE 6 Axis Vibration Measurement Unit JNP-003 Nikon NSR
15116 Ametek Pressure Transducer 100SV3000SM41B3 3000PSIG New
15117 Tescom 64-3242KRL20 Manual Regulator 100PSIG Max Output New
15118 Tescom Regulator 23-3B25AAHH-016 100PSIG Max Output New
15119 Pentagon Technologies TEL Tokyo Electron Faraday Shield WZ10-101351-21 X6 New
15120 Pentagon Technologies Faraday Shield WZ10-101268-11 X1 New TEL Tokyo Electron
15121 Pentagon Technologies TEL Tokyo Electron Baffle Deposition WZ10-102201-11 New
15122 TEL Tokyo Electron Faraday Shield WZ10-102693-11 X1
15123 TEL Tokyo Electron Faraday Shield WZ10-102397-11 X1
15124 AMAT Lower Preclean Shield 0040-61580 300mm New
15125 KLA-Tencor O/S Actuator Elevator 720-01488-003 Untested
15126 KLA-Tencor Newport AIT2 Air Slit Assembly 393215 New
15127 GSI Lumonics CCA-10069 X-Y Scanner PCB working pair
15128 GSI Lumonics E11-13209-7 X-Y Scanner PCB Rev. D KLA-Tencor CRS-3000 Used Working
15129 GSI Lumonics E11-13209-7 X-Y Scanner PCB Rev. D KLA-Tencor CRS-3000 Used Working
15130 KLA-Tencor Nikon Box Door Opener 750-059211-001 New
15131 KLA-Tencor Aperture Heated Rod 781-23234-002 Used
15132 KLA-Tencor Aperture Heated Rod 781-23234-001 Used
15133 KLA-Tencor Cannon Box Magazine Assembly 740-060658-001
15134 KLA-Tencor 0124592-000 AIT UV Laser Servo Detector with Cable 0051839-000 New
15135 SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004K Working
15136 SVG ASML Robitech Interface Board 859-0832-006B Working
15137 KLA-Tencor AIT UV Laser Servo Detector 0099586-001 Used
15138 KLA-Tencor 760-333022-000 SLX Reflected Light Assembly Used
15139 KLA-Tencor 0041292-000 AIT/UV Laser Optics Assembly 0041281-000 Used Working
15140 KLA-Tencor Spring Clamp Laser Servo Detect. 0124592-000
15141 KLA-Tencor Mark II Right Top Plate 740-680567-000 New
15142 KLA-Tencor 0099602-001 Retrofit Optics Beamsplitter  New
15143 KLA-Tencor 10KV Floodgun Assembly 720-23189-000
15144 SVG Silicon Valley Group 854-8307-001 PCB Board 859-5485-002 ASML Used Working
15145 KLA-Tencor Sharp 15″ LCD Monitor 0095643-000 Used
15146 KLA-Tencor Glentek Electronics Analyzer 0045454-000
15147 SVG ASML 859-0066-004-A PCB Board Used Working
15148 KLA-Tencor Nikon Box Door Opener 750-059211-001 Used
15149 SVG 90S Twin Shaft and Carriage 201-200 New
15150 SVG ASML 859-8030-003 AFA PREAMP / ADC 16 Bit PCB Card Used Working
15151 Lambda 703-1047-01 Power Supply Used Working
15152 AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used
15153 LAM Research 678-801542-001 Heat Tape New
15154 HyCal Sensing CT-839-P-(50/80F)-(0/100%) Dual Temp/Humidity Transmitter Lot of 3
15155 Tescom High Purity Valve 33-1C52IGS2C-009 Lot of 31 new
15156 LAM 6 Station Pneumatic Manifold 766-004254-003 new
15157 LAM Immersion Heater 678-801541-002 new
15158 LAM Immersion Heater 678-801541-003 new
15159 LAM A001-0330-0050 Immersion Heater 678-099744-004 New
15160 ASM 858-8163-002A PCB Board working
15161 LAM Research Immersion Heater 678-099744-005 new
15162 LAM Immersion Heater 678-099744-006 new
15163 LAM Transformer 638-099530-001 new
15164 Machine Technology MINI I/O II 2217605061 PCB Board working
15165 Perkin-Elmer 851-8877-002-F PCB Board A1302 SVG ASML Used Working
15166 TLA Technology Servo Interface PCB Rev. A Used Working
15167 Robitech 859-0832-006C Robot Interface PCB Board A5161 working
15168 Branson IPC PWA Interface Board 12954-04 Rev. C Working
15169 Lam Research 810-17031R4 ADIO-A0 Control Board PCB Rev. 1 TCP 9100 Used Working
15170 LAM Research LAM 9100 ADIO-A0 Control Board 310-170314 Rev. A Working
15171 Therma-Wave 18-010946 Interface Module Used Working
15172 KLA-Tencor DC Power Supply 0057847-000 working
15173 GPI General Precision SVG Remote Panel RCD 3-4-1
15174 Cyber Research SVG Remote Computer STC 12-T working
15175 KLA-Tencor LV Detector Assy. 720-11440-000 untested
15176 Therma-Wave 14-119381 PWR_DGTZR PCB Opti-Probe Used Working
15177 Therma-Wave SVG MUX PCB 14-119395 working
15178 KLA-Tencor 0077427-002 End Effector Used Working
15179 KLA-Tencor 0077427-002 End Effector Used Working
15180 SVG 90S End Station CPU Interface Unit
15181 SVG 90S Pneumatic PCB BES-4235
15182 SVG 90S Interface Control PCB 99-80396-01 Rev B
15183 SVG 90S Interface Control PCB 99-80396-01 Rev B
15184 SVG 90S Sensor Multiplexor PCB 99-80270-01 Rev B
15185 SVG 90S Nikon Interface PCB 99-80336-06 Rev O
15186 SVG 90S RS422 Communications PCB 99-80203-01 Rev J Used
15187 SVG Silicon Valley Group 80166F2-01 Station CPU PCB Board Used Working
15188 SVG Station CPU Board 80266BE-01
15189 SVG Station CPU Board 80266B3-01
15190 TEL Tokyo Electron Shield WZ10-102823-11 X4
15191 Therma-Wave Opti-Probe Tatung 10″ Monitor TCM-1001
15192 SVG PCB 06-49879-01B RA2011-11
15193 TEL Tokyo Electron Shield WZ10-102837-11 XA
15194 Pentagon Technologies WZ10-103558-11 X1 Large Upper Shield Sealed
15195 Electroglas Horizon 4085X Visual Inspection Module
15196 Electroglas Horizon 4085X Interface PCB Assembly
15197 Electroglas Horizon 4085X RMHM4 Controller
15198 Thermalogic PCB 718-525 Working
15199 SVG 90S Station CPU PCB 80266B2-01 Working
15200 SVG Silicon Valley Group 99-80170-01 Vacuum Sensor PCB Rev. E Used Working
15201 Millipore Wafergard PF-40 Filter WGFV40P01 New
15202 SVG 90S Manifold With Damper 99-54060-01 New
15203 SVG 90S Fixture Parts Lot A W/ 24-45451-01 And More
15204 SVG 90S 200mm Set Up Fixture/Jig  STGM10 23-42973-01
15205 SVG 90S 200mm Set Up Fixture/Jig PRES20 99-38120-02
15206 SVG 90S 200mm Set Up Fixture/Jig LJE-N-10 23-43218-01-A
15207 Pentagon Technologies D119224 PVD Dark Space Shield TEL MRC New
15208 Pentagon Technologies Eclipse star MRC PVD Pie Pan Shield D124821-200A
15209 Pentagon Technologies Eclipse Star MRC PVD BSM Ring Tab D129805 New
15210 Pentagon Technologies D129805 BSM Wafer Holder Housing MRC Copper Cu Refurbished
15211 Pentagon Technologies MRC Eclipse  PVD Filler Ring D116049 F V New
15212 SVG 90S 200mm Plastic Calibration Wafer
15213 SVG 90S I/O Expansion/LCD Interface PCB 99-80293-01
15214 Edco M356600122  CPU Card PCB New
15215 Gespac GSPIA-4 PCB 9602 New
15216 Lam Research 810-17031-3 ADIO-A0 Control Board Rev. 2 Rainbow 4420 Used Working
15217 SVG ASML VB/VP Sensor Board 80241B-1-01 Working Lot (3)
15218 Electroglas X Theta Driver Assembly 247228-001 Rev. N Working
15219 Lam Research 810-017031R004 ADIO A0 Processor Board PCB 810-17031-2 Used Working
15220 Kokusai SCOM2A A/2 D1E01223B PCB Board working
15221 Lam Research 810-17031-004 ADIO-A0 Control Board Rev. 1 TCP 9100 Used Working
15222 SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004E Working
15223 SVG ASML Interface Board 859-0866-003B Working
15224 SVG ASML Interface Board 859-0866-003C Working
15225 SVG ASML Perkin-Elmer Interface Board 859-0866-002A Working
15226 SVG Perkin-Elmer A/D Position Regulator Conversion Board 851-8518-004 Working
15227 SVG ASML Perkin-Elmer Interface Board 851-8618-003 Working
15228 SVG ASML A/D Position Regulator Conversion Board 851-8518-005C Working
15229 SVG ASML Perkin-Elmer Interface Board 851-8618-004B Working
15230 Delta Design Sensor Board 1667-195-501 Rev. J Working
15231 Schlumberger Servo Interface PCB Rev. A Working
15232 Texas Instruments TM900/203A- Interface Board 115678002 Rev. B Working
15233 Schlumberger 3064519-000 PCB Board working
15234 Pittman Amplifier Board 276-009-001 C S Rev. E Lot (4) 304-014-122 Working
15235 Therma-Wave Frame Breakout Board 14-018238 Rev. C Opti-Probe Working
15236 Sony UP-5500 Mavigraph Color Video Printer for KLA used working
15237 Tri-Mag TPYA45-4SF DC Three Phase WYE Filter at 47/63Hz working
15238 SVG Commutator PCB 859-8368-003 working
15239 SVG Power Amp PCB 859-8369-008 working
15240 SVG Interface PCB Pair 879-8010-002 working
15241 MECS UTV-2500H-SYSTEM Wafer Transport Robot System Controller CS-7000 Used
15242 Oerlikon P1264 300mm Base Ring 102211796 new
15243 Tosoh Upper Shield 805-375-SG-F-280 new
15244 Carpenter Ceramics ALPS 894 Clamp Ring IN0440-1003 new
15245 Carpenter Advanced Ceramics IN0440-1001 Clamp Ring 894 0440-1001 New
15246 AMAT Centura 300mm Deposition Ring 0021-19471 new
15247 AMAT Endura 300mm Lower Shield 0021-16287 refurbished
15248 Applied Ceramics Eclipse Cylinder 102054681 new
15249 Balzers MIU 101 Microwave Ignition Unit working
15250 ASML Exciter Lamp 859-0515-006-A Used Working
15251 AMAT Endura 300mm Disk Shutter 0021-19207 new
15252 Varian E1000 Inner Bushing Shield E17124530 new
15253 Tosoh AMAT Centura Upper Shield 805-377-TA-F-104 new
15254 AMAT Applied Materials Centura Inner Shield 300mm 0020-52600 new
15255 Applied Ceramics Eclipse Insulator Ring 300075811 new
15256 Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new
15257 Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new
15258 Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new
15259 Nikon S204 Ceramic Pin Chuck 12in 300mm NSR-S204B Step-and-Repeat System Used
15260 AMAT Centura Cover Ring 300mm Edwards 0021-18485 refurbished
15261 AMAT Centura Clamp Ring 0020-52627 refurbished
15262 AMAT Centura Shield Clamp Edwards 0021-15610 refurbished
15263 Edwards Kachina 0020-13067 300mm Lower Shield refurbished AMAT Centura
15264 Jenoptik 4022.454.9932.2 ASML BP Mirror 193nm new
15265 Jenoptik 4022.456.0076.2 BXP Lens ASML Cymer New
15266 Nikon Scanner Prism Unit 4L990-797AN working
15267 Jenoptik 4022.456.0075.2 ASML Twinscan AT:1100 Lens 193nm SP1/1443/44 New
15268 Optem International HRTS33N3 Metro 300mm Video Coupler New
15269 Oerlikon Unaxis Insulating Ring 102078649 new
15270 Nikon S306 Depolarizer 4L991-783AN new
15271 Torque Systems BMR2005TCG00CEA002 PM Servo Motor Gerwah DKN 20 Used Working
15272 ASQ Technology AT2S8-25 200mm Wafer Transfer Machine Victor Used Tested Working
15273 MECS Corp. Wafer Prealigner AL100 untested
15274 Nikon S306 BMU Mirror 4L992-195AN new
15275 Specken Drumag Oerlikon P1264 Stroke Cylinder 102078752 new
15276 Oerlikon 102104213 Unaxis 300mm End Effector Used Working
15277 Oerlikon Mask CPL 300mm 102153723 new
15278 Oerlikon Etch Shield 102161354 new
15279 Edwards Shutter Disk 633-5583-66 AMAT Centura 0021-17725
15280 Nikon Metro 300mm MCR-DRV PCB 2S003-056 working
15281 AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
15282 AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
15283 MECS Corp. UTX-5000 Robot Controller CS-7000 Used
15284 MECS Corp. Prealigner AL100 untested
15285 V-Tex 06-014 Rollcam Slit Valve 200803-25-0013-02 New Surplus
15286 Fuji Electric EL25-30-V12-UL Earth Leakage Protection Relay EL25P0 New Surplus
15287 Genmark 400800032 Classic Transfer Robot working
15288 LF-5 RFPP RF Power Products 7520572050 RF Generator Tested Not Working As-Is
15289 Georg Fischer Diaphragm Valve 198.150.966 new
15290 Nikon Optistation Cass. PCB 2S700-583-1 working
15291 Nikon Optistation Cass. PCB 2S700-583-1 working
15292 ASML DSX Stepper A1 Prime 8540138001 working
15293 Varian Implanter Bearing Assy. X4064200 rebuilt
15294 Nikon Scanner RPF 9.5% Concave 4G680-334BN new
15295 AMAT 200mm Cover Ring AL 0020-24719 new
15296 Tosoh Endura Upper Shield 805-375-SG-F-312 new
15297 Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new
15298 Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new
15299 Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new
15300 Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new
15301 Tosoh AMAT Endura Lower Shield 805-435-SG-F-258 new
15302 Tosoh AMAT Endura Lower Shield 805-435-SG-F-258 new
15303 Tosoh AMAT Endura Shutter Disk 805-438-TA new
15304 MRC 885-23-000 Digital I/O PCB Eclipse Star Used Working
15305 Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New
15306 Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New
15307 Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New
15308 Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New
15309 Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New
15310 TEL Eclipse Star Shield Kit 42755-0500 new
15311 TEL Tokyo Electron Eclipse Filler Ring D116049 new
15312 AMAT Endura Upper Shield 0021-17722 new
15313 Nikon Motor CCNT PCB PPAT6403A new
15314 ASML Stepper Library Brake 851-0618-003 working
15315 PULNiX TM-710i High Resolution Monochrome CCD Camera Used Working
15316 ASML A1306 Stage Decoupler PCB 859-0929-006 working
15317 Kensington Laboratories 25-4021-0015-01 Robot Controller AMAT 0190-06994 Used
15318 ASML SVG Catchup Coater 200mm 38522-01 new
15319 SVG Silicon Valley Group 38465-02 Spin Chuck Dev 90SER 150mm ASML SVG 90 New
15320 Metron D131294-02 200mm Ring Seal MRC Eclipse New
15321 Nikon Scanner RPF 6.5% 4G680-389AN new
15322 ASML Stepper UVHR 3 In. Mirror 8541806001
15323 SVG ASML 8519609003 SVG Long Stroke Arm Used Working
15324 Aero2 Actuator A2S-50-10-V433R SVF working
15325 Tosoh AMAT Endura Upper Shield 805-377-SA-H3 new
15326 Therma-Wave Interface Board 14-015810 Opti-Probe Working
15327 Therma-Wave 14-018274 INTFC OPT PL TALL PCB Opti-Probe Used Working
15328 MKS Instruments 122AA-00100AB Baratron Transducer Tested Working
15329 MKS Instruments 124AA-00100BB Baratron Pressure Transducer Type 124 Used
15330 DISCO D3 PMCB EAUA UA-266105 Interface PCB Board working
15331 Nikon Optistation MCR Control PCB 2S014-038
15332 ASML Stepper MS2 + ECU System Board 859-8272-001
15333 ASML Stepper A1211-AFA Module PCB 854-8301-007
15334 ASML Stepper A1208 Digital Focus PCB 859-0743-018
15335 Nikon Optistation OST-3\3A LED-AF Microscope Head 81027
15336 Nikon Metro 300mm INX/300 Dual Board Assy KAB11310/201K
15337 ASML 200mm Stepper DSC 7911 PCB 6810116041
15338 Omron E5AX-LA02 Digital Controller E5AX Used Working
15339 Omron E5AX-LA02 Digital Controller E5AX Used Working
15340 ASML A5401A/B Preamp PCB Assembly 859030003
15341 SVG 90S Track Station Controller PCB Assy 99-80266-01
15342 Nikon P860 Scanner Kalrez AS-568A O-Ring 4G680-586AN
15343 SVG 90S Track LES E-Chain 99-45762-15 For SVG 860
15344 MSR 99-66564-02 Exhaust Flow Controller SVG 90S Refurbished
15345 Nikon Metro MCR-ISA PCB KAB11000/3301
15346 Nikon Hama Wafer Mapping Sensor KAB11310/201K New
15347 Nikon RFP 2% Concave 4G680-526AN New
15348 AMAT Applied Materials 0225-05707″XB” 6″ Focus Rings ACP Lot of 25
15349 Nikon RFP 1W FORM 4G680-674AN New
15350 Nikon Optistation TCCNT PCB 2S014-035-5 Working
15351 Nikon Optistation TCCNT PCB 2S014-035-5 Working
15352 Oerlikon USA CPL Sensor 10284242 for Unaxis 300mm New
15353 ASML 8518518005 A/D TRANS PCB Used Working
15354 Nikon Optistation MST Board S2015-064-4 Working
15355 Nikon Optistation SCPU PCB S2014-033-4 Working
15356 Nikon 2S700-581 SCNT Board PCB Card 2S014-034-1 OPTISTATION 3 Used Working
15357 Nikon 2S700-581 SCNT Board PCB Card 2S014-034-1 OPTISTATION 3 Used Working
15358 Nikon Optistation MIC-CNT PCB 2S005-230 Working
15359 Nikon Optistation MCR DRV PCB 2S003-056 Working
15360 Oerlikon Unaxis 300mm CU Cylinder C40 102185985 New
15361 IDL Semiconductor Equipment Unload Arm 8790135003 for ASMLP860
15362 Nikon 2S701-009 NTP-NMC-T Board PCB Card 2S020-061-1 v1.09 U5 OPTISTATION 3 Used
15363 SVG 90S Multiple Sensor Motherboard 99-80271-01
15364 SVG 90S DC Distribution PCB 99-80308-01 MCE Mount
15365 Nikon Optistation VDrive Lead Screw 9700-4698-01
15366 Varian GPI 6U PCB 4428900 Working
15367 AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Used Working
15368 Hamamatsu 8790084002 PMT Detector ASML SVGL860
15369 Nikon Optistation MCR-CNT Board 4S005-341-2 Working
15370 Hine Design PCB 778-2I Working
15371 FSI International PC Interface Board 233-5002-00 Working
15372 FSI International Communications PCB 233-5004-24 Working
15373 Nikon Optistation Stage Interface PCB 2S003-038 Working
15374 Nikon Optistation Camera Coupling Sort 81254 Working
15375 SVG 90S GP Temperature Transmitter 303-075 Working
15376 Metron Tech Analog Input PCB 882-70-000 New TAZ Eclipse
15377 Nikon Fostec 8 Inch Single Lightline 80952 Working
15378 Nikon Fostec Macro Slit Illuminator 80951 Working
15379 Applied Materials AMAT H2/N2/HE Gas Line 0050-25218 New
15380 Nikon Metro 300mm Motor Door Latch 9700-6101-01 New
15381 Metron Tech 885-24-000 TAZ Eclipse Digital Interface PCB Board Used Working
15382 Nikon Optistation MCR-ISA PCB 2S014-065 New
15383 Nikon Dual Board Assembly PCB 9700-5327-01 Working
15384 Gunda/Neugart Motor & Gear Assembly PAC11.1.1SBCA New
15385 Thermo Electron Proteus 1 NPT Flow Meter 8952 New
15386 Varian Graphite Entrance Liner E17175160 New
15387 Oerlikon USA Unaxis Fixload Control PCB 102105235 New
15388 SVG 90S Inflatable Bladder Filter 68-20170-908 New
15389 Nikon 9700-5808-01 Load Port Latch Key Optistation New
15390 CSF Technologies 170-12061-00 Hivac Bellow for TAZ Eclipse New
15391 SVG 90S Wafer Flip Arm 87-90661-001 New
15392 Nikon Optistation Amp Unit 2S259-012 New
15393 SVG 90S Short Stroke Arm 85-19607-003 Working
15394 Nikon POD Advance Lead Screw Assy 9700-4703-01 New
15395 Varian Kestrel Mini-Convectron Gauge 4179100 New
15396 Varian Charge Exchange Shield E17293680 New
15397 Brooks Genus InCooler Cooling Station 001-4700-12
15398 Shimadzu EI-D3603M Turbo Molecular Pump Controller AMAT 0010-32353 Working
15399 TURBOTRONIK NT 20 Leybold 857 20 Pump Controller NT20 SW2.6 Used Tested Working
15400 A.C.T. Kern 15″ Display Monitor LI 1503N-CNDV working
15401 Schaffner Power Filter FN356-25-24 working pair
15402 Nikon NSR LM I/F PCB Board 4S018-214-1 working
15403 Verteq 8045R4-2 Teach Pendant Used Working
15404 Hitachi 3-833468-01 Upper Cover Set of 2 New Surplus
15405 Hitachi Lower ER Covers 3-833469-01 new
15406 AMAT CMP Polisher Kit 0240-06458 new
15407 STEC SEC-7330M Mass Flow Controller 200 CCM SF6 Refurbished
15408 AMAT 300mm Internal Membrane Clamp 0021-17972
15409 MKS Instruments 122AA-00010-B-SP023-87 Baratron Pressure Transducer Used Tested
15410 MKS Instruments 122BA-00100EB-S Baratron Pressure Transducer Used Tested
15411 TEL Tokyo Electron 28214531T1140 200mm Electrode Cover New
15412 MKS Instruments 122A-11063 Baratron Pressure Transducer Used Tested Working
15413 AMAT Slurry/HPR Arm 0040-77267 working
15414 MKS Instruments 127AA-00002E Baratron  Pressure Transducer Used Working
15415 MKS Instruments 122B-11993 Baratron Pressure Transducer Used Tested Working
15416 Nikon NSR-202 Stepper Power Controller RR-002V1.3
15417 Carten Valve 300003-02 Lot G375PC2R LV P625 Body new
15418 Carten Brass Valve 300004-02 Lot G625PC2R new
15419 Carten Brass Valve 300028-02 Lot G500PC2R new
15420 TDK ZAGT2280-M Noise Filter  250VAC lot of 3 working
15421 Nikon NSR S202 RL-LIBHT1 PCB 4S018-473-2 working
15422 Nikon NSR S202 Vacuum PCB WL3PNL2 4S018-058 working
15423 Parker 1396.020 Stepping Motor Drive Digiplan AM5 Used Working
15424 Nikon NSR S202 Light Source Module working
15425 Nikon NSR S202 Wafer Mask Reticle Indexer working
15426 TDK RM 12-12RGB DC Power Supply Nikon NSR Tested Working
15427 AMAT Applied Materials 0030-76002 P5000 Mark II Front Cassette Bezel
15428 AMAT Applied Materials 0030-76002 P5000 Mark II Front Cassette Bezel
15429 AMAT Applied Materials 0100-09175 Interlock Board TEOS P5000 Precision 5000
15430 DIP Inc. CDN396 Bi-Directional Digital I/O PCB Card 15039603 New Surplus
15431 MKS Instruments CDN396R PCB Card AS01396-6-3 New
15432 MKS CDN391R PCB AS01391-22 0190-26786 Used Working
15433 XP Power 10006055 DC Power Supply F7B6J6J6G2 New Surplus
15434 Vicor MegaPAC DC Power Supply MP5-76563 New 1140-00384
15435 Delta Design Model 1210 1666339 Rev R Handler Assy PCB working
15436 Delta Design Model 1210 Handler Assy PCB 1666339 Rev T
15437 Machine Tech. Motor Control III PCB 2217605501 Working
15438 Machine Technology 2217605132 Processor II PCB Used Working
15439 Machine Technology Processor II PCB 2217605133 Working
15440 Mattson Technology Fiber Optic Assy. 263-14789-00
15441 SCP Santa Clara Plastics 3270091G Process Controller SCP 9200 Wet Bench Used
15442 SCP Santa Clara Plastics 3270091G Process Controller SCP 9200 Wet Bench Used
15443 Santa Clara SCP 9200 System Status Module 3270091G
15444 Santa Clara SCP 9200 System Status Module 3270091G
15445 SCP Santa Clara Plastics 3270091G QDR Controller SCP 9200 Wet Bench Used Working
15446 SCP Santa Clara Plastics 3270091G QDR Controller SCP 9200 Wet Bench Used Working
15447 Cincinnati Electrosystems DynaComp 13″ Monitor MB14 working
15448 MDX-052 AE Advanced Energy 3152052-000 Magnetron Remote Interface Used Tested
15449 AMAT Controller Backplane Board 0100-20454 working
15450 PRI Automation ABM-507B-2-S-CE-S293 Wafer Handling Robot Equipe Working Spare
15451 Yaskawa VS mini Drive Controller CIMR-XCAA21P5 working
15452 Eurotherm 425A SRC Controller 40A/240V/220V240 working
15453 AE Advanced Energy 3152189-000H LM-1.25K RF Match Used Working
15454 Siemens Input Module 6ES5 318-8MB12 working
15455 Tylan 2950 Series MFC Lot FC-2950MEP5-4V untested
15456 Cymer Signal Conditioner Board 05-05031-15V Working
15457 Delta Design 1686300-501 Power Distribution Board PCB Rev C
15458 Delta Design Power Distribution Board 1686300-501 Rev D
15459 Delta Design 68008 Bd PCB 1657925-501 Working
15460 SVG Station CPU Board 80166FE-01 Working
15461 SVG Station CPU Board 80166F1-01 Working
15462 TLA Technology, Inc. PCB 519-000 Working
15463 VMIC VMIVME Model 5530S PCB 333-000132-C  Working
15464 Delta Design Push Bar/LCD Control PCB 1669755-501
15465 Delta Design Quad Pressure Sensor Board 1669755-501
15466 Electroglas X Theta Driver Assembly 247228-001 Rev. R Working
15467 Force Computers 300000 CPU PCB Card SYS68K/SASI-1 Lam 17035-1-B-6562347 Used
15468 Siemens Simatic Analog Input 6ES5 464-8ME11 working
15469 Siemens Simatic Relay Output 6ES5 452-8MR11 working
15470 Siemens Simatic Analog Input 6ES5 464-8MC11 working
15471 Siemens Simatic Digital Output 6ES5 453-8MA11 working
15472 Siemens Simatic Digital Output 6ES5 453-8MA11 working
15473 Siemens Simatic Digital Output 6ES5 453-8MA11 working
15474 Siemens I/O Digital Module 6ES5 482-8MA13 working
15475 Siemens I/O Digital Module 6ES5 482-8MA13 working
15476 SMC Pneumatic Cylinder NCDQTB125-UIA970691
15477 MKS Equipment Leakage Current Interrupter 43PWRCORD04
15478 Tokyo Electronics Indust. Flat Panel Monitor TE6036A7 (used)
15479 LAM Research Etcher Wafer Shuttle Assembly 853-012500-001
15480 LAM 4420 Etcher Edge Sensor Assembly 853-012550-002
15481 Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. D Rainbow 4420 Used
15482 LAM 4420 Etcher RF Switch Box 853-017163-001-G-1997
15483 Lam Research 810-17082-001 16 Channel Heat/Cool PCB 710-17082-1 4420 Used
15484 LAM 4420 Etcher Solenoid Tray Assembly 853-013610-001
15485 LAM Research 715-130080-008 200mm End Effector Rev. F Used Working
15486 Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633 Used Working
15487 Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633 Used Working
15488 Ebara ET600W Turbo-Molecular Pump Controller 600W ETC04 PWM-20M Used Working
15489 Ebara Turbo-Molecular Pump Controller 305W working
15490 Olympus MDPlan 150 0.95 IC 150 Objective KLA-Tencor CRS-3000 Used
15491 VAT Atmospheric Door L-VAT 0751-UA24-0002 new
15492 Semicon Precision Industries A-1402 Lower Shield Assembly new
15493 AMAT Network Controller Card 0190-76053 working
15494 Schlumberger TLA DC Motor Driver Assembly 504-000 Working
15495 Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. H Rainbow 4420 Used
15496 LAM 4420 Etcher Operator Panel 852-017500-003-4 working
15497 LAM Research 852-011061-503-E1-231 Lower Chamber 200mm 4420 Etcher
15498 Edwards Vacuum Flash Module D37215020 working
15499 Lam Research Focus Ring 055298 ACE BS33-390 new
15500 Varian Servo Amplifier PBC Board E15000400 Rev. A working
15501 MDC Vacuum Products 306005 Manual Gate Valve LGV-4000G NW100 Used Working
15502 SVG 90S 99-80267-01 Rev. B PCB Shuttle Interface Board Used Working
15503 TEL Tokyo Electron P-8 200mm Wafer Tray Loader Assembly CDQ2A32-75D-F79-XB9 used
15504 SVG 90S PCB Shuttle Interface Board 99-80267-01 Rev. C Working
15505 Applied Materials Pneumatic Valve Assembly 9010-00069 Working
15506 Brooks Automation Gas Module 001-4808-12 Working Genus 7000
15507 IEE Display PDK-004A-0WG Used Working
15508 Logical Design Group Interface Board VME-2232-24 Working 9000-30-011
15509 Brooks Automation Control Module 001-8185-06 Working Genus 7000
15510 Verteq 1600-55A SRD Spin Rinse Dryer Controller Panel Used Working
15511 Verteq SRD Control Module SC1600-5 Working 120V
15512 TEL Tokyo Electron A116007 200mm Back Plane Heater MRC Materials Research New
15513 MRC Eclipse Star 100mm Back Plane Heater A112654 New
15514 MRC A121893 Eclipse Star 100mm Back Plane Heater New Surplus
15515 MRC Eclipse Star SS Relay Assembly A129350 new
15516 Nemic-Lambda HR-12F-36V DC Power Supply Used Working Surplus
15517 MDC Lift Assembly ABLM-133-1 (KLH) Used Working
15518 CoorsTek ADO Regulator Retrofit Kit 0190-07593 new P16-02-01Q J02
15519 ABB SACE Isomax S3 Industrial Circuit Breaker S3B new 3104260
15520 Tescom Pressure Regulator 44-2361-T9-051 new
15521 Tokyo Electron D116700 Rev. B Etch Shield New
15522 Fuji Seiki Inc. BV-4AXO-HF Throttle Valve 100mm working
15523 Fuji Seiki Inc. BV-4AXO-HF Throttle Valve 100mm working
15524 UNIT 8560 MFC Mass Flow Controller UFC-8565 300cc SiH4 new 3030-10585
15525 TEL Tokyo Electron WVG-S2-Y-IBY Water Vapor Generator Cable Set working
15526 ATMI Scrubber Dispenser SP4AAAAD-140304-N New
15527 Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-10542 600cc He New
15528 NOW Technology SR4BBAFB-050309 Smart Probe Press w/ Relief 4L New
15529 Tokyo Electron P-8 QMC3 Board 3281-001184-17 Used Working
15530 Tokyo Electron P-8 GPIB Board TVB3401-1/GPIB 3281-000019-15 Used Working
15531 Unit UFC-1000 MFC SF6 50CC Mass Flow Controller Refurbished
15532 TEL P-8 SIO Board 3281-000014-16 Used Working
15533 MKS Instruments 128AA-00010B Baratron Pressure Transducer Type 128 Used Working
15534 AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Used
15535 Sti OF4172B-2 OptoFence 72″ Light Curtain Reciever Transmitter Set Used Working
15536 Tokyo Electron P-8 PST-STD PCB 3281-000142-12 Used Working
15537 Edwards D37272800 Pump Display Terminal Controller Used Tested Working
15538 Edwards D37272800 Pump Display Terminal Controller Used Tested Working
15539 Edwards Gate Valve NGW414000 Used Working
15540 GSNX Elevator Alignment Tool 73-0068 Used Working
15541 Thermalogic 06-49879-01 PCB Control Board RA2011-11 SVG 90S DUV Used Working
15542 SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. D Used
15543 SVG 90S Fluid Temperature Station CPU Board 99-80266-01 Rev. J Used Working
15544 Microvision Prealigner MVT3080 Used Working
15545 Sharp Microvision LCD Panel LM64C35P 7080 Used Working
15546 MicroVision MVT4080 Wafer Aligner Used Working
15547 Microvision MVT7080 Computer MVTPC70 Used Working
15548 Eurotherm Temperature Controller U30003813 Lot of 2 Used Working
15549 Edwards A52844413 im Pump Interface Module Used Working
15550 Edwards A52844413 im Pump Interface Module Used Working
15551 Tokyo Electron P-8 PST-OPT PCB 3281-000129-11 Used Working
15552 TEL Tokyo Electron 3281-000080-11 I/O PCB TVB9003-1/316 Used Working
15553 Edwards D37215000 Vacuum Flash Module Lot of 2 Used Working
15554 KLA-Tencor TEL P-8 DP Video Board 710-806051-01 Rev. AO 3281-000051-11 Used
15555 Compumotor Opti-Probe 2600B Indexer Motor Adapter PC-23 Used Working
15556 Therma-Wave Opti-Probe 2600B Lens Block Assembly 18-011089 Rev. B Used Working
15557 Therma-Wave Opti-Probe 2600B Lens Assembly 18-009253 Rev. B Used Working
15558 Therma-Wave Opti-Probe 2600B 18-010493 Lens Block Lot of 5 Used Working
15559 Kokusai CX1209P Vertical Diffusion Furnace Cassette Loader Panel working
15560 Kokusai CX1209P Vertical Diffusion Furnace Cassette Loader Panel working
15561 Kokusai VDF Vertron Exhaust Monitor D12549 Used Working
15562 Kokusai Electric DN-130P(SA) Heater Over Temp Protection Unit Vertron Working
15563 Kokusai Vertron Accuron Digital Controller CW1501A(01) Used Working
15564 Kokusai VDF Vertron Controller CX1307 Used Working
15565 Kokusai VDF Vertron Controller CX1307 Used Working
15566 Square D Vertron Circuit Breaker KAL262001127 Lot of 4 Used Working
15567 Pureron Kokusai Vertron Flow Meter DFM2000 Used Working
15568 Kokusai Vertron Exhaust Controller CX1204 Used Working
15569 Kokusai Vertron Driver Board PLMDRV4/A0 D1E01296 Used Working
15570 Kokusai Vertron Control Board DIOA DIE01281 Used Working
15571 Kokusai Vertron D1E01300B Control Board SIOB/A2 Used Working
15572 Kokusai Furnace CPU Board DIE01294A KBCPU9/A1 Used Working
15573 Kokusai Vertron Backplane PCB CX00BBA/O DIE01324 Used Working
15574 Kokusai Accuron CQ1501A Digital Direct Controller Vertron Used Working
15575 Kokusai Accuron CQ1501A Digital Direct Controller Vertron Used Working
15576 Kokusai Vertron Power Module CX1103M Used Working
15577 Kokusai Vertron SCOM1A A/2 Com PCB D1E01221B working
15578 Kokusai Vertron Control Board DIE01277B MC16/A2 MSUB16/A1 Used Working
15579 Kokusai Vertron Power PCB PFS/A1 D2E01080A Used Working
15580 TDK TRM021GB DC Power Supply working Kokusai Vertron
15581 KLA Instruments 710-806050-01 Video Interface PCB TEL Tokyo Electron P-8 Used
15582 Acumen 10019 Vision Card Rev. E PCB TEL Tokyo Electron P-8 Used Working
15583 Motorola TEL P-8 Control Board MVME 147-023 3286-000516-12 Used Working
15584 Electroglas 248228-002 QIK LDR/WFR Sensor I/F Board PCB Rev. N 4085X Working
15585 Electroglas 248228-002 QIK LDR/WFR Sensor I/F Board PCB Rev. N 4085X Working
15586 Electroglas 254921-001 Prealign Module Interface Board PCB Rev. B Used Working
15587 Elctroglas Lens Illuminator Assembly 255337-001 Rev. A Used Working
15588 Electroglas 200mm Prealigner Assembly 4085X Used Working
15589 Electroglas 250957-001 Inspection Camera Module 250959-001 200mm 4085X Working
15590 Electroglas 250957-001 Inspection Camera Module 250959-001 200mm 4085X Working
15591 Electroglas 4085X 247012-001 Rev. E Drive Shaft Assembly Used Working
15592 Electroglas Remote Microscope Control 4085X Used Working
15593 Electroglas 248057-001 A End Effector Assembly Used Working
15594 Exynetics-Electroglas Display Control Module DCM3 Used Working
15595 TEL Tokyo Electron 3208-000141-12 PCB Indexer Base 97 3281-000141-12 P-8 Used
15596 TEL Tokyo Electron 3281-000090-13 INKR DRV/SACC Interconnect 3208-000090-12 Used
15597 TEL Tokyo Electron 3208-000091-11 PCB AIR/HF Interconnect 3281-000091-11 Used
15598 TEL Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used
15599 TEL Sony P-8 Camera Module M8C37404-1 XC-75 Used Working
15600 Tokyo Electron P-8 Control PCB 3281-000138-12 Used Working
15601 Sanyo Denki PMM-BD-5705-1 Motor Driver PCB Lot of 2 Used Working
15602 KLA-Tencor 0040615-002 LCD ROW COL Driver Right Lens Rev. AC AIT Fusion UV Used
15603 KLA-Tencor 0040615-002 LCD ROW COL Driver Right Lens Rev. AC AIT Fusion UV Used
15604 KLA-Tencor 0033116-001 Mirror and Lens Assembly 0033233-001 AIT Fusion Used
15605 Telemecanique ATV18U29M2 1.5kW 2HP Inverter Square D ALTIVAR 18 Used Working
15606 Motorola 01-W3866B54B SBC Single Board Computer Card MVME 162-262 Used Working
15607 Asyst Technologies 06763-005 48V Control Board PCB ABX-66242-09-00 Used Working
15608 GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. J A89-013-01 As-Is
15609 GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. H A89-013-01 Used
15610 GaSonics A95-108-02-RE-E LED and Interface Control Panel PCB A89-013-01 As-Is
15611 GaSonics A95-107-01 LED and Interface Control Panel Rev. J Used Working
15612 Edwards NGW415000 Pneumatic Gate Valve Copper Exposed Damaged Connector As-Is
15613 Contec DAI12-8C(PC) Isolated 8 Channel Digital Analog PCB Card 9867C Used
15614 Contec ADI12-8CL(PC) Isolated 8 Channel Analog to Digital PCB Card 9858B Used
15615 Contec BUS-PAC(PC)E ISA Bus Expansion Board PCB Card 7024F Used Working
15616 Contec COM-2(PC)F Communication Board PCB Card 7065 Used Working
15617 Acrosser Technologies AR-MB11 Backplane Board PCB VER:2.1 Used Working
15618 Omron C200H-PRO27-E Hand Held PLC Programming Console PRO27 Used Working
15619 Hitachi CR-712T-AC Wafer Transfer Clean Robot Sanki Technos Used Working
15620 Hitachi CR-712T Series Wafer Transfer Clean Robot Sanki Missing Sensors As-Is
15621 Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used
15622 Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used
15623 Hitachi CR-712VC Wafer Transfer Clean Robot Sanki Technos Used Working
15624 TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm Damaged As-Is
15625 TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm Damaged As-Is
15626 TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12-300 300mm Used Working
15627 TEL Tokyo Electron IRA Interface Robotics Arm ACT12 300mm No Pincette Used
15628 TEL Tokyo Electron IRA Interface Robotics Arm ACT12 300mm No Pincette Used
15629 Sanyo Denki 103F7851-70XE44 Stepping Motor StepSyn Reseller Lot of 5 Used
15630 DNS Dainippon Screen PC-02032C-0123 SIF Interface VMEbus Card PCB SIF-A Used
15631 Advanet Advme1522A Fiber Optic Interface VMEbus Card PCB Advme 1522A Used
15632 SRC R14870 VME Systembus 20-Slot Backplane Board PCB Used Working
15633 SRC SVB-03E VME Systembus 32 Bit IO Bus Expansion Back Board PCB Used Working
15634 Ramix PMC422/423 Timer and Interface Board Omron H3FA-SA Used Working
15635 A-B Allen Bradley 96844675 PLC-5/12 Processor Module PLC PCB Card 1785-LT3 Used
15636 A-B Allen Bradley 96844671 PLC-5/12 Processor Module PLC PCB Card 1785-LT3 Used
15637 A-B Allen Bradley 96133673 High True Input Module PLC PCB Card 1771-IBN/B Used
15638 A-B Allen Bradley 1771-IBN/B 10-30 VDC High True Input Module PLC PCB Card Used
15639 A-B Allen Bradley 1771-IBN 10 To 30 VDC High True Input Module PLC PCB Card Used
15640 A-B Allen Bradley 1771-OBN 10 To 30 VDC High True Input Module PLC PCB Card Used
15641 A-B Allen Bradley 1771-OFE2 B Analog Output 12 Bit Module PLC PCB Card Used
15642 A-B Allen Bradley 1771-IFE A Analog Intput 12 Bit Module PLC PCB Card Used
15643 A-B Allen Bradley 960203 CFI Backplane Board PCB 96066522 Used Working
15644 Nikon HFE S58 Power Supply Module MCC-847-03 NSR-S307E Used Working
15645 Panasonic MSMA022S2F AC Servo Motor TEL Tokyo Electron Lithius Used Working
15646 Omron 3G8B2-NI000 Interface PCB Card TEL Tokyo Electron 3286-002065-11 P-8 Used
15647 TEL Tokyo Electron 3281-000086-14 PCB Loader Cont Mother 3208-000086-12 P-8 Used
15648 TEL Tokyo Electron 3281-000095-13 PCB PST OPT Card 3208-000095-11 Used Working
15649 KLA Instruments 6001755-03 DP Video PCB Card TEL 3281-000051-11 P-8 Used Working
15650 KLA Instruments 710-806050-01 IP Video PCB Card TEL 3281-000050-11 P-8 Used
15651 Acumen 200-1019 Camera VMEVC PCB Card 10019 TEL 3281-000124-11 P-8 Used Working
15652 TEL Tokyo Electron 3281-000013-19 Hard Drive PCB Card TVB0004-1/147CON P-8 Used
15653 TEL Tokyo Electron 3281-000094-11 STAGE IO MOTHER Backplane PCB Used Working
15654 Schroff 23000-207 VME 7-Slot I-O Bus Backplane PCB TEL Tokyo Electron P-8 Used
15655 Schroff 23000-020 VME Systembus 20-Slot Backplane PCB TEL P-8 Used Working
15656 CCS PD-3024-2 (CE) 2-Channel LED Light Controller Used Working
15657 AMAT Applied Materials 0010-26777 300mm Target Gravity Scale Lock Left Assembly
15658 Asahi 130NK 3-2A AVIS2 ERG AMP Nikon 4S013-374 4S587-011-1 Used Working
15659 Premium NP-0588 AC/DC Power Supply Card ASML 4022.471.84293 Used Working
15660 Coherent 0169-628-04A Laser Emission Control Module 170C Innova Used Working
15661 Varian L6281701 Pneumatic Angle Valve NW-16-A/0 L6281-701 Lot of 3 Used Working
15662 CKD USG3-X0693 Vacuum Valve Hitachi S-9300 CD SEM Used Working
15663 OEM-650A ENI OEM-6A-11491-51 Solid State Power Generator Not Working As-Is
15664 National Instruments 196848A-01 PXI Controller PXI-1031 OEM 1923725001 Used
15665 Novellus Systems 15-142376-00 Wafer Align Viewport L/LK VCTR New Surplus
15666 AMAT Applied Materials 0041-26196 Gasbox PVD Chamber 300mm Base new
15667 Nova Measuring Instruments 210-40572-01 Main Center Board PCB Used Working
15668 Protech 960560-G4B SBC Single Board Computer PCB Card P5/6×86 SBC Used Working
15669 Imagenation CX100-10 Frame Grabber ISA Card PCB NovaScan 840 Used Working
15670 Nova Measuring Instruments 210-40530-00 DAB Card PCB NovaScan 840 Used Working
15671 A.C.S Electronics SB214PC-E Controller Board PCB Card P.S.-6 NovaScan 840 Used
15672 Nova Measuring Instruments 210-47024-01 Interconnection PCB NovaScan 840 Used
15673 Advantech 190261060 PC-BUS Backplane Board PCB Advantech NovaScan 840 Used
15674 Edwards B65354000 Pneumatic Gate Valve GVI100P GVI 100P Used Working
15675 TMC Micro-g Gimbal Piston Isolators Set of 3 14″x8.25″ Used Working
15676 AMAT Applied Materials 0100-00360 H.V/A.MAG Motherboard Backplane PCB Used
15677 AMAT Applied Materials 0100-00361 H.V/A.MAG Motherboard Backplane PCB Used
15678 AMAT Applied Materials 0100-90588 H1 B/L Vacuum Control Motherboard PCB Used
15679 AMAT Applied Materials 0100-00305 Target System Vacuum Motherboard PCB Used
15680 AMAT Applied Materials 0100-94012 Plasma F-Gun Control Motherboard PCB Used
15681 Tosoh Quartz 70290-01 Manipulator Assembly New Surplus
15682 AMAT Applied Materials 0040-41846 Membrane Support Fixture 300mm Titan Head New
15683 Futaba DB9409-001 Junction Box 2S067-013 HPS-FB6 Nikon 4S061-844 Used Working
15684 AMAT Applied Materials 0242-29293 Chamber to Mainframe Mounting Bracket Kit new
15685 AMAT Applied Materials 0242-29293 Chamber to Mainframe Mounting Bracket Kit new
15686 iGX600L Edwards A54630958 Dry Vacuum Pump IGX 600 L Factory Refurbished
15687 Komatsu 20001940 Controller MCU-04TM TEL Lithius Interface Block IRAM Used
15688 Komatsu 20001940 Controller MCU-04TM TEL Lithius Interface Block IRAM Used
15689 SMC INR-244-272A Power Supply 2TP-0C203 TEL Tokyo Electron Lithius Used
15690 Yaskawa XU-DV0704Z Linear Motor Controller 4S586-561-4 Nikon NSR-S204B Used
15691 Yaskawa SGMAS-12ABA-TE12 AC Servo Motor TEL 5085-407038-11 PRA Lithius Used
15692 Yaskawa SGMAS-12ABA-TE12 AC Servo Motor TEL 5085-407038-11 PRA Lithius Used
15693 Krone KS-3000-500Pa-V1 Differential Pressure Manometer Reseller Lot of 8 Used
15694 Aerotech 1035-01-1000-01 Magnet Servo Motor 1035DC/MO/E1000MB Working
15695 Yaskawa SGMAS-A5ABA-TE12 AC Servo Motor TEL Tokyo Electron Lithius Used Working
15696 TEL Tokyo Electron OYDK-101 IO CHEM #02 PCB Board OYDK-062 Lithius Used Working
15697 TEL Tokyo Electron OYDK-052 ANALOG BOARD 0-1 #02 PCB Lithius Used Working
15698 TEL Tokyo Electron HTE-0V5-A-11 HP #01 HTE-0C3-C-13 PCB Board Lithius Used
15699 TEL Tokyo Electron HA-030 DC/DC CONV #07 Board PCB Lithius Used Working
15700 Omron G9SC-140-TE3 RY MTR #02 PCB Board TEL Lithius Used Working
15701 Omron G9SC-140-TE3 RY MTR #02 PCB Board TEL Lithius Used Working
15702 Omron G9SC-140-TE2 RY SPIN MTR #02 PCB Board TEL Lithius Used Working
15703 TEL Tokyo Electron HA-015 RY CHEM #02 Board PCB Lithius Used Working
15704 TEL Tokyo Electron HA-028 JCT DC #02 Board PCB Lithius Used Working
15705 Sanyo Denki PY2B050C62S8P01 Servo Amplifier BL Super PY TEL Lithius Used Working
15706 Sanyo Denki PM-UDP1S06-20 PM Driver TEL Tokyo Electron Lithius Used Working
15707 Yaskawa Electric SGDM-10ADAY751 Servo Driver SERVOPACK TEL Lithius Used Working
15708 Harmonic Drive Systems 9800033901 Servo Drive HA-655–2-200 TEL Lithius Used
15709 Sinfonia Technology SCE92100137 Wafer Robot TEL Tokyo Electron T-3044SS Used
15710 FEI Company 18572-H AVA Controller Module 18098 Used Working
15711 Mitra 9415 031 68301 Power Supply PE3168/30 FEI Company 4022 192 57342 Used
15712 ASML 4022.437.1121 Laser Phase Modulator Box Used Working
15713 Kokusai Electric CQ1400A Digital Direct Controller Accuron CQ-1400A Used Working
15714 Kokusai Electric CQ1500A Digital Direct Controller Accuron CQ-1500A Used Working
15715 Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used
15716 Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used
15717 Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used
15718 Teknic SST-3000-829 Synchronous Servo Drive SST-3000 Delta Design 2100840 Used
15719 Teknic SST-3000-829 Synchronous Servo Drive SST-3000 Delta Design 2100840 Used
15720 Tokkyokiki 2-200 Pneumatic Active Damper Set of 4 Used Working
15721 Asyst Technologies ABX-78355-26-00 48V Control Board PCB 06764-005 Used Working
15722 Ansul 442R Agent Release Alarm Panel Used Working
15723 Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Used Working
15724 SMC INR-244-230V Thermo-Con Power Supply PP 12inch Used Working
15725 SMC KP1210116B Thermo-Con Power Supply PCB PWB0015B Used Working
15726 SMC KP1210115B Thermo-Con Power Supply PCB PWB0014B-1 SPS-001 Used Working
15727 SMC 2TP-4A231 Thermo-Con Power Supply Interface PCB Used Working
15728 J&M Instruments VLP30100SSX N2 Pressure Controller ASML 4022.436.8286 Used
15729 Fuji Electric PPMCFBR2-M AC Power Monitor Type PPM Used Working
15730 TMP Shimadzu TMP-3203LMC-K1 Turbomolecular Pump Untested Damaged As-Is
15731 TEL Tokyo Electron 381-640804-9 LED Display Board PCB Mark-8 Clean Track Used
15732 TEL Tokyo Electron 381-643319-5 Arm Interface Board PCB Mark-8 Clean Track Used
15733 TEL Tokyo Electron AB12C-6029 Mark-8 Star/Stop Panel F3297380(4)A PCB Used
15734 TEL Tokyo Electron 1381-645732-16 OPEPANE CONN Board #2 PCB Mark-8 Used Working
15735 TEL Tokyo Electron 1381-645731-13 I/F SOL CONN Board #2 PCB Mark-8 Used Working
15736 TEL Tokyo Electron 381-642446-1 I/F FPA Connection Board PCB Mark-8 Used
15737 Sansha Electric HKD-1510BT Metal Surface Treatment Power Supply SanRex Used
15738 Nikon RTB01-100 LED Indicator Display Board PCB with Harness Used Working
15739 Lam Research 810-17025-3 RF Generator Cart Breakout Board PCB 710-17025-3 Used
15740 SoftSwitching DS10025A208V1SH1000C Dynamic Sag Corrector MINIDySC Used Working
15741 Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M
15742 Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M
15743 Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M
15744 Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M
15745 ASML 4022.471.4716 Interface Board PCB Module Used Working
15746 AMAT Applied Materials 0010-26776 300mm Target Gravity Safe Lock Right new
15747 ASML 4022.472.2229 Interface Module 4022.471.8019 Used Working
15748 ASML 4022.486.1542 Mechanical Lift Assembly 921AA0.4RIL-Z925 Used Working
15749 ASML 4022.480.3498 Safety Control Interface Module 462121H5U Used Working
15750 ASML 4022.470.9005 RSSB2 Interface Panel PCB 4022.471.7025 Used Working
15751 PULS AP 153.131 F Power Supply PCB Card ASML Used Working
15752 ASML 4022.436.8604 HSSL Fibre Channel Processor Board PCB Card Used Working
15753 ASML 4022.437.1053 2-Channel Processor Board PCB Card Used Working
15754 ASML 4022.471.7503 HSSL Process Control Board PCB Card Used Working
15755 ASML 4022.471.7683 Interface Board PCB Card 4022 471 76821 Used Working
15756 Fuji Electric PPMEFBY2-M AC Power Monitor Type PPME Used Working
15757 ASML 4022.471.7711 System Backplane Board PCB Used Working
15758 Hitachi A008-2 Processor Control Board PCB Card Used Working
15759 Hitachi PM02-1 Processor Control Board PCB Card Used Working
15760 Hitachi MM96-2 Processor Control Board PCB Card Used Working
15761 Hitachi RYB308N-1 Processor Control Board PCB Card Used Working
15762 Hitachi RYBXC-1 Processor Control Board PCB Card Used Working
15763 Hitachi A008-2 Processor Control Board PCB Card M-511E Used Working
15764 Hitachi MBN13-2 Backplane Board PCB M-511E Used Working
15765 Hitachi MBN27-2 Backplane Board PCB M-511E Used Working
15766 ECI Technology LU0610 Hamilton Modular Valve Positioner QLC-5001 Used Working
15767 Measurement Computing CIO-DUAL-AC5 Data Acquisition DAQ PCB Card QLC-5001 Used
15768 ECI Technology TLA7334-02 Interface Board PCB Card QLC-5001 Used Working
15769 ECI Technology TLA-511 Interface Processor Board PCB Card QLC-5001 Used Working
15770 Shinko SCE93-100008-C1 LPCN-2A-1 Interface Board PCB SBX08-000035-11 Used
15771 Kniel System-Electronic CP 24.2,2 24V Power Supply Card ASML 4022.430.14761 Used
15772 Omron C40H-C6DR-DE-V1 Programmable Controller SYSMAC C40H Used Working
15773 Meiden JZ29A-01 Processor Board PCB Card MU24A30756 SU22A31138 B Used Working
15774 Contec PIO-32/32L(PCI) Isolated Digital I/O Board PCB 7097A Used Working
15775 Meiden ZN66Z-10 Backplane PCB Board SU18A30082 MU24A31083 SU22A31797 B Used
15776 CTI-Cryogenics 0190-27355 On-Board P300 Cryopump with 8113192G001 Used Working
15777 DNS Dainippon Screen TANK#1 Trimix Temperature Monitor Process Bath FC-3000 Used
15778 DNS Screen TANK#5 Sulfuric 120C Temperature Monitor Cooling Tank FC-3000 Used
15779 Bede Scientific BEDE\4PH\2CH\V1.1 Processor Board PCB Card Used Working
15780 Arcom Control Systems SC88T Processor Board PCB Card M.E.M 24-09-96 Used Working
15781 DSP Design SP18006240.006 Processor Board PCB Card SP180 Used Working
15782 Kelek Systems KSL-CT8 Processor Board PCB Card SPCT8C 14 640 801 Used Working
15783 Arcom Control Systems SBPL5 Backplane Board PCB Used Working
15784 Meiden RZ42Z USB Digital I/O PCB Card MU24A31092 SU22A31819 Used Working
15785 DNS Dainippon Screen DSLE-0041 24V Processor Board PCB 539-52358 Used Working
15786 Intec Electronic 4022.488.06101 CDV V2.2 THS PCB Card ASML 4022.488.0610 Used
15787 Intec Electronic 4022.488.06101 CDV V2.2 THS PCB Card ASML 4022.488.0610 Used
15788 Arcom Control Systems TLA 551 Thermistor Elecrode Sensor Board PCB RCI-F Used
15789 Vetra Systems 12609-185/1000 Operator Interface Panel ECI QLC-5100 Used Working
15790 ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. B QLC-5100 Used Working
15791 ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. F QLC-5100 Used Working
15792 Hamilton 0162573 PSD/2 Syringe Pump HVX Drive LU0960 ECI QLC-5100 Used Working
15793 Kokusai Electric D2E01448 Interface Board INT-MB PCB Card Used Working
15794 Kokusai Electric D2E01522 Backplane Board INT-CNBA PCB Card Used Working
15795 Kokusai Electric D2E01522 Backplane Board INT-CNBA PCB Card Used Working
15796 Countant Lambda E60744 Power Supply Omega MML600 45JY8 12CY8 Used Working
15797 Lambda CSF250NM 5/12 5/12E Power Supply Sirius H70023 Used Working
15798 Kniel System-Electronic CMP 0810 Power Supply Card ASML 4022.436.48921 Used
15799 SMC INR-244-271A Controller Assembly 4TP-1A860 TEL Tokyo Electron Lithius Used
15800 PMI Motion Technologies KXA-48-8-16/AUX PCB Card 0088060-003 Kollmorgen Used
15801 PMI Motion Technologies KXA-48-8-16/AUX PCB Card 0088060-005 Kollmorgen Used
15802 NSK EMLZ10CF1-05 Servo Drive Motion Controller Used Working
15803 ASML 4022.436.6373 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working
15804 ASML 4022.436.6373 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working
15805 TEL Tokyo Electron FPD BOX Panel PCB 3D81-000058-V2 TYB61S-1/RSC Used Working
15806 TEL Tokyo Electron Water Leak Box Omron K7L-AT50 Used Working
15807 TEL Tokyo Electron Water Leak Box Omron K7L-AT50 Used Working
15808 TEL Tokyo Electron 3D81-000096-V1 Processor PCB Card TYB513-1/IOGS Used Working
15809 TEL Tokyo Electron 3D81-000097-V1 Processor PCB Card TYB514-1/IO48 Used Working
15810 TEL Tokyo Electron 3D81-000039-V2 Interface Board PCB TYB62F-1/PUMP Used Working
15811 TEL Tokyo Electron 3D81-000103-V1 Interface Board PCB TYB62E-1/RF Used Working
15812 TEL Tokyo Electron 3D81-000010-V2 Backplane PCB TYB614-1/RFPD Used Working
15813 Hitachi BBM308N-2 Backplane Interface Board PCB M-511E Used Working
15814 ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2 Used Working
15815 ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2 Used Working
15816 Hitachi CHB308N-2 Backplane Cable Interface Board PCB M-511E Used Working
15817 Toshiba MCC-847-03 Processor Board PCB DM24756002 PC06031-3 Used Working
15818 Toshiba MCC-1310-01 Transformer Relay Board PCB Used Working
15819 Toshiba MCC-1369-02 Power Distribution Board PCB Used Working
15820 Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S307E System Untested As-Is
15821 Nikon 4S018-173 Air Diver 2 Pneumatic Driver Assembly AIRDRV2 Used Working
15822 Nikon KAB11000/1360-0 200mm Wafer Chuck KAB11000/A301-7 OPTISTATION 7 Used
15823 Power-One RPM5H4H4KCS673 Power Supply Dual Module 2500W Used Working
15824 Power-One RPM5A4A4C1CS676 Triple Output Power Supply 2500W Used Working
15825 Power-One RPM5CSCSKCS674 Dual Output Power Supply 2500W Used Working
15826 ASML 4022.436.6374 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working
15827 ASML 4022.436.6374 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working
15828 Power-One RPM5GEDEC1CS669 Triple Output Power Supply 2500W Used Working
15829 AMAT Applied Materials 9090-01168ITL Electrostatic Chuck Power Supply PX32J Used
15830 AMAT Applied Materials 9090-01168ITL Electrostatic Chuck Power Supply PX32J Used
15831 AGM Electronics Direct Current Transmitter TA4000-13 Lot of 7 Used Working
15832 SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB Card AMAT 0190-10156 Endura Used
15833 SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB Card AMAT 0190-10156 Endura Used
15834 AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working
15835 AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working
15836 AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working
15837 Philips Programmable High Resolution Counter Timer c Used Working
15838 Nikon Optistation 7 APW Exhaust Blower 1NB412S77 working
15839 Keyence T2DC1-11349-10303 Heater Tape Unit Controller TF2-31 Used Working
15840 Keyence T2DC1-11349-10303 Heater Tape Unit Controller TF2-31 Used Working
15841 MMPIC Scanner Drive MMPIC-20A-3 Lot of 2 Used Working
15842 Lambda LFS-50-5 Regulated Power Supply Used Working
15843 Lambda LFS-50-5 Regulated Power Supply Used Working
15844 Power-One RPM5C4C4D4LCS677 Triple Output Power Supply 2500W Used Working
15845 Komatsu Electronics ABBBA0011000 Heat Exchanger Power Supply GR-712 Used Working
15846 Hamamatsu H8008 Photomultiplier Tube Used Working
15847 Edwards NGW415000 Pneumatic Gate Valve Used Working
15848 Edwards NGW415000 Pneumatic Gate Valve Used Working
15849 KLA-Tencor 655-774157-000 Imaging Inspection Lens Assembly 2365-UI Used Working
15850 Hitachi CTE11-01 Interconnect Board PCB M-712E Shallow Trench Etcher System Used
15851 KB Electronics 92A61633010000 DC Motor Speed Control VARI-PAK Used Working
15852 KB Electronics KBPI-240D (3736) DC Motor Indexing Control PENTA-DRIVE Used
15853 Copley Controls STP-075-07 Stepper Motor Drive Stepnet Used Working
15854 Copley Controls STP-075-07 Stepper Motor Drive Stepnet Used Working
15855 MKS Instruments 750B11TCD2GG Baratron Pressure Transducer Used Tested Working
15856 Panel-Tec Operator Terminal ST2000 REL Rev. 3.60R Used Working
15857 TEL Tokyo Electron 2981-600316-11 Temperature Control Connection Board PCB Used
15858 CTI-Cryogenics 8186518G003R On-Board IS Controller Rack Mount Panel Used Working
15859 Helix Technology 8186263G001 Power Supply Board PCB HLX CTI-Cryogenics Used
15860 Helix Technology 8186052G001 CPU Processor Board PCB CTI-Cryogenics Used Working
15861 Yaskawa XU-RCM2500T-4 Robot Lot of 2 Nikon KAB11320/201A-4 OPTISTATION 7 As-Is
15862 Yaskawa Electric SGDM-A5ADAY702 Servo Driver SERVOPACK Ver. 31231-1 Used Working
15863 Yaskawa Electric SGDM-A5ADAY702 Servo Driver SERVOPACK Ver. 35942-1 Used Working
15864 Watlow TLME010DDDDDDDD Temperature Monitor TLM-8 Anafaze Lot of 3 Used Working
15865 Teknic SST-1500-XCX Servo Drive SST ServoStepper Used Working
15866 Teknic SST-1500-111 Servo Drive SST ServoStepper Delta Design 2100865 Used
15867 Teknic SST-1500-102 Servo Drive SST ServoStepper Delta Design 2100841 Used
15868 Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100842 Used
15869 Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100842 Used
15870 Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100953 Used
15871 Pall PHD11ANMEH11B PhotoKleen EZD-3 Filter Reseller Lot of 2 New Surplus
15872 MRC Materials Research 885-11-000 Analog Process PCB POS. E Rev. F Eclipse Used
15873 VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working
15874 VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working
15875 Mykrolis QCCYATM01K Filter Catridge QuickChange ATM Chemlock New Surplus
15876 Progressive Technologies A48031SSLV-L Pressure Valve SENTRY 1500 Used Working
15877 Asyst Technologies 06763-805 48V Control Board PCB 06763-705 Used Working
15878 Genmark 95033E0021 GNK Robot Indexer and Chamber ASM Epsilon 3000 Untested As-Is
15879 AceCo R33-171 KITZ SCT IVBL 4″ Right Angle Vacuum Isolation Valve Refurbished
15880 Fuji Electric YD317551CC01 Servo Driver Processor Board PCB Used Working
15881 Surpass DV-5000R Digital Pressure Sensor Monitor Display 500 kPa Lot of 4 Used
15882 Surpass DV-5000R Digital Pressure Sensor Monitor Display 300 kPa Lot of 4 Used
15883 Festo DNC-50-170-PPV Pneumatic Cylinder AMAT Attachment 0020-05210 Lot of 6 Used
15884 Pixelink PX18HB1-L6-XAXC 18″ LCD System Display SVG 879-8179-002 Used Working
15885 Barnant D-1804-3 Motor Masterflex Cole-Parmer Pump Head 70 15-21 Used Working
15886 Rara Electronics IRV500 Dynamic Brake Resistors Reseller Lot of 2 Used Working
15887 DIP Incorporated EH0111(C)-10C Power Supply PCB EH0111 DB-D56-101E Used Working
15888 DIP Incorporated EH0111(C)-12C Power Supply PCB EH0111 DB-D56-101E Used Working
15889 DIP Incorporated EH0111(D)-12C Power Supply PCB EH0111 DB-D56-101E Used Working
15890 TEL Tokyo Electron MPC-T0059A-11 Interface Board PCB IO MTR #03 TOB1059 Used
15891 TEL Tokyo Electron AP9Z-1700B Interface Board PCB IO PIR #01 TKB7110 Used
15892 TEL Tokyo Electron AP9Z-1835B Interface Board PCB Add-On PIR #02 TKB7121 Used
15893 Pacific Scientific R24HENA-HA-EB-NV-00 Brushless Servomotor working
15894 Lambda LFQ-30-1 Regulated Power Supply Used Working
15895 Lambda LFQ-30-1 Regulated Power Supply Used Working
15896 Lambda LFQ-30-1 Regulated Power Supply Used Working
15897 Lambda LFQ-30-1 Regulated Power Supply Used Working
15898 Internix PF810-ASEHT Teach Pendant Profort 810 Hitachi PEC810-03E M-511E Used
15899 Internix PF810-ASEHT Teach Pendant Profort 810 Hitachi PEC810-03E M-511E Used
15900 Panasonic LD-C60 Laser Line Sensor Controller Parallel Interface Sunx Used
15901 Panasonic LD-C60 Laser Line Sensor Controller Parallel Interface Sunx Used
15902 Lambda LFS50-5-43641 Regulated Power Supply Used Working
15903 Lambda LFS50-5-43641 Regulated Power Supply Used Working
15904 Shinko 0TS12B-M2-1 Robot Servo Controller CNT Used Working
15905 Cosel P300E-24 Power Supply Used Working
15906 Cosel P300E-24 Power Supply Used Working
15907 Lambda LDS-P-15 DC Regulated Power Supply Used Working
15908 Lambda LDS-P-15 DC Regulated Power Supply Used Working
15909 Pro-Face HTK270M HMI Touchscreen Graphic Panel Used Working
15910 Omron PLC Module C200H C200H-ID212 C200H-0C22H C200H-NC112 C200H-LK201-V1 Used
15911 Nova 153-00000-01 Wafer Handling Assembly Used Working
15912 SVG 879-8210-001D A3101 Signal Conditioner Board working
15913 VAT 0200X-BA24-AIE2 Pneumatic Slit Valve with Mounting Bracket Used Working
15914 TEL Tokyo Electron AP9Z-2033A Add-On Spin #2 Board TKB7042 PCB Lithius Used
15915 TEL Tokyo Electron 3281-000090-13 INKR DRV/SACC Interconnect 3208-000090-12 Used
15916 Nemic Lambda LWT50H-5FF Open Frame Power Supply Board PCB SCB103B Working Spare
15917 TEL Tokyo Electron 3208-000141-12 PCB Indexer Base 97 3281-000141-12 P-8 Used
15918 Texas Microsystems P54C Greyhound 2 CPU Board PCB 902-F 21487A Used Working
15919 Nikon NSR S204B S-2A5801 Optical Assembly S-EX3L3403 working
15920 Shinko MA-18305 LED Panel Board PCB SW Used Working
15921 Simco 4009180 Ionizing Bar Controller VISion Delta 2100944 Used Working
15922 TeleFrank GmbH 013501-171-27 Load Port Modul Interlock Used Working
15923 TeleFrank GmbH 013501-171-27 Load Port Modul Interlock Used Working
15924 TeleFrank GmbH 013501-177-27 Load Port Modul E84/I Used Working
15925 TeleFrank GmbH 013501-177-27 Load Port Modul E84/I Used Working
15926 TeleFrank GmbH 013501-186-27 Load Port Interface Connector Used Working
15927 SMC 1-Port Pneumatic Manifold TEL Tokyo Electron 3D80-000055-V1 Used Working
15928 MRC Materials Research A119715 Eclipse Star RF Etch Chamber Assembly Untested
15929 Yasunaga YP-20V Air Purge Pump 2985-511354-12 TEL ACT12 Used Working
15930 TEL Tokyo Electron 2986-400745 Precision Chill Plate 850 ACT12 Used Working
15931 TEL Tokyo Electron SHU Shuttle Slider Assembly ACT 12 300mm Used Working
15932 TEL Tokyo Electron Flow Pressure Gauge Panel ACT 12 Clean Track
15933 TEL Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used
15934 TEL Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used
15935 TEL Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used
15936 Daihen ES7 RF Power Generator Used Working
15937 Sanyo Denki 103H3505-30GEJ2 Stepping Motor G10-308 TEL Lithius Used Working
15938 Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator TEL ACT12 Used Working
15939 Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator TEL ACT12 Used Working
15940 Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator TEL ACT12 Used Working
15941 TEL Tokyo Electron 300mm Wafer Transition Station Interface Block Lithius Used
15942 TEL Tokyo Electron HA-033 DC/DC COV #06 Board PCB PHA-033-0 Lithius Used Working
15943 CKD LYX Pressure Valve Transducer Assembly Lot of 2 LYX-0507 LYX-0615 Used
15944 Sanyo Denki 103H5510-70E1 Stepping Motor TEL Tokyo Electron Lithius Used Working
15945 Tokyo Keiso UCUF-06B/ZT Photoresist Pump Assembly TEL Lithius Used Working
15946 CKD PMM20-8BUR-HG-TC Pneumatic Valve Reseller Lot of 4 TEL Lithius Used Working
15947 TEL Tokyo Electron SH5M015T1 Photo-Resist Filter FMVLS F16SS VCO Lithius Used
15948 TEL Tokyo Electron IFBS Wafer Rinse Station Clean Track Lithius Used Working
15949 TEL Tokyo Electron IFBS Wafer Rinse Station Clean Track Lithius Used Working
15950 Yaskawa Electric SGMAH-02A1A4S AC Servo Motor Assembly Used Working
15951 Oriental Motor PH268M-E068 2-Phase Stepping Motor Vexta Used Working
15952 Yaskawa SGMPH-08A1A2C AC Servo Motor Shimpo Gearhead VRFS-PB-S9D-750-YF Used
15953 Matheson SEMI-GAS GSM-468 Gas Safety Monitor Cabinet SGS Halocarbon 14 CF4 Used
15954 Agilent E1708A Remote Dynamic Receiver with Cable 10880-60201 Lot of 2 Used
15955 Sumitomo CNFM1-4095-11 Induction Gearmotor with FA-Coder 48-2500P4-L6-5V Used
15956 Rudolph Technologies A23516BRT0423 Load Port Switch Module Used Working
15957 Edwards W60730000 Pressure Monitor Analog Out 100V 1570 Used Working
15958 Kokusai CB100A SECS Interface with GPNET OPT-23S Fiber Optic Modem Used Working
15959 Irie Koken 1SV25M0 Manual Angle Valve Reseller Lot of 3 Used Working
15960 Baldor BSM80A-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60 Used Working
15961 Baldor BSM80N-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60 Used Working
15962 Schott 20800 Fiber Optic Light Source Nikon 80962-1 Illuminator 80952-0 Used
15963 Schott 20800 Fiber Optic Light Source Nikon 80962-2 Illuminator 80951-0 Used
15964 CyberResearch CYSSR24 24-Channel Relay Board PCB 1781-OB5S Used Working
15965 CyberResearch CYSSR24 24-Channel Relay Board PCB 1781-IB5S Used Working
15966 Allen Integrated Assemblies AP14231 Backplane Connector Board PCB Used Working
15967 Allen Integrated Assemblies AP10204 Main Processor CPU Board PCB Used Working
15968 Allen Integrated Assemblies AP10204 Main Processor CRSD 1037 CPU Board PCB Used
15969 Hitachi UNIT 2 DC Power Supply M-712E Shallow Trench Etcher Used Working
15970 Hitachi UNIT 3 DC Power Supply M-712E Shallow Trench Etcher Used Working
15971 Keithley PIO-SSR-120 Multi-Channel Parallel Digital I/O PCB Card Used Working
15972 Axiomtek SBC8168 SBC Single Board Computer PCB Full Socket 370 CPU Card Used
15973 Axcelis Technologies 544621 8 Port Serial Card PCB 544611 Used Working
15974 Axcelis Technologies 544622 8 Port Serial Card PCB 544612 Used Working
15975 Keithley PIO-SSR-48 Soild State Relay PCB Card PC9532 14305 PIO-SSR-24/48 Used
15976 Eaton 471882 Front/Rear Control Switch PCB Module 471872 Used Working
15977 IEI World 010PCI19S-00-0E1 Industrial Passive Backplane Board PCB PCI-19S Used
15978 SVG Silicon Valley Group 859-9426-007 Wafer Inspection Stage Used Working
15979 Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working
15980 TEL Tokyo Electron 3D08-000063-11 Processor PCB Card Used Working
15981 AMAT Applied Materials 0010-19010 300mm Motorized Lift Assembly 0190-07643 Used
15982 Oriental Motor A5243-042 0.75A 5-Phase Driver Vexta EB4008-2V Used Working
15983 Oriental Motor A5813-042 1.4A 5-Phase Driver Vexta EB4008-2V Used Working
15984 Oriental Motor A5231-44 5-Phase Driver 1.4A Vexta EB4008-2V Used Working
15985 Oriental Motor A6376-44 5-Phase Driver 0.75A Vexta EB4008-2g Used Working
15986 Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007430A 00 Used
15987 Advantest BGD-022241 Processor PCB Card PGD-622241BB 22 Used Working
15988 TDK PSK-144B MAIN Interface PCB Card Assembly PSK145A PANEL Used Working
15989 ASTeX 34-0017-02 Liquid Chemical I/O Smart Controller PCB Card Used Working
15990 ASTeX 34-0153-00 X126 RS232 Remote Control Board PCB Card Used Working
15991 ASTeX Applied Science & Technology 34-0151-00 User Interface Board PCB Card Used
15992 Power Architects 7 4 D2A7 Power Supply PA-1319 Nanometrics 9062-018221 Used
15993 AMAT Applied Materials 9090-00442 Decel PSU Resistor Chassis 9010-01409ITL Used
15994 Hitachi 560-5531 CCD Camera Motor Assembly Hitachi S-9300 CD SEM Used Working
15995 Hitachi Wafer Alignment Unit M-511E Etching System Cut Wires Untested As-Is
15996 Nikon 4S082-748 Cognex Power Supply Module NSR-S204B Step-and-Repeat Used
15997 Edwards C10009049 ISO100 ISO-K Flange Blank-Off MKS SST100760110 Lot of 5 Used
15998 Integrated Power Designs SRW-115-4005 Power Supply Air Products 287-605230 Used
15999 TEL Tokyo Electron HTE-IFA-A-11 IFB Add On Board PCB TAB1300 Lithius Used
16000 TEL Tokyo Electron AP9Z-2033A Board Add-On Spin #02 PCB TKB7043 Lithius Used
16001 Edwards NGW073000 Pneumatic Gate Valve ISO100 Copper Cu Exposed Used Working
16002 TEL Tokyo Electron 5085-404901-11 300mm Wafer Fork 5010-305345-12 Lithius Used
16003 TEL Tokyo Electron 5085-404901-11 300mm Wafer Fork 5010-305346-11 Lithius Used
16004 TEL Tokyo Electron 5085-404901-11 300mm Wafer Fork 5010-305344-11 Lithius Used
16005 Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007430A 01 Used
16006 Advantest BLD-024486 Processor Board PCB Card PLD-624486BB FW: 007171A 00 Used
16007 Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007171A 00 Used
16008 Mercury 10013 AE Advanced Energy R27-256558-00 RF Match 3150273-004 F/R A Used
16009 Brooks Automation 146828 Series 8 Robot Controller CHE Used Working
16010 Brooks Automation 146828 Series 8 Robot Controller CHE Used Working
16011 Oriental Motor DFC1507 5-Phase Stepping Motor Driver Used Working
16012 Motorola 0734000 Processor PCB Card VME 340B 84-W8787B01C Used Working
16013 AMAT Quantum Leap III TPDU Monitor Card 0100-90492
16014 Brooks 151865 Wafer Handling Robot Reliance ATR8 Lam 27-353059-00 Copper Spare
16015 Sinfonia Technology SCE92100137 Dual Arm Wafer Transfer Robot Untested As-Is
16016 Sinfonia Technology SCE92100137 Dual Arm Wafer Transfer Robot Used Working
16017 Crouzet PAM 3 Interface PCB Board MRC Eclipse Star Used Working
16018 Panasonic MSMA022C1S AC Servo Motor Used Working
16019 Yaskawa Electric JRMSP-120XCP96000 Battery Module MEMOCON GL120 Used Working
16020 Force Computers 109872 SBC VMEbus PCB Card SPARC/CPU-50T/256-333-4-2/R4 Used
16021 Yaskawa SGMCS-08DDA-TE12 AC Servo Motor TEL Tokyo Electron Lithius Used Working
16022 Omron V640-HAM11-V2 RFID Amplifier Unit Used Working
16023 Omron V640-HAM11-V2 RFID Amplifier Unit Used Working
16024 Omron V640-HAM11-V2 RFID Amplifier Unit Used Working
16025 Omron V640-HAM11-V2 RFID Amplifier Unit Used Working
16026 AMAT Quantum Leap III Power Distribution Box 9090-00482
16027 iL600N Edwards NRB447945 Dry Vacuum Pump Copper Prepared New Surplus
16028 Dynax K3AX-095 Robot Teach Pendant Hand Held Controller Used Working
16029 Hitachi 1D0-0034 Interface Board PCB A4X24R Used Working
16030 Yaskawa Electric YR-CRJ3-A00 Industrial Robot MOTOMAN Used Working
16031 Aera FCPIDN980C-ABA Pressure Insensitive MFC MGMR AMAT 0190-27879 Used Working
16032 Aera FCPI981CBAXDIDJAA Pressure Insensitive MFC mgmr AMAT 0190-34215 Used
16033 Horiba STEC SEC-Z512MGX Digital Mass Flow Device MFC Z500 10 SLM N2 Used Working
16034 Pall AB2Y0033JBC .3 Micron Filter PROFILE II New Surplus
16035 Pall AB2Y0033JBC .3 Micron Filter PROFILE II New Surplus
16036 Pall ABFG1GP15L3EH11-K7 Filter ULTIKLEEN G2 EXCELLAR ERL New Surplus
16037 Varian 233355097 Pneumatic Vacuum Angle Valve NW-40-A/O Used Working
16038 Hine Design 94-3374 860 Vacuum Arm Assembly RND QTZ 01102-003 Asyst Refurbished
16039 Fusion Semiconductor Systems 092381 UV Probe Meter M150Z with Probe & Cable Used
16040 Asyst 9700-6584-01 Advan Tag RFID Reader ATR-9000 with 9700-6224-01 Sensor Used
16041 AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used
16042 AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used
16043 AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used
16044 AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used
16045 AMAT Applied Materials 0190-24484 Transponder Reader with Sensor 0190-10813 Used
16046 IPUP A100 Alcatel A100L Dry Vacuum Pump AMAT 170 Torr Not Working As-Is
16047 Shinyei THT-B121S3 Humidity & Temperature Transmitter TEL ACT12 Used Working
16048 Daihen RGA-20C-V RF Power Generator TEL Tokyo Electron 3Z39-000002-V1 Used
16049 NRF Technologies NL05S400KT-02X High Voltage RF Power Supply Used Working
16050 Daifuku 7A005751-Q 10.5″ Operator Interface Display Panel F1201 Used Working
16051 AMAT Applied Materials 9090-00859ITL 24VDC Power Supply Used Working
16052 AMAT Applied Materials 9090-00442 PSU Resistor 9010-01409ITL Incomplete Used
16053 Dage Backplane Systems V316-405 10-Slot Backplane Board PCB 071324A AMAT Used
16054 iBT Technologies IB820H Industrial SBC Single Board Computer PCB Socket 478 Used
16055 Portwell PBP-14A7-A Industrial Backplane PCB AMAT Applied Materials Used Working
16056 TEL Tokyo Electron 1B80-002411-11 Temperature Controller Komatsu AIC-7 Used
16057 CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used
16058 CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used
16059 CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used
16060 AMAT Applied Materials 0040-48973 SD Stepper Interface PCB Panel 0130-00537 Used
16061 AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working
16062 Komatsu Electronics 20016470 AIC-7 Temperature Controller AIC-7-12-UC-D As-Is
16063 NDS Surgical Imaging 90X0212-D Touch Screen Monitor CM-X15/AMRMS Used Working
16064 Granville-Phillips 275262 Convection Pirani Vacuum Gauge 275 Used Working
16065 MKS Instruments UHV-25-AKK-ENVN Ultra High Vacuum Angle Valve Used Working
16066 Swagelok SS-4BMG-VCR Metering Bellows Sealed Valve NUPRO Used Working
16067 SBS Technologies cPCI-100-BP IP Carrier Card PCB 91415171 AMAT 0660-01876 Used
16068 Lambda PDC60-300 Power Supply Card PCB HAL-02-1474 Rev. A Used Working
16069 Lambda PDC60-300 Power Supply Card PCB HAL-02-1474 Rev. B Used Working
16070 SST Woodhead AMAT-DNP-CPCI-1 DeviceNet Pro CompactPCI Interface PCB Card Used
16071 SST Woodhead 0190-01155 DeviceNetPro PCB Card AMAT DNP-CPCI-1 490-1437 AMAT Used
16072 DIP 15049105 DeviceNet Card CDN491 PCB AMAT Applied Materials 0660-01879 Used
16073 Hirata HPC-785B LED Load Port Status Display Indicator Board PCB Used Working
16074 Sanyo Denki QS1J03AA0WNA3P01 AC Servo Motor Driver Sanmotion Q Used Working
16075 Sanyo Denki P50B04005JCP00 AC Servo Motor Sanmotion P Used Working
16076 Moxa EDS-316 16-port Unmanaged EtherDevice Switch Used Working
16077 Emerson SDN 10-24-100P Rack Mount 24VDC Power Supply Sola Used Working
16078 Emerson SDN 9-12-100P Rack Mount 12VDC Power Supply Sola Used Working
16079 Evans Components NB-GGL-8C-PT1 Manual Regulator Manifold 3100B150PG088 Used
16080 Evans Components PW-08-STRPS-PTT2-12 Manual Shutoff Manifold 3103G150PG028 Used
16081 Evans Components PW-12-08T2-08GVPS-PT Manual Shutoff Manifold 3103G150PG028 Used
16082 Blancett B110-500-1/2 Turbine Flow Meter Transducer Sensor K-Factor 10843.3 Used
16083 Edwards D37374400 iGateway Pump LON to Ethernet Synergis Module Used Working
16084 Edwards D37902020 6 Pump Tool Interface Box Module Used Working
16085 Edwards D37902010 Frame Interface Box 24V DC 8.4W Module Used Working
16086 Edwards D37902000 Frame Controller 24V DC 1A Module Used Working
16087 AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Used Working
16088 AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used
16089 AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used
16090 AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used
16091 Perkin-Elmer A5610 4KW Control Board PCB 859-8552-005 B Used Working
16092 Hitachi 560-5519 EMO CNT Emergency Off Interface Board PCB Used Working
16093 Hitachi 560-5518 PS RESET Power Supply Board PCB Used Working
16094 Hitachi 569-5524 PS CONT Power Supply Interconnect Board PCB Used Working
16095 HC Power 10A0015-077 MOSFET Switcher Power Supply HC40-C1097 6800146070 Used
16096 Kawasaki 50979-2460L01 Wafer Handling Robot Signal Cable AMAT 0190-10559 Used
16097 Kawasaki 50979-2460L01 Wafer Handling Robot Signal Cable AMAT 0190-10559 Used
16098 Kawasaki 50979-2459L01 Wafer Handling Robot Signal Cable AMAT 0190-10558 Used
16099 Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8′ Used Working
16100 Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8 Foot Dual End Used
16101 Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8 Foot Dual End Used
16102 Synetics Solutions MA-0190-13786-01 Minienvironment Pressure Gauge AMAT Used
16103 DNS Dainippon Screen 2VC45348 Power Supply Module Used Working
16104 Semiconductor Equipment 4460 Hot Shot Sweep Station Missing Parts Untested As-Is
16105 AceCo R33-171 KITZ SCT IVBL 4″ Right Angle Vacuum Isolation Valve Used Working
16106 Hitachi DC Power Supply Unit 1 M-712E Etcher Damaged Case Untested As-Is
16107 MKS Instruments LM505 RGA Residual Gas Analyzer Probe LM10 RF Head Spectra Used
16108 Aera FCPI980CBAXDIDJAA Pressure Insensitive MFC MGMR AMAT 0190-34214 PI-98 Used
16109 Lam Research 15-307276-00 Quartz Window Sola MSR-FSR 633015174 Cu Refurbished
16110 Hitachi 3-843646-01 Ceramic Insulation Ring 233229463 New Surplus
16111 V-Tex 3-850133-^8 Pneumatic Slit Valve Rollcam Copper Cu Exposed Used Working
16112 AceCo S33-2240 300mm Susceptor Pedestal Heater Assembly Copper Cu Used Working
16113 Lam Research 02-376092-00 PED Assembly Machined Finish C3VCTR Used Working
16114 Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working
16115 Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working
16116 Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working
16117 Comet 20033653 RF Match Lam Research 27-382473-00 Used Working
16118 Panasonic MSD3AZA1Y04 X Driver Unit TEL ACT12 Used Working
16119 Intermec PM4C10000300220 Thermal Printer EasyCoder PM4i Lot of 2 Untested As-Is
16120 VAT B90002031 Pneumatic Gate Valve BGV LOTO Edwards Used Working
16121 Edwards NRY1ND523 High Vacuum Adapter ISO63 to ISO100 ISO-K NW25 Used Working
16122 Edwards NRY1ND523 High Vacuum Adapter ISO63 to ISO100 ISO-K NW25 Used Working
16123 V-Tex 3D80-000006-VI Pneumatic Slit Valve Rollcam TEL Tokyo Electron Used
16124 CX-5000S Comdel CX-5000S/13 RF Power Supply 5000W 13.56MHz Used Tested Working
16125 Mitsubishi FX1N-40MR-ES/UL PLC Control Assembly MELSEC FX2N-16EYR FX0N-3A Used
16126 Mitsubishi F930G0T-BWD-E Graphic Operation Terminal MELSEC Used Working
16127 Yaskawa SGDH-75AEY906 Platen Servo Motor Driver SERVOPACK AMAT 0190-08040 Used
16128 Yaskawa SGDH-75AEY906 Platen Servo Motor Driver SERVOPACK AMAT 0190-08040 Used
16129 VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working
16130 VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working
16131 VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working
16132 VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working
16133 VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working
16134 Ulvac PST-05A Standard Diode Ion Pump UlvIon Used Working
16135 Daifuku CEL-M10A Industrial Computer PC Drive MEDA0055601A Untested As-Is
16136 Millipore INGEN1PUO Photoresist Dispense System IntelliGen Used Working
16137 Asyst 03365-008 200mm Wafer Indexer Lift Assembly Nikon OPTISTATION 3 Used
16138 MDX 5kW AE Advanced Energy 2194-022-J Magnetron Drive 3152194-022 Tested As-Is
16139 Yaskawa Electric XU-RSM0220 Dual Arm Wafer Handling Robot Ebara EPO-222T Used
16140 Yaskawa Electric XU-RSM0220 Dual Arm Wafer Handling Robot Ebara EPO-222T As-Is
16141 Yaskawa USAHEM-02-TE62 Spin Motor Assembly TEL Tokyo Electron ACT12 Used Working
16142 TEL Tokyo Electron 2910-205212-11 12″ Wafer Chuck ACT12-300 Used Working
16143 DIP Incorporated EH0107(C) Power Supply Board PCB DB-D38-101D Used Working
16144 TMP Shimadzu FTI-2301D (T1)-D3R Turbomolecular Controller Used Tested Working
16145 Asyst Technologies 9700-9129-01 300mm Wafer Load Port IsoPort Incomplete As-Is
16146 Leica E84-BOARD Interface PCB Module KLA-Tencor 11301397220000 Used Working
16147 Leutron Vision PP-X-CL-S/64/PoCL PicPort Camera Link Grabber PCB Card Used
16148 Leutron Vision PP-X-CL-S/64/PoCL PicPort Camera Link Grabber PCB Card Used
16149 Comtrol 9370-003193 Surge Interface RocketPort KLA-Tencor 113014000130000 Used
16150 Hommel Werke UNILINE-2048 Scan Camera Jenoptik KLA-Tencor 11301396014000 Used
16151 IDE 3000561 003 FSS Digital I/O Com Module KLA-Tencor 110206160810001 Used
16152 Setra 2671MR6LD2ED9GN Pressure Sensor Lot of 2 KLA-Tencor 11301397562000 Used
16153 KLA-Tencor 11301400065000 Main/Chuck Vacuum Control Module Used Working
16154 KLA-Tencor 11301400050000 Camera Flash Unit 11 301 400 050 000 Used Working
16155 Adder ADDERView GEM 4-Port KVM KLA-Tencor 11301400290000 Used Working
16156 KLA-Tencor 11301400036000 Camera Power Supply Unit 11 301 400 036 000 Used
16157 Perkin-Elmer MVS-9012-05 Fiber Optic X-Strobe Source KLA 11301400191000 Used
16158 KLA-Tencor 11020616020105 AL Lens Lighting Retrofit Kit Used Working
16159 Schott A20800.2 Fiber Optic Illuminator KLA-Tencor 11301396041000 Untested As-Is
16160 IDE 7401030 001 FSS Side/Rear Access Version KLA-Tencor 11020616081000 Used
16161 KLA-Tencor 11020616027030 EBR Lens with Slit Cover Assembly Used Working
16162 Schott A20800.2/20 Illuminator DCR III A05853 KLA-Tencor 11301396041000 Used
16163 Polytec BVS-II-Plus Wontan Flash Stroboscope KLA-Tencor 11301400195000 Used
16164 Polytec BVS-II-Plus Wontan Flash Stroboscope KLA-Tencor 11301400190000 Used
16165 Schott A08903 Fiber Optic Illuminator Lightline Cylindrical Lens Used Working
16166 Schott A08020.60 Fiber Optic Single Flexible Lightline 60″ Used Working
16167 Schott A08031.40 Fiber Optic Single Flexible Lightline 40″ Used Working
16168 ITK Pegasus N-Axis Hi-Res Positioning Controller KLA-Tencor 11301400543000 Used
16169 Schott A08031.40R Fiber Optic Single Flexible Lightline 40″ Used Working
16170 Aera FCPIR981C4VX9THA Pressure Insensitive MFC MGMR Lam 797-106426-011 Used
16171 KLA-Tencor 11301400430000 NG Power Supply Unit 11 301 400 430 000 Used
16172 KLA-Tencor 11301400403000 System Controller Macro Computer PC Used Working
16173 Brooks Automation 129973 Series 8 Robot Controller Used Working
16174 TEL Tokyo Electron 2987-455715-W1 CRA X Cassette Block Robotics Arm 200mm Used
16175 Novellus Systems 02-169180-02 Linear Track Copper Cu Exposed Used Working
16176 SMC US13394 Slit Valve Pneumatic Cylinder 3020-00077 AMAT 0010-25625 Refurbished
16177 Asyst 9700-6584-01 Advan Tag RFID Reader Set PB 90M 9700-6224-02 Sensor Used
16178 Asyst Technologies CAN Device Advan Tag RFID Reader Used Working
16179 Vaisala DMT347 Dewpoint & Temperature Transmitter Nikon 4S066-914 Used Working
16180 Ion Systems 280 CPM Charged Plate Monitor MKS Instruments Used Working
16181 ION Systems TT1ER4-1-ION2 Handheld Controller Pendant 111360 Brooks Used
16182 TEL Tokyo Electron 2L81-050032-V2 Processor Board PCB TAB113-1/I01-LF Used
16183 VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve Damaged Untested As-Is
16184 Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 400 SCCM SF6 Refurbished
16185 MKS Instruments 103250028 Pirani Vacuum 325 MODUCELL Hitachi 2-815886-01 New
16186 Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 3 SLM Ar New Surplus
16187 Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 3 SLM Ar New Surplus
16188 Panasonic MSMA3AZA1N Compact AC Servo Motor New Surplus
16189 ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. A QLC-5100 Used Working
16190 Greene Tweed 5641A2276SS629 Slit Valve Door Plate Used Working
16191 Yaskawa Electric JANCD-NBB30-E Backplane PCB Board F352773-1 NXC100 Used Working
16192 Yaskawa Electric SGDR-AXC01B-E Robot Controller PCB Card NXC100 Used Working
16193 Yaskawa Electric SGDR-SDCCA01 Robot Controller PCB Card F352768-1 NXC100 Used
16194 Yaskawa Electric JANCD-NIO30-1-E Robot Controller PCB Card F352760-1 NXC100 Used
16195 Yaskawa Electric JANCD-NIF30-1-E Robot Controller PCB Card F352759-1 NXC100 Used
16196 Yaskawa Electric JANCD-NTU30-E Robot Controller PCB Card F352761-1 NXC100 Used
16197 Yaskawa Electric SGDR-COBCA01AB-E Robot Controller PCB F352766-1 NXC100 Used
16198 Yaskawa Electric SGDR-COBCB030GA​B-E Power Supply PCB Card F352801-1 NXC100 Used
16199 Yaskawa Electric JANCD-NSP30-E Battery Backup PCB Board F352769-1 NXC100 Used
16200 Fuji Electric CSP-320FB-E Power Supply Yaskawa NXC100 Used Working
16201 Asyst Technologies Load Port Door Assembly PCB 3200-1251-01 Used Working
16202 Kyoto Denkiki KDS-30350SFX High Voltage Power Supply KDS-30350SF Used Working
16203 Kyoto Denkiki KDS-20170TW High Voltage Dual Output Power Supply Used Working
16204 KoMiCo Technology 633000021 CU LH HHP SLAM Cover 633007480 Copper Refurbished
16205 Millipore W2501PH02 Photoresist Pump SVG 90S Used Working
16206 Horiba STEC SEC-Z512MGX Mass Flow Controller MFC 200 CCM H-CHF3 Refurbished
16207 Seiko Seiki P9-HF Power Module CON2 Inverter SCU-H1000C Used Working
16208 Seiko Seiki P019Y—Z856-3RB Relay Interface PCB SCU-H1000C Used Working
16209 Seiko Seiki P019Z—N331-3R1 Signal Relay PCB SCU-H1000C Used Working
16210 Seiko Seiki P005Y008Z861-3 AI Resistor Board PCB H600 SCU-H1000C Used Working
16211 Seiko Seiki P005Y008Z865-3A2 Multiplier Board PCB H600 SCU-H1000C Used Working
16212 Seiko Seiki P005Y008Z895-3 Power Supply Board PCB SR2 SCU-H1000C Used Working
16213 Seiko Seiki P005Y008Z891-3 Power Supply Board PCB SR1 SCU-H1000C Used Working
16214 Seiko Seiki P005Y008Z841-3MM Backplane PCB SCU-H1000C Used Working
16215 Seiko Seiki P017Z—P031-4 NF Noise Filter PCB SCU-H1000C Used Working
16216 Seiko Seiki P005Y008Z881-3D2 Capacitor Board PCB SCU-H1000C Used Working
16217 Seiko Seiki P005Y008Z871-3D1 Capacitor Board PCB SCU-H1000C Used Working
16218 Seiko Seiki P019Y—Z811-3M2 H600 Control PCB Card SCU-H1000C Used Working
16219 Seiko Seiki P019Y—Z801-3M1 H600 Control PCB Card SCU-H1000C Used Working
16220 Seiko Seiki P005Y008Z831-3S1 H600 Control PCB Card SCU-H1000C Used Working
16221 Kokusai Electric D2E01448 #1 TIME/PLD Processor Board INT-MB PCB Card Used
16222 Kokusai Electric D2E01448 #2 TIME/PLD Processor Board INT-MB PCB Card Used
16223 Kokusai Electric D2E01522 Interface Display Board INT-CNBA Used Working
16224 Seiko Seiki P010Y-001Z851-3 1B LED Indicator PCB SCU-H1000C Used Working
16225 CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6 Used Working
16226 CKD EHS-3000S-B-265-95-LF-FL451638 Brush Cylinder Assembly 3/6 Used Working
16227 ION Systems 5285e-28 AeroBar Emitter Ionizer 28″ NilStat 5285(e) Used Working
16228 Nor-Cal Products 3870-02019 Pneumatic Angle Isolation Valve NW25 Used Working
16229 CGI Motion 017PLX0200-XX-5942X Planetary Angle Gearhead 20:1 New Surplus
16230 Novellus Systems 2-288189-00 300mm Lift Pin Actuator Assembly Used Working
16231 Hine Design 860 Vacuum Arm Assembly No End Effector GaSonics A-2000LL Used
16232 Brooks 6-0002-0706-SP Robot WTM511-2-FWS02-​V1-CU w/End Effector 0190-08246 Used
16233 Brooks 6-0002-0706-SP Robot WTM-511-2-FWS02-​V1-CU End Effector 0190-08246 Used
16234 Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02-​V1 w/End Effector 0190-08245 Used
16235 VAT 07512-UA24-0001 Pneumatic Atmospheric Door Actuator Slit Valve Copper Used
16236 TEL Tokyo Electron Wafer Indexer Robot 300mm Immersion System Incomplete As-Is
16237 SMC HRZ010-WS-Z Thermo Chiller Series HRZ Cosmetic Damage Used Tested Working
16238 AMAT Applied Materials 0021-78095 Reflexion Z2 SMC Regulator Assembly Cu Used
16239 AMAT Applied Materials 0021-78095 Reflexion Z3/(MM) Regulator Assembly Cu Used
16240 AMAT Applied Materials 0021-78097 Reflexion RR SMC Regulator Assembly Cu Used
16241 AMAT Applied Materials 0021-78095 Reflexion Z1/(IT) Regulator Assembly Cu Used
16242 AMAT Applied Materials 0021-78095 Manifold with SMC ITV2001-31N3N4-X95 Cu Used
16243 AMAT Applied Materials 0021-78097 Manifold with SMC ITV2031-31N3N4-X97 Cu Used
16244 AMAT Applied Materials 0021-78095 Manifold 2 Serias Valve UPA Assembly Cu Used
16245 AMAT Applied Materials 0021-78097 Manifold 1 Valve UPA Assembly Copper Used
16246 Air Products 2262071 Stainless Steel Flex Hose Pig Tail Spool 108″ Refurbished
16247 Sensor Technics SQ01566 Pressure Sensor AMAT 0090-00961 Z3/(MM) PT-42 Used
16248 Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00961 Z3/(MM) PT-42 Used
16249 Sensor Technics SQ01538 Pressure Sensor AMAT 0090-00960 RR PT-44 Used Working
16250 Sensor Technics SQ01567 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working
16251 VAT 88773-R1 650 Series Pendulum Gate Valve Motor Driver Board 88 791 PCB Used
16252 VAT TECON 090-001.3 650 Series Gate Valve Heater Controller and Elements Used
16253 AMAT Applied Materials 0021-78095 Z1/(IT) ITV2001-312N4-DIQ00076 Cu Refurbished
16254 AMAT Applied Materials 0021-78095 Z1/(IT) ITV2001-31N3N4-X95 Copper Refurbished
16255 Hitachi 3-855544-02 Stainless Steel V1 UHF Plate New Surplus
16256 Entegris 2359-003 30 Foot Cable 12 Conductor Cable 12D30 New Surplus
16257 AMAT Applied Materials 0050-62007 Exhaust Purge Line RP300EPI Used Working
16258 Edwards NRY1XA520 High Vacuum Tube Tee ISO80 ISO-K iQDP Used Working
16259 Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working
16260 Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working
16261 Edwards E21909516 iQDP Power Cable 3 Foot iQDP40 iQDP80 Used Working
16262 National Instruments 18971A-01 GPIB Controller Ethernet PCI-8232 PCB Card Used
16263 Credence 97152002-02 Server Interface PCB Card 40152002 Used Working
16264 V-Tex Twinrollcam Slitvalve with Gate Door 13.875″x2.125″ Used Working
16265 SMC HRZ010-WS-Z Thermo Chiller Series HRZ Tested Not Working No Power As-Is
16266 SMC CDQ2F140C-J9092​-XC11 Pneumatic Cylinder Hitachi 3-854491-*A Refurbished
16267 iL600N NL Edwards A53356945XS Dry Vacuum Pump 1 Hour Run Time Refurbished
16268 iL70N Edwards A53355945 Dry Vacuum Pump 1 Hour Copper Cu Exposed Refurbished
16269 iL70N Edwards A533-55-945 Dry Vacuum Pump 1 Hour Copper Cu Exposed Refurbished
16270 iL70N Edwards NRB446945 Dry Vacuum Pump 0 Hour Copper Cu Exposed Tested As-Is
16271 Edwards C31305000 Manual Isolation Vacuum Valve PV25MKS Copper Cu Exposed Used
16272 DIP Incorporated EH0107B Power Supply Board PCB DB-D38-101B DFS607TD1A Used
16273 Digital Electronics UF7811-2-DV1-24V LCD Touch Screen Display Copper Cu Used
16274 Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A 810-17031 Used Working
16275 Celerity FC-2979MEP5X-WM Mass Flow Controller 200 SCCM C4F6 TN2979 Refurbished
16276 Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 01 810-17031 Used Working
16277 MKS Instruments 252E-14578 Exhaust Valve Controller Type 252 Used Working
16278 Ultrapointe 001002T A-Stop Control Lon Motor Driver PCB 00045 V1.0 CRS-1010 Used
16279 Ultrapointe 000675T Lon Motor Driver Board PCB Rev. 5 KLA-Tencor CRS-1010S Used
16280 Nikon 4S018-765 Driver Interface Board PCB IU-DRV4 NSR Series Used Working
16281 Nikon 4S019-059 Control Interface Board PCB IU-CTRL2 NSR Series Used Working
16282 Nikon 4S008-261 Power Supply Board PCB IU-PWR2-X4P4 H=40mm NSR Series Used
16283 Ultratech Stepper 03-08-00090 944 Servo Board Card PCB Lithius Used Working
16284 Sony XC-55BB Progressive Camera Module 02D Nikon KBB25350 NSR System Used
16285 TEL Tokyo Electron 2981-600410-11 PRA Z Board PCB ACT12-300 Used Working
16286 Panasonic MBDH153ABD01 Inverter Minas-Hyper Used Working
16287 Jikco COX-B8A Alarm Module PSK-144B Nikon 4S587-740-1 NSR System Used Working
16288 Agilent Technologies E1709A Remote High Performance Receiver Used Working
16289 Brooks Automation 148301 Main IV Board PCB 173277 Used Working
16290 Brooks Automation 148285 LCD Display IV Board PCB Vision LPM Load Port Spare
16291 Shinko SBX93-100052-11 Interface Board PCB SLPCN3 SBX08-000032-11 Used Working
16292 Tadin TadiGuard Type 006 Computer MRC Eclipse Star
16293 Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used
16294 Agilent 5517DN07 Interferometer Laser 214uW Nikon 4B991-156-1AN NSR-S204B Used
16295 Riken Keiki OS-B11N Oxygen Sensor Nikon NSR-S204B System Used Working
16296 Sony XC-73 CCD Video Camera Module Nikon NSR-S204B Step-and-Repeat Used Working
16297 KLA-Tencor 2365-UI Marathon G580 1/3HP Motor Fan
16298 Marathon Electric DVB-56T17T5305E P G580 1/3HP Motor Fan
16299 Cosel P150E-24-N Power Supply P150E-24 Used Working
16300 Tadin 810002000 TadiSence Remote Sensing System TRS-A02 MRC Eclipse Used Working
16301 KLA-Tencor 2365 EMO Control Module 710-734976-001
16302 Shinko 3CL511A010000 Power Supply Board PCB NBC-C Asyst VHT5-1-1 Used Working
16303 Kokusai Electric CX1103 Tube Controller Operator Interface CX-2000 Bad Screen
16304 Kokusai Electric CX1103 Tube Controller CX-2000 CX1103C-1 Used Working
16305 Kokusai Electric CX1103 Tube Controller CX-2000 CX1103C-2 Used Working
16306 MRC Materials Research 885-24-000 Interface Board PCB Rev. B Eclipse Star Used
16307 TEL Tokyo Electron 5085-412389-11 Scan Arm L&R DEV ASSY Lithius Used Working
16308 TEL Tokyo Electron 5085-412389-11 Scan Arm L&R DEV ASSY Lithius Used Working
16309 TEL Tokyo Electron 5087-403489-15 Scan Arm-B DEV ASSY Lithius Used Working
16310 TEL Tokyo Electron 5087-403489-15 Scan Arm-B DEV ASSY Lithius Used Working
16311 TEL Tokyo Electron 5085-411385-11 Wafer Spin Chuck Lithius DEV Module Used
16312 Sanyo Denki P20B13100FXSA2 Servo Motor TEL 5086-403316-12 Lithius DEV ASSY Used
16313 Panasonic MFA090HA5NSC Servo Motor Assembly TEL 5086-403306-12 Lithius COT Used
16314 TEL Tokyo Electron 5087-400487-15 Solution Valve Cable CKD AMF-V-X1 Lithius Used
16315 TEL Tokyo Electron 5087-400486-15 Dev.Solution 2 Valve AMF-V-X1 Incomplete Used
16316 PRMS Incorporated 1005001 Macroillumination Lamp & Power Supply Set 1005002 Used
16317 TEL Tokyo Electron AP9E-0858E IO Spin #01 Board PCB TKB7000 Lithius Used Working
16318 Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 Y-Axis Nikon NSR Used
16319 QuantumClean 500228213 Showerhead CVD XI (1270) Refurbished
16320 AMAT Applied Materials 0200-06355 Open Pocket Ceramic Blade Copper Exposed Used
16321 Lam Research 719-003481-872-C Ceramic Plate Used Working
16322 Lam Research 02-287782-00 PED Assembly Machined Finish Cu Exposed Used Working
16323 VAT 02112-AA44-0001 Rectangular Gate Valve MONOVAT Series 02 Used Working
16324 AMAT Applied Materials 0041-32713 Shower Head Used Working
16325 AMAT Applied Materials 0041-32713 Shower Head Used Working
16326 AMAT Applied Materials 0041-32713 Shower Head Used Working
16327 Lam Research 02-287782-00 PED Assembly Machined Finish New
16328 Haldex Hydraulic Reservoir Tank Pump w/ Dayton Industrial Motor Used Working
16329 AION BS910-2 SCL Brush Roller Reseller Lot of 4 New
16330 NxEdge LL Arm End Effector Refurbished
16331 AMAT Applied Materials 0200-08584 Top Pocketed Cover Used Working
16332 VAT 07512-UA24-0002 Slit Valve Used Working
16333 VAT 07512-UA24-0002 Slit Valve Used Working
16334 Asyst Technologies 860 Vacuum Arm with Arm Controller Board PCB 06764001 Used
16335 VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve Copper Untested As-Is
16336 Asyst Technologies Theta Arm Assembly Hine Design Used Working
16337 Genmark Automation GNK Robot Indexer and Chamber 300mm ASM Epsilon 3200 As-Is
16338 SGI Silicon Graphics 030-1241-002 SE Graphics Board PCB Card Rev. H Used Working
16339 SGI Silicon Graphics 030-1241-002 SE Graphics Board PCB Card Rev. E Used Working
16340 Novellus Systems R02-351245-00 Clamshell Drive DP APC Ready Refurbished
16341 ASM Advanced Semiconductor Materials 1025-446-01 Interface Board PCB TYPE4 Used
16342 ASM Advanced Semiconductor Materials 70065-00044A Motor SPGR PCB Card Used
16343 ASM Advanced Semiconductor Materials 70065-00037C Motor DIO PCB Card Used
16344 JAE Japan Aviation Electronics UT3-06NV1RR1DSS14-A PCB ND1066-3801-001 Used
16345 Mitsui Chemicals AMKS-AJ7A Pellicle Photomask Dust Free Membrane New Surplus
16346 Mitsui Chemicals A6FKU-AJ7A Pellicle Photomask Membrane New Surplus
16347 DIP 15039603 Digital I/O CDN396 PCB Card AMAT 0190-01270 DIP-340-013 Used
16348 NSK E043ZZIF1-001 XIF Board PCB E010ZZIF1-001-2 TEL Tokyo Electron Sensors Used
16349 Applied Precision 21-000319-002 I/O Interface Board PCB 20-000319-000 Used
16350 Taiyo Machinery 0038 12″ Wafer Map Sensor Unit with Omron E3C-T1A Used Working
16351 ASM Advanced Semiconductor Materials 1008-148-01 Reactor I/F Type 3 PCB Used
16352 Applied Precision 21-000315-001 Sort Switch Board PCB Card Used Working
16353 Applied Precision 21-000315-000 Switching Board PCB Card Used Working
16354 MKS Instruments AS01396-6-11 CDN396R Board PCB Card AMAT 0190-24116 Used Working
16355 Applied Precision 21-000317-001 Processor Interface Board PCB Used Working
16356 Applied Precision 21-000315-001 Sort Switch Board PCB Card Rev. 02 Copper Used
16357 Applied Precision 21-000315-001 Sort Switch Board PCB Card Rev. C Copper Cu Used
16358 AMAT Applied Materials 0100-76269 RF Match Control Board PCB 0110-76269 Used
16359 Berkeley 100-000-721-01 Servo Motor MTR.300W BRSH.W/STD ENCOR.R2 Used Working
16360 Advantech PCA-6147/6137 SBC Single Board Computer 486/386 CPU Card PCB Used
16361 DigiBoard (1P)50000182 ISA Adapter PCB Card 30000352 55000178 Used Working
16362 StarTech CI-5010 Twin Serial Port RS232 ISA PC Interface PCB Card Used Working
16363 Omron C200PC-ISA13-SRM-E ISA Board PCB Card C200PC-ISA03-1 3376997-5B Used
16364 National Instruments PCI-232/485.4CH 4-Channel Serial Interface PCB Card Used
16365 Dedicated Computing OEM-A1811R Server PC 61-381415-00 Novellus 61-381415-00 Used
16366 TEL Tokyo Electron 877 CRA Cassette Block Robotics Arm ACT12-300mm Used Working
16367 SCP Santa Clara Plastics 3270091G Debug MCS Display Used Working
16368 Electro-Craft 9101-1302 BRU-200 Brushless Robot Servo Drive DM-20 Rev. A Used
16369 Electro-Craft 9101-1302 BRU-200 Brushless Robot Servo Drive DM-20 Rev. C Used
16370 Fire Sentry S7-2175 System 7 Control Panel Used Working
16371 Parker DC3 Power Supply Open Frame Compumotor Xzel XL-1003 Used Working
16372 Omega D1701 Digital Input/Output Transmitter OMEGABUS Reseller Lot of 2 Used
16373 SCP Santa Clara Plastics 3270171G MCS Auxiliary Relay Unit 6952164J Used Working
16374 Yaskawa Electric SGDA-01APPY126 Servo Drive SERVOPACK JUSP-OP03A Used Working
16375 Panasonic CK88YP200V03 Power Unit PCB Card TEL Tokyo Electron ACT12 Used Working
16376 Panasonic 581B357C CPU Processor PCB Card TEL Tokyo Electron ACT12 Used Working
16377 Panasonic 581B344B Backplane Connector PCB TEL Tokyo Electron ACT12 Used Working
16378 Omron SYSMAC C200H Programmable Controller PLC Assembly C200H-CPU02 Used
16379 AMAT Applied Materials E15004184 ISA Master Loop PCB Card Varian New Surplus
16380 AMAT Applied Materials E15004184 ISA Master Loop PCB Card Varian Damaged New
16381 AMAT Applied Materials 0100-01765 I/O Interface Board PCB Reflexion CMP New
16382 Sony 1-675-992-12 Laserscale Processor PCB Card DPR-LS21 EP-GW Used Working
16383 Nikon 2S013-076 200mm Wafer Prealigner PCB 2S700-600 OPTISTATION 3 Used Working
16384 Nikon 2S700-536 Prealigner Optical Sensor Receiver 2S017-141-1 OPTISTATION 3
16385 Nikon 200mm Wafer Prealigner Pneumatic Chuck Assembly OPTISTATION 3 Used Working
16386 Shinano Kenshi SST39D2010 Step Motor and Gear Assembly Nikon OPTISTATION 3 Used
16387 Elo LS15-5S0F Open Frame Touchscreen 15.1″ LCD Monitor Used Working
16388 Lam Research 02-287781-00 15″ Heater Pedestal PED Assembly Novellus Used Working
16389 Lam Research 02-287782-00 15″ Heater Pedestal PED Assembly Novellus Used Working
16390 Lam Research 02-287781-00 15″ Heater Pedestal PED Assembly Rev. C Novellus Used
16391 Edwards Y14204000 TMS Temperature Management System Y14501103-H New Surplus
16392 Asyst Technologies 9701-2937-01 RFID Advantag Gateway ATR Rev. C Used Working
16393 VAT 12148-PA24-AIG1 Pneumatic Vacuum Gate Valve Series 121 Bend Actuator As-Is
16394 Sanyo SRT-7072 72H Real Time Cassette Recorder with VM-6612 Monitor Set New
16395 Kokusai Electric ALD Furnace Shutter with Viewport Used Working
16396 Kokusai Electric D5CP42062-000 ALD Furnace Shutter Used Working
16397 TEL Tokyo Electron 3D10-251415-V1 Inner Electrode Cover Refurbished
16398 VAT 07512-UA24-0002 Slit Valve No Gate Copper Cu Exposed Used Working
16399 Lam Research 233508383 8″ Anodized Al Aluminum Cathode New Surplus
16400 SPG S9R90MB-ES12 E.S Motor with Siti Gear Head MI 30 A9 Used Working
16401 SPG S9R90MB-ES12 E.S Motor with Siti Gear Head MI 30 G9 Used Working
16402 AMAT Applied Materials 0010-21745 Endura 5500 Operator Panel 0100-20350 As-Is
16403 AMAT Applied Materials 0010-21745 Endura 5500 Operator Panel 0100-01906 As-Is
16404 KLA-Tencor 0251751-000 ASD Phoenix Robot System Control Computer Used Working
16405 KLA-Tencor 0148378-000 Robot Interlock Controller Assembly Used Working
16406 Kawasaki 50979-2842LA1 Wafer Handling Robot Signal Cable X1M 5 Foot Used Working
16407 Kawasaki 50979-2843LA1 Wafer Handling Robot Signal Cable X2M 5 Foot Used
16408 Hitachi Kokusai TZBCXL-00088A Cassette Handling Robot TZBCXL Used Working
16409 Kawasaki 50979-2874LA0 Wafer Handling Robot Power Cable X3 11 Foot Used Working
16410 Kawasaki 0301851-000 Robot Interlink with Advantech 8-Port Serial Cable Set Used
16411 Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V Used Working
16412 Hitachi Kokusai TZBCXL-00021A Wafer Cassette Handling Robot 300mm DD-1203V Use
16413 Hitachi Kokusai TZBCXL-00022A Wafer Cassette Handling Robot 300mm DD-1203V Used
16414 Hitachi Kokusai TZBCXL-00003A Wafer Cassette Handling Robot 300mm DD-1203V Used
16415 Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V No Sensors
16416 Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V No Covers
16417 Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V No Covers
16418 VAT Series 650 Pendulum Control and Isolation Valve 98800 Used Working
16419 VAT 65040-PA52-AWV1 Pendulum Control and Isolation Valve 98800 Used Working
16420 VAT 65.0 Series Aluminum Pendulum Gate Valve Body Frame Reseller Lot of 3 Used
16421 VAT 98800 Series 65.0 Pendulum Gate Valve Actuator Used Working
16422 VAT 88773-R1 650 Series Pendulum Gate Valve Motor Driver Board PCB 88 791 Used
16423 SVG Silicon Valley Group Developer Spindle Motor Controller 121-142F 90S Used
16424 Hitachi CR-712 Series Wafer Transfer Clean Robot with Cables FEM-312 EFEM Used
16425 Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02​-​V1 w/End Effector 0190-08245 Used
16426 Hitachi Wafer Alignment Unit with SunX Laser Line Controller FEM-312 EFEM Used
16427 Hitachi LP12-II Wafer Load Port Assembly FEM-312 EFEM No Sensor Used Working
16428 Hitachi LP12-II Wafer Load Port Station FEM-312 EFEM Used Working
16429 Hitachi LP12-II Wafer Load Port Station FEM-312 EFEM Used Working
16430 VAT 650PM-24CH-AEU2 Adaptive Pressure Controller PM-6 TEL 3D80-001597-V2 Used
16431 Sanyo Denki 103F7851-80HXL4 Stepping Motor StepSyn Actuator RH-14-50-CC-SP Used
16432 TEL Tokyo Electron 844 LHP Low Temperature Hot Plate ACT12 Incomplete As-Is
16433 3Com 1675-510-000-1.00 Office Connect 5-Port Dual Speed Hub Lot of 16 Used
16434 Hitachi ECI Microwave Auto Tuner Box Assembly CMC-10A CMC-ADP2 FEM-312 EFEM Used
16435 Hitachi HT98312 Interface Connector Board PCB BD12 Used Working
16436 Hitachi HT98822 Interface Connector Board PCB BD14 Used Working
16437 Hitachi HT98313 Power Relay Board PCB BD13 Used Working
16438 Electroglas 254921-001 Prealign Module Interface Amp Board PCB 254920-001 Used
16439 VAT 650PM-24CH-AEU3 Adaptive Pressure Controller PM-6 TEL 3D80-001597-V3 Used
16440 ASM Advanced Semiconductor Materials 2902044-01 Monitor Assembly Used Working
16441 Lam Research S31A-CB-006-Q Ceramic Isolation Ring 0.0mm BEOL Used Working
16442 Edwards A50588000 XDS Vacuum System Exhaust Silencer Housing NW40 Refurbished
16443 Edwards 90 Degree Vacuum Elbow Stainless Steel Pipe NW25 Lot of 3 Refurbished
16444 Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Refurbished
16445 Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Refurbished
16446 Edwards C10517431 Reducing T-Piece High Vacuum Tube Tee NW50 NW25 Refurbished
16447 Edwards C10516294 Braided Flexible Pipeline Bellows 5.3″ NW40 Refurbished
16448 Edwards NW25 Exhaust Check Valve for Dry Pump Vacuum Systems Refurbished
16449 Edwards 8.5″ Reducing T-Piece High Vacuum Tube Tee NW50 NW25 Refurbished
16450 AMAT Applied Materials 0100-90967 Beamline Instrumentation Backplane PCB XR80
16451 AMAT Applied Materials 9090-00033 Power Supply Assembly XR80 Artesyn ‎NLP65 Used
16452 ASM Advanced Semiconductor Materials 1082-728-01 Heater 300 High Uniform Used
16453 Lam Research 02-112622-00 Index Transfer Plate Novellus 15-055437-00 Used
16454 Sanyo Denki PM-UPD1S06-20 PM Driver D5-1-40174-1 TEL Tokyo Electron ACT12 Used
16455 Futurestar 5A0-090-8F-67 Paddle Wheel Flow Meter Kit Banner Q23SN6FPY New
16456 Crouzet Pneumatic Components Lot of 14 722-851 81519 722-873 722-889 723-006 New
16457 AMAT Applied Materials 0195-01642 LCWE Pneumatics Box Reflexion CMP Damaged New
16458 Vicor 20-130156-01 Switching Power Supply Module MegaPAC L15V/5A Used Working
16459 Vicor 20-130056-05 Switching Power Supply Module MegaPAC QPAC-200 L15V/5AW Used
16460 Vicor 20-130056-10 Switching Power Supply Module MegaPAC L5.1V/19.6ADL Used
16461 Vicor 20-130056-04 Switching Power Supply Module MegaPAC L5.1V/19.6AWDL Used
16462 Vicor 20-130156-01 Switching Power Supply Module MegaPAC L12V/8.3ADL Used
16463 Vicor 20-130156-05 Switching Power Supply Module MegaPAC L12V/8.3AWDL Used
16464 Vicor 20-130056-10 Switching Power Supply Module MegaPAC QPAC-200 L5V/20A Used
16465 Vicor 20-130056-04 Switching Power Supply Module MegaPAC QPAC-200 L5V/20AW Used
16466 Vicor 20-011106-01 3 Phase E/D Board PCB 24-011106-01 Used Working
16467 Vicor 20-011108-01 3 Phase Driver Board PCB 24-011108-01 36-00016 Used Working
16468 Vicor 20-011101 AC Line Filter Board PCB 24-011108-01 Used Working
16469 Vicor 20-130065 4kW Mother Board PCB Backplane 24-130065 Used Working
16470 Honeywell 092306 300mm 5N Ti Titanium Target 20.625X17.478X1.000X0.500IN Used
16471 ASM 73008-70523 Susceptor Pedestal Heater 73050-70274 ASSY/HEATER-H12LH Used
16472 Kokusai Electric D5CP42062-000 ALD Furnace Shutter Stained Used Working
16473 VAT Series 65.0 Pendulum Control and Isolation Valve 98800 Copper Exposed Used
16474 Power-One RPM5E5E5E5E5KS379 Power Supply 4000W Teradyne 405-236-00 A Used
16475 VAT Series 65.0 Pendulum Control DN 100 4″ Valve Parts Reseller Lot of 12 Used
16476 Power-One BD65124B Power Supply Plug Converter Board PCB BD65126B Lot of 9 Used
16477 TEL Tokyo Electron SH5M015T1 Photoresist Filter Assembly TMD002-X8 ACT12 Used
16478 TEL Tokyo Electron F-T100-3 Photoresist Pump ACT12 Used Working
16479 Omron EE-SPX613 Photoelectric Sensor Reseller Lot of 16 Used Working
16480 Agilent Technologies E1709A Remote High Performance Receiver Reseller Lot of 2
16481 TEL Tokyo Electron Wafer Tray Loader Assembly P-8 200mm Wafer Prober Used
16482 TEL Tokyo Electron Wafer Stage Assembly 200mm P-8 Automatic Prober Used As-Is
16483 TEL Tokyo Electron PHP Pneumatic Control Panel ACT12-300 300mm Used Working
16484 TEL Tokyo Electron 3281-000048-12 HC Interconnect 2 PCB 3208-000048-12 P-8 Used
16485 TEL Tokyo Electron 5085-404315-17 LD Bath Assembly DEV ASSY Lithius Used Working
16486 TEL Tokyo Electron 845 CPL Chill Plate Process Station ACT12-300 Used Working
16487 TEL Tokyo Electron CPL Chill Plate Process Station ACT12-300 SOG SOD C4 Used
16488 Sinfonia Technology SDD-W-30D750W-2-1 AC Servo Drive Asyst Shinko VHT5-1-1 Used
16489 Sinfonia Technology SDD-W-30D750W-2-1 AC Servo Drive Asyst Shinko VHT5-1-1 Used
16490 Shinko Electric E4305502406 AC Servo Driver BD-02-B Asyst Shinko VHT5-1-1 Used
16491 Shinko Electric E4305502406 AC Servo Driver BD-02-B Asyst Shinko VHT5-1-1 Used
16492 Sunx Sensors PX-22 AS Obstacle Detection Sensor Lot of 4 Shinko VHT5-1-1 Used
16493 Sunx Sensors PX-22 AS Obstacle Detection Sensor Lot of 4 Shinko VHT5-1-1 Used
16494 Hokuyo Automatic PB9-07B-S Optical Transmission RPB9022 Shinko VHT5-1-1 OHV Used
16495 Hokuyo Automatic PB9-07B-S Optical Transmission RPB9022 Shinko VHT5-1-1 OHV Used
16496 Yaskawa SGDF-A3CS Servo Drive SERVOPACK Reseller Lot of 2 Shinko VHT5-1-1 Used
16497 Yaskawa SGDF-A3CS Servo Drive SERVOPACK Reseller Lot of 2 Shinko VHT5-1-1 Used
16498 Mitsubishi MR-C20A AC Servo Driver MELSERVO Asyst Shinko VHT5-1-1 OHV Used
16499 Mitsubishi MR-C20A AC Servo Driver MELSERVO Asyst Shinko VHT5-1-1 OHV Used
16500 MRC Materials Research A115105 KBD Remote Assembly Eclipse Star Used Working
16501 Hokuyo Automatic PB9-10-Z-S Optical Transmission RPB9023 Shinko VHT5-1-1 Used
16502 TEL Tokyo Electron CPHP General Chamber CPHG Type RKC REX-B874-CS2A Lithius Used
16503 TEL Tokyo Electron CPHP General Chamber CPHG RKC REX-B874-CS2A Lithius As-Is
16504 Keyence BL-185 Ultra Small CCD Barcode Reader Reseller Lot of 2 Used Working
16505 Yamatake FE7C-TWC6R Photoelectric Sensor Reseller Lot of 4 Used Working
16506 Hokuyo Automatic DMS-HB1-V Optical Transmission Asyst Shinko VHT5-1-1 OHV Used
16507 Hokuyo Automatic DMS-HB1-V Optical Transmission Asyst Shinko VHT5-1-1 OHV Used
16508 Hokuyo Automatic BRC-G2BRS Optical Transmission Device Shinko VHT5-1-1 OHV Used
16509 Hokuyo Automatic BRC-G2BRS Optical Transmission Device Shinko VHT5-1-1 OHV Used
16510 Hokuyo Automatic BRC-G2BRS Optical Transmission Device Shinko VHT5-1-1 OHV Used
16511 Mitsubishi HC-PQ23BG2K AC Servo Motor BK2-09B-02MEKAK1 Shinko VHT5-1-1 OHV Used
16512 Yaskawa Electric SGMM-A3C3SD11 AC Servo Motor Lot of 2 Shinko VHT5-1-1 OHV Used
16513 Maxon 221134 A-max Motor Gear Head GP026A037-0010BA00A 4601 Shinko VHT5-1-1 Used
16514 TEL Tokyo Electron 3D86-003060-V1 RF Cable 70 Foot 21 Meters Used Working
16515 TEL Tokyo Electron 3D86-002829-V1 RF Cable 70 Foot 21 Meters Copper Exposed Used
16516 Berkeley Process Control BAM-232T 2-Axis Machine Controller Bam-232 Turbo Used
16517 Berkeley Process Control BAM-232T 2-Axis Machine Controller Bam-232 Turbo Used
16518 Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR QC-20C-S44 Used Working
16519 Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR QC-20C-S44 Used Working
16520 Measurement Computing 193797A-01 Input Board PCB Card PCIM-DAS1602/16 Used
16521 IEI PX-14S3-RS-R30 14-Slot PICMG Backplane 015P023-00-300-RS Board PCB Used
16522 Edwards D37215232 iNIM Network Interface Backplane Board PCB D37215235 Used
16523 DNS Dainippon Screen Relay Module FC-3000 Wet Station System Used Working
16524 DNS Dainippon Screen Relay Module FC-3000 Wet Station System Used Working
16525 DNS Dainippon Screen Relay Module FC-3000 Wet Station System Used Working
16526 STEC SEC-4600M Mass Flow Controller MFC SEC-4600 100 SLM H2 Used Working
16527 RKC Instrument REX-B870-CS1 Temperature Controller TEL ACT12 Used Working
16528 RKC Instrument REX-B870-CS1B Temperature Controller TEL ACT12 Used Working
16529 Yamamoto EMD7 D1T0D Manometer 100 Pa Lot of 4 TEL Tokyo Electron ACT12 Used
16530 CKD AMDZ1-X50 Pneumatic Valve Reseller Lot of 4 TEL Tokyo Electron ACT12 Used
16531 CKD AMDZ1-X50 Pneumatic Valve Reseller Lot of 4 TEL Tokyo Electron ACT12 Used
16532 Asyst Shinko Motor Assembly Olympus BJ524S592 DA E140S592 DV217900 VHT5-1-1 Used
16533 Asyst Shinko Motor Assembly Olympus BJ524S001 CE E140 DV217900 VHT5-1-1 Used
16534 Varian E31001230IIS Dry Vacuum Pump TriScroll Franklin 1201006408 Tested As-Is
16535 Mitsubishi RV-E14NHC-SA06 Industrial Robot Set with Controller CR-E356-S06 Used
16536 Mitsubishi HTR Brake Box Industrial Robot RV-E14NHC-SA06 Used Working
16537 Mitsubishi HTR Brake Box Industrial Robot RV-E14NHC-SA06 Used Working
16538 Meiden UA024/713A Industrial Controller Computer µPIBOC-I 600Mhz Used Working
16539 Meiden UA024/713A Mitsubishi Controller Computer µPIBOC-I Q80BD-J71BR11 Used
16540 Dolan-Jenner A-241L 150 Watt Regulated Power Supply Fiber-Lite KLA-Tencor Used
16541 Olympus U-AFA11M-KLA Microscope Active Auto Focus with BX-UCB Controller Used
16542 Hitachi 2R007100 SBC Single Board Computer PCB Card LCPU100 2R007104 Used
16543 Takenaka ASW-0012B-1 Communication Module DNS Dainippon Screen FC-3000 Used
16544 Hitachi HT94219A Interface Relay Card PCB PI01 Ver. F M-712E Used Working
16545 Tokyo Electronics 3KV High Voltage Sensor Module Used Working
16546 AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-70497 Used Working
16547 AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-53481 Used Working
16548 Aerotech MXH100-D-16M/ES13497 High Res Encoder Signal Multiplier Tencor 561975
16549 Electroglas 255423-007 Remote Microscope Control Module 4085X Horizon Used
16550 Ametek 5-7004 AMETEK-RTP Fan with Control PCB Assembly 5-7006 Used Working
16551 Horiba STEC SEC-7350BM Mass Flow Controller 20 SLM N2O SEC-7350 Used Working
16552 Horiba STEC SEC-7350BM Mass Flow Controller 30 LM N2 SEC-7350 Used Working
16553 Horiba STEC SEC-7350BM Mass Flow Controller 10 LM H2 SEC-7350 Used Working
16554 STEC SEC-4500MC-SUC-016 Mass Flow Controller SEC-4500 10 LM H2 Used Working
16555 STEC SEC-4500MC-SUC-016 Mass Flow Controller MFC SEC-4500 10SLM H2 Used Working
16556 STEC SEC-4500MC-SUC Mass Flow Controller MFC SEC-4500 10 LM O2 Used Working
16557 STEC SEC-4500MC-SUC Mass Flow Controller MFC SEC-4500 20 LM O2 Used Working
16558 Aerotech MXH100-D-16M/ES13497 Y Axis Multiplier MXH100-D Tencor 561975 Used
16559 Aerotech MXH100-D-16M/ES13497 Y Axis Multiplier MXH100-D Tencor 561975 Used
16560 Horiba STEC SEC-Z512X Digital Mass Flow Device MFC Z500 1 SLM Ar Used Working
16561 Kokusai Electric D4E01298 Interface Board PCB Module SPCONV2 A/O Used Working
16562 Yaskawa Electric SGMAH-A3BBA2S AC Servo Motor Gear Assembly Used Working
16563 STEC SEC-4550M Mass Flow Controller MFC SEC-4550 20 LM N2O Used Working
16564 Daihen AGA-50B2-V RF Generator DGP-120A2-V TEL 3D80-001479-V1 No Wheels Working
16565 Daihen AGA-50B2-V RF Generator Stack DGP-120A2-V TEL 3D80-001479-V2 Used Tested
16566 KLA-Tencor 0038216-001 MMD Power Unit PCB 0038170-002 AIT Fusion UV Used Working
16567 KLA-Tencor 0038216-001 MMD Power Unit PCB 0038170-002 AIT Fusion UV Used Working
16568 SMC ITV2030-31N2N4 Electro-Pneumatic E/P Regulator 1971080-002 Used Working
16569 Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00178 Used Working
16570 Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00180 Used Working
16571 Melco Technorex EQ-142 Data I/O PCB Module Nikon 4S587-436 Used Working
16572 Parker Veriflo 45600672PA Valve Reseller Lot of 5 SQMICROHF502PUPG6049VESP Used
16573 Tescom 44-2260-242-010 Manual Pressure Regulator Valve Reseller Lot of 3 Used
16574 Copal Electronics PS6-103G-NAM-014 7-Port Pressure Switch Assembly Used Working
16575 Idec PS3E-C24 Compact Power Supply 24VDC Reseller Lot of 6 Used Working
16576 AMAT Applied Materials 0021-85650 300mm SST Shutter Disk SNNF HTESC TiW-ESC New
16577 Edwards NGW415000 Pneumatic Gate Valve Copper Cu Exposed Used Working
16578 Edwards ISO80 High Vacuum Flexible Bellows Stainless iQDP Copper Cu Exposed Used
16579 Edwards ISO80 High Vacuum Flexible Bellows Stainless iQDP Series Used Working
16580 TEL Tokyo Electron 20-Port Pneumatic Manifold 41-60 CKD 4SB019-C3 ACT12 Used
16581 FSI International 294025-400 Interface PCB 264025-200 Reseller Lot of 2 Used
16582 Pilz 774300 Safety Relay DIN Mount PNOZ X1 Reseller Lot of 2 Used Working
16583 TEL Tokyo Electron 3D05-350204-11 300mm Pincette Robot End Effector Used Working
16584 TEL Tokyo Electron 3D05-350204-11 300mm Pincette Robot End Effector Used Working
16585 TEL Tokyo Electron 3D05-350193-11 300mm Pincette Robot End Effector Used Working
16586 TEL Tokyo Electron 3D05-350193-11 300mm Pincette Robot End Effector Used Working
16587 Edwards B65354000 Pneumatic Gate Valve GVI100P GVI 100P Bent Bolt Used Working
16588 CTI-Cryogenics Cold Head Compressor AC Distribution Helix Remote No Cover Used
16589 TEL Tokyo Electron CT2981-600534-11 BOARD.CONN BLT/L CT2908-600534-11 ACT12 Used
16590 Mitsubishi FR-E520-0.75KN Inverter FREQROL-E500 Reseller Lot of 2 Used Working
16591 Peak Optics 97844708 Microscope Reticle Measurement 1 DIV 0.005 100X PS44 Used
16592 Kuroda SPCBUA2-20-40-Z​V Wafer Robot TEL Tokyo Electron 3D80-000008-V4 As-Is
16593 Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. 2.2 Used
16594 Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. 2.2 Used
16595 Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. C Used
16596 CKD N4E0 Series 16-Port Manifold TEL Tokyo Electron Lithius Used Working
16597 Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working
16598 Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working
16599 Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working
16600 Berkeley Process Control USA4-11-28-UR Multi-Axis Universal Servo Amplifier Used
16601 Berkeley Process Control USA2-22-35 Multi-Axis Universal Servo Amplifier Used
16602 Banner MUSC-1 Multi-Screen Light Curtain Control Box with 41206 Used Working
16603 Banner MSCA-1 Multi-Screen Light Curtain Control Box with 41421 Used Working
16604 Oriental Motor PK543BW1-H50 5-Phase Stepping Motor VEXTA Used Working
16605 Koganei F-AVP125-19W Pneumatic Valve Lot of 10 TEL Lithius Used Working
16606 Koganei F-AVP070-6W Pneumatic Valve Reseller Lot of 8 TEL Lithius Used
16607 CKD AMD312-10BUR-14-TC Pneumatic Valve Photoresist Lot of 10 TEL ACT12 Used
16608 Technical & Try FD-2002 Photoelectric Sensor Reseller Lot of 10 Used Working
16609 Technical & Try FD-2002 Photoelectric Sensor Reseller Lot of 10 Used Working
16610 V-Tex 3D80-001812-V1 Door Slit Valve Rollcam TEL Tokyo Electron Used Working
16611 Baldor BSM80A-375BA Brushless AC Servo Motor S2P117W009G1 Used Working
16612 Gerwah AKN 60 Metal Bellows Coupling Gearhead Reseller Lot of 4 Used Working
16613 Baldor BSM80A-375BA Brushless AC Servo Motor with AKN 60 AMAT 0040-49320 Used
16614 Hitachi MP090 Wafer Alignment Unit Motor Assembly RM2424D E6B2-CWZ6C M-712E Used
16615 Hitachi MP090 Wafer Alignment Unit Motor Assembly RM2424D E6B2-CWZ6C M-712E Used
16616 HVA High Vacuum Apparatus 11211-1003R Gate Valve Used Working
16617 Hitachi HT98311 Interface Connector Board PCB BD11 M-712E Used Working
16618 NEC FC-S16W/SB4V6A Industrial Desktop Computer FC98-NX FC-S16W with MOR/2VD Used
16619 Novellus 61-294221-00 Rev. A Spindle Yaskawa YSC-02D04B021 Used Unlisted
16620 Hitachi ILE-02 Relay Switching Board PCB Card M-712E Shallow Trench Etcher Used
16621 Hitachi AIO-02N Analog I/O PCB Card M-712E Shallow Trench Etcher Used Working
16622 Hitachi DIO-01N Digital I/O Board PCB Card M-712E Shallow Trench Etcher Used
16623 Jobin Yvon H-10 VIS Monochromator DA-40 Tokyo Electron Unity II Cut Cable Used
16624 Square D EE30T3HF Sorgel Three Phase General Purpose Transformer 30kVA Used
16625 Varian Semiconductor Equipment E11470670 Power Supply Interface Used Working
16626 Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working
16627 Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working
16628 Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working
16629 Festo 200763 Megasonic PS Control Box 300H AMAT 0090-04970 Used Working
16630 Kaijo 68101-A3T-UL Ultrasonic Generator HI MEGASONIC 600 Used Working
16631 KoMiCo Technology 633000138 CU 3 Port 5 Hole Mist Trap Copper Exposed Used
16632 Kuroda SPCBUA2-20-40-ZV Wafer Robot TEL 3D80-000008-V4 No End Effector Used
16633 Kuroda SPCBUA2-20-16-ZV Wafer Robot TEL 3D80-000009-V4 No End Effector Used
16634 Kuroda SPCBUA2-20-16-ZV Wafer Robot TEL 3D80-000009-V4 No End Effector Used
16635 MRC Materials Research Plenum Wafer Chuck Mosier S-23503-1 Eclipse Star Used
16636 Asyst Technologies 810-2850-A PWM Motor Driver Board PCB Hine Design Used
16637 SMC 8-Port Pneumatic Manifold Lot of 2 TEL Tokyo Electron 3D80-001913-11 Used
16638 NSK ELC-JG014VF3-01 300mm XP Robot Controller ELC AMAT 0190-19536 Used Working
16639 SensArray 05-3613_SA Field Replaceable Battery Pair 39-3613 KLA-Tencor Used
16640 Pacific Scientific High Yield Technology 20B Particle Sensor 10-10350-00 X1 Used
16641 MKS Instruments 41A13DGA2AA040 Baratron Pressure Switch Type 41A Used Working
16642 MKS Instruments 41A13DGA2AA040 Baratron Pressure Switch Type 41A Used Working
16643 MKS Instruments 722A12TCD2FA Absolute Pressure Transducer Type 722A Used Working
16644 Regal Joint FS-10S Flow Sensor FS-10 Reseller Lot of 2 Used Working
16645 Heidenhain RON 806 36000 54S09-2S Incremental Angle Encoder 355 885-17 Used
16646 Swagelok SS-4BMRG Bellows Sealed Metering Valve NUPRO Used Working
16647 Swagelok SS-4BMG Metering Bellows Sealed Valve NUPRO Used Working
16648 Swagelok SS-4BMRG-V16 Metering Bellows Sealed Valve NUPRO Used Working
16649 Jennings RGH5-26S High Voltage SPDT Gas Relay AMAT 9150-02974 Used Working
16650 TEL Tokyo Electron Prealigner Loader Module T-3044SS Used Working
16651 Rudolph Technologies A19796ART0308 UV Optics Assembly A19796 A15032 Used Working
16652 Fujikin Incorporated WVG-SD-O1Z1C2A Water Vapor Generator Used Working
16653 V-Tex 3D80-001812-V1 Door Slit Valve Rollcam TEL Tokyo Electron No Gate Used
16654 Varian 1243-L6281-301 Pneumatic Angle Valve NW16 A/O Used Working
16655 Varian 1243-L6281-302 Pneumatic Angle Valve NW25 A/O Used Working
16656 MKS Instruments 51A11TCA2BA005 Baratron Pressure Switch Type 51A Used Working
16657 MKS Instruments 722A12TCE2FA Baratron Pressure Type 722A Transducer Used Working
16658 RECIF Technologies IDLW8/H9090 PCB Board with Symbol STI80-0200 Cable Used
16659 Kromschroder 84367720 Automatic Burner Control IFS 110IMT-10/2/2N Used Working
16660 PULS SL2.100 DIN Rail 24.5 VDC Power Supply SL 2.5 Reseller Lot of 2 Used
16661 Kawasaki C60C-A001 Robot Controller Nikon 4S082-666-2 4S211-553-2 NSR Used
16662 Hitachi ZVL808-H Driver Interface Board PCB Card ZVL808 Used Working
16663 Hitachi ZVL808-J Driver Interface Board PCB Card ZVL808 Used Working
16664 Hitachi ZVL897-4 Processor Control Board PCB Card OFV-DTCT ZVL897 Used Working
16665 KEL VMEbus BACKPANEL J1 09 Backplane PCB Board Used Working
16666 Hermos TLG-I1-1000-S0-00EB Transponder Reader with Sensor ANT-ID2-INFIXR6 Used
16667 Hermos THG-S1-1000-S0-00EB Transponder Reader with Sensor ANT-AXC-IR6 Used
16668 Brooks Automation ANT-ID2-INFIXR6 Fixload Antenna Hermos Reseller Lot of 4 Used
16669 AMAT Applied Materials DTCU Dome Temperature Control Unit Fan Module Assembly
16670 Panasonic MSDA021A1A AC Servo Driver MINAS A-series  Used Working
16671 Cosel P30E-12 Compact Power Supply 12V 2.5A Reseller Lot of 4 Used Working
16672 Cosel P150E-5 Compact Power Supply 5V 30A Reseller Lot of 2 Used Working
16673 CAL Controls 3200 DIN Economy Temperature Controller Reseller Lot of 3 Used
16674 Kromschroder 84391075 Ignition Transformer TGI 5-15/100R TZI 5-15/100R Used
16675 Kromschroder 84391030 Ignition Transformer TGI 7,5-20/33R TZI 7,5-20/33R Used
16676 Kromschroder 84391030 Ignition Transformer TGI 7,5-20/33R TZI 7,5-20/33R Used
16677 Kromschroder 84391030 Ignition Transformer TGI 7,5-20/33R TZI 7,5-20/33R Used
16678 Automation Direct D2-09B-1 9-Port PLC Controller Direct Logic 205 Koyo Used
16679 Fuji PS-1105U Momentary Line Drop Protector PS-1105 Hitachi M-712E Used Working
16680 Hitachi Operator Interface Panel Keyboard and Monitor Set M-712E Used Working
16681 Bird 4391 Dual Element RF Power Analyst 2-30MHz 500H and 1000H Used Working
16682 Brooks Automation 119215 Series 8 Robot Controller Used Working
16683 Kawasaki 50819-1093 Robot Teach Box AMAT Applied Materials 0190-10710 Used
16684 Kawasaki 50819-1093 Robot Teach Box AMAT Applied Materials 0190-10710 Used
16685 Kawasaki 50819-1093 Robot Teach Box AMAT Applied Materials 0190-10710 Used
16686 Pioneer Magnetics 123621 Power Supply PM1203A 84-012-001 Used Working
16687 MKS Instruments 127AA-000.1B–S Baratron Pressure Transducer Type 127 Used
16688 MECS UTV430 Wafer Handling Robot Used Working
16689 Kawasaki 50979-2389LA1 EXT-EMG Robot Cable AMAT 0190-16260 Used Working
16690 Kawasaki 50979-2388LA1 Panel Robot Cable AMAT 0190-16259 Used Working
16691 Kawasaki 50976-2143L01 Robot Cable 7.5 Foot Used Working
16692 Tegal CR1324-00400 RF Match Network Source Strip 6500 HRe Used Working
16693 Tegal CC1327-00300 EZ Reactor Assembly HRE-PM1 6500 HRe Used Working
16694 Tegal 37-441-004 Cooling Flange 6500 HRe Dual Frequency Etch System Used Working
16695 VAT 14046-PE44-1016 HV High Vacuum Gate Valve Tegal 6500 HRe Used Working
16696 Edwards 1850 Mechanical Vacuum Throttle Valve ISO200 Tegal 6500 Used Working
16697 MKS Instruments Straight Nipple Adapter LF200 ISO-K NW250 Large Flange HPS Used
16698 Edwards W185-08-001 Mechanical Vacuum Throttle Valve 1850 ISO200 Tegal 6500 Used
16699 Hamamatsu C11121CA-50 UV/VIS Spectrometer TM-UV/VIS Type III Hitachi M-712E Used
16700 AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Used Working
16701 AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Used Working
16702 Ocean Optics USB4000 Spectrometer Slit 25µm Hitachi M-712E EC1 Used Working
16703 Jobin Yvon H-1061 UV-V Monochromator E Chamber EPD Hitachi M-712E Used Working
16704 Daihen SMA-20B Microwave Waveguide Magnetron Assembly Hitachi M-712E Etcher Used
16705 Hitachi PTPA-01 ECI Photo Board PCB Assembly M-712E Shallow Trench Etcher Used
16706 Hitachi CR-712T-AC Wafer Transfer Clean Robot Shunan Works M-712E Etcher As-Is
16707 AMAT Applied Materials 0040-86239 Housing 300mm Titan New Surplus
16708 AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Used Working
16709 Hitachi UHF-121 EC2 RF Power Supply Cooling Water Control Panel M-712E Used
16710 PTI Progressive Technologies 12612G01 Automated Exhaust System Sentry 1000 Used
16711 Electronic Solutions V809J1EC V809 VME J1 Backplane Board PCB 01-0009293 Used
16712 Tegal 98-363-00 VME Backplane Board PCB CTC-ML Used Working
16713 IPD International Power Devices RWD2415 DC/DC Converter Used Working
16714 Tegal 98-354-002 CPU Transition Board VME PCB Card Used Working
16715 Tegal 99-360-001 Processor Board VME PCB Card AOB-1 98-360-001 Used Working
16716 Qualidyne 234AA0A-0172 Power Supply 23092EFG Tegal VME-PS400-01 Used Working
16717 Motorola 5057301 LE Tester Board PCB Used Working
16718 Pittman GM8223D098 38.2V Servo Motor LO-COG Reseller Lot of 2 Used Working
16719 Brooks Automation 013077-054-20 300mm Load Port FIXLOAD 25 Used Working
16720 Lam Research E4A N2 Purge Unit Horiba SEC-N142MGR for TDK TAS300 Type E4/E4A New
16721 TeleFrank 013501-167-27 Modul ST32 Brooks FIXLOAD V6 Used Working
16722 TeleFrank 013501-167-27 Modul ST32 Brooks FIXLOAD V6 Used Working
16723 SMC ZSE30-01-25-M kPa Pressure Switch Reseller Lot of 32 Used Working
16724 Kensington 15-3600-0300-01 Wafer Prealigner PRE-OA2 AMAT 0190-16360 Endura Used
16725 Newport 35-3700-1425-18 Wafer Transfer Robot AMAT Applied Materials 300mm Endura
16726 Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT Applied Materials Endura
16727 Kensington 8-4029-03 Robot Waist Interface Cable 3 Foot 1M Newport Used Working
16728 Kensington 8-4030-03 Robot Arm Interface Cable 3 Foot 1M Newport Used Working
16729 Kensington 8-1013-07 Robot Z-Axis Interface Cable 3 Foot 1M Newport Used Working
16730 Kensington 8-1013-00 Robot Z-Axis Interface Cable 8 Foot 2.4M Newport Used
16731 Kensington 8-4030-00 Robot Arm Interface Cable 8 Foot 2.4M Newport Used Working
16732 Kensington 8-4029-00 Robot Waist Interface Cable 8 Foot 2.4M Newport Used
16733 Asyst Technologies 06763-005 48V Control Board PCB GaSonics ABX-79582-28-00 Used
16734 Asyst Technologies 06763-005 48V Control Board PCB GaSonics ABX-88919-41-11 Used
16735 Brooks Automation E20000580 Transponder Reader with Sensor E20000581 Used
16736 Brooks Automation E20000580 Transponder Reader with Sensor E20000581 Used
16737 Asyst 9700-9129-01 Wafer Load Port IsoPort Nikon KAB11310/201-3 Incomplete As-Is
16738 Panasonic MADDT1207N52 AC Servo Motor Driver Used Working
16739 Panasonic MCDDT3520N52 AC Servo Motor Driver Used Working
16740 Panasonic MQMA022S2G AC Servo Motor with Sumitomo Gear Head FCMS-A15-SV-59 Used
16741 Panasonic MQMA022S2C AC Servo Motor HD Gear Head CP-25A-33-J299A-SP Used Working
16742 Panasonic MSMD082S1S AC Servo Motor Nidec-Shimpo Gear Reducer VRSF-4C-750 Used
16743 Sunx S-LINK V Sensor Set Lot of 4 SL-VT8E SL-VTP8E Panasonic SL-VEU Used Working
16744 Globe Motors 403A918 LP Shuttle Motor Brooks 129399 Reseller Lot of 2 Used
16745 Brooks Automation 162770-01 300mm Wafer Load Port VISION Untested As-Is
16746 TEL Tokyo Electron AHV50 Cooling Plate 3D10-150035-V1 working
16747 SBS Technologies 9000-32-029 SBC PCB Card AMAT Applied Materials Endura Used
16748 AceCo Y203 Upper Electrode S33-1574Y new
16749 AceCo Depo Shield Y203 S33-1862Y new
16750 TEL Tokyo Electron ES3D10-202163-V1 300mm Depo Shield Refurbished
16751 TDK TAS-IN8 Interface Board PCB TAS300 Used Working
16752 TDK TAS-CNEXT Interface Board PCB TAS300 F1 Used Working
16753 TEL Upper Body Electrode 3D10-100293-V1 new
16754 TEL Depo Shutter Assy. Y-AL 3D10-101277-V2 new
16755 TEL Depo Shutter Assy. Y-AL 3D10-101277-V2 new
16756 Ebara ET600WS Turbo-Molecular Pump Used Tested Working
16757 Hitachi Microwave Calibration Jig 2-A06112-^A new
16758 Brooks Automation 134333 Sensor and LED IV Board PCB Rev. B Used Working
16759 Hitachi Microwave Calibration Jig 2-A06112-^B new
16760 TDK TAS-IN12 Interface Board PCB TAS300 F1 Used Working
16761 Brooks Automation 173227 Interface Board PCB 140360 Vision LPM Load Port Spare
16762 Panasonic MSM042A5F Servo Motor TEL Tokyo Electron Clean Track ACT12-200 Used
16763 Condor 02-32117-0001 Power Supply GPC80P Rev. D 017-21226 Used Working
16764 Cherokee International QT4A1 Power Supply Used Working
16765 Lenze E94S040S1N Servo Drive 94 SimpleServo 1.20 Used Working
16766 Opto 22 PB32P2 Relay Board PCB IDC5Q 8 MRC Materials Research Eclipse Star Used
16767 Nikon Temperature Control TC Rack N6F-A NSR-S307E DUV Scanning System Used
16768 Nikon N6F-A Temperature Control TC Rack NSR-S307E DUV Scanning System (2) Used
16769 Nikon S37 TC Rack Asahi Cogyosha Co. N6A-A DUV Scanning System Used Working
16770 Brooks Automation 017-0483-01CUR Wafer Handling Robot Used Unlisted
16771 Brooks Automation 003-1600-43  Magnatran 7 Wafer Handling Robot Used Working
16772 Chromasens LC16-WBI-BF Machine Vision Module CC00620 KLA-Tencor WBI 300 Used
16773 Chromasens CC-WBI-SV1 Machine Vision Module CC00596 KLA-Tencor WBI 300 Used
16774 Chromasens CC-WBI-SV1 Machine Vision Module CC00596 KLA-Tencor WBI 300 Used
16775 KLA-Tencor 11 301 404 123 000 WBI Controller Sensors Actuators CSA Unit Used
16776 KLA-Tencor 11 301 404 123 000 WBI Controller Sensors Actuators CSA Unit Used
16777 Chromasens CC00620 Machine Vision Set of 4 LC16-WBI-BF LC16-WBI-DF KLA WBI Used
16778 TDK-Lambda V6098VF Power Supply Vega 650 Four Module H5_4 B5 B5 C5 Used Working
16779 Oriental Motor ASM66AK Stepper Motor VEXTA Used Working
16780 Terra 1300062 Desktop Computer KLA-Tencor 11301400403000 Controller WBI 300 Used
16781 Leutron Vision PicPortX CL Stereo PicPort Camera Link Grabber PCB Card Used
16782 Simco 4010729 Ionizing Bar Controller VISION i Used Working
16783 Copley Controls ACJ-090-09 Micro Panel Servo Drive Accelnet Used Working
16784 Schmersal AZM 170-11zrk Safety Switch Reseller Lot of 2 Used Working
16785 Asyst Technologies 9700-9129-01 300mm Wafer Load Port Copper Cu Exposed Used
16786 Asyst Technologies 9700-9129-01 300mm Wafer Load Port Copper Cu Exposed Used
16787 Asyst Technologies 9700-9129-01 300mm Wafer Load Port Used Working
16788 Asyst Technologies 9701-1059-02A Load Port PCB IsoPort Used Working
16789 Teknic SST-1500-102 Servo Drive SST ServoStepper Delta Design 2100841 Used
16790 Richmond Technology 4007440 IBC Intelligent Balance Controller IBC20-1 Used
16791 Delta Design 1944602-501 PSM Power Summing Module Used Working
16792 National Instruments 745749-01 Controller PXI-1002 Delta 1923725001 Used Working
16793 Delta Design 1973060901 Summit Handler ATC Control Computer Copley CME 2 Used
16794 Chromasens 940 992 Camera Board PCB Assembly SC-KA5-1/Z KLA-Tencor WBI 300 Used
16795 Oriental Motor ASD36A-K Closed Loop Driver ASD-K AlphaStep Used Working
16796 Chromasens CC-WBI-SA2 Interface PCB CC00228 KLA-Tencor WBI 300 Used Working
16797 Chromasens CC-WBI-LV1 Interface PCB CC00581 KLA-Tencor WBI 300 Used Working
16798 Hitachi Ceramic End Effectors 1-5 300mm Wafer Set of 5 M-712E Used Working
16799 Bede BedeMetrix Diffractometer Goniometer 300mm Sample Stage Assembly As-Is
16800 Control Concepts 1014R-05 SCR Power Controller with Cable Set Used Working
16801 Baldor 92B645730000 Motor with Camco Indexer 80RDM4H20-330 Gear D99XX5164 Used
16802 Power-One HBAA-40W-A Power Supply Delta Design 1947972-001 Summit ATC Used
16803 NSK EMLZ10CF1-03 Servo Drive Motion Controller Used Working
16804 Novellus Systems 16-270993-00 300mm Wafer Chuck Sabre PEM Copper Cu Exposed Used
16805 AMAT Applied Materials 0620-00516 RF Cable Top Generator to Match 150 Foot Used
16806 MDC Vacuum Products 310073 Pneumatic Angle Valve KAV-100 Used Working
16807 MKS Instruments 627A-14583 Baratron Pressure Transducer Type 627 Used Working
16808 Sanken Electric MLT-DCBOX5 Power Supply Assembly MMB50U-6 TEL Unity II Used
16809 Yaskawa VS2B Robot & Controller Set DDMQF-SR2232IR w/Effector TEL Unity II Used
16810 Oriental Motor 4054-LD4 5-Phase Servo Driver VEXTA PUSH PIN TEL Unity II Used
16811 Tylan FC-2979MEP5 MFC Mass Flow Controller 100 SCCM CF4 TEL Unity II Used
16812 Tylan General FC-2979MEP5 MFC Mass Flow Controller 1 SLPM Ar TEL Unity II Used
16813 Tylan General FC-2979MEP5 MFC Mass Flow Controller 20 SCCM O2 TEL Unity II Used
16814 Tylan FC-2979MEP5 MFC Mass Flow Controller 200 SCCM SF6 TEL Unity II Used
16815 Tylan FC-2979MEP5 MFC Mass Flow Controller 50 SCCM CH2F2 TEL Unity II Used
16816 Tylan FC-2979MEP5 MFC Mass Flow Controller 30 SCCM C4F8 TEL Unity II Used
16817 Yaskawa Electric DR1-08AC Servo Drive N00A SERVOPACK TEL Unity II Used Working
16818 Yaskawa Electric YMW-2034 Servo Drive 4178-13 SERVOPACK TEL Unity II Used Working
16819 Granville-Phillips 330001 Ionization Gauge Controller with Cable 9331 TEL Used
16820 Sunx SF1-SC Fail-Safe Control Unit TEL Tokyo Electron Unity II Used Working
16821 TEL Tokyo Electron ID86-004327-13 Floppy Drive Assembly TEB404-12/FDX Unity II
16822 Oriental Motor B1583-D51KBLM Servo Motor VEXTA with 5GD20K-H1 TEL Unity II Used
16823 VAT 26332-KA71-1001 Angle Isolation Valve with Sensor TEL 1D86-003796-12 Used
16824 VAT 26332-KA71-1001 Pneumatic Angle Valve TEL Tokyo Electron Unity II Used
16825 VAT 26332-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron Unity II Used
16826 Irie Koken 1SV25M0 Manual Angle Valve NW25 TEL Tokyo Electron Unity II Used
16827 Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch TEL ID86-003510-15 Used
16828 MKS Instruments 622A12TBE Baratron Transducer 100 Pa/133.32 TEL Unity II Used
16829 MKS Instruments 622A12TBE Baratron Transducer 100 Pa/133.32 TEL Unity II Used
16830 MKS Instruments 627A-13614—-S Baratron Pressure Transducer TEL Unity II Used
16831 STEC SV-P1102 Pneumatic Flow Controller SV-P1000 TEL Unity II Used Working
16832 STEC SV-P1203 Pneumatic Flow Controller SV-P1000 TEL Unity II Used Working
16833 TEL Tokyo Electron 1D81-000118 Control Panel PCB with Cable Set Unity II Used
16834 VAT 26332-KA71-1001 Angle Isolation Valve with Sensor TEL 1D86-003558-16 Used
16835 Nagano Keiki CE10 Electronic Pressure Switch TEL ID86-004116-13 Unity II Used
16836 Nagano Keiki CE10 Electronic Pressure Switch TEL ID86-004117-13 Unity II Used
16837 Ojiden OFL-1V-S3 Switch Set of 2 ID86-014729-11 ID86-014730-11 TEL Unity II Used
16838 TEL Tokyo Electron CSENS2 Laser Sensor Assembly Omron E3X-A11 Unity II Used
16839 TEL Tokyo Electron CSENS1 Laser Sensor Assembly Omron E3X-A11 Unity II Used
16840 TEL Tokyo Electron CSENS1 Laser Sensor LDH-03-3050 MLXS-D12-670-3 Unity II Used
16841 TEL Tokyo Electron WFCNT2 Laser Sensor LDH-03-3051 MLXS-D12-670-3 Unity II Used
16842 TEL Tokyo Electron SOLV. A 14-Port CKD Pneumatic Manifold U2-855DD Unity II Used
16843 TEL Tokyo Electron SOLV. B 4-Port CKD Pneumatic Manifold U2-855DD Unity II Used
16844 TEL Tokyo Electron SOLV. C 4-Port CKD Pneumatic Manifold U2-855DD Unity II Used
16845 Cosel MMB50U-6 Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working
16846 AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Rev. 001 Used Working
16847 Kromschroder 84391075 Ignition Transformer TGI 5-15/100R 8 433 138 2 Used
16848 Kromschroder 84391075 Ignition Transformer TGI 5-15/100R 8 433 138 2 Used
16849 Kromschroder 84391075 Ignition Transformer TGI 5-15/100R 8 433 138 2 Used
16850 Edwards TPU Differential Pressure Switch Assembly Dungs AA-A2-6-5 Lot of 4 Used
16851 Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used
16852 Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used
16853 Watlow WX6E2A300B0002 Aluminum Circulation Heater 120v 1500w Edwards TPU Used
16854 Evans PWR-24PS-16UW-12UW Manifold with Gauges Edwards NRYOWP543 TPU Used Working
16855 Norgren SPGB/35085/1 Pneumatic Manifold E28705037 Edwards TPU Used Working
16856 Edwards Flexible Bellows Vacuum Hose 8.5″ NW40 KF40 Reseller Lot of 4 TPU Used
16857 National Display Systems 90X0212-B Touchscreen Monitor CM-X15/AMRMS Used Working
16858 DIP DIP-394-055 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used
16859 DIP DIP-344-040 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used
16860 SBS CPCI-100-BP-S00002B PCB Card AMAT 0190-07848 Dual 0190-12159 Rev. 004 Used
16861 SBS CPCI-100-BP-S00002B PCB Card AMAT 0190-07848 Dual 0190-12159 Rev. 004 Used
16862 SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Used
16863 SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Used
16864 TeNTA AS00721-05 cPCI Power Board PCB PWRS-0721 AMAT 0190-17081 Rev 002 MKS Used
16865 TeNTA AS00721-05 cPCI Power Board PCB PWRS-0721 AMAT 0190-17081 Rev 002 MKS Used
16866 AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Used Working
16867 AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Used Working
16868 Edwards SDT Gas Block (KROM) Manifold VAS1-0/10R/NQ DG17VCT8-SWG TPU Used
16869 Edwards SDT Gas Block (KROM) Manifold VAS1-0/10R/NQ DG17VCT8-SWG TPU Used
16870 Chromasens LC16-WBI-DF Machine Vision Module CC00620 KLA-Tencor WBI 300 Used
16871 Fuji Seiki QF100 High Vacuum Band Style Clamp NW100 ISO-LF Turbo Pump Used
16872 TEL Tokyo Electron 2910-205212-11 Coat Wafer Spin Chuck 12″ ACT12 300mm Used
16873 Tegal 99-395-002 CIP-2 CTC Board PCB Card 98-395-001 Used Working
16874 Texas Microsystems 24652 SBC Single Board Computer LC486 PCB Card PCA L486 Used
16875 Ametek 038936 Air Blower Assembly DR101BX9M Alcon UACD8 Edwards TPU Used
16876 Nikuni 25CLX15U5 MLTC Centrifugal Pump 25CLX15U5 Motor Nikon NSR-S205C Used
16877 Hitachi Kokusai TZBCXL-00034A Wafer Cassette Handling Robot 300mm DD-1203V Used
16878 Oriental Motor A4753-9215KE 5-Phase Stepping Motor VEXTA Used Working
16879 Panasonic MSM5AZP1E Compact Servo Motor MSM Series Used Working
16880 Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A Used Working
16881 Pearl Kogyo ZDK-916L2C-P Tuner Controller Hitachi M-712E Etcher Used Working
16882 Pearl Kogyo ZDK-916L2 Tuner Controller Hitachi MU-712E Missing Switch Used
16883 Daihen CMC-10A Automatic Microwave Tuning Control Unit CMC-10 Used Working
16884 Daihen CMC-10A Automatic Microwave Tuning Control Unit CMC-10 Untested As-Is
16885 Daihen CMC-ADP2 Microwave Tuning Control Interface Reseller Lot of 2 Used
16886 Nihon Koshuha RF/TUNE CONT-2 RF Tuner Controller Hitachi M-712E Used Working
16887 Nihon Koshuha RF/TUNE CONT-2 RF Tuner Controller Hitachi M-712E Used Working
16888 Pearl Kogyo APU500 Vpp RF Monitor Unit Hitachi M-712E Etcher Used Working
16889 Nikon 4S082-948-1 Pneumatic Valve Operation Control Module NSR Used Working
16890 NFR Technologies NL05S400KT-01X High Voltage Power Supply Used Working
16891 NFR Technologies NL05S400KT-01X High Voltage Power Supply Used Working
16892 Asyst SMART PLUS 3.00.0.1.2 Axys 21 Robot Controller Computer PC Used Working
16893 AMAT Applied Materials 9010-01378ITL Celerity BF3 MFC Gas Panel Assembly Used
16894 AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC New Surplus
16895 Hitachi M-712E Wafer Transfer Robot Reseller Lot of 3 Sanki Untested As-Is
16896 Sanki Technos CR-712T-A Wafer Transfer Clean Robot w/End Effector Hitachi Used
16897 Sanki Technos CR-712T-A Wafer Transfer Clean Robot No End Effector Hitachi As-Is
16898 Yaskawa XU-RCM2500T-4 Robot w/Ceramic Arms Nikon KAB11320/201A-4 OPTISTATION 7
16899 Yaskawa XU-RCM2500T-4 Robot Nikon KAB11320/201A-4 No Arms OPTISTATION 7 Used
16900 Bede Scientific Instruments MSOURCE Type A Microsource Assembly Untested As-Is
16901 KLA-Tencor 0024476-001 Polarized Lens Pneumatic Linear Stage Rev. AA AIT Used
16902 TEL Ring Insulator A7 (HF1.0) 1D05-300185-W2 new
16903 KLA-Tencor 0024482-000 Dual Lens Assembly 0020209-000 0020210-000 AIT UV Used
16904 Kokusai Electric Upper/Under Flange Temperature Controller J1-J6 DD-1203V Used
16905 AMAT Applied Materials 0240-95833ITL Focus Clamping Box 0090-91422ITL As-Is
16906 TBK RH700C Robot Hand Controller for RH707 Gripper Robot Used Working
16907 Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Used Working
16908 Kuroda SPCBUA2-20-40-Z​V Wafer Robot Body TEL 3D80-000008-V4 T-3044SS Used
16909 Kuroda SPCBUA2-20-16-Z​V Wafer Robot Body TEL 3D80-000009-V4 T-3044SS Used
16910 A to Z Electronics 3061420000 S2DIO Module SDI 30614210000 Used Working
16911 System Sacom KS10PTHS P-Panel Data Converter Unit XRC KS-10PT HS Used Working
16912 Therma-Wave 14-009901 Laser Detector Sensor PCB Module I MOD Used Working
16913 Therma-Wave 14-009903 Laser Detector Sensor PCB Module R MOD Used Working
16914 Therma-Wave 14-007811 A/F Detector Amplifier PCB Module 18-007564 Used Working
16915 Therma-Wave 18-007561 TW Laser Detector Assembly PCB Module 14-00 Used Working
16916 Therma-Wave 18-007560 Laser Detector Sensor PCB Module 14-007716 IHeNe Used
16917 Therma-Wave 18-008113 Motorized Lens Turret Assembly Rev. A Used Working
16918 MEC Tech Process Ring 30512-1001R new
16919 MKS Technology AX7671-85 ASTex ASTRONi 0190-47768 Tested Working Unlisted
16920 CTI-Cryogenics 8116030G001 On-Board 8F Cryopump 0190-76014 Tested Working As-Is
16921 CTI-Cryogenics 0190-19390 On-Board 8F Cryopump Tested Working Unlisted
16922 Mitutoyo 09AAA790 Linear Scale ST320 Nikon 4S554-079-1 NSR System Used Working
16923 Mitutoyo 09AAA790 Linear Scale ST320 Nikon 4S554-081-1 NSR System Used Working
16924 Therma-Wave 18-008925 Heater Power Supply Assembly Used Working
16925 Edwards Helios Head Assembly Long Body Combustion Chamber Used Working
16926 Hitachi Kokusai Electric QLM1-00159 Quick Load Box Pod Opener Controller Used
16927 Hitachi Kokusai Electric QLM1-00193 Quick Load Box Pod Opener Controller Used
16928 M.E.C. Tech RE2093 Process Plate Assembly Rev. 2 New
16929 Hitachi Kokusai Electric QLM1-00170 Quick Load Box Pod Opener Controller Used
16930 Granville-Phillips 275806-EU 275 Mini-Convectron Vacuum Gauge Rev. 00 Used Working
16931 Pioneer Magnetics 114357 Power Supply PM 2972A-2-3 Lam 680-0146-029 Used Working
16932 FMI 930V3 Electrostatic Chuck 300mm Monopolar Ceramic TEL-930V3-R Cu Refurbished
16933 Nikon 4S586-952-1 Switch Box WY_LSW WYL NSR System Used Working
16934 Shinko Electric ATA-IDE/R(IDEV2.0) PCB Card MASTER-ATA IDE TEL T-3044SS Used
16935 Veeco 460-548 Profilometer Interferometer Camera Head Assembly WYKO Dektak Used
16936 Brooks Automation 002-7391-38 Wafer Prealigner CHE Untested As-Is
16937 TEL Shield Depo D3.0 P34.6 ES3D10-101276-V1 refurb
16938 Meiden IZ47Z-11 SBC Single Board Computer PCB Card IZ48Z SU22A31929 Used Working
16939 Edwards D37212202 Flash Control Module PCB im Interface 801-1047-01 Used Working
16940 Meiden JZ85Z-11 Serial Data Acquisition Board PCB Card NOP OM-2 SU18A30127 Used
16941 DIP DIP-505-030 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used
16942 AMAT Applied Materials 0100-71267 8 Channel PCM Card PCB AKT Used Working
16943 Brooks Automation TS310A-D524S Indexer Handling Unit Robot Incomplete As-Is
16944 Brooks Automation TS310A-D524S Indexer Handling Unit Robot Incomplete As-Is
16945 Brooks Automation TS310A-D511S Indexer Handling Unit Robot Incomplete As-Is
16946 Ametek 080418 Air Blower Assembly DR303AE9MA NACD8 with Cable Edwards TPU Used
16947 Ametek 080418 Air Blower Assembly DR303AE9MA NACD8 with Cable Edwards TPU Used
16948 Ametek 080418 Air Blower Assembly DR303AE9MA Alcon NACD8 Edwards TPU Used
16949 Eclipse LP64-20 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used Working
16950 Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B 40R Edwards TPU Used
16951 Sanyo Denki P50B05020DCL69 AC Servo Motor BL Super P5 Used Working
16952 Ametek 037147 Air Blower Assembly DR353BR9 Alcon NACD8 Edwards TPU Used
16953 MKS Instruments Exhaust Valve Control Stack Type 260 252 PDR-C-1C Untested As-Is
16954 Trane X13650364-03 Chiller Contol PCB Module 6200-0023-10 6400-0557-02 Used
16955 Advanced Crystal Sciences 6506 Flow Rate Controller Used Working
16956 KoMiCo CHN 300mm Cu Baffle Plate Top Copper Refurbished
16957 Tosoh 100-00528 Quartz Pedestal (214) Sleeve Rev. F2 New Surplus
16958 Mykrolis PVA0AMMR4 AMAT Mirra Mesa 200mm Round 70/216 Planacore Lot of 4 New
16959 Hisaka Works AD07N Double Acting Actuator with G45B-AD07N SCS14A-NW40-25A Used
16960 BTU Engineering 316195VO5 System Microcontroller PCB Card 3161950 Used Working
16961 BTU Engineering 3162281V02 Communication Interface II PCB Card 3162280 Used
16962 BTU Engineering 3161161 System I/O Assembly PCB Card 3162280 Used Working
16963 Bruce Technologies 3161351V04 Video Display Processor PCB Card 3161350 BTU Used
16964 Cryco 100111 Wafer Loader Operator Interface PCB Assembly 03601-95 No Key Used
16965 Cryco 100111 Wafer Loader Operator Interface PCB Assembly No Key Used Working
16966 Industrial Control Links 100109 Boatloader Motor Driver PCB Module Cryco Used
16967 TEL Tokyo Electron 2980-091523-12 WEE I/O PCB AP9E-0508A-11 TKB3170 ACT12-200
16968 TEL Tokyo Electron Fiber Optic Cable 851 WEE Wafer Edge Exposure Used Working
16969 Bruce Technologies 7351C Operator Panel FRT PNL Interconnect 3161211 BTU Used
16970 Industrial Control Links 100109 Boatloader Motor Driver PCB Rev. B Cryco Used
16971 BTU Engineering 3161201 Battery Backup Assembly PCB Card 3161200 Used Working
16972 BTU Engineering 3161181 Video Assembly PCB Card 3161180 Used Working
16973 Kokusai Electric D2E01448 B #1 TIME/PLD Processor Board SAFETY PCB Card Used
16974 Kokusai Electric D2E01448 B #2 TIME/PLD Processor Board SAFETY PCB Card Used
16975 Kokusai Electric D2E01522 Interface Panel Backplane PCB INT-CNBA Used Working
16976 HiTek Power E19297950 High Voltage Power Supply MV2000-151-01 Untested As-Is
16977 Daifuku LNK-3585A Processor Board PCB Card BX8461AW Used Working
16978 Daifuku BCN-3746B Connector Board PCB Card Omron H3FA-A Solid-State Timer Used
16979 Formosa Industrial Computing R507-06I0C-200 Backplane Board PCB BPI-06 Used
16980 Daifuku LED-3695A LED Display and Connector Board PCB Card Used Working
16981 Kontron 56100000 SBC Single Board Computer PCB Card 786LCD/ST Daifuku CEL-M10A
16982 Daifuku PS2681-02 Power Distribution Connector Board 2681P1A PCB Used Working
16983 Contec PC-SDD500H SDD Silicon Disk Drive IDE Assembly Daifuku M10A.7.10.19 Used
16984 Krom Schroder 84367720 Burner Control Unit IFS 110IMT-10/2/2N Edwards TPU Used
16985 Hitachi Kokusai Denki 3CD02390 DC/DC Board PCB MTRCPUPS Mikro Sonic Used
16986 Hitachi Kokusai Denki 3CD02411 Resistor Board PCB PA Mikro Sonic Used Working
16987 Hitachi Kokusai Denki 2CD013310 Capacitor Board PCB FiL(1/3) Mikro Sonic Used
16988 Hitachi Kokusai Denki 3CD02380 CPU Board PCB Assembly PMTRCPU Mikro Sonic Used
16989 Hitachi Kokusai Denki 3CD02380 CPU Processor Board PCB PMTRCPU Mikro Sonic Used
16990 Hitachi Kokusai Denki 3CD011210 Adjustment Board PCB OSC Mikro Sonic Used
16991 Hitachi Kokusai Denki 3CD02164 Relay Board PCB CONT2 Mikro Sonic Used Working
16992 Hitachi Kokusai Denki 3CD02233 Adjustment Board PCB P_MASTER Mikro Sonic Used
16993 Hitachi Kokusai Denki 4CD01061 Relay Board PCB CONT Mikro Sonic Used Working
16994 Hitachi Kokusai Denki 3CD02164 Connector Board PCB D-SUB Mikro Sonic Used
16995 Hitachi Kokusai Denki 3CD01876 Resistor Board PCB OUT Mikro Sonic Used Working
16996 Hitachi Kokusai Denki U01200PMQA Display Panel PCB 3CD1062 Mikro Sonic Used
16997 Hitachi Kokusai Denki 4CD01063 Dual Mechanical Dial Counter PCB Mikro Sonic Used
16998 Hitachi Kokusai Denki 3CD1062 Voltage/Current Display PCB Mikro Sonic U01200PMQA
16999 Hitachi Kokusai Denki 3DC01582-1 Adjustment Board PCB PS Mikro Sonic Used
17000 Hitachi Kokusai Denki 3CD02421 Electrolytic Capacitor Board PCB DRIV Mikro Sonic
17001 Nikon 4S586-951-1 Switch Box WX_SW NSR System Used Working
17002 Mydax M1010D Flowmeter Interface/Relay Board PCB Chiller 1M9W-T Used Working
17003 TDK 3EA00E182/A Interface Relay Board PCB hi-pric with Cables Used Working
17004 Pilz 474790 E-Stop Safety Relay PNOZ V PNOZ Series Used Working
17005 Lambda Electronics UAZ1JP 400 Watt Power Supply UltraFlex Series Used Working
17006 Automation Direct D2-04BDC-1 4 Slot PLC Controller DirectLOGIC 205 Koyo Used
17007 AMAT Applied Materials 0040-09961 200mm ESC Chuck 0020-32963 0040-09957 As-Is
17008 Tokyo Keiso P-510-UA-4N-V3-N2-100L/MIN-0.1MPA Purgemeter Flow Meter New Surplus
17009 Nor-Cal Products 3T-NW-50B Tee Fitting NW50 60-159172-00 Reseller Lot of 3 New
17010 Nor-Cal Products 93-01764 Pneumatic Angle In-Line NW50 Used Working
17011 Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Refurbished
17012 Edwards C5164 High Vacuum Adapter Tube Tee ISO63 to ISO80 ISO-K NW25 Refurbished
17013 Edwards C10517670 Vacuum Flexible Bellows NW50 Reseller Lot of 4 Refurbished
17014 Edwards C10517670 High Vacuum Flexible Bellows Stainless NW50 New Surplus
17015 Edwards C5167 High Vacuum Adapter Tee ISO63 ISO-K to NW50 NW25 Refurbished
17016 AMAT Applied Materials 0050-75273 SS Plumbing Line 0050-75274 0050-75275 Used
17017 EKK Technologies M-EKK-2419105 Magnetic Fluid Vacuum Seal A4823-9015KTGE New
17018 Trust C-2027-D01 2 Axis Vector Controller TA-2027 Novellus 63-370393-00 Untested
17019 MKS Instruments 0190-43490 PLC Assembly CVD CIOC AMAT Applied Materials Used
17020 Tosoh 83600-14056-60000V J8R3 Target 300mm 6N Keyed New Surplus
17021 Edwards NRY28B050 CVD Pump Heated N2 Partial Kit Y14102001 New Surplus
17022 Rorze Automation RT130-521-001 X-Axis Robot Linear Track Used Working
17023 Rorze Automation CURT-2102-4 Robot Linear Track Controller with Cables Used
17024 Technos X-Ray Analyzer Command Key Controller Panel with Cable Used Working
17025 Technos X-Ray Analyzer Command Key Console Controller Panel with Cable Used
17026 Asyst Technologies 4002-6633-01 Load Port Door Assembly PCB 3200-1251-03 Used
17027 AMAT Applied Materials 0010-29842 300mm DBR High Temp Belt Wrist Titanium Used
17028 Brooks Automation 812100065 Communication Board PCB 013501-165-I1 AEZ02 Used
17029 Brooks Automation 812100090 Communication Board PCB 013501-185-I1 AEZ01 Used
17030 Shinko Electric SBX93-100022-11 Interface Board PCB SRCN1 SBX08-000015-11 Used
17031 Dynax DNX5171 Processor Assembly PCB F104-CPU F104-IO/1 DNX5191 w/Cables Used
17032 Oriental Motor C8741-9212KM Stepping Motor Magnetic Brake VEXTA Used Working
17033 Nor-Cal 22-2843 Adaptive Pressure Controller Intellisys APC-001-B.1-01 Used
17034 Nor-Cal 22-2843 Adaptive Pressure Controller Intellisys APC-001-B.1-01 Used
17035 Varian Semiconductor Equipment E11326001 D1/D2 PS Controller VIIsta Used Working
17036 TDK TAS-CPU Processor Board PCB Rev. 2.10 TAS300 Load Port Used Working
17037 Bay 8287-03 Lift Motor Assembly Novellus 02-160858-00 Compact 60-152879-00 Used
17038 Rudolph Technologies A19796-001 UV Optics Assembly HC302-2510 A15032 Used
17039 CFT-75 Neslab 395104041507 Refrigerated Recirculator Bent Panels Tested Working
17040 Rhenium Alloys 16-143143 D01 Alloys Quartz Infrared Halogen Lamp 480W ASM New
17041 TRIVAC D16A Leybold 898030 Rotary Vane Vacuum Pump Tested Seized As-Is
17042 TRIVAC D16B Leybold 91265-2 Rotary Vane Vacuum Pump Used Tested Working
17043 AMAT Applied Materials 0100-01945 Wisperscan Motion Interface PCB Used Working
17044 Baldor FD2A05TR-RN23 Servo Control FlexDrive 105A-230/24V-RES-23 Used Working
17045 NSK ESA-B014T25-21 Servo Drive Motion Controller Used Working
17046 NSK ESA-B014T25-21.1 Servo Drive Motion Controller Used Working
17047 Carl Zeiss 44 44 62 DIC Slider Microscope Objective Epi PLANAPO 50x/0.90 Used
17048 Carl Zeiss 44 44 89 DIC Slider Microscope Objective Epi PLANAPO 100x/0.95 Used
17049 Power-One LWN 2660-6 AC-DC/DC-DC Converter Convert Select 240 Used Working
17050 KLA-Tencor Pneumatic Vacuum Control Unit Omega FMA-1605A Quantox XP Used Working
17051 PCP Peninsula Control Panels 51-0265 Power Supply Rev. 0C KLA-Tencor Quantox
17052 AMAT Applied Materials 0010-51269 Electro Static Chuck Spare Unlisted
17053 Invax Technologies 02425-011 Electrostatic Chuck 300mm PVD Upgrade New Surplus
17054 Hitachi High Technologies 2-A00624-90 Shower Plate New Surplus Unlisted
17055 TEL Tokyo Electron 200mm Cup Wash Disc ACT12 Used Working
17056 PTI Progressive Technologies 12612G01 Exhaust Sentry 1000 Damaged Used As-Is
17057 Thermo Neslab HX75 Chiller BOM 386105021704 Not Working
17058 AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
17059 AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
17060 AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
17061 Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B
17062 Nikon Interferometer Board LCLIUIF Working 4S018-168 Nikon NSR-S204B
17063 Nikon 4S017-866-B Interferometer STG-IF PCB STGLIUIF Used Working
17064 Nikon 4S017-866-B Interferometer STG-IF PCB STGLIUIF Used Working
17065 PCP Peninsula Control Panels 51-0265 Power Supply Rev. C KLA-Tencor Quantox
17066 PCP Peninsula Control Panels 28-0196 Power Supply KLA-Tencor Quantox Used
17067 Nihon Koshuha HFS-010A-H-3 EC2 Antenna Bias RF P/S Generator Hitachi M-712E
17068 Alcatel 2012AC Dual Stage Rotary Vacuum Pump 1101101416 Used Tested Working
17069 Fortrend F8025 Automatic Wafer Transfer Machine Used Tested Working
17070 Oriental Motor CSD2109-P Compact 2-Phase Driver Board PCB VEXTA Used Working
17071 CTI-Cryogenics 0190-19395 IS-1000 Compressor HV AMAT Applied Materials Tested
17072 Kokusai Electric D21806 Brake Interface CVD Board PCB Assembly Vertron Used
17073 Kokusai Electric D2E01444 Interface Panel PCB CNNT14-A0 DMCU8 00-10 Vertron Used
17074 Kokusai Electric D3E01373C Processor PCB Card DMCU8 PLMDRV7/A3 Vertron Used
17075 Opal PCB Control Card Assembly 30612510000 SBC VMIVME-7588-787 AMAT VeraSEM
17076 Opal PCB Control Card Assembly 50312510000 SBC VMIVME-7588-787 AMAT VeraSEM
17077 Mitsubishi P8TB-TE Industrial Robot Teaching Pendant BKO-FA0517H01 Spare
17078 BL Autotec QC-20C-S44 Robot End-Effector Exchange System QUICK-CHANGE QC-20C
17079 BL Autotec QC-20C-S44 Robot End-Effector Exchange System with Rail Guide QC-20C
17080 Nikon 200mm Wafer Prealigner Assembly OPTISTATION 3 Inspection System Untested
17081 KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21531 Spare
17082 KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21541 Spare
17083 KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21551 Spare
17084 KV Automation 4022.486.18102 Vacuum Output Sensor Board PCB VAC TSU 2W Spare
17085 KV Automation 4022.486.18071 Vacuum Output Sensor Board PCB CLAMP/FREE Spare
17086 KV Automation 4022.486.18071 Vacuum Output Sensor Board PCB CLAMP/FREE Spare
17087 KV Automation 4022.486.18121 High Flow Cleaning Board PCB 2W 322A5302 Spare
17088 KV Automation 4022.480.62792 Sensor Board PCB  AIRB.CTR VAC TSU WH AT Spare
17089 KV Automation 4022.480.62852 Gas Sensor Board PCB AIRB.CTR GAS TSU WH AT Spare
17090 KV Automation 4022.486.21531 Gas TSU Manifold Assembly 4022.480.62841 Spare
17091 KV Automation 4022.486.21541 Vac TSU Manifold Assembly 4022.480.62701 Spare
17092 Chuo Precision Industrial X-Axis Manual Stage Assembly LS-112W LS-912W LV-612
17093 KV Automation 4022.486.21551 WH-C/F Manifold Assembly 4022.480.62701 Spare
17094 Panasonic MSD023A1Y Servo Driver Unit PCB Card 200V 200W Working Spare
17095 Panasonic MSD5AZA1Y Servo Driver Unit PCB Card 100/200V 50W Working Spare
17096 Panasonic MSD3AZA1Y Servo Driver Unit PCB Card 100/200V 30W Working Spare
17097 TEL Tokyo Electron RL06EX-2 Relay Board PCB ACT12 System Working Spare
17098 Sierra Safety Technology DCR1-S 1 Optical Flame Detector DNS FC-3000 Spare
17099 Digiop S0608010250T 8-Channel DVR Workstation Optiplex 745 DNS FC-3000 Spare
17100 Electroglas 253255-001-C Pneumatic Module 200mm 4085X Horizon Cut Cables As-Is
17101 Electroglas 253255-001-B Pneumatic Module 200mm 4085X Horizon Cut Cables As-Is
17102 Electroglas PSM-C Power Supply Module with PDS 4085x Horizon 200mm Working Spare
17103 Edwards D37280700 Pump Display Module Terminal Controller Damaged As-Is
17104 Global Laser Technology Solutions 24744-6 Wafer Positioning System 2130-00 Spare
17105 HD Hokuto Denko HR-201 Dynamic Electrode Assembly Untested As-Is
17106 HD Hokuto Denko HZ-CPU101-27 CPU Processor Board PCB Card HZ-3000 Working Spare
17107 HD Hokuto Denko HZ-FG101-31 HZ-FG Processor Board PCB Card HZ-3000 Working Spare
17108 HD Hokuto Denko HZ-PGS101B32 Processor Board PCB Card HZ-PGS101C23 HZ-3000 Spare
17109 HD Hokuto Denko HZ-PGS101A3 CPU Processor Board PCB Card HZ-3000 Working Spare
17110 HD Hokuto Denko HABF501-A2 Heat Dispenser Board PCB HZ-3000 Working Spare
17111 HD Hokuto Denko HZ-BASE1018 Backplane Connector Board PCB HZ-3000 Working Spare
17112 Cosel G Series Power Supply GT3W and G2 5V2A Reseller Lot of 2 Working Spares
17113 HD Hokuto Denko 230130 AS-200 Main CPU Board PCB Working Spare
17114 HD Hokuto Denko 230131 AS-200 Sampler I/O Board PCB Working Spare
17115 HD Hokuto Denko D230330 Motor Control Board AS-200 PCB Working Spare
17116 HD Hokuto Denko D232870 Station Change Board AS-200 PCB Working Spare
17117 HD Hokuto Denko D850536 Operator Interface Keypad LCD Panel PCB Assembly AS-200
17118 HD Hokuto Denko 230132 AS-200 Switch Board Keypad PCB Assembly Used Spare
17119 HD Hokuto Denko C276120 Sample Port COM555 MAIN PCB Assembly D232880 AS-200 Used
17120 HD Hokuto Denko D230133 DC Connect Board PCB D232502 AS-200 Used Spare
17121 Densi-Lambda ZWS Series Open Frame Power Supply Lot of 2 ZWS50-15/J ZWS30-5/J
17122 Densi-Lambda ZWS75PF-12 Open Frame Power Supply ZWS Series Reseller Lot of 2
17123 Ampere M-8014TE-C90196A Communication Board PCB CAT6 Hokuto Denko AS-200 Spare
17124 Ampere M-8014TE-C90196A Communication Board PCB RS232 Hokuto Denko AS-200 Spare
17125 HD Hokuto Denko C230450 MP Drive Board PCB C233280 AS-200 Working Spare
17126 Dolch Computer Systems 21-0E01-0030 ISA Video PCB Card 22-1001-0150 Spare
17127 SVG Silicon Valley Group 99-80203-01 RS422 Communications PCB Rev. N 90S Spare
17128 Texas Microsystems 23374 Greyhound1 SBC Single Board Computer PCB Card SVG 90S
17129 HD Hokuto Denko B-200B Buret Sampler 5ml Assembly PCB C232890 AS-200 Working
17130 HD Hokuto Denko Buret Micro Pump Assembly Denso HP480FAE AVR-2312-318E AS-200
17131 DNS Dainippon Screen LPD Heater Overheat Detection Module FC-3000 Working Spare
17132 DNS Dainippon Screen TANK#3 Phos Temperature Controller Cooling Tank FC-3000
17133 DNS Dainippon Screen TANK#4 Hot Rinse (H202) Temperature Controller Bath FC-3000
17134 Kokusai Electric CX1231 Controller Module Rev. 1B Vertron III DD-803V Spare
17135 DNS Dainippon Screen CNCC Power Supply Switch Module FC-3000 Working Spare
17136 DNS Dainippon Screen TANK#3 Phos Lamp Heater Controller FC-3000 Working Spare
17137 DNS Dainippon Screen TANK#3 Phos Crystal Heater Controller FC-3000 Working Spare
17138 DNS Dainippon Screen TANK#5 Phos Lamp Heater Controller FC-3000 Working Spare
17139 DNS Dainippon Screen TANK#5 Phos Crystal Heater Controller FC-3000 Working Spare
17140 DNS Dainippon Screen MFI PLC Control Module Mitsubishi Q63P MELSEC FC-3000 Spare
17141 DNS Dainippon Screen MEK PLC Control Module Mitsubishi Q63P MELSEC FC-3000 Spare
17142 Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Used Working
17143 Millipore WCDP025L1 Wafergard Photoresist Chemical Dispense Pump Working Spare
17144 Millipore WCDP025L1 Wafergard Photoresist Chemical Dispense Pump Lot of 4 Spare
17145 SVG Silicon Valley Group 99-45452-01 Developer Exhaust Flow Controller Working
17146 Fuji Seiki 1092801 Pneumatic Throttle Valve NW100 ISO-LF QF100 Working Spare
17147 Det-Tronics W867C3004 Ultraviolet UV Infrared IR Test Lamp W867 Untested As-Is
17148 IDI Integrated Designs 2-102-037 Chemical Assist Module Double Stack CAM-E-2S
17149 IDI Integrated Designs CAM-E-2S Photoresist Chemical Assist Module Working Spare
17150 IDI Integrated Designs 2-102-037 Chemical Assist Module CAM-E-2S Working Spare
17151 Grayhill 70GRCK8-HL 8-Channel I/O Module Relay Rack 70G-IDC5B Assembly Spare
17152 Millipore 99-41122-01 Stainless Steel Photoresist Solvent Filter Housing Spare
17153 CTI-Cryogenics 8033167 CRYO-TORR 8 Cryopump High Vacuum Pump Untested As-Is
17154 CTI-Cryogenics 8033168 CRYO-TORR 8 Cryopump High Vacuum Pump Untested As-Is
17155 KLA-Tencor 547220 Keyboard Breakout AIT2 Board PCB Rev. AA Used Working
17156 KLA-Tencor 547220 Keyboard Breakout AIT2 Board PCB Rev. AA Used Working
17157 AMAT XR80 Implanter Magnet Controller 9090-00086 ITL
17158 Ultrapointe 001003T Fast Z Controller PCB Board Rev.A CRS AB1 2000 Used Working
17159 Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-ABI-2000 Used
17160 AMAT XR80 Implant Gap Servo Controller  9090-00097 ITL
17161 Nikon NSR S204B RL-LIBHT2 PCB 4S018-679 working
17162 Nikon NSR S204B 4S007-609 PPD-EXBODY PCB Board Working
17163 Nikon NSR S204B 4S007-855 WL3CR PCB Board Working
17164 Nikon NSR S204B 4S018-568-A WL3PNL4 PCB Board Working
17165 Nikon NSR S204B Yaskawa XU-DL1600W30 Driver Board Working
17166 Nikon NSR S204B 4S018-169 ALG-INF PCB Interface Controller Board Working
17167 Nikon NSR S204B 4S005-204 PPD-LD PCB Board Working
17168 Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working
17169 Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working
17170 Nikon 4S007-953-A Relay Card PCB WL3MOT5 NSR-S204B Step-and-Repeat Used Working
17171 Nikon 4S007-953-A Relay Card PCB WL3MOT5 NSR-S204B Step-and-Repeat Used Working
17172 Nikon NSR S204B Asahi 4S014-160A D2810 Linear Pulsemotor Cont. Board Working
17173 Nikon NSR S204B 4S007-876 SHRINC-INTF-3 Interface Controller Board Working
17174 Nikon NSR S204B 4S005-206 PPD-CCD PCB Board Working
17175 Nikon NSR S204B 4S005-206 PPD-CCD PCB Board Working
17176 Nikon NSR S204B 4S007-946 OPD-I/F-S PCB Board Working
17177 Nikon NSR S204B 4S007-580 PPD-A/D PCB Board Working
17178 Nikon NSR S204B 4S018-531-1 WL3MTR-H6 PCB Board Working
17179 Nikon NSR S204B RIGG 2214 SDP Video Grabber 001052 Rev.B PCB Board working
17180 Komatsu KDP1320LE-1 Control Panel Nikon NSR 7821-40-3016 Used Working
17181 Komatsu KDP1320LE-1 Control Panel Nikon NSR 7821-40-3016 Used Working
17182 Sony CMA-D2 CCD Camera Adaptor Nikon Optistation 7 Used Working
17183 Sony CMA-D2 CCD Camera Adaptor Nikon Optistation 7 Used Working
17184 Airpot Actuator S160P 455 X 550 KLA-Tencor CRS-ABI 2000 Working
17185 GSI Laser Module 000-3008528 KLA-Tencor CRS-ABI 2000 000-30011003 Used
17186 Ultrapointe 001049T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working
17187 Nikon 4K177-955-1 Stepper Transfer Robot NSR-S204B Working
17188 Nikon Stepper Controller Z-X3-B4102RU Nikon NSR S204B Working
17189 Nikon Power Controller RRW-07 Nikon NSR S204B Working 4S587-244
17190 Nikon NSR S204B Robot Elevator Module Working
17191 Nikon NSR S204B Robot Elevator Module Working
17192 Nikon NSR S204B Wafer Mask Reticle Indexer Working
17193 Mitutoyo ST320 Linear Scale Assembly Nikon NSR S204B Working BLECX3 4S013-313
17194 KLA-Tencor CRS-ABI 2000 Camera Lens Filter Assembly 000056 Rev. 2 Working
17195 KLA-Tencor CRS-ABI 2000 Camera Lens Filter Assembly 002001 Working 237500-412
17196 Spectrum VME64 Control Board FRU 600-90051 Working Nikon NSR-S204B
17197 Spectrum VME64 Control Board FRU 600-90051 Working Nikon NSR-S204B
17198 Spectrum VME Control Board FRU 600-90072 Working 260-00698 R110 Nikon NSR-S204B
17199 Spectrum VME Control Board FRU 600-90072 Working 260-00698 R110 Nikon NSR-S204B
17200 Nikon  NSR-S204B FPIF-IMAC Control Board 4S018-693 Working A20092
17201 Nikon  NSR-S204B FPIF-IMAC Control Board 4S018-693 Working A20092
17202 Agilent Z4208A Controller VMEbus PCB Card HP E1845-60001 Nikon NSR-S204B Used
17203 Agilent Z4208A Controller VMEbus PCB Card HP E1845-60001 Nikon NSR-S204B Used
17204 Nikon NSR S204B Matrox Meteor II Multi-Channel 751-02 Rev.A PCB Board working
17205 Nikon NSR S204B Equinox Multiport Serial I/O Adapter SST-4/8P PCB Board working
17206 KLA-Tencor CRS-ABI 2000 Ultrapointe Corp. SDP Computer I/F Board 001022 working
17207 Nikon NSR S204B Wafer Loader Indexer working
17208 Nikon NSR S204B Wafer Loader Indexer working
17209 Nikon NSR S204B SEA241A 4S587-625 AVIS2 ERG AMP Working
17210 Brooks Automation TLG-L1-1000-S0-00EB Transponder LF80 Set with ANT-2K15 Spare
17211 Cosel LEP240F-48 U Switching AC/DC Power Supply Reseller Lot of 3 Working Spare
17212 Globe Motors 403A918 LP Shuttle Load Port Motor Assembly Brooks 129399 Spare
17213 Globe Motors 537A551 LP Main Load Port Motor Assembly Brooks 6200081 Spare
17214 Ametek GM8223D294-R4 LP Latchkey Motor Assembly ES-500-157-N-D-D-D-B Spare
17215 Haydon E43H4B-2.33-013 LP Main-J12 Linear Actuator Reseller Lot of 2 Working
17216 Haydon LR35HH4J-2.33-012 LP Shuttle-J1 Stepper Linear Actuator Assembly Working
17217 VAT 96603-01 Pendulum Plate 012-009857-1 Series 650 Copper Cu Exposed Spare
17218 Brooks Automation 148299 Shuttle IV Board PCB Vision LPM Load Port Working Spare
17219 Brooks Automation 134335 Keylatch IV PCB with 173227 Vision LPM Load Port Spare
17220 Techprint 1-603680 LED Board PCB Brooks 112749 Load Port VISION Working Surplus
17221 VAT 65048-PH52-ADU1 Pendulum Control & Isolation Gate Valve 93376 Working Spare
17222 SMC 60-151975-00 Pneumatic Vacuum Slit Valve XGT300-30-1A-X1 Working Spare
17223 VAT 02010-BH44-AKG1 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare
17224 VAT 02110-BA44-AUM1 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare
17225 VAT 02010-BA44-1004 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare
17226 VAT 02010-BA44-1004 Pneumatic Rectangular Wafer Transfer Valve No Solenoid
17227 VAT MONOVAT Rectangular Wafer Transfer Valve 02010-BA44 02110-BA44 Unmarked
17228 VAT MONOVAT Rectangular Wafer Transfer Valve 02010-BA44 02110-BA44 Large Gate
17229 HVA High Vacuum Apparatus 82219-0209QXH Pneumatic Wafer Transfer Valve Spare
17230 VAT MONOVAT Stainless Wafer Transfer Valve 02010-BA44 02110-BA44 Unmarked Spare
17231 SMC 60-151975-00 Pneumatic Vacuum Slit Valve XGT300-30-1A-X1 No Gate Spare
17232 Asyst Shinko VHT5-1-1 OHV Overhead Vehicle FOUP Transport Drive Assembly Spare
17233 TEL Tokyo Electron 2L81-050066-32 Power Supply PCB Card TYB512-3/IOMT-LF Spare
17234 TEL Tokyo Electron 3D81-000065-V1 Interface Board PCB Card TYB61L-1/MAGGAP Spare
17235 TEL Tokyo Electron 2L81-050133-V1 Timer Board PCB TAB35F-1/HTIL Working Spare
17236 Luxtron 11-14259-01 Thermo Board PCB TEL Tokyo Electron 2L51-000013-V1 Spare
17237 Luxtron 11-14259-01 Thermo Board PCB TEL Tokyo Electron 2L51-000004-V1 Spare
17238 RKC Instruments THV-1PZ030-8*HN-9 Single Phase Power Control Unit Working Spare
17239 Yaskawa Electric SGDM-02ADA 200V Servo Drive SERVOPACK Ver. 0900F Working Spare
17240 RKC 2L14-000007-V1 FAREX SR Mini System H-PCP-J-341-D*AD-NNN H-TIO-J-F501-8*NN
17241 RKC 2L14-000004-V2 FAREX SR Mini System H-PCP-J-341-D*AD-NNN H-TIO-J-F501-8*NN
17242 Mitutoyo PSU15 Power Supply Unit No Hatch Cover Working Spare
17243 KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21581 Spare
17244 KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21571 Spare
17245 KV Automation 4022.486.18091 Pneumatic PCB Card PNEUMASEAL ON/OFF 2W Working
17246 KV Automation 4022.486.21571 Pneumatic Manifold Unit UH-P5 Working Spare
17247 KV Automation 4022.480.62671 Pneumatic Manifold Unit WH GRP WS-1/RS1 Working
17248 KV Automation 4022.480.62732 Pneumaseal Pressure Control Unit WH PU Working
17249 Optonics 10-101930-00 Main Controller Board PCB ES-II PLUS Working Spare
17250 Credence Systems 10-107246-00 ES Install Shunt Board PCB Optonics Working
17251 Optonics 20-101359-00 LED Status Board PCB ES-II Credence Systems Working Spare
17252 Ohkura Electric GS2020A1NZ1 Thyristor GS2000A Series Working Spare
17253 Tokyo Keiso SFC-M 4-Channel Flowmeter Signal Converter TEL Lithius Working Spare
17254 TEL Tokyo Electron Operator Display Panel P-8 Fully Automatic Wafer Prober Spare
17255 AMAT Applied Materials 9090-01168ITL Chuck Power Supply PX32J Rev. C Spare
17256 AMAT Applied Materials 9090-01165 Battery Backup PX32K Quantum X No Batteries
17257 Axcelis Technologies 697221 Power Supply GEMINI Working Spare
17258 Yaskawa XU-DV0804V Linear Motor Controller working Nikon NSR S204B
17259 Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Untested As-Is
17260 ICT 4916171 PMT+PRE AMP In-Lens Detector AMAT Applied Materials SemVision cX
17261 Plasma-Therm 4480159501 THNTD PCB Board PCB Rev. D Clusterlock 7000 Working
17262 Oriental Motor BXM6400-B1 Brushless DC Motor with Gear Head 6GH5K-D1 Spare
17263 ASML 4022.470.4321 Interface Module QPAB SVG Silicon Valley Group Working Spare
17264 Sunx SF1-A16 Light Curtain Emitter and Receiver Set SF1-A Series Working Spare
17265 Hermos TLG-I1-1000-S0-00-EB Transponder Set Antenna ANT-ID2-INFIXR6M No Cover
17266 AMAT Applied Materials 0190-24484 Transponder Set with Antenna 0190-10813 Spare
17267 Brooks Automation TLG-I2-AMAT-R1 Transponder Set with AMAT Antenna 0190-10813
17268 Yaskawa XU-DL1910 Motor Controller Nikon NSR S204B working
17269 AMAT Applied Materials 0190-22570 Transponder Set with Brooks Antenna ANT-2K15
17270 Brooks Automation TLG-I2-AMAT-R1 Transponder Set with Antenna ANT-2K15 Spare
17271 Hermos TLG-I1-AMAT-R1 Transponder Reader with Brooks Antenna ANT-2K15 Spare
17272 Hermos TLG-I1-AMAT-R1 Transponder Reader with Antenna ANT-2K15 Working Spare
17273 Hermos TLG-I1-AMAT-R1 Transponder Reader ASC-I1 TLG-RS232 Working Spare
17274 Varian 013-4037-1 Interferometer 13-3948 KBr Beamsplitter Assembly Untested
17275 RKC Instruments 6-39-04458 Resistance Thermometer AWPFA RBS-8244-5 Lot of 2 New
17276 Nihon Koshuha HFS-010A-H-2 EC2 Antenna Bias RF P/S Generator Hitachi M-712E
17277 AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC Working Spare
17278 AMAT Applied Materials 0040-03612 Body Assembly Copper Cu Exposed Refurbished
17279 Sony DPR-LS23 Control Board 4S019-117 Working 1-681-861-12 Nikon NSR-S204B
17280 AMAT Applied Materials 0021-15605 300mm Upper Exhaust Shield Copper Refurbished
17281 AMAT Applied Materials 0190-22570 Transponder with Antenna 0190-10813 Spare
17282 Novellus Systems 02-107939-00 Industrial Computer PA7800 COMP P166/64 24V Spare
17283 Hamamatsu Photonics C8849-13 Power Supply for Zenon and Mercury-Zenon Lamp Spare
17284 Shimadzu EI-R04M (T1) Turbomolecular Pump Controller TMP Turbo 2L11-000004-V1
17285 Shimadzu EI-D3403M (T1) Turbomolecular Pump Controller TMP Turbo 2L80-000684-V1
17286 Galil Motion Control DMC-8240-F 4 Axis Female PCB Card Equipe 2002-0120 Working
17287 Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-0033
17288 Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-0033
17289 MCSI Technologies 71300901 Robot CPU Controller PCB Card Equipe 2002-0103 Spare
17290 Equipe Technologies 2002-0142-022 Robot I/O Control Board PCB Card Spare
17291 TDB001 – Rudolph Technologies F30 – Hard Drives & Manual – Box 1/14
17292 TDB001 – Rudolph Technologies F30 – Reclean Block – Crate 13/14
17293 TDB001 – Rudolph Technologies F30 – IFB – Crate 9/14
17294 TDB001 – Rudolph Technologies F30 – Mounter 1 – Crate 11/14
17295 Shinko Electric BX80-070280-11 Robot SBX92100217 TEL T-3044SS Missing Arm As-Is
17296 Yaskawa XU-RC350D-C51 Dual Arm Wafer Handling Robot with Missing Arms As-Is
17297 Sinfonia BX80-000180-V1 Dual Arm Wafer Handling Robot with Missing Covers As-Is
17298 Mitsubishi RV-E14NHC-SA06 Robot Set with Controller CR-E356-S06 and End Effector
17299 Vicor MX3-410505-33-EL Power Supply 4kW MegaPac 97123182 Working Spare
17300 Nikon NSR-S204B Control Board IFIOPIF 4S013-355 Working 4S015-227 NK8601A
17301 Nikon NSR-S204B Control Board IFIOPIF 4S013-355 Working 4S015-227 NK8601A
17302 Panasonic MSMA041A42 AC Servo Motor with Gearhead MSMA041A1F Working Spare
17303 Cosel PBA600F-24-F4 Switching Power Supply Reseller Lot of 2 Working Surplus
17304 Kawasaki 3NS420B-A004 Dual Arm Wafer Transfer Robot Nanometrics 300mm FLX Spare
17305 TEL Tokyo Electron NJD-8108/8706 Fuse Board PCB 3546P10021-A (1/2) PWB1 Working
17306 TEL Tokyo Electron NJD-8108/8706 Fuse Board PCB 3546P10021-A (1/2) PWB1 Working
17307 Fujitsu PA25135-B07204 Power Indicator PCB Card PA20135-B07X PDSTL0-A Working
17308 Fujitsu PA03585-D310 Single Board Computer SBC PCB Card PDSTLCS-A TEL AM100
17309 Fujitsu PA03585-D321 Single Board Computer SBC PCB Card PDSTLCF-A TEL AM120-1
17310 Fujitsu PA03585-D320 Single Board Computer SBC PCB Card PDSTLCF-A TEL AM120-2
17311 Fujitsu PA20135-B06X Back Plane PCB PDSTLBS-A PA25135-B06206 Working Spare
17312 Kawasaki 30C61E-B008 Robot Controller Nanometrics 300mm FLX Series Working Spare
17313 Objective Solutions E2384-E2-1100 2-Port Embedded Controller EasyE23/E84 Working
17314 Kawasaki 50979-2737L01 Robot Cable Set 50979-2738L01 Nanometrics 300mm FLX Spare
17315 Supermicro X5DPL-8GM/X5DPL-iGM Industrial Computer Nanometrics FLX Spare
17316 Nanometrics 9062-018221 Power Supply Assembly PA-1319 FLX Series Working
17317 Fortrend Engineering SFI Series Robot Set – Robot 155-014142-001
17318 Fortrend Engineering SFI Series Robot Set – Controller 145-013349-003
17319 VAT 15040-PA24-0002 Pneumatic Gate Valve Reseller Lot of 3 Untested As-Is
17320 AMAT Applied Materials 9090-01246 Beam Current Measurement Module RX/TX Working
17321 Schaffner FN 258P-75-34 Book-style EMC/RFI Filter Reseller Lot of 2 Working
17322 A&N 1539-S-N Vacuum Pneumatic Valve AMAT Applied Materials 0190-08958 Working
17323 Yokogawa DR1200A00 DD Servo Actuator *1C/00S0542 TEL Tokyo Electron ACT12 Spare
17324 VAT 64040-PE52-0006 Control Gate Valve with Stepper Motor Actuator Working Spare
17325 Edwards DN 200 6″ ISO-F Pneumatic Gate Valve No Copper Working Spare
17326 SMC US22532 Slit Valve Pneumatic Cylinder AMAT 0040-50505 Gate 0040-41892 Spare
17327 Opto 22 001788L Digital Brain B4 Board PCB Card Working Spare
17328 SCP Santa Clara Plastics KDM-1609303-52 8″ Elevator COMB Board .25″ Pitch PCB
17329 TEL Tokyo Electron 3D05-150048-V1 DEPO INNER-QZ-U-F SPO New Surplus
17330 TEL Tokyo Electron PRA X AXIS ASSY Robotics Arm Clean Track ACT12 200mm Spare
17331 TEL Tokyo Electron PRA X AXIS ASSY Robotics Arm Clean Track ACT12 300mm Spare
17332 TEL Tokyo Electron PRA PINCETTE ASSY 2985-432270-W1 FORK 2910-207761-11 200mm
17333 Hitachi High Technologies 3-A00357-90 Quartz Sleeve Flame Edge M71 New Unlisted
17334 Hitachi High Technologies 2-A04848-90 Cover Ring Chamber New Unlisted
17335 Hitachi High Technologies 2-F00479-90 EPS Electrode Cover New Unlisted
17336 Hitachi 2-A05752-90 Quartz Electrode Cover New Surplus
17337 Edwards A386-11-00 CDP A528-19-000 QDP Exhaust Silencer Surplus
17338 Lam Research 02-159684-00 15″ Heater Pedestal PED Assembly Novellus Used Surplus
17339 AMAT Applied Materials 0020-29851 8″ Arc Spray Pedestal Pentagon Technologies New
17340 Applied Ceramics 9701-4326-004-B Lower Shims End Effector New
17341 VAT 0311-LA24-AKV1/0209 Rectangular Insert MONOVAT Classic 3870-90467W New
17342 AMAT Applied Materials 0010-26724 10-2 IN RF Coil Tetra II New
17343 AMAT Applied Materials 0750X-UE24-AAL7/0497 Slit Valve Used Surplus
17344 AMAT Applied Materials 0010-14682 Throttle Valve Universal Drive 300mm New
17345 AMAT Applied Materials 9010-0853 Quantum II Extraction Assembly Used Surplus
17346 Novellus 02-408299-00 Robot Controller Used Surplus
17347 VAT 65040-PA52-ALV1/0610 Pendulum Throttle-Gate Valve Copper Exposed
17348 VAT 65040-PA52-AWV1/0461 Pendulum Throttle-Gate Valve Copper Exposed
17349 Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working
17350 Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working
17351 Nikon BD Plan 20/0.4 Microscope Objective 210/0 OPTISTATION 3 Used Working
17352 Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B
17353 TEL Tokyo Electron PRA PINCETTE ASSY 2985-410519-W1 FORK 2910-202413-12 300mm
17354 TEL Tokyo Electron PRA PINCETTE ASSY 2985-410519-W1 FORK 2910-202413-12 300mm
17355 AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Reseller Lot of 2
17356 AMAT Applied Materials 0150-19828 Cable Assembly Polisher to Desica Cleaner New
17357 AMAT Applied Materials 0190-22157 Robot Teach Box Mirra Reflexion 300mm Working
17358 AMAT Applied Materials 0190-22157 Robot Teach Box Rev. 002 Reflexion 300mm Spare
17359 Kawasaki 50607-1223 Robot Controller 60819-2158 PY2B015K0XXVP02 Working Spare
17360 Kawasaki 30C61D-B003 Robot Master Controller CMP WET AMAT 0190-10319 Working
17361 AMAT Applied Materials 0040-82532 300mm Reflexion End Effector 0010-11719 New
17362 AMAT Applied Materials 0190-08784 Teach Pendant Kit Brooks 110976 TTR-200 300mm
17363 AMAT Applied Materials 0040-82532 300mm Reflexion End Effector 0010-11719 Spare
17364 PRI Automation ATM Robot Cable Set of 2 2002-0011-07PCE 2002-0012-07SCE Working
17365 Nikon BD Plan 10 0.25 Microscope Objective 210/0 OPTISTATION 3 Used Working
17366 Kawasaki 50979-2388L02 Panel Interface Cable AMAT Applied Materials 0190-08517
17367 Kawasaki 50979-2404L01 Robot Signal Cable AMAT Applied Materials 0190-08519
17368 Kawasaki 50979-2403L01 Robot Motor Cable AMAT Applied Materials 0190-08518 Spare
17369 AMAT Applied Materials Robot Teach Box 0140-02984 0140-02986 0140-03084 Set of 3
17370 Mitsubishi Electric HC-MF23BK-UE AC Servo Motor 200W Working Spare
17371 Mitsubishi Electric HC-MF23-UE AC Servo Motor 200W Working Spare
17372 Cleveland Motion Controls JGHT-5961-1 PM Servo Motor C2-0550-DM-0500 70018790
17373 DNS Dainippon Screen DS-1608-TR (B) I/O Module PCB 16/16 FC-3000 Used Working
17374 Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working
17375 Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working
17376 PRI 6-0002-0706-SP 300mm Robot WTM511-2-FWS02V1-CU AMAT 0195-02883 Refurbished
17377 PRI 6-0002-0705-SP 300mm Robot WTM511-2-FWS02-V1 AMAT 0195-02882 Refurbished
17378 PRI 6-0002-0705-SP 300mm Robot WTM511-2-FWS02-V1 AMAT 0195-02882 Brooks Working
17379 Kawasaki TS310A-D524S Indexer Handling Unit Robot Bent Sensors Untested As-Is
17380 Kawasaki TS310A-D524S Indexer Handling Unit Robot Working Surplus
17381 Mitsubishi BU158B434G52 PCB Card E31SFT Robot Controller CR-E356-S06 Working
17382 Mitsubishi BD626A997G52 PCB Card E331HND Robot Controller CR-E356-S06 Working
17383 Mitsubishi BD626A990G52 I/O PCB Card E31IO Robot Controller CR-E356-S06 Working
17384 Nikon NSR S204B LDV Tank Assembly working
17385 Mitsubishi BU158A367G53 PCB Card E31SC BU158B407G52 E31SM CR-E356-S06 Working
17386 Mitsubishi BU158A362G53 CPU Backplane PCB E32CPU Robot Controller CR-E356-S06 Working
17387 Mitsubishi BU158A358G53 Power PCB E33P15 Robot Controller CR-E356-S06 Working
17388 Hitachi BBE2-01 Interface Board PCB M-712E Shallow Trench Etcher Working Spare
17389 Mitsubishi BU158A386G53 Relay PCB E34CN Robot Controller CR-E356-S06 Working
17390 Mitsubishi BU158A351G53 High Voltage PCB Assembly E32AM 1 CR-E356-S06 Working
17391 Mitsubishi BU158A351G53 High Voltage PCB Assembly E32AM 2 CR-E356-S06 Working
17392 Mitsubishi BU158A351G53 High Voltage PCB Assembly E32AM 4 CR-E356-S06 Working
17393 Mitsubishi BU158A351G53 High Voltage PCB Assembly E32AM 5 CR-E356-S06 Working
17394 Motorola MVME 147-023 Single Board Computer PCB Card TEL 3286-000516-12 P-8 Used
17395 Motorola MVME 147-023 Single Board Computer PCB Card TEL 3286-000516-12 P-8 Used
17396 Tokyo Keiso SFC-M 10-Channel Flowmeter Signal Converter TEL Lithius Working Spare
17397 AMAT Applied Materials 0190-22157 Robot Teach Box Kit 0040-34505 Reflexion New
17398 AMAT Applied Materials 0010-11719 300mm Reflexion Robot End Effector New Surplus
17399 AMAT Applied Materials 0020-63120 FI Outriggers Rectangular Load Plate New
17400 AMAT Applied Materials 0020-64580 PC Clean Cup Adjust Tool New Surplus
17401 Mitsubishi MELFA RV Series Robot Cable Set of 2 1E-2CBL-N(P) 1E-2CBL-N(S) Spare
17402 Tokyo Keiso SFC-M 8-Channel Flowmeter Signal Converter TEL Lithius Working
17403 Tokyo Keiso SFC-M 5-Channel Flowmeter Signal Converter TEL Lithius Working
17404 Cognex Visioncard VME Board 3281-000124-1 Working TEL P-8
17405 Tokyo Keiso SFC-M 3-Channel Flowmeter Signal Converter TEL Lithius Working
17406 Pall PHDC1H23 PhotoKleen Photoresist Filter Dummy Capsule Lot of 5 TEL Lithius
17407 Pall PHDC2H23(A) PhotoKleen Photoresist Filter Dummy Capsule TEL Lithius Working
17408 Pall PHDC1H23(A) Photoresist Filter PhotoKleen Dummy Capsule TEL Lithius Working
17409 Yamatake CME0451 Pneumatic Valve N2 Air 20L/min TEL Tokyo Electron Lithius Spare
17410 MKS Instruments 622B01TBE Baratron – TEST
17411 627D.1TBD1B Baratron – TEST
17412 SMC INR-497-022A-X004 Dual Channel Thermo Chiller Tested Working
17413 TEL ACT 12 300mm Rinse Bath CT2910-304205-11 working
17414 KLA-Tencor Dual Driver Module WBI 300 (COB) 300mm Wafer ASD36A-K V6098VF Working
17415 Chromasens WBI-Scanmodul COB Wafer Stage CP 00055 KLA-Tencor 11020618106000 Cu
17416 ASTRON e/ex MKS Instruments AX7685-87G Plasma Source AMAT 0190-62795 ASTeX As-Is
17417 ASTRON i MKS Instruments AX7670-(19)64 Remote Plasma Source ASTeX Tested Working
17418 Schott 20800-118 Fiber Optic Light Source DCRIII ACU-GAGE EKE Tested Working
17419 Acu-Gage Systems 11D12377 Trackball Joystick View 1200 with Cable 11B12169 Spare
17420 Advanced Motion Controls 12A8K Brush Type PWM Servo Amplifier Working Spare
17421 Optimet 3Z91003 Controller Unit EC-1000 Acu-Gage Systems View 1200 Working Spare
17422 Metronics 11D12400 QC5000 Axis Motor Board PCB Card Acu-Gage 1200 Working Spare
17423 Acu-Gage Systems Camera Zoom Box View 1200 3-Axis CMM Working Spare
17424 Acu-Gage Systems Inspection Camera Assembly JAI CM-50 ACU1001 View 1200 Spare
17425 Aerotech 1035-01-1004-02 Permanent Magnet Servo Motor 1000DC Acu-Gage View 1200
17426 Dynetic Systems 220035A D.C. Servo Motor Acu-Gage View 1200 CCM Working Spare
17427 Panasonic MSMA041A1F AC Servo Motor MSMA041A43 Working Spare
17428 KLA Instruments 006-657857-01 Joy Stick and Drive Assembly 2132 KLA-Tencor Spare
17429 HP Hewlett-Packard 33365K Multi-Port RF Coaxial Switch Working Spare
17430 TEL ACT 12 300mm Motor Flange Assembly CT2985-490048-W8 MTR. FLANGE ASSY working
17431 Orchid Technology 830-0135-1/C Video PCB Card KELVIN 64-VLB KLA 2132 Working
17432 KLA Instruments 710-653699-20-00 MIB PCB Card Rev. A/O 2132 KLA-Tencor Working
17433 KLA Instruments 710-653699-20 MIB PCB Card Rev. A3 2132 KLA-Tencor Working
17434 SMC PN4476 Communications PCB Card KLA Instruments 730-656503-00 2132 Working
17435 Tecmar 941302 PCB Card KLA Instruments 23663-001 2132 KLA-Tencor Working Spare
17436 KLA Instruments 710-652697-20 AVC PCB Card 73-652696-00 2132 KLA-Tencor Working
17437 SMC 61-600406-003 Elite16 EtherCard PCB Card KLA Instruments 2132 Working
17438 Todd Products MAX-504-1212AF Power Supply Working Spare
17439 Jeta Power Systems A501-1ADE Power Supply KLA Instruments 2132 Working Spare
17440 ACDC Electronics RT102-1 Power Supply Astec KLA Instruments 2132 Working Spare
17441 TEL Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working
17442 TEL Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working
17443 TEL Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working
17444 Astec 080-25862-009 Power Supply Powertec 9R5-600-381-EF-3 KLA 2132 Working
17445 EST500WN Ebara EST500WN-HN Dry Vacuum Pump Tested Refurbished
17446 TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used
17447 TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used
17448 TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used
17449 TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used
17450 TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used
17451 TEL ACT12 300mm 2910-205326-11 Base Under Cup 2910-205327-11 Upper Cup Base
17452 TEL ACT 12 CT2985-406661-W3 Left Arm Nozzle Assembly CT2986-401651-W2 working
17453 TEL Tokyo Electron 3281-000148-13 PCB LST-2 3208-000148-11 P-8 Working
17454 TEL Tokyo Electron 3281-000080-12 I/O PBC TVB9003-1/316 Used Working
17455 TEL ACT 12 CT2985-406653-W3 Right Arm Nozzle Assembly CT2986-401643-W2 working
17456 TEL Tokyo Electron 3281-000131-12 PCB Interlock Cont P-8I 3208-000131-11 Used
17457 TEL ACT 12 300mm Develop Process Station Nozzle Bath Assembly CT2985-412389-W1
17458 TEL Tokyo Electron 3281-000085-11 PCB Pincette Base 3208-000085-11 P-8 Used
17459 TEL Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used
17460 TEL Tokyo Electron 3208-000083-11 PCB Indexer Interconnect 3281-000083-11 Used
17461 Bio-Rad Y5301267 AIMS DC Servo Board PCB Card Quaestor Q7 Used Working
17462 TEL Tokyo Electron 3281-000088-12 Y Interconnect 2 PCB 3208-000088-12 P-8 Used
17463 TEL Tokyo Electron 3281-000088-12 Y Interconnect 2 PCB 3208-000088-12 P-8 Used
17464 TEL Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used
17465 TEL Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used
17466 Sanyo Denki PMM-BD-5705-1 Servo Driver Pentasyn TEL Tokyo Electron P-8 Used
17467 TEL Tokyo Electron 2985-406656-W1 DEV SCAN (12R) ASSY 300mm Right Used
17468 TEL ACT 12 300mm Develop Process Station Air Valve Assembly Untested AS-IS
17469 RadiSys 002-1-23158-100 PME SIO-1 PCB Card Bio-Rad Quaestor Q7 Used Working
17470 TEL Tokyo Electron 2985-413485-W1 300mm Dev. Cup Assembly 2985-413522-W1 Used
17471 TEL ACT 12 300mm CT2985-406657-W1 DEV SCAN (12L) ASSY Motor Scan Assembly (Left)
17472 TEL ACT 12 300mm CT2985-415077-W2 SPIN SHUTTER (12-L) ASSY working
17473 TEL ACT 12 300mm SMC Air Cylinder CT024-012243-1 CT024-012244-1 Pair working
17474 AMAT Applied Materials 0100-11003 Stepper Drive Board Rev. C Working P5000
17475 RGI Raster Graphics Inc 6000700-09A VME Board RG700 Quaestor Q7 Used Working
17476 AMAT Applied Materials 0100-70019 Controller Distribution WPS Board Rev. A Used
17477 Bio-Rad 8946-001 VME/Overlay Interface PCB Quaestor Q7 Used Working
17478 TEL ACT 12 300mm set of 4 sliding doors Develop Process Station Tokyo Electron
17479 TEL ACT 12 300mm Cup Base Assy. CT2985-461034-W1 working CT2985-461032-W1
17480 TEL Tokyo Electron 2985-469941-W1 Process Block Robotics Arm X-Axis ACT12 Used
17481 TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working
17482 TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working
17483 TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working
17484 TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working
17485 TEL Tokyo Electron CT2986-401661-W4 300mm ACT Develop Process Station Assembly
17486 TEL ACT 12 300mm CT2985-403941-W1 Rinse Resist Arm Assembly working
17487 Nikon 2S020-061 Optistation PCB 2S701-009 Used Working
17488 Nikon 2S700-583-1 Optistation PCB Control Board 2S014-036-4 Used Working
17489 Nikon 2S700-583-1 Optistation PCB Control Board 2S014-036-4 Used Working
17490 KLA-Tencor CRS1010 Laser Power Board 0046591-000
17491 Nikon PSM-11520 Optical Fiber Light Source Optistation 3 200mm Used Working
17492 Asyst 03365-008 200mm Wafer Indexer Nikon OPTISTATION 3 Used Working
17493 Asyst 03365-008 200mm Wafer Indexer Nikon OPTISTATION 3 Used Working
17494 Nikon 200mm Wafer Prealigner Assembly 2S013-076 Optistation 3 Used Working
17495 Nikon 200mm Wafer Handler Assembly Optistation 3 Inspection System Used Working
17496 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working
17497 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working
17498 Optem International 29-60-02 Fiber Optic Illumination Source Lot of 4 Used
17499 Nikon Optistation 3 200mm Keyboard Console 2S070-031 working 2S700-386
17500 Nikon Optistation 3 200mm Wafer Transfer  System SST55D3C020 V100E1 Used Working
17501 Nikon Optistation 3 200mm Wafer Transfer  System SST55D3C020 V100E1 Used Working
17502 Lam Research 853-012350R002 Outer Gate Assembly Rev. H 4420 Etcher Used Working
17503 LAM Research 4420 Tri-Convectron Interface Assembly 853-017620-001 working
17504 LAM Research 4420 Solenoid Tray Assembly 853-013610-001 Rev.C  working
17505 Force Computers SASI Board 810-017035-001 Rev. B  Working LAM 4420 880-12536-101
17506 Lam Research 810-017031-2 ADIO PCB Board Rev. 2 Rainbow 4420 Used Working
17507 Force Computers 310004 PCB Card SYS68K/SIO-2 LAM 4420 Rainbow Used Working
17508 KLA-Tencor CRS1010 Lens Filter Assy. 000056 working
17509 Lam Research 810-017012-001 Heartbeat PCB Board Rev. D Used Working
17510 Force Computers 880-12535-101 VME PCB Card Lam 810-017038-002 4420 Used Working
17511 LAM Research 4420 Stepper Motor Driver Board 810-017016-1 Rev. B Working
17512 KLA-Tencor 001003T Fast Z Controller PCB Rev. 06 CRS1010 Used Working
17513 LAM Research 4420 Gap Drive Control Assembly 852-017350-003-3-C508 working
17514 LAM Research 4420 852-017500-003-6-C220 CRT-Keypanel Chassis Assembly Working
17515 LAM Research 4420 852-017500-001 CRT-Keypanel Chassis Assembly working
17516 Lam Research 853-012550-001-D Wafer Shuttle Assembly Used Working
17517 Lam Research 715-130092-008 End Effector 200mm Wafer Rev. 2 Used Working
17518 LAM Research 715-130080-008 200mm End Effector Rev. BC Used Working
17519 LAM Research 4420 852-011201-581-E1-230 Exit Loadlock Bottom View Assembly as-is
17520 LAM Research 715-12165-8 B 200mm End Effector Used Working
17521 LAM Research 715-12165-8 B 200mm End Effector Used Working
17522 AP Tech Valve AP1001S Working 839-014929-800 Rev. D LAM 4420
17523 LAM Research 4420 852-0112200-500-E1-230D 853-012123-500-E1-230 Harmonic Arm
17524 AP Tech Valve AP1001S Working 839-014911-901 Rev. C LAM 4420
17525 Lam Research 715-011204-001 Harmonic Arm 852-011201-001-D Rainbow 4420 Used
17526 LAM Research 4420 852-0112200-003-E 853-012123-001-G-230S Harmonic Arm Drive
17527 LAM Research Front EMO Box Assembly 852-017152-003 Rev. G Working  LAM 4420
17528 MKS Instruments 100997144 Isolation Valve Lam Research 839-013521-001 4420 Used
17529 LAM Research RF Switch Box Assembly 853-017163-101 Rev. 3 Working  LAM 4420
17530 LAM Research 4420 839-013514-001 Weldment, Exit Load Lock Vacuum Man. working
17531 LAM Research 4420 839-013513-001 Weldment, Entrance L.L. Vacuum Manifold working
17532 Lam Research 853-017163-001-G-262B RF Switch Box 4420 Rainbow Used Working
17533 KLA-Tencor CRS1010 Camera Lens Filter 002001
17534 LAM Weldment He Line Manometer Adapter 839-029227-100 Rev. C Working LAM 4420
17535 Millipore CMLA-21 Capacitance Diaphragm Gauge Lam Research 853-017643-003 Used
17536 Lam Research 713-013236-001 Outer Gate Exit Safety Cover Rainbow 4420 Used
17537 Lam Research Etcher Shuttle Assembly Cover 4420 Rainbow Used Working
17538 LAM 4420 Manometer Cover Box 714-013234-001 Rev. C Working
17539 LAM 4420 Left & Center RF Cover Plate 714-013218-001 Lot (4) 714-013219-001
17540 LAM 4420 Heated Interconnect Manifold Assembly 853-013575-002 Working
17541 LAM Research 4420 Upper Chamber Assembly Parts 839-011516-001 working
17542 GSI General Scanning Inc. Lumonics 311-15593-1 Control PCB KLA-Tencor Used
17543 Lam Research 852-011061-503-C-CHMAIN Lower Main Chamber 4420 Etcher Used As-Is
17544 LAM Research 4420 Upper Seal Plate Assembly 853-020936-001 working
17545 LAM Research 4420 Upper Chamber Assembly Parts 853-011117-001 010250C1-AO01E
17546 TEL Tokyo Electron MA02702 LCD PCB Board ORN-3B ACT12 Used Working
17547 Tylan General CDL-21S06 Pressure Sensor working
17548 Nikon Optistation Microscope Turret As Is
17549 KLA-Tencor 001003 Fast Z Controller PCB Rev. 5 CRS1010 Used Working
17550 TEL Tokyo Electron 3281-000148-12 PCB LST-2 Board 3208-000148-11 Used Working
17551 Nikon PCB Control Board SH-SNS 4S018-907 Used Working NSR-S307E
17552 Asahi Kogyosha F930 79 44 (3) I/F Interface Board PCB 36020230 Nikon NSR Used
17553 Nikon 4S019-262 Interface Control Board PCB IU-DRV2-X4B1 NSR-S307E DUV Used
17554 Nikon PCB Control Board 4S013-481 Used Working NSR-S307E
17555 Nikon Control Board PCB 4S019-058 IU-CTRL1 Used Working NSR-S307E
17556 Nikon Control Board 4S018-922-IU-DRV1-X4P Used Working NSR-S307E
17557 Asahi Kogyosha 36020167 Chamber I/F Board PCB Nikon NSR-S307E Used Working
17558 KLA-Tencor CRS1010 Optical PCB PWA E11-13209-7 Rev D working
17559 Asahi Kogyosha F930 79 43(3)A EX Intlock Board PCB 36020223A Nikon NSR Used
17560 Asahi Kogyosha F930 79 43(3)A EX Intlock Board PCB 36020223A Nikon NSR Used
17561 GSI Lumonics 003-3002009 Control PCB KLA-Tencor CRS1010 Used Working
17562 TEL P-8 Wafer Prober Panasonic Kuroda MSM021P2A Ball Screw Used Working
17563 TEL FA1006K501A Control Board Used Working 3281-000138-13 P8 Prober
17564 TEL FA1006K501A Control Board Used Working 3281-000138-11 P8 Prober
17565 Applied Materials AMAT 0100-91087 Sol/Fil EXT Interface Board Used Working XR80
17566 Applied Materials AMAT 0100-90650 Wheel Current Board Used Working XR80
17567 AMAT 0100-91145 Ar/O  Bleed+Charge Monitor Board Used Working XR80
17568 Applied Materials AMAT 0100-91086 Plasma Arc Current Board Used Working XR80
17569 Applied Materials AMAT 0100-91086 Plasma Arc Current Board Used Working XR80
17570 Applied Materials AMAT 0100-91086 Plasma Arc Current Board Used Working XR80
17571 AMAT Applied Materials 0090-90966 daq Mkll Type B Power Supply XR80 Used Working
17572 AMAT Applied Materials 0090-90966 daq Mkll Type B Power Supply XR80 Used Working
17573 AMAT Applied Materials 0090-90966 daq Mkll Type B Power Supply XR80 Used Working
17574 AMAT 0100-01032 Vacuum Control Interlock Board Used Working XR80
17575 AMAT Applied Materials 0100-90071 Cryo Pump Temp Monitor Board Used Working XR80
17576 Applied Materials AMAT 0100-90177 Rev.C Vacuum Control Drive Board Working XR80
17577 Applied Materials AMAT 0100-90177 Rev.C Vacuum Control Drive Board Working XR80
17578 KLA-Tencor CRS1010 PMT Preamp PCB 000276
17579 KLA-Tencor CRS1010 PMT Preamp PCB 000276
17580 AMAT 0100-00505 Vacuum Control Feedback Board Used Working XR80
17581 AMAT 0100-01351 Thermistor Gauge Controller Board Used Working XR80
17582 AMAT 0100-00504 Vacuum Control Feedback Board Used Working XR80
17583 AMAT 0100-01351 Rev. A Thermistor Gauge Controller Board Used Working XR80
17584 AMAT Applied Materials 0100-01033 Vacuum Control Interlock Board XR80 Used
17585 Ultrapointe 000134 Page Scanner Control PCB Rev. 06 KLA-Tencor CRS-1010 Used
17586 AMAT 0100-90178 Rev. J Vacuum Control Feedback Board Used Working XR80
17587 AMAT 0100-90178 Rev. J Vacuum Control Feedback Board Used Working XR80
17588 AMAT 0100-90706 Rev. B Vacuum Manual Control Board Used Working XR80
17589 AMAT 0100-90706 Rev. B Vacuum Manual Control Board Used Working XR80
17590 AMAT 0100-94037 Loadlock System Control Panel Used Working XR80
17591 AMAT Applied Materials 0100-90093 Ion Gauge Power Supply PCB Card XR80 Used
17592 KLA-Tencor CRS1010 SDP Frame Grabber PCB 000483
17593 AMAT 0100-90870 Rev. D 4 Phase Stepper Controller  Board Used Working XR80
17594 AMAT 0100-90025 Rev. Y 24V 1A Power Supply Board Used Working XR80
17595 AMAT 0100-91040 Rev. D Vacuum Manual Control Board Used Working XR80
17596 AMAT 0100-91040 Rev. D Vacuum Manual Control Board Used Working XR80
17597 AMAT 0100-90468 Rev. F Charge Voltage Board Used Working XR80
17598 Fusion Systems 264301 Power Supply Used Working M200
17599 Fusion Systems PWB 248401 Rev. F 8086 CPU Card Used Working
17600 Nikon 4S013-468 Interface Board PCB RM-I/FX4B NSR-S307E 200mm DUV Used Working
17601 Signal Tower Control Card PWB 238781 Rev. D Used Working
17602 Nikon NSR-S307E Linear Track Z-4B1A-A1601 working
17603 Nikon NSR-S307E  Z-4B1A-A1601 Power Supply Module 4S019-235 working 4S001-107
17604 Nikon NSR-S307E Elevator Working
17605 Nikon Z-4B1A-A1601 Cassette Elevator NSR-S307E working 4S013-467-CSTLNK3
17606 Fusion Semiconductor Wafer Handler Card PWB-43758 Rev. C Used Working
17607 Fusion Semiconductor Wafer Handler Card PWB-43758 Rev. C Used Working
17608 Fusion Semiconductor 249181 Dual Cassette Handler PCB Card Rev. E Used Working
17609 Acromag IP Carrier PCB ASML AVME9675-2 4022.470-6639 Used Working
17610 Philips ASML Motion Card 40224365035 Used Working
17611 Philips ASML Special Acquisition Card MVA2000 40224368254 Used Working
17612 Nikon NSR-S307E XY-Link Controller Board 4S013-414-XYRLNK working
17613 Panasonic MSDA4ACA1A04 AC Servo Driver Used Working
17614 Fusion Semiconductor 238401 Process Chamber Control Card PWB Assembly Used
17615 Nikon Opistation 3 Microscope Assembly working
17616 TEL Tokyo Electron 837 PRA Process Block Robotics Arm Assembly ACT12-200 Used
17617 CTI-Cryogenics 8001 Controller 8052001 lot of 2 untested as-is
17618 Module Controller
17619 Oriental Motor B1583-07120KBL AC Servo Driver VEXTA MAG LOT TEL Unity II Used
17620 Oriental Motor B1583-07120KBL AC Servo Driver VEXTA MAG LOT TEL Unity II Used
17621 Shimaden PAC27-Series Thyristor Power Regulator PAC27P315-02010N010 working
17622 Shimaden PAC27-Series Thyristor Power Regulator PAC27P315-02010N010 working
17623 Oriental Motor 4054-LD4 5-Phase Servo Drive Super Vexta Reseller Lot of 9 Used
17624 Semiquip LCAT200P-20001 200mm Cassette Alignment Tool Wafer AMAT Used Working
17625 Entegris 201-39-01 Manually Operated Diaphragm Valve New Surplus
17626 Lam Research 852-014681-583 RF Generator Cart 851-014680-583 Untested As-Is
17627 KLA-Tencor MMD Housing & Fan Assembly 0032113-00 Rev.AB working 0032839-001
17628 ATMI ESCA Difusion Furance Kit 233405641 new 233405043
17629 National Instruments GPIB-SCSI-A IEEE-488 SCSI Controller lot of 3 working
17630 Novellus 01-133875-00 SIOC Anneal Controller Digital Dynamics 02-134495-00 Used
17631 Novellus 01-133875-00 SIOC Anneal Controller Digital Dynamics 02-134495-00 Used
17632 Digital Dynamics 02-122156-00 sioc Chassis Mezzanine Novellus 01-133875-00 Used
17633 Pisco DMM-300 Fiber Film Type Drier Lot of 7 Used Working
17634 Pisco DMM-300 Fiber Film Type Drier Lot of 7 Used Working
17635 Digital Dynamics 02-134495-00 SIOC Anneal 4 Interlock Module Used Working
17636 Digital Dynamics 27-053660-00 sioc Power Supply Module Novellus Used Working
17637 Digital Dynamics 27-053659-00 sioc Controller Module Novellus Used Working
17638 KLA-Tencor 003928-000 SP1 Interface Assembly Used Working
17639 PRI Automation TRA035-LPS Track Controller KLA-Tencor 0014445-000 Used Working
17640 Irie Koken 1SV25M0 Angle Valve Lot of 10 Used Working
17641 EAD Motors LA23GCKA-2P KLA-Tencor SP1 Linear Actuator Assembly 740-772820-000
17642 Robitech 980-2300 Valve Module 681-0181-009 Lot of 7 Working
17643 Robitech 980-2300 Valve Module 681-0181-009 Lot of 7 Working
17644 Robitech 980-2300 Valve Module 681-0181-009 Lot of 7 Working
17645 Robitech 859-0944-002 Transducer Board PCB Rev. B Used Working
17646 Robitech 859-0944-002 Transducer Board PCB Rev. B Used Working
17647 ROBITECH 8 Valve Module 980-4800 Series P/N 980-4825 Working lot of 9
17648 Edwards Barocel Pressure Sensor 655AB Lot of 5 Used Working
17649 Valcom Pressure Switch RN-760Torr-2VAO-4TL7 Lot of 9 Used Working
17650 Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch Lot of 5 Used Working
17651 Bertan Power Supply PMT-10CN-3 179515 Rev. AC Used Working
17652 Nikon Power Board 45018-923 Used Working
17653 ASML WH SHB Interface Module 4022.470.5367 Used Working
17654 ASML WH SHB Interface Module 4022.470.5367 Used Working
17655 ASML SSD Module 4022.470.0445 Used Working
17656 Yokogawa DL3110B 12bit 25MS/s Digital Oscilloscope 7003-10 working
17657 Dalsa TA-L2-04K30-50E Lens Module Hitachi I-900SRT Lot of 2 As-Is
17658 Nemic-Lambda EWS100-24 DC Power Supply Lot of 3 Used Working
17659 Hitachi S-9300 Robot Pre-aligner Cables working
17660 Hitachi S-9300 Robot Pre-aligner Cables working
17661 Tec Izu Electronics VDBC0002202 Power Module PCB Card 4S001-108 Used Working
17662 Tec Izu Electronics VDBC0002202 Power Module PCB Card 4S001-108 Used Working
17663 Tec Izu Electronics VDBC0002202 Power Module PCB Card 4S001-108 Used Working
17664 Tec Izu Electronics VDBC0002202 Power Module PCB Card 4S001-108 Used Working
17665 Yaskawa VS2B Robot & Controller RC/ELC1 DDMQF-SR22321R Set Used Working
17666 Yaskawa VS2B Robot & Controller RC/ELC1 DDMQF-SR22321R Set Used Working
17667 Bio-Rad 35/006 Microscope Objective Quaestor Q7 Used Working
17668 Robitech 859-8067-004 Pneumatic Pressure Console Rev. B working
17669 Applied Materials STD PVD Degas Chamber Quartz Viewpoint Lid Assembly 0010-19026
17670 Applied Materials STD PVD Degas Chamber Quartz Viewpoint Lid Assembly 0010-19026
17671 Therma-Wave TP-420 Laser Power Supply 208V 20A Single Phase working
17672 AMAT Applied Materials ATM-20 Dual Laser Operator Terminal Endura Centura Used
17673 AMAT Applied Materials ATM-20 Dual Laser Operator Terminal Endura Centura As-Is
17674 Progressive Technologies Inc. Sentry S1510 Controller EMB51-15-5 working
17675 ASML 4022-436-1609 ASML Control Board working
17676 Bio-Rad Microscope Lens Filter Quaestor Q7 Used Working
17677 Kniel System-Electronic CPD 12.1 12V Power Supply Card ASML 4022.436.52151 Used
17678 ASML 4022-471-6615 Analog I/O Board 4022-471-4087 working
17679 Kniel System-Electronic CPD 3,3.10 3,3V Power Supply ASML 4022.436.57831 Used
17680 Kniel System-Electronic CPD 8.8/4 8V Power Supply Card ASML 4022.436.57841 Used
17681 ASML 4022.471.4648 PCB Control Card Used Working
17682 Kniel System-Electronic CPD 8.1,5/1 8V Power Supply ASML 4022.436.86882 Used
17683 ASML 4022-471-4635 ASML Bridge PCB working
17684 ASML 4022-471-5839 ASML Bridge PCB working
17685 ASML 4022-471-4069 ASML Bridge PCB working
17686 ASML 4022-471-4567 ASML Bridge PCB working
17687 ASML 4022-471-4643 ASML Bridge PCB working
17688 ASML 4022-471-4117 ASML Bridge PCB working
17689 ASML 4022-471-4108 ASML Bridge PCB working
17690 Bio-Rad 30/050 Microscope Objective Quaestor Q7 Used Working
17691 Sti MC4216-AC1 Light Curtain Controller Used Working
17692 Novellus RF Match 02-266279-00 Rev. C New
17693 ASML REM Extension Board 4022.436.6475 Used Working
17694 iNCAA Computers ASML Control PCB VME-VK22-5723 4022.470.2174 Used Working
17695 Kniel System-Electronic CP 8.2,5 8V Power Supply Card ASML 4022.436.72591 Used
17696 ASML 24V Power Supply 4022.436.79311 Used Working
17697 ASML Power Supply 4022.436.52151 Used Working
17698 Kniel System-Electronic CP 24.2,2 24V Power Supply Card ASML 4022.430.14761 Used
17699 ASML Power Supply 4022.476.01511 Used Working
17700 Nikon BMU-PWR PCB 4S018-808 Used Working
17701 Nikon BMU-DRV PCB 4S018-807 Used Working
17702 Nikon BMU-DRV PCB 4S018-807 Used Working
17703 Nikon BMU-CTRL PCB 4S018-806 Used Working
17704 Nikon BMU-CTRL PCB 4S018-806 Used Working
17705 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working
17706 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working
17707 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working
17708 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working
17709 ASML 5V Power Supply 4022.436.55291 Used Working
17710 ASML 24V Power Supply 4022.436.43051 314-009-04.12 Used Working
17711 ASML Interface PCB 4022.471.4533 Used Working
17712 ASML SO2 PCB 4022.471.4096 Used Working
17713 ASML Interface PCB 4022.471.5580 Used Working
17714 ASML Interface PCB 4022.471.4099 Used Working
17715 ASML Interface PCB 4022.471.5691 Used Working
17716 ASML Interface PCB 4022.471.5581 Used Working
17717 ASML Interface PCB 4022.471.4641 Used Working
17718 ASML Interface PCB 4022.471.4105 Used Working
17719 ASML Interface PCB 4022.471.7196 Used Working
17720 ASML Motor Interface PCB 4022.471.6817 Used Working
17721 ASML Bridge PCB 4022.471.4629 Used Working
17722 Motech 0090-91123 Voltage Clamp Unit  CD51/E AMAT XR80 Implant Used Working
17723 Motech 0090-91123 Voltage Clamp Unit  CD51/E AMAT XR80 Implant Used Working
17724 ASML Bridge PCB 4022.471.46371 Used Working
17725 ASML Bridge PCB 4022.471.4111 Used Working
17726 ASML Bridge PCB 4022.471.4633 Used Working
17727 ASML Bridge PCB 4022.471.7213 Used Working
17728 ASML Bridge PCB 4022.471.4102 Used Working
17729 ASML Bridge PCB 4022.471.42862 Used Working
17730 ASML Bridge PCB 4022.471.4639 Used Working
17731 ASML Bridge PCB 4022.471.5059 Used Working
17732 Mitsubishi PLC Programmable Controller FX0N-24MR-ES Used Working
17733 Mitsubishi PLC Programmable Controller FX0N-24MR-ES Used Working
17734 Mitsubishi PLC Programmable Controller FX0N-24MR-ES Used Working
17735 Tachikawa TC-Converter TC-2000K Lot of 3 Used Working
17736 Schlumberger C/H Comparator Board 97911054 Rev. 4 Used Working
17737 Applied Materials 25′ KVM Harness Assembly 0140-14384 Lot of 3 New
17738 MRC Eclipse Star Drive Assembly A126257 Used Working
17739 MRC Materials Research A114569 Index Assy. Rev. A Eclipse Star Incomplete As-Is
17740 CTI-Cryogenics 8107814G003 Cryopump CRYO-TORR 8F MRC Eclipse Used Working
17741 MRC Eclipse Star Plenum Chuck Assembly 25438-2100 Used AS-IS
17742 Key GV-6AP-4010780 Gate Valve Throttle Valve Assembly MRC A112990 Used Working
17743 MRC Eclipse Star Power Supply Module A121208 Used Working
17744 MRC Materials Research Corp 883-87-00 PCB Board Eclipse Star Used Working
17745 Stearns MRC Eclipse Star Drive Assembly 2-12-1807-00-AEH Used Working
17746 AMAT Applied Materials 0100-90533 Rev. C Beamline Vacuum Control Panel Used Working
17747 Inficon H200M Transpector Residual Gas Analyzer Untested As-Is
17748 MRC Materials Research 885-11-000 Analog Process PCB POS. 3 Rev. D Eclipse Used
17749 MRC Materials Research 884-53-000 Transfer Arm PCB Rev. E Board Eclipse Used
17750 MRC Materials Research PBA 115970-008 Multibus PCB Card Eclipse Used Working
17751 MRC Eclipse Materials Research Corp. PBA 618793-002 Control PCB PSBC221S working
17752 Opto 22 PB32P2 Relay Board 884-15-000 MRC Materials Research Eclipse Star Used
17753 MRC Materials Research Corp A115355 Power Box Eclipse Used Working
17754 Opto 22 PB32P2 Relay Board PCB ODC5Q MRC Materials Research Eclipse Star Used
17755 IKO Nippon Thompson TSL220-600/A10E583 Robot Track Hitachi S-9300 Used Working
17756 Orion Machinery ETM832A-DNF-L-G3 Pel Thermo Power Supply 3000W 1-Phase 208V Used
17757 Komatsu Electronics 20000620 Heat Exchanger Power Supply GR-712-1 Working Spare
17758 Komatsu Electronics 20000620 Heat Exchanger Power Supply GR-712-1 Working Spare
17759 DNS Electronics SLAM Etch Tank Module DS-1211 Used Working
17760 Iicon Series C ID-1561 15-inch Industrial Monitor 05-1620-00 Rev. C working
17761 DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working
17762 DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working
17763 Nikon 4B023-312-2AN Guide Assembly working
17764 Leybold 307285-2002-F System Controller working
17765 Leybold 307285-2002-F System Controller working
17766 Bison 011-336-2011 DC Gearmotor 32-999-2904-003 lot of 2 working
17767 Siemens SITOP Power 2 Supply 6EP1 331-1SL11 Used Working
17768 Siemens PLC Relay 230RCLB11 6ED1 053-1FH00-0BA2 Used Working
17769 Celerity 9240-03580 Mass Flow Controller Argon Bleed Assembly working
17770 Fluke Digital Thermometer Indicator 2175A Used Working
17771 Tokyo Electron  ACT12  Interface Plate SMC INR-244-233B
17772 Hitachi Wafer Prealigner S-9300 CD Scanning Electron Microscope System Used
17773 MRC Eclipse Star Chamber Assembly A120946 Used Working
17774 Particle Measuring Systems Particle Counter AM-24-1.0-(3) Used Working
17775 Particle Measuring Systems Particle Counter AM-24-1 Used Working
17776 Acumen 10019 Vision Card Rev. E PCB TEL Tokyo Electron P-8 Used Working
17777 MKS Instruments 152-1063P Pneumatic Angle Valve Used Working
17778 NTI Network Technologies KEEMUX-P2 2-port Video Switching KVM Splitter Used
17779 Network Technologies NTI KVM Switch VOPEX-2KIM-A Lot of 4 Used Working
17780 Network Technologies NTI KVM 2-Port Switch VOPEX-2KIM-A Lot of 5 Used Working
17781 AMAT Applied Materials 0100-00415 G2/G3 Protection and Delatch PCB Used Working
17782 NSK ESA-J2006AF3-20 Theta Axis Servo Drive ESA Used Working
17783 NSK ESA-J2006AF3-20 Theta Axis Servo Drive ESA Used Working
17784 Omron Photoelectric Sensor Set Z4LC-S28 Used Working
17785 Keyence Photoelectric Sensor Set FS-V1, PS-T2, PS-49C Used Working
17786 SUNX SC-MIL SC-T8J FX-301B FT-WA8 Photoelectric Sensor Modules Lot of 4 Used
17787 Keyence Laser Scanner Reader BL-600HA Used Working
17788 Keyence Laser Scanner Reader BL-600HA Used Working
17789 Nikon NSR AVIS2 ERG Amp Module 4S587-011 Used Working
17790 ETEL DSB2P123-111E-000H Digital Servo Amplifier Working
17791 SVG Pacific Scientific Spindle Motor Controller 121-142H Used Working
17792 Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used
17793 Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used
17794 SVG Silicon Valley Group Coater Spindle Motor Controller 121-143K 90S DUV Used
17795 SVG Silicon Valley Group Coater Spindle Motor Controller 121-143K 90S DUV Used
17796 Keithley Matrix Card 7071 Used Working
17797 Keithley Matrix Card 7071 Used Working
17798 AMAT XR80 Implant Gap Servo Controller  0090-91251 ITL
17799 Nikon PZT Driver 4S061-686 Used Working
17800 Pacific Scientific 121-236 Servo Controller SC750 Rev. C SVG 90S DUV Working
17801 Pacific Scientific SC755A040-08 Servo Controller SC750 SVG 90S DUV Used Working
17802 Pacific Scientific SC755A040-08 Servo Controller SC750 SVG 90S DUV Used Working
17803 Sony UP-51MDU Color Video Printer RM-5500 working
17804 Sony UP-51MDU Color Video Printer RM-5500 working
17805 Motorola 84-W8347F01B Control Board MVME 147-023 Used Working
17806 Millipore WCDS000F4 Photoresist Dispense Pre-Dispense Controller Working Spare
17807 Novx Digital Workstation Monitor Series 6000 Used Working
17808 Invax Technologies ESC-9232B Electrostatic Chuck AM-END-500C-12SB New Surplus
17809 Komatsu KDP1320LE-1 Control Panel Used Working
17810 Invax Technologies Echuck PVD 300mm ESC-9232 New
17811 Hitachi Kokusai Denki Engineering U01200PMQA-DS1CE Ultrasonic Generator Used
17812 Koganei Vacuum Assembly SP1207W 4K191-631 Used Working
17813 Koganei Vacuum Assembly SP1207W 4K191-631 Used Working
17814 Schumacher Temperature Controller 1442-0002A TCU100 TLC Used Working
17815 Yaskawa Nikon NSR Motor Controller 4S061-666-1 CLSR-A504-N2SB Used Working
17816 JAE 4400-1100 6 Axis Vibration Measurement Unit Nikon 4S585-505 Used Working
17817 Nikon Pneumatic Air Module 17AC-1 Used Working
17818 SUNX Sensors SFI-AC Safety Sensor Box Used Working
17819 JAE 6 Axis Vibration Measurement Unit 4S586-750 JNP-004 Used Working
17820 Nikon PWM-DRVI Driver PCB 4S018-707-2A Used Working
17821 Nikon Control Module NVDI-48A Used Working
17822 Teradyne Interface PCB 950-944-02/04210 Used Working
17823 Teradyne Satellite Control PCB 950-818-05-9635 Used Working
17824 SMC AF3000-03-2R Regulator Filter Assembly AR2500-03G-R-X182 lot of 6 working
17825 Sanken Electric MLT-DCB0X5 DC Power Supply Used Working
17826 Yaskawa Electric SGM-02A312B AC Servo Motor TEL ID86-004116-13 Unity II Used
17827 Yaskawa Electric SGM-02A312B AC Servo Motor TEL ID86-004116-13 Unity II Used
17828 RECIF Technologies IDLW8-A9307 Computer Used Working
17829 Nikon 6S700-196-1 EX-XB PCB Printed Circuit Board working
17830 National Instruments Terminal Block BNL-2090 New
17831 Pacific Scientific Stepper Drive 6415-001-N-N-N Lot of 5 Used
17832 Pneutronics Air Interface Module 4962634A Used Working
17833 Nikon Interface PCB STGX32A 4S008-005-A Used Working
17834 Nikon 4S782-766 Stepper Controller Driver Used Working
17835 Nikon 4S782-766 Stepper Controller Driver Used Working
17836 CKD N3S010 Solenoid Valve Manifold N4S0-T50 0.2-0.7MPa 12VDC Lot of 18 Working
17837 Nikon 4S064-072 TDK MSE182G Power Supply Module RGW48-32R working
17838 CKD Solenoid Valve Manifold N4S0-T50 Lot of 7 Used Working
17839 CKD N4S0-T50 Solenoid Valve Manifold N4S0-Q lot of 15 N4S0-E working
17840 CKD N4S0-T50 8 Port Pneumatic Manifold N4S030 Solenoid Valve Lot of 4 Used
17841 CKD N4S0-T30 Solenoid Valve Manifold N3S010 Lot of 7 Used Working
17842 Horiba Advanced Techno HF-960M Concentration Monitor HF/HCl/NH3 Meter Working
17843 Horiba Advanced Techno HF-960M Concentration Monitor HF/HCl/NH3 Meter Working
17844 CKD N4S0-T50 14 Port Pneumatic Manifold N4S030 Solenoid Valve Lot of 5 Used
17845 Showa Optronics HeNe Laser GLG5414 Not Working AS-IS
17846 Nikon Link PCB 4S013-419-CSTLNK2 Used Working
17847 Screen Lifter Assembly FC-3000 New
17848 DNS Dainippon Screen CHCL Bath Controller Module FC-3000 Working Spare
17849 DNS Dainippon Screen CHCL Bath Controller Module FC-3000 Working Spare
17850 Taisei Denki TD-13659 Blue Power Transformer TE1B-720-EN-NF FC-3000 Used Working
17851 DNS Electronics FC-3000 Wafer Reader Module SCREEN New
17852 DNS Electronics FC-3000 630300367 LPD Module SCREEN FVR-E11 DS-1608-TR
17853 NOW Technologies Nowpack Level Monitor Control Box Lot of 3 Used Working
17854 Nikon Fostec 80951-0 Dual Slit Macro Illuminator lot of 2 working
17855 MKS Type 260 Power Supply 260PS-1 Used Working
17856 Sena Power Supply 2450DR MKII Used Working
17857 Keyence Light Curtain Base and Extensions PJ-V20T PJ-V21T Used Working
17858 Schlumberger Power Supply 715021420 Used Working
17859 RECIF Technologies Wafer ID Handler IDLW8 IDLW8-A9607 missing parts As-Is
17860 Nikon Lens Assembly J2744BB Used Working
17861 AMAT Applied Materials 0010-11228 300mm Magnet Assembly new
17862 TECO DES375-010-8 Pneumatic Board working
17863 Daifuku SCB-3618A Power Relay Board working
17864 Daifuku CCB-3600A Power Board working
17865 Compare Autocal 100-0090-01 Interface PCB working
17866 Motech AMAT XR80 Implanter Voltage Clamp Unit 0090-91598
17867 Integrated Measurement Systems 100-0091-001 Interface PCB lot of 2 working
17868 Saginomiya Refcon SNE-B100Q3 Temperature Controller working
17869 AMAT Applied Materials 0040-48435 300mm Upper Chamber Adapter new
17870 Applied Materials AMAT Single Zone Heater 300mm Kit 0242-17788 New
17871 AMAT Applied Materials 0010-24988 Shutter Garage Housing Assembly new
17872 AMAT Applied Materials 300mm XP Degas Reflector 0020-25373 new
17873 AMAT Applied Materials 0021-19152 Particle Ring new
17874 Diavac Limited LCAV-25H Pneumatic Angle Valve Reseller Lot of 10 Used Working
17875 SVG Silicon Valley Group 99-43012-01 Shuttle Transfer Arm working
17876 AMAT Applied Materials 300mm PVD Chamber Cryo-Shield 0021-16035 new
17877 AMAT Applied Materials 0020-53725 Cover, Clear Lid AFREOL new
17878 Applied Materials AMAT 0240-48741 300mm Legacy PMR Target Locking Kit New
17879 AMAT Applied Materials 0040-52495 300mm Bottom Chamber Adapter new
17880 Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working
17881 Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working
17882 Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working
17883 Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working
17884 Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working
17885 Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working
17886 Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working
17887 Ohaus Precision Digital Scale GT2100 Used Working
17888 Nikon AVIS-I/F2 PCB 4S007-787-2 Lot of 2 Used Working
17889 Nikon STGX3A PCB 4S007-945 Used Working
17890 Nikon NSR A-552 Interface PCB 4S007-865 Used Working
17891 Nikon NSR PLD1039 Interface Board 4S018-650 Used Working
17892 Nikon 4S013-310 Interconnect Fuse Board PCB BLX3 Reseller Lot of 2 Used Working
17893 Nikon PCB EX-AIS 4S007-843-F Lot of 2 Used Working
17894 Nikon X2A-STGA/D PCB AD676JD 4S007-902-1 Used Working
17895 Nikon LC-PRES2B PCB CD-154S60 4S080-627 Used Working NSR
17896 Nikon PCB AF-PSDX21 4S007-879-1 Lot of 2 Used Working
17897 Nikon LC-PRES2A PCB Missing Chip 4S080-626 Used Working NSR
17898 Nikon NSR FIAAF Process PCB 4S007-667-A Used Working
17899 Nikon IU-X2A AD676JD PCB 4S007-900-1K Used Working
17900 Nikon 4S007-668-A FIAAF Process B Board Used Working
17901 Kokusai SCOM2A PCB 87W19 D1E012238 Used Working
17902 SMC C92LADF63-100-D-A53L3 Double Acting Cylinder C9LA63-DA working
17903 SMC C92LADF63-100-D-A53L3 Double Acting Cylinder C9LA63-DA working
17904 Kokusai SCOM3A PCB D1E01225A Used Working
17905 Nikon FIAAF-TYUUKEI-A PCB 4S007-948 4S007-930 Lot of 2 Used Working
17906 TEL Tokyo Electron 2981-600652-W1 CSS #01 Board ACT8 Used Working
17907 Nikon AF-PSDX22-SUB PCB Card 4S007-994 4S007-994-1 Lot of 18 Used Working
17908 Nikon NK-C31D21 Interface PCB 4S015-130-1 Lot of 10 Used Working
17909 Laser Applications 9530 Laser Head untested as-is
17910 Matsusada PC-532a Power Supply Board Used Working
17911 Sti OF4172B-2 Light Curtain Controller 42614-0720 working
17912 ECI Technology Control PCB TQ501564-01 Used Working
17913 Johnson Controls D350AA-1 Display & S350AA-1 Stage Module lot of 14 working
17914 PRI I/O Station PCB BM26385 Used Working
17915 PRI Control Board BM10995 Used Working
17916 PRI Power Relay PCB BM18673L03RM Used Working
17917 PRI Power Relay PCB BM18673L05RN Used Working
17918 PRI Power Relay PCB BM18673L03RP Used Working
17919 PRI Power Relay PCB BM18673L05 Used Working
17920 PRI Power Relay Board BM18673L03R Working
17921 PRI Power Relay PCB BM18673L03RO Used Working
17922 Tokyo Electronic Industry Touch Screen Monitor BE6138A2 Used Working
17923 PRI Power Relay Board BM18673L05RO Used Working
17924 Asyst 04290-101 Load Lock Elevator Rev. 1 GaSonics 94-1119 Hine Design As-Is
17925 Bertan PMT-10C/N-3 High Voltage Power Supply working
17926 QI Queensgate Instruments NS2300D Controller 4S288-213-1 working
17927 Nikon 4L990-544AN Lens Sensor Assembly working
17928 Yaskawa XU-DL1510 Linear Drive Unit 4S064-340 working
17929 Nikon 4S082-592AN Guide Assembly working
17930 Queensgate Instruments NS2300A Controller Module 4S587-005 working
17931 KLA-Tencor 000056 Lens Filter Assembly Rev.A 347949 working 000057
17932 KLA-Tencor Lens Focus Assembly 374113 Used Working
17933 KLA-Tencor 347795 Lens Filter Assembly 347930 working
17934 Hamamatsu Photomultiplier Tube 4L991-092 H7608-01 Used Working
17935 Nikon CF Plan Apo 150x/0.90 Microscope Objective Optistation 7 Used Working
17936 Nikon Magnify Lens Assembly 4L990-453 Used Working
17937 Nikon 4L990-451 Magnifying Lens working
17938 Nikon NSR Magnifying Lens 4L990-429 Used Working
17939 Yamatake DMC50 Multi-loop Controllers DMC50CS lot of 2 DMC50M working
17940 Nikon 4L990-452 Magnifying Lens working
17941 Cutler-Hammer Eaton Comet Series 14102AS5170 Sensor lot of 13 working
17942 Nikon 4L990-253 Magnifying Lens used working surplus
17943 Nikon Encoder Assembly BM06607AB Used Working
17944 Schlumberger 740021410 DC Power Supply Rev.02 working
17945 HD Hokuto Denko HZ-3000 Automatic Polarization System working
17946 Applied Materials AMAT FEOL 300mm Gas Spring Install Tool Kit 0240-47188 New
17947 ASML 4022.472.0696 MCCB-2 Prodrive Board 4022.471.7605 working 6001-0301-6004
17948 Millipore W2501KP01 Teach Pendant Photo-250 Used Working
17949 ASML S02 Board 4022.471.5239 working
17950 Keyence PJ-V22R Light Curtain Receiver PJ-V21R working PJ-V20R
17951 Keyence PJ-V22T Light Curtain Transmitter PJ-V21T working PJ-V20T
17952 HPS 109410028 941 Cold Cathode Gauge M-511E Used Working
17953 HPS 109410028 941 Cold Cathode Gauge M-511E Used Working
17954 ASML 4022.471.7726 Power Board working
17955 AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Used Working
17956 ASML 4022.471.7729 Relay Board 4022.471.7951 lot of 2 working
17957 ASML CTMR Board 4022.471.5765 working
17958 ASML 4022.471.6850 S15 Relay Board 4022.471.6851 working
17959 ESA NSK Driver ESA-LYA2AF5-20 2980-19432-11
17960 ASML 4022.471.5616 Interface Board PCB Card S15 4022.471.56171 Used Working
17961 ASML 4022.471.5616 Interface Board PCB Card S15 4022.471.56171 Used Working
17962 ASML S06 Board 4022.471.5242 working
17963 ASML S03 Board 4022.471.7092 working
17964 Mitsubishi PLC Analog I/O Block FX1N-24MR-ESC/0L Used Working
17965 ASML Extender 06 Board 4022.471.5751 working
17966 Mitsubishi FXON-3A I/O Block FXON-24MR-ES Used Working
17967 Nikon 200mm Wafer Microscope Inspection Stage 2S700-534 OPTISTATION 3 Used
17968 SVG Silicon Valley Group 99-80205-01 SYS90 System I/O PCB Rev.C working
17969 STEC HC-100A Read Out Module HC-100 Lot of 4 Used Working
17970 Y7 Surger Control 1718-017A working
17971 Pureron Flow Meter DFM2-1000 Lot of 4 Used Working
17972 AMAT Applied Materials 0020-87128 300mm Tray Dome Controller new
17973 Hoya-Schott SD-330 AOFS Driver working
17974 Communication Specialties VGA Splitter TwinSplit 1035 Lot of 9 Used Working
17975 PRI Conkey ND 6′ RMV BM19467L08/99 Used Working
17976 VAT 26332-KA11-1001 Right Angle Isolation Valve Lot of 9 Used Working
17977 VAT 26332-KA11-1001 Right Angle Isolation Valve Lot of 9 Used Working
17978 Zellweger Non-Intrusive Calibrator Digi-Cat Lot of 14 Used Working
17979 Modus Instruments DA-4-05M-0-RR-14-003 Display Alarm Lot of 2 Used Working
17980 Modus Instruments DA-4-04M-0-RR Display Alarm Reseller Lot of 3 Used Working
17981 Modus Instruments DA-4-04M-0-RR-15-114 Display Alarm Reseller Lot of 5 Working
17982 VAT Angle Isolation Valve 62034-KA18-1005 26334-KA11-1001 Lot of 4 Used Working
17983 VAT 26332-KA71-1001 Angle Isolation Valve Lot of 5 Used Working
17984 Applied Materials AMAT 300mm Chamber Controller Cover Kit 0240-30959 New
17985 Applied Materials AMAT 300mm Chamber Controller Cover Kit 0240-30959 New
17986 AMAT Applied Materials 0010-07476 300mm Clear PVD Chamber Lid new
17987 AMAT Applied Materials 0010-08061 300mm Preclean Chamber Viewport Assembly new
17988 AMAT Applied Materials 0240-18644 Mainframe PVD/PC Chamber Mounting Kit new
17989 Applied Materials AMAT Analog I/O AIO PCB 0100-00546 Rev. 002 Used Working
17990 AMAT Applied Materials 0240-50175 Endura2 CVD Gasbox Dual Exhaust Kit new
17991 AMAT Applied Materials 0040-23526 300mm Slit Valve Door Plate New
17992 AMAT Applied Materials 0040-23526 300mm Slit Valve Door Plate New
17993 AMAT Applied Materials 0100-20458 PVD/IMP Chamber Interlock 0100-00574 Used
17994 Applied Materials AMAT Endura Clear Lid Kit 300mm 0200-03626 New
17995 Applied Materials AMAT 300mm PVD 32x .300 Magnet Ring 0040-85322 New
17996 Applied Materials AMAT 300mm PVD 32x .300 Magnet Ring 0040-85322 New
17997 National Instruments Bus Expander Isolator 181555-01 Rev. A4 Used Working
17998 National Instruments Bus Expander Isolator 181555-01 Rev. B4 A50732 Used Working
17999 Mitsubishi Freqrol-E500 FR-E520-0.75KN Inverter lot of 2 working
18000 Portwell SBC-SBX-VE SBC Single Board Computer PCB 216006980096 R0M0 Used Working
18001 AMAT Applied Materials 0130-01244 Smoke & Water Leak Detector PCB Rev.002 used
18002 Synergy Microsystems VGM2-E SBC Single Board Computer RGS2-B Used Working
18003 Synergy Microsystems VGM2-C SBC Single Board Computer RGS2-B Used Working
18004 Synergy Microsystems VGM2-D SBC Single Board Computer RGS2-B Used Working
18005 Edwards iNIM Network Interface D37310000 Used Working
18006 Hitachi VMPM-02N Control Board PCB Used Working
18007 Hitachi IOTC-02N Control Board PCB Used Working
18008 Kokusai Accuron CQ1501A Digital Direct Controller Vertron Used Working
18009 Kokusai Electric DN-130P(SA) Heater Over Temp Protection Unit Vertron Working
18010 MRC A116985 Eclipse High Voltage Assembly A118144 untested lot of 2 as-is
18011 Interface IBX-4142 Interface PCB working
18012 Sanyo MPX-CD92 9-Channel Multiplexer Used Working
18013 Sanyo MPX-CD92 9-Channel Multiplexer Used Working
18014 Hitachi Transfer Robot CR-8V Complete Internals Missing Cover Untested AS-IS
18015 Nikon KXG76830 Lamp Control Module 4S008-035 working
18016 Sti MC4216E-1-AC1-U-10X-10R-ESD Light Curtain Controller Used Working
18017 CKD FGL11-X0029 Leak Break Valve lot of 8 working
18018 Kokusai Vertron Exhaust Controller CX1204 Used Working
18019 Edwards D37420000 Local Control Module iTIM E73+A1+T1 Used Working
18020 Leybold 306396-2002-R System Controller working
18021 Oriel 60200 Recirculating Cooler working
18022 Oriel 7072 Detection System working
18023 Fairchild Analog Controller 97750537 Rev. 4 Used Working
18024 Tektronix Universal Digitizing Counter 73A-541 Used Working
18025 Leybold TM21 Vacuum Gauge Controller Thermovac Working
18026 Fuji Electric Inverter Drive FVR008K7S-2 Used Working
18027 Leybold Vacuum Pump Control Module 72142056 72142057 Used Working
18028 Tanaka Engineering Works Regulator 31WVU Lot of 8 Used Working
18029 Tanaka Engineering Works Regulator 31WVU Lot of 8 Used Working
18030 Applied Materials AMAT Thermal Lid 300mm 0041-24878 New
18031 Applied Materials AMAT Wafer Calibration Tool 4mm 0270-03972 New
18032 SEIKO Precision VP-4500 Thermal Video Printer working
18033 AMAT Applied Materials 0010-15413 Universal Lid Lift Assembly new
18034 TEL Clean Track Adapter Bottle CT5010-304265-11 Lot of 5 New
18035 Thermocoax Hot Plate HA04-0784022 Used AS-IS
18036 SMC Pneumatic Cylinder With Shaft US13394 Used Working
18037 Therma-Wave 18-010918 Power Supply Opti-Probe 2600B Used Working
18038 Pentagon Tech Shield Assembly API-1123 New
18039 SVG 90S Sensor Board Assembly 99-80207-02 Rev. E 99-80315-01 Used Working
18040 Zellweger Analytics Combustible Gas Sensor 00705-A-1733 Lot of 5 New
18041 Zellweger Analytics Combustible Gas Sensor 00705-A-1733 Lot of 5 New
18042 AMAT Applied Materials 0021-25668 300mm HTESC Shutter Disk Rev.003 new
18043 AMAT Applied Materials 0021-11486 300mm Shutter Disk Rev. 004 New
18044 AMAT Applied Materials 0021-11486 300mm Shutter Disk Rev. 004 New
18045 CTA-AN/620460155A2N Nippon Puretec Clealant Filter New
18046 CTA-AN/620460155A2N Nippon Puretec Clealant Filter New
18047 AMAT Applied Materials SIP TTN 300mm Adapter Kit 0240-62572 New
18048 Nippon Puretec CTA-AN/620360155A2N Cleanant Filter TEL 2924-000017-11 New
18049 Nippon Puretec CTA-AN/620360155A2N Cleanant Filter TEL 2924-000017-11 New
18050 AMAT Applied Materials 0040-85691 Shutter Garage Assembly Rev.001 working
18051 AMAT Applied Materials 0040-85691 Shutter Garage Assembly Rev.001 working
18052 AMAT Applied Materials 0270-03850 300mm Top Cover Ship Assembly new
18053 AMAT Applied Materials 0240-47946 300mm Target Locking Device Retrofit Kit new
18054 Hitachi M-712E 200mm Wafer Prealigner working
18055 Hitachi M-712E 200mm Wafer Prealigner working
18056 AMAT Applied Materials 0021-53986 Lower Shield new
18057 Kokusai KBC CPU-6 PCB D1E01133 Used Working
18058 Kokusai APC A/2 PCB D1E01142B Used Working
18059 MRC A121214 Eclipse Star High Voltage Source Rev. D Used Working
18060 Gasonics 90-2609 Display Decoder PCB Rev. A Used Working
18061 Gasonics Controller Board 90-2607 Rev. F Used Working
18062 MECS Corp. OF101 Robot Controller Used Working
18063 CKD SMC Valve Sensor Assembly CHV-8 VX3344K Lot of 9 Used Working
18064 IDK Corporation VAC-2000ES RGB Video Distribution Amplifier TEL Unity II Used
18065 IDK Corporation VAC-2000ES RGB Video Distribution Amplifier TEL Unity II Used
18066 SMC Quick Change Filter FQ1011N-10-R013N-B Used Working
18067 Keyence HC-50 Control Module working
18068 Keyence HC-50 Control Module working
18069 Keyence Photoelectric Sensor FS-L71 Lot of 2 Used Working
18070 HP Hewlett-Packard A1474-66511 Motherboard PCB B-0103 B-0102 Used Working
18071 Applied Materials AMAT 75′ DC Cable 0150-13757 New
18072 TEL Tokyo Electron 3D81-000071-VI PF-DB LM CONT PCB Board 3D80-000701-V1 Used
18073 TEL Tokyo Electron 3D81-000099-V1 PCB TYB622-1/GAS2 Board Used Working
18074 MagneTek Fast UV Inhibitor PCB 1005127310 Rev. P1L Used Working
18075 MagneTek 1004778800 Fast UV Detector PCB Rev.C working
18076 MagneTek 1005096710A Digital Phase Lock Control PCB Rev.N/C working
18077 Nerlite MVi-26v Vision Imager 005100 Rev.A working
18078 M & E TK-001 Control Data Pad Used Working
18079 A-B Allen-Bradley SLC500 1746-A10 Power Supply Used Working
18080 Siemens Simatic DC Power Supply 505-6660 Used Working
18081 Siemens 8Ch Input Analog Card 505-6108A Used Working
18082 Siemens 4 Channel Analog Output 505-6204 Used Working
18083 Siemens Relay Output PCB 505-4908 Lot of 2 Used Working
18084 Semprex Motor Controller 17-2358.20 Used Working
18085 Semprex Motor Controller 17-2358.20 Used Working
18086 Nikon Power PCB IU-PWR2-X4P4 4S008-260 Used Working
18087 Socapel 024.7002.A Servo Drive Control PCB Used Working
18088 Socapel 024.7040 Servo Drive EXT PCB Used Working
18089 Socapel Servo Drive Main Board 024.7036.A Used Working
18090 Novellus Over Ash Pedestal Assembly 02-318371-00 Rev. B Used Working
18091 Novellus Over Ash Pedestal Assembly 02-318371-00 Rev. B Used Working
18092 Komatsu ABCBA00090 Temperature Controller AIC-7-12-UC Used Working
18093 KLA-Tencor 0031663-000 Measurement Head working
18094 Dainippon eFLOW SD16L-24SH E Resistivity Meter working
18095 Dainippon eFLOW SD16L-24SH E Resistivity Meter working
18096 SVG A1900 P.O. Isolator 859-0564-002-D working
18097 SVG A1300 Power I/O Module 859-0950-008-C working
18098 Mitsubishi PLC Assembly Q312B QJ61BT11N Used Working
18099 SVG A1900 P.O. Isolator 859-0564-002-F working
18100 Hauser Screw Controller 0200-M COMPAX-M Used Working
18101 National Instruments GPIB-SCSI Drive 180665-03 Lot of 9 Used Working
18102 Digital Electronics GP37W2-BG41-24V Graphic Panel Pro-Face QPM3D200B2P Used
18103 Mitsubishi PLC Assembly Base Unit Q35B Q64P QJ61BT11N QX81 Used Working
18104 National Instruments Bus Expander Isolator 181555-01 Lot of 12 Used Working
18105 Mitsubishi Ethernet I/F Unit QJ71E71-100 Used Working
18106 Lexel Laser 00-143-502 Model 85S Laser Controller SVG-859-5163-005 Working
18107 Leybold TM21 TM22 Vacuum Gauge Controller Module working
18108 Nikon 4S064-630 NSR Series Controller IU-IOP1 Working
18109 Edwards Middle Sleeve SCCM P1264 Refurbished
18110 Hitachi ESC-2000E ESC Power Supply Assembly ESCID ESCOD M-712E Used Working
18111 Hitachi ESC-2000E ESC Power Supply Assembly ESCID ESCOD M-712E Used Working
18112 Vicor MP2-5701 Power Supply 3F MegaPAC Used Working
18113 Vicor MP2-5701 Power Supply 3F MegaPAC Used Working
18114 Power Ten Inc. 3300D-6010 DC Power Supply 60VDC 10A working
18115 Vicor MP3-5804 Power Supply 3F MegaPAC Used Working
18116 Vicor MP3-5804 Power Supply 3F MegaPAC Used Working
18117 Power Ten 3300D-4025 DC Power Supply Used Working
18118 Power Ten 3350D-2030 DC Power Supply 20VDC 30A working
18119 Daihen AGA-50B2-V RF Generator DGP-120A2-V DC Power Supply Used Tested Working
18120 Regal Flow Sensor FS-10 Lot of 10 Used Working
18121 Tohoku Ricoh Main PCB 7D000340 Used Working
18122 Westcor WE-100-FE-SCHUM DC Power Supply Rev.A working
18123 TDK Control Transceiver Board 78Q2120-64T Used Working
18124 Tohoku Ricoh Driver Board 7D000360A Used Working
18125 National Instruments GPIB-SCSI-A Controller 181340D-01 Lot of 4 Used Working
18126 AX Corporation Test Module P5005 CUF005 A/V005 P/T005 Used Working
18127 TDK DC Power Supply RGW48-32R Used Working
18128 Heiland Electronic 301-341.225-000 SP3 Spectralphotometer as-is
18129 Nikon 4S008-107 AV2-I/FX4 PCB working
18130 Nikon 4S013-382 STGPWTX4A Replay PCB working
18131 Nikon BLI/FX4 PCB 4S013-362 Used Working
18132 Nikon AV1-I/FX4 PCB 4S008-106 Used Working
18133 Ultrapointe 001002T A-Stop Control Lon Motor Driver PCB 00045 CRS-1010 Used
18134 STEC SV-P1102 MFC Mass Flow Meter lot of 7 untested as-is
18135 Nikon LC-MTR-X4 4S018-726-ABCDEFGHI PCB working
18136 STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 5 Used
18137 ASML Shutter Control PCB 4022.437.3013 Used Working
18138 Nikon REX-DRV2 PCB 4S018-912 Used Working
18139 Nikon 4S018-928 COM-CTRL PCB working
18140 Rudolph Technologies 20702A Lock-In Amplifier PCB working
18141 KLA-Tencor CRS1010 Filter Wheel Drv. PCB 000674T
18142 Nikon ALCP-MTHX3 Control PCB 4S018-837 Used Working
18143 Ultrapointe 000327 Laser Power Controller PCB Used Working
18144 Nikon 4S013-408 STGSTCRMEPX4 Connector PCB working
18145 Nikon OPDMTHX4 4S018-715- Connector PCB working
18146 Agilent Z4206A I/O Card Z4206-68004-4234-55 Used Working
18147 Agilent PC RPIU Board Z4401A Used Working
18148 Agilent Z4207A NC1 Interface Board Z4207-60011-4307-55-200421-00116 working
18149 Ultrapointe 000675T Lon Motor Driver Board PCB Rev. A KLA-Tencor CRS-1010S Used
18150 Agilent Z4207A NC2 Control Board Z4207-60012-4307-55-200430-00123 working
18151 Agilent Z4207A NC3 Control Board Z4207-60013-4307-55-200423-00159 working
18152 Agilent Z4207 NC4 Control Board Z4207-60013-4307-55-200423-00151 working
18153 Agilent Z4207A NC5 Control Board Z4207-60013-4307-55-200423-00157 working
18154 AMAT Applied Materials LCAT200P Cassette Alignment Tool Controller Used Working
18155 Nikon 4S013-369- Interface PCB STGSTCAFX4 Used Working
18156 Aerotech Unidex 100 Multitasking Motion Controller ES13713-3 lot of 2 as-is
18157 Asyst 14939-001 Robot End Effector Rev. 2 New Surplus
18158 JAE KT000227 6 Axis Vibration Measurement Unit JNP-002 Nikon 4S586-613 working
18159 Particle Measuring Systems Main Board 1000001391 Rev. C Used Working
18160 Particle Measuring Systems 1000003263 Particle Counter Module 1000001588 Spare
18161 Opti-Probe 2600B Data Translation DT2827 I/O Card Rev.AA 05214 working
18162 Mitsubishi PLC Intelligent Unit QD51 Used Working
18163 Verteq 3156023-000C Amplifier Unit AE Advanced Energy Used Working
18164 Nikon AF-PSDX22-SUB NSR Scanner PCB 4S007-994 Lot of 9 Used Working
18165 Mitsubishi PLC Positioning Unit QD75M4 Used Working
18166 Mitsubishi PLC Positioning Unit QD75D4 Used Working
18167 Mitsubishi PLC Input/Output Unit QH42P Lot of 6 Used Working
18168 Nikon Case I/F PCB 4S018-646 Used Working
18169 Nikon 4S013-365 STGSTCSPX4 Backplane PCB working
18170 KLA-Tencor 373664 SP1 Distribution PCB Rev.BB working
18171 Nikon 4S013-395 STGSTCWYWXX4A Backplane PCB working
18172 Nikon 4S007-901 X2A-STGP/H PCB working
18173 Nikon 4S013-366 STGSTCMSCRFX4 Backplane PCB working
18174 AJS Controls CLN-SYS-BR550-E System Board 879-8071-002-E Used Working
18175 Mitsubishi MR-J2-20A-S12 AC Servo Drive Used Working
18176 DNS Electronics SL-2121-C-Z Terminal SM Unit HLS-MC1A PC-97040A SCREEN Working
18177 Verteq Frequency Generator M-002-05 Used Working
18178 Schlumberger 97911002 Clock Timer Board 40911002-1 working
18179 Novellus FLVG CYL Replace Upgrade Kit 093033-797-21 Used Working
18180 CFM Technologies 32×32 Interlock Board C22111-01 Used Working
18181 Ultrapointe 001002 A-Stop Control Lon Motor Driver PCB 00045 CRS1010 Used Working
18182 RECIF Technologies Sorter Computer IDLW8-A9607 Used Working
18183 Crown Yutaka Eng. Corp. ERSB-3019-WX Regulator Valve lot of 8 working
18184 CFM Technologies Power Box A30-00210 Used Working
18185 Crown Regulator Valve ERSB-2019-WX Lot of 15 Used Working
18186 ABB ACH401603035 AC Drive working
18187 Robitech Valve Module 990-9131 681-0181-009 Lot of 5 Used Working
18188 Sieger Limited 05700-A-0652 Gas Detection Module RAD-10 working
18189 V-Tex Slit Valve Unicam Type Untested AS-IS
18190 Agilent Diagnostic Card 4022.470.06582 Used Working
18191 Parker Opti-Probe Control Board 71-006085-02 Rev. A Used Working
18192 Kenwood Regulated Power Supply PSR20-18MY3 Lot of 2 Used Working
18193 Nikon Lens Module c Used Working
18194 Bede Scientific 60-013041-000 Sensor Interface FAB300 Working
18195 Yokogawa DL3110B 12bit 25MS/s Digital Oscilloscope 7003-10 lot of 2 as-is
18196 Power Designs Inc. TW5005W Twin Power Supply as-is
18197 SVG 99-39988-01 90S Sensor Board Assembly Rev.K 99-80207-02 working 99-80315-01
18198 J.C. Schumacher 100 Temperature Controller 1443-0100 working
18199 Motoyama 2 Way 1/4-inch VCR F/F Manual Valve SUSF316L lot of 12 working
18200 UCV 1/4″ VCR Valve Manual 3-Way Valve 1/4″F/F/M Lot of 10 Used Working
18201 Granville-Phillips 20347057 Stabil-Ion Gauge Module Rev. 00 Working
18202 Granville-Phillips 20347057 Stabil-Ion Gauge Module Rev. 00 Working
18203 Granville-Phillips 20347057 Stabil-Ion Gauge Module Rev. 00 Working
18204 Pacific Scientific Brushless Servomotor R33GSNC-HS-NS-NV-03 Used Working
18205 Semitool 14837H Serial Board working
18206 Edwards A52844484 Interface Module TIM x 2 Used Working
18207 Keyence PJ-50A Expandable Area Light Curtain Sensor Set PJ-55A Used Working
18208 Keyence PJ-50A Expandable Area Light Curtain Sensor Set PJ-55A Used Working
18209 Lambda LFS-52-5-44147-4 Regulated Power Supply Used Working
18210 Fujikin N.C. 041358 Valve lot of 70 as-is
18211 Applied Materials AMAT Chamber I/O Display 0130-00525 Rev. 003 Used Working
18212 Avio E67920 EPD Fiber Optic Communication Module Used Working
18213 Keyence Power Supply Module MS2-H100 MS2-H150 Lot of 2 Used Working
18214 Omron E5AX Digital Controller 303-030 for SVG860
18215 AMAT Applied Materials 0242-19106 Standard Blankoff Kit New
18216 AMAT Applied Materials 0242-19106 Standard Blankoff Kit New
18217 Liebert Surge Suppression System ACV480D100RK Used Working
18218 DNS Electronics Sensor Module DS-1211-ANALOG(C) PU3-PCB(KG) SCREEN MC-701 Used
18219 Kondoh Kohsya DM-007 Sensor Assembly LU-701 working
18220 VAT Angle Valve 26324-KA11-1001 62034-KA18-1005 26328-KA11-1002 Lot of 7 Used
18221 Hitachi Recorder Plotter Module M-308ATE Used Working
18222 Fujikin 1/2″ VCR Valve 021369 Lot of 8 Used Working
18223 Fujikin Valve Top Works 021367 021369 Lot of 14 Used Working
18224 AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm new
18225 AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm new
18226 ASM Japan K.K. 91310-68038 Slit Valve Seal Kit Lot of 6 Used Working
18227 Siemens Schmidbauer Transformer Pair AMAT XR80
18228 Tokyo Electron TEL Prealigner Lamp Module Unity 2 Lot of 2 Used Working
18229 AMAT Applied Materials 0242-41577 Shutter Kit 300mm New
18230 Applied Materials AMAT Vacuum Roughing Line Matrix Kit 0227-05061 New
18231 AMAT Applied Materials 0270-03655 300mm Alignment Tool Pin Lift new
18232 Applied Materials AMAT Chamber Exhaust Liner 0020-64708 New
18233 AMAT Applied Materials 0242-41460 AFEOL Foreline Elbow Assembly Kit New
18234 Applied Materials AMAT SIPCU Gas Line Manifold Mainframe to CHM 0040-49827 New
18235 Applied Materials AMAT 3/8″ OD Backside Gasline 300mm 0050-54972 New
18236 AMAT Applied Materials 0242-18644 PVD/PC Chamber Mounting Block Kit New
18237 AMAT Applied Materials 0242-18644 PVD/PC Chamber Mounting Block Kit New
18238 Applied Materials AMAT Cryo Port Bias Match Bracket 0021-41596 New
18239 Applied Materials AMAT SCR CAP SKT HD 6/32x 1/4L Hex 0021-08063 Lot of 24 New
18240 AMAT Applied Materials 0242-23140 CL/SL Endura Strain Relief Kit New
18241 AMAT Applied Materials 0270-03260 300mm Cooling Plate Lift Fixture new
18242 AMAT Applied Materials 0270-04401 Endura 2 Turbo Shimadzu Support new
18243 AMAT Applied Materials 0242-10662 ESC Blankoff for CCM Kit new
18244 AMAT 0270-05576 300mm SIP Encore Dummy Coil Assembly Tool lot of 7 new
18245 AMAT Applied Materials 0242-70282 300mm Vacuum Roughing Line Kit new
18246 AMAT Applied Materials 3320-01026 10 CFF OFC Copper Gasket lot of 5 new
18247 Applied Materials AMAT CL PVD Source Electrical Bracket 0041-08444 Lot of 2 New
18248 Applied Materials AMAT CL PVD Source Electrical Bracket 0041-08444 Lot of 2 New
18249 Applied Materials AMAT Encore Feedthrough Insulator Pair 0200-02121 Lot of 2 New
18250 Ultrapointe 000678T Solenoid PCB KLA-Tencor CRS1010 working
18251 Applied Materials AMAT 422527-P4-PROJ 300mm 32x.300 Magnet 0010-24485 New
18252 TDK 4S061-925 DC Power Supply used working
18253 Applied Materials AMAT Metal 300mm ALD Gas Feed Thru SST HVCR 0041-32201 New
18254 AMAT Applied Materials 0242-26906 M/F NO Chamber Position Kit new
18255 AMAT Applied Materials 0200-08583 300mm PCII 2.0 Quartz Long Bushings lot of 18
18256 Ultrapointe 000675T Lon Motor Driver Board PCB Rev. A KLA-Tencor CRS-1010S Used
18257 AMAT Applied Materials 0242-20638 300mm PVD Water Hardline Kit new
18258 AMAT Applied Materials Polyline Harness 0140-13753 0140-13754 Lot of 2 New
18259 AMAT Applied Materials 0050-88513 Gas Lines 0050-88929 lot of 5 0050-88928 new
18260 Applied Materials AMAT XP Robot ATM Inner Clamp 0040-83305 New
18261 Applied Materials AMAT XP Robot ATM Inner Clamp 0040-83305 New
18262 AMAT 0620-01698 15ft Network Cable Assembly w/ Modular Plug lot of 2 new
18263 AMAT Applied Materials 0242-22009 Endura 300CL M/F IO LCF Cable Kit New
18264 Bison 507-01-106A DC Gearmotor 32-999-2904-003 lot of 2 working
18265 AMAT Applied Materials 0242-20799 Endura AMP P5 Bracket Support Kit New
18266 Omron S82J-6124 DC Power Supply 24VDC 0.5A lot of 22 working
18267 AMAT Applied Materials 0242-26957 300mm RPG Chamber Foreline Integration Kit New
18268 AMAT Applied Materials 0050-48273 Chamber to Turbo Vacuum Line new
18269 AMAT Applied Materials 0242-70297 Standard Insert Kit New Surplus
18270 AMAT Applied Materials 0242-70297 Standard Insert Kit New Surplus
18271 Applied Materials AMAT CHM Return Line 300mm 0050-96206 New
18272 AMAT Applied Materials 0240-14676 Nitrogen Gasline 0050-60296 300mm PVD Kit new
18273 AMAT Applied Materials 0240-14675 Argon Gasline 300mm PVD Kit new
18274 AMAT Applied Materials 0010-26750 Slit Valve Door new
18275 Sanwa Denki Vacuum Switch SVS-1S SVS-1 Lot of 10 Used Working
18276 Applied Materials AMAT Gas Line Extender 3/8″ OD 300mm 0050-60372 New
18277 Applied Materials AMAT Feed Thru Tube Precursor 0021-76671 New
18278 Applied Materials AMAT Endura 300mm Floor Exhaust Duct Manifold 0040-78134 New
18279 AMAT Applied Materials 0242-18504 300mm PCII Adapter Installation Kit New
18280 AMAT Applied Materials 0050-44837 300mm PVD Chamber Vent Gasline new
18281 CTI-Cryogenics 8043159G032 Cryopump Pressurized Hose AMAT 3400-01381 New
18282 Applied Materials AMAT Non-Seismic Securement Kit for 300mm 0240-52481 New
18283 Applied Materials AMAT Eye Bolt for Source Lifting 0270-05404 Lot of 7 New
18284 Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. H Rainbow 4420 Used
18285 Lam 4420 16 Ch. Heat/Cool PCB 810-17002-001 Rev. B Used Working
18286 Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working
18287 Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working
18288 Force Computers 880-12535-101.A1 VME PCB Lam 810-017038-002 Rainbow 4420 Used
18289 Force Computers 880-12535-101.A1 VME PCB Lam 810-017038-002 Rainbow 4420 Used
18290 Lam 4420 Etcher 852-011201-001 Exit Loadlock Rev.G 853-012123-001 Rev. E Used
18291 Lam 4420 Shuttle Assembly 853-012550-001-H Used Working
18292 Lam Research 853-012550-001-D Wafer Shuttle Assembly Used Working
18293 Lam 4420 Shuttle Assembly 853-012550-001 Used Working
18294 Lam 4420 ADIO-AO PCB 810-17031-3 Rev. I Used Working
18295 Force Computers 310004 PCB Card SYS68K/SIO-2 LAM 4420 Rainbow Used Working
18296 Force Computers 880-12537-101.A3 PCB Card SYS68K/CPU-6VB Used Working
18297 Lam Research 810-17012-001 Heartbeat PCB Board Rev. D 4420 Used Working
18298 Lam Research 810-17012-001 Heartbeat PCB Board Rev. D 4420 Used Working
18299 Lam Research 810-17012-001 Heartbeat PCB Board Rev. D 4420 Used Working
18300 LAM 4420 Etcher 852-017500-003-4 CRT-Keypanel Chassis Assembly 853-017505-001-C
18301 Kokusai Vertron Linear Track V-III-A working
18302 Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 Used
18303 Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 Used
18304 Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. E Used Working
18305 Lam Research 810-17004-001 Solenoid Interlock PCB Board Rev. F Used Working
18306 Kokusai CX2001 Controller Module Vertron III Used Working
18307 Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420 Used Working
18308 Force Computers 310004 PCB Card SYS68K/SIO-2 LAM 4420 Rainbow Used Working
18309 Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420 Used Working
18310 Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420 Used Working
18311 Lam Research 810-17004-001 Solenoid Interlock PCB Board Rev. B Used Working
18312 Lam Research 810-17082-001 16 Channel Heat/Cool PCB Rainbow 4420 Used Working
18313 Lam Research 810-17082-001 16 Channel Heat/Cool PCB Rainbow 4420 Used Working
18314 Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. G Rainbow 4420 Used
18315 Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. G Rainbow 4420 Used
18316 Xycom 70113-003 PCB Card 71113A-001 Lam Research Rainbow 4420 Used Working
18317 Kokusai Electric CQ1501A (01) Direct Controller Accuron Vertron Used Working
18318 LAM Research 853-017160-583-B-LEAN Rear EMO Assembly PCB 810-017003-004 Used
18319 LAM Research 853-017160-583-B-LEAN Rear EMO Assembly PCB 810-017003-004 Used
18320 Omron Timer H3Y-4 Lot of 22 Used Working
18321 Nagano Keiki Digital Indicator GC84-ID9 Lot of 14 Used Working
18322 Myotoku CVR-6-H Pressure Sensor lot of 12 working
18323 Kokusai Vertron Controller Unit CX1307 working
18324 Lam Research 810-017004-001 Solenoid Interlock Board Rev. F Used Working
18325 AMAT Applied Materials 0240-41706 300mm Endura SIP Chamber Prep Kit Lot of 2 New
18326 AMAT Applied Materials 0242-34594 DI Cooler H2O FTGS/HOSES Mainframe Kit New
18327 Applied Materials AMAT Water Manifold Return Adapter Kit 0050-99362 Lot of 3 New
18328 Applied Materials AMAT 300mm Water Manifold Adapter 0050-75274 Lot of 2 New
18329 Applied Materials AMAT 300mm XP Degas Chamber Stop Lift 0020-42056 New
18330 AMAT Applied Materials 0045-00071 300mm PVD Bellows Heater Clamp Flange lot of 4
18331 AMAT Applied Materials 0270-05722 Shield Lifting Nut Adapter lot  of 16 new
18332 AMAT 0020-42055 300mm XP Degas Upper & Lower Stop Lift 0020-42056 lot of 4 new
18333 Applied Materials AMAT 300mm PCII Ceramic Pin Pedestal 0200-01904 Lot of 6 New
18334 AMAT Applied Materials 0050-75257 Chamber to Cryo Vacuum Line New
18335 AMAT Applied Materials Motor Cover 0030-00049 Rev. 003 Used Working
18336 Applied Materials AMAT Robot Motor Cover 0030-00082 Rev. 004 Used Working
18337 Semitool 4 Channel Interface Board 14837G Used Working
18338 CKD Exhaust Valve V3010-8-FL302952 Lot of 6 Used Working
18339 Valcom RN-760Torr-2VAO(HL)-5-TL Pressure Switch Reseller Lot of 5 Used Working
18340 Kokusai Electric D3E01299A Brake PCB Vertron Used Working
18341 TEL Tokyo Electron 1D81-000131 PCB Board TYB421-1/INL Used Working
18342 TEL Tokyo Electron 1D81-000131 PCB Board TYB421-1/INL Used Working
18343 KLA-Tencor 547247 Rev. 00 UI Splitter AIT2 Used Working
18344 TEL Tokyo Electron 1D08-000139-11 Unity II TYB324-1/PC PCB Used Working
18345 LAM 4420 Solenoid Tray Assembly Missing PCB 853-013610-501-A-LEAN Used Working
18346 TEL Tokyo Electron 3208-000091-11 PCB AIR/HF Interconnect 3281-000091-11 Used
18347 Kokusai CX1209 Cassette Loader Control Panel Vertron Used Working
18348 Lam 4420 Solenoid Tray Assembly Missing PCB 853-013610-001-E-LEAN Used Working
18349 LAM 4420 Solenoid Tray Assembly Missing PCB 853-013610-001-E-4050 Used Working
18350 LAM Research 4420 Outer Gate Assembly 12350-2-D-00622135 Used Working
18351 LAM 4420 Solenoid Tray Assembly Missing PCB 853-013610-001-D Used Working
18352 Lam Research 853-024403-100-10 Orbital Gas Panel Assembly 4420 Etcher As-Is
18353 LAM Research 13610-1-B-5149 Solenoid Tray Assembly missing PCB LAM 4420 used
18354 TEL Tokyo Electron 1D81-000129 Control PCB TYB222-1/SW Unity II Used Working
18355 Lam Research 853-012350-002-H-LL FDR Outer Gate Assembly 4420 Etcher Used
18356 Lam Research 853-012350-002-D Outer Gate Assembly 4420 Etcher Used Working
18357 Lam Research 853-012350-002 Outer Gate Assembly Rev. H 4420 Etcher Used Working
18358 Lam Research 853-012350-002-J-LLFDR Outer Gate Assembly 4420 Etcher Used Working
18359 Lam Research 853-012350-002-J-LLFDR Outer Gate Assembly 4420 Etcher Used Working
18360 Lam Research 853-012350-002-J-LLFDR Outer Gate Assembly 4420 Etcher Used Working
18361 Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher Used Working
18362 Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher Used Working
18363 Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher Used Working
18364 Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher Used Working
18365 LAM Research 853-140013-001-1-230D Outer Gate Valve Assembly 4420 Used Working
18366 TEL Tokyo Electron 012-004123 Slit Valve Unity II Used Working
18367 Lam Research 853-012350-002-F-230D Outer Gate Assembly 4420 Etcher Used Working
18368 VAT 61144-PH52-AAM1 Throttle Valve Used Working
18369 VAT 61144-PH52-AAM1 Throttle Valve Used Working
18370 Granville-Phillips 330001 Ionization Gauge Controller Model 330 Used Working
18371 Tokyo Electron TEL Unity II Slit Valve 012-004124 Used Working
18372 Tokyo Electron TEL Unity II Slit Valve 012-004124 Used Working
18373 Microtech Input Controller N100 Used Working
18374 NSK EMB014CF1-05 Servo Driver Used Working
18375 Lam Research 853-012350-002-LL FDR Outer Gate Assembly 4420 Etcher Used Working
18376 Lam Research 4420 853-017620-001-A Tri-Convectron Interface Assembly working
18377 Lam Research 853-017620-001-A-C222 Tri-Convectron Interface Assembly 4420 Used
18378 Lam Research 853-012350-002–230D Outer Gate Assembly 4420 Etcher Used Working
18379 Lam 4420 Tri-Convectron Interface Assembly 17620-1-A-4318 Used Working
18380 Lam Research 853-012350-002 Outer Gate Assembly Rev. H 4420 Etcher Used Working
18381 Oriental Motor 4054-LD4 5-Phase Servo Driver Lot of 2 Used Working
18382 Panasonic ADKB400BPFADA AC Servo Drive Used Working
18383 LAM Research 715-130080-008 200mm End Effector Rev. E Used Working
18384 Yaskawa DR1-08AC Servopack Servo Drive Used Working
18385 Yaskawa DR1-08AC Servopack Servo Drive Used Working
18386 Yaskawa DR1-08AC Servopack Servo Drive Used Working
18387 AP Tech Lam 4420 Valve AP1001S 2PW Lot of 8 Used Working
18388 Ebara ET300W Turbo-Molecular Pump Used Tested Working
18389 TEL Tokyo Electron 1D81-000118 Control Board TYB417-1/OPE Unity II Used Working
18390 Vacuum General CMLA-21 Capacitance Diaphragm Gauge 853-017643-003-D-C222 Used
18391 VAT 62034-KA18-1005 Angle Valve 26324-KA11-1001 lot of 6 working
18392 Lam Research 4420 Convectron Tube Interface 810-017007-001 Rev. B Lot of 2 Used
18393 Panasonic ADKB400BPFADH Servo Drive Used Working
18394 MKS Instruments 100997144 Isolation Valve System 839-13521-1-1956 LAM 4420 Used
18395 MKS Instruments 839-13521-1 Isolation Angle Valve Rev. C Working
18396 MKS Instruments 839-13521-1 Isolation Angle Valve Rev. C Working
18397 Cosel DC Power Supply P50E-15 Lot of 6 Used Working
18398 Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. D 4420 Lot of 7 Used
18399 Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. C 4420 Lot of 6 Used
18400 Anafaze LAM Research 4420 Control Assembly 778-091827-011 (working, used)
18401 Sanyo A7-1-20621-1B Z/Theta Motor Drive PCB TEL Tokyo Electron P-8 Working
18402 Sanyo Denki PMM-BD-5725-4 5-Phase Drive Pentasyn Used Working
18403 SMC INR-244-230W Power Supply 12inch THERMO-CON Used Working
18404 SMC INR-244-230W Power Supply 12inch THERMO-CON Used Working
18405 Kokusai Vertron Exhaust Monitor Module working
18406 Kokusai Vertron Exhaust Monitor Module working
18407 KLA Instruments 710-805314-00 BCB IIb PCB TEL Tokyo Electron P-8 Used Working
18408 TEL Tokyo Electron 3281-000138-12 PCB-CTROL Board PCB P-8 FA1006K501A Used
18409 Panasonic GP-MF212 Camera Controller Adapter Used Working
18410 Lam Research 810-17031-2 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
18411 Nikon WD Wafer Stage Robot NSR-S204B Step-and-Repeat Scanning System Used
18412 Lam Research 810-17031-4 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
18413 Omron 3G8B2-NI001 Interface PCB Card TEL Tokyo Electron 3286-002066-11 P8 Used
18414 Omron TEL P8 Interface Board NO000 3286-002065-11 Used Working
18415 TEL Tokyo Electron 3281-000043-1A PCB Display Driver Card P-8 Used Working
18416 MKS Instruments 152-1063P Angle Valve Lot of 2 Used Working
18417 Lam Research 11200-3-E-00182139 Harmonic Arm Load Lock 715-012139-001 Used
18418 HPS 839-13510-1 Pneumatic Right Angle Vacuum Valve 152-0080P-S01 Used Working
18419 KLA-Tencor CRS1010 TRT/OPT PCB 001009T working
18420 Kokusai Vertron Power Relay Box T1DD1-52447
18421 TEL P-8 Tokyo Electron 200mm Wafer Indexer working
18422 MKS Spectra Mass Spectrometer Filament LM501-01661-2D1 AS-IS
18423 Kokusai Vertron Power Module CX1103CH working
18424 Kokusai Vertron Power Module CX1103CH working
18425 Nikon NSR S306C ALG-NAL PCB 4S008-043-A Used Working
18426 Nikon NSR S307E AD-LSO1 Board 4S019-238 Used Working
18427 Nikon NSR S306C RST-ADPT PCB 4S019-083 Used Working
18428 Nikon NSR S307E ASML Blue Wave Systems 4022-470-6181 BDV44 PCB 4022-471-5852 use
18429 Heidenhain 370 666-S2 Fiber Optic VME PCB Card HIB 186 ASML 4022.472.12941 Used
18430 ASML 4022.471.6909 PSU Monitor Board PCB VME Card 4022.471.69101 Used Working
18431 ASML 4022-471-5658 VME Control Board working
18432 Xyplex 16 Port Terminal Server Card 500-5734 Used Working
18433 Applied Materials AMAT RF Match Assembly 0010-35937 Used Working
18434 Nikon 4S015-164 Control Board NK-C441-1 Used Working
18435 KLA-Tencor CRS1010 Solenoid PCB 000678 working
18436 Nikon NSR S307E DSP-INF PCB 4S013-497 Used Working
18437 Advanet A6PCI 7500 CPU PCB Nikon NSR S307E Used Working
18438 Nikon 4S018-885 CPCI IP PCB Board Working NSR S307E
18439 Nikon 4S001-060 Power Supply PW-NA PCB Board Working
18440 Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used
18441 Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used
18442 Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used
18443 KLA-Tencor TRT/OPT Control PCB 001009 working
18444 Horner Electronic CPU Module HE610DPC164A Lot of 2 Used Working
18445 Horner Electronic Input Module HE610THM200F Used Working
18446 Nikon 4S001-082 Power Module PCB NSR S307E Used Working
18447 RD-023MS Rorze RE120-002-001 Indexer Elevator Assembly RC-233 Used Working
18448 Central Data 16 Port Terminal Server SCSI Used Working
18449 Edwards D37215000 Vacuum Flash Module Used Working
18450 Black Box ServSwitch Duo-R2 Switch KV6104A-R2 Used Working
18451 Teradyne 420-431-01 Universal Manipulator Support Kit Used Working
18452 Raritan KVM Switch MCC4 Lot of 3 New
18453 Nikon NSR 4S019-080 IU-PWR2-X4P2 Power Board working
18454 V-Tex 172B336X48DCPR03 Slit Valve Rollcam Missing Door As-Is
18455 RECIF Technologies Operator Panel IDLW8 Used Working
18456 Omron Z4LC-C28 Parallel Beam Line Sensor Working
18457 Dell OptiPlex GX110 Lucas Labs CAT Computer working
18458 Lucas Labs Reference Module OVDS 7000 Used Working
18459 Applied Materials AMAT Circuit Breaker 0680-01826 0680-02131 Lot of 2 New
18460 PRI Stocker Carriage Arm Assembly BM17615 AD17615 Used Working
18461 Kokusai Vertron D1E01294A CPU Board KBC CPU9/A1 Working
18462 Kokusai Vertron D1E01294A CPU Board KBC CPU9/A1 Working
18463 MRC Material Research A118030 Cyropump Temperature Monitor Cracked Window Used
18464 Oriental Motor ASD13A-A Servo Drive Used Working
18465 Omron G9S-301 Safety Relay Unit Used Working
18466 Kokusai Vertron SIOB / A2 D1E013008 Control PCB working
18467 Digital Power Unit UF-PW002 Used Working
18468 Lam Research 4420 Control Module 853-017427-001-C-C136 working
18469 Johnson Controls Digital Reset Controller C500ECC-1 Used Working
18470 Cincinnati Electrosystems Receiver Controller 9650-128 Used Working
18471 Kyowa WGA-100A Instrumentation Amplifier Used Working
18472 Kyowa WGA-100A Instrumentation Amplifier Used Working
18473 Kokusai Vertron D1E01291 Interface PCB DIOA A/0 Working
18474 Kokusai Vertron D1E01291 Interface PCB DIOA A/0 Working
18475 Nikon NSR Camera Assembly 4S586-539AN Used Working
18476 Cutler-Hammer Photoelectric Sensor 14102AQD07 Used Working
18477 Lam Research 4420 4 Pin Lifter Filter 853-017435-001-A-1996 Used Working
18478 Lam 4420 Weldment Standoff Gas Feed 839-011516-001-B Lot 713-011517-001
18479 Lam 4420 Temperature Sensor Board 810-17006-1 Rev.B working
18480 Kokusai Vertron Driver PCB PLMDRV4 working
18481 Lam 4420 Upper Seal Plate Assembly 853-020936-002-C-CHFDER working
18482 LAM Research 852-011060-503-D-CHMAIN Upper Chamber Gap Housing Assembly Used
18483 Lam 4420 Lower End Reaction Chamber Plate 715-011035-001-D working 715-11059-1
18484 AMAT Applied Materials 0242-31591 DI Cooler Fittings Hose Kit Lot of 5 New
18485 Accent Optical Technologies Photo Detector Stand PMA51100 working
18486 Vickers Instruments Quaestor Q7 Linear Arm PCB Y7602000 working
18487 Bio-Rad MC/2251 Wafer Sensor Board Quaestor Q7 Used Working
18488 Bio-Rad PER6B00C P&P Vacuum Sensor PCB Bio-Rad Quaestor Used Working
18489 Vickers Instruments Quaestor Q7 Pick Place Solenoid PCB MC/2529 PER6B00C working
18490 Bio-Rad Y760/000 Wafer Aligner Module Quaestor Q7 Used Working
18491 Accent Optical Technologies Handler Module PMM40000 MC/2488 working
18492 Bio-Rad PMM4000 Handler Module Quaestor Q7 Used Working
18493 Cohu 6700 Series CCD Video Camera Quaestor Q7 Used Working
18494 Oriental Motor SMK5160A-AA Synchronous Motor Vexta Used Working
18495 Symbol Technologies LS40041-I100 Barcode Scanner lot of 9 working
18496 Access Address Decoding Counter Timer Card ADA-8 Used Working
18497 Prolog PCB 0400065 Used Working
18498 Noran Instruments Active Scan Interface 700P125849 Used Working
18499 Marshall 20III Video Camera C2030253 working
18500 Watlow Anafaze TLM-8 Temperature Monitor TLME310IIIIIIII working 88-21500-211
18501 TDK RDH24-6R0 DC Power Supply Used Working
18502 Innova Electronics STS-4015-PT 15-inch Flat Panel Monitor STS-4015-P-AM working
18503 Hitachi BBS319-1 PCB working
18504 Alcatel P0194P1 PCB P0194E1 working
18505 Bio-Rad Y5304803 RATS Interface Board PCB Card Quaestor Q7 Used Working
18506 Bio-Rad 8805EC923 Quad Ram Board Computer Recognition 10365  Quaestor Q7 Working
18507 Computer Recognition 1520-1000 LCS Board working BioRad 8938BF294 Quaestor Q7
18508 Computer Recognition 1520-1000 LCS Board working BioRad 8938BF294 Quaestor Q7
18509 Computer Recognition Systems 8937-0000 Gemini PC-3 8949BT337 Quaestor Q7 Used
18510 Computer Recognition Systems 8937-0000 Gemini PC-3 8949BT337 Quaestor Q7 Used
18511 Computer Recognition Systems 8815DL589 Image Bus Controller VME PCB Card Used
18512 Computer Recognition Systems 8843CR475 Edge Detector PCB Rev. G Quaestor Q7 Used
18513 SMC INR-244-216T Thermo-Con Power Supply RCP INR-244-244T-670 Used Working
18514 SMC INR-244-216T Thermo-Con Power Supply RCP INR-244-244T-670 Used Working
18515 Edwards U20000347 im Interface Module Used Working
18516 Edwards U20000346 im Interface Module Used Working
18517 Edwards U20000346 im Interface Module Used Working
18518 Edwards A52844524 iQ Interface Module working
18519 Edwards A52844500 iQ Interface Module Used Working
18520 Edwards A52844504 im Interface Module Used Working
18521 CFM Technologies 22024-02 Relay Board MDX24 working
18522 CFM 13 798 Vessel Module Power Valve Card Festo IC-8M3/2-0,9-24 PH Used Working
18523 Controlotron 995T CDU Teach Pendant working
18524 CFM Technologies IPA Vapor Level ASM 363-089-01 Rev. F Used Working
18525 CFM Technologies IPA Vapor Level ASM 363-089-01 Rev. F Used Working
18526 View Engineering 10000-301 PLC PCB Programmable Controller C40H-C6DR-DE-V1 Used
18527 Schlumberger 97911088 Bridge Connector Board Working
18528 View Engineering 2870030-501 Interconnect Sub-Panel PCB 8100 Lot of 3 Used
18529 View Engineering 2860240-511 DCO/MCU PCB Board Used Working
18530 View Engineering 2860070-519 DSP/Combiner PCB Board Used Working
18531 AMAT Applied Materials 3700-99008 XR80 Implanter Motor Assembly Used Working
18532 Acopian A75HT560 Regulated Power Supply MRC A111450 Eclipse Star Used Working
18533 Bio-Rad Y7603203 Elevator Module KLH A-10 200mm Quaestor Q7 Used
18534 A-B Allen-Bradley Contactor lot of 2 100-A75N*3 working 600VAC
18535 SMC 2TP-2B575 Thermo-Con Chiller Control Panel PCB INR-244-244T-670 Used Working
18536 Siemens ED43B015 Circuit Breaker Lot 15amp 480VAC working
18537 MKS Equipment Leakage Current Interrupter 43PWRCORD04 Lot of 6 working
18538 Siemens ED43B020 Circuit Breaker Lot of 4 20amp 480VAC working
18539 Nikon Optistation 3 Microscope Lens Assembly Lot working
18540 Nikon Asyst Optistation 3 Indexer 03365-005 working 200mm
18541 Etec Systems Vacuum Shipping Container AB05-1002 Used Working
18542 Lam 4420 Etcher 852-017500-001-D CRT Keypanel Chassis minor damage working
18543 Lam 4420 Etcher 852-017500-001-D CRT Keypanel Chassis minor damage working
18544 Brooks Genus InCooler Cooling Station 001-4700-12
18545 Acopian TD15-450M Dual Tracking Power Supply Used Working
18546 Semitool 14830B STD Bit Input Assembly Board working
18547 Semitool 14831A STD Bit Output Assembly Board working
18548 Semitool 16753A Motor Interface Board PCB Used Working
18549 GE General Electric IC693MDL753F Output Module working
18550 Hitachi DT-01 PCB Board Rev. A Hitachi M-712E Dry Etcher Used Working
18551 Hitachi DT-01 PCB Board Rev. A Hitachi M-712E Dry Etcher Used Working
18552 Motorola MVME 340B Status Signal PCB 01-W3787B Used Working
18553 Kniel System-Electronic CP 15.7,5 15V Power Supply Card ASML 4022.436.86091 Used
18554 Kniel System-Electronic CP 24.1 24V Power Supply Card ASML 4022.436.79311 Used
18555 Kniel System-Electronic CP 5.32/VME 5V Power Supply ASML 4022.436.23221 Used
18556 Parker Compumotor C Series 25550 Servo Drive working
18557 VAT 03109-NA24-AJX1 Slit Valve Novellus 02-115278-00 Concept II Altus working
18558 Parker CPDC4-10456 Compumotor DC4 Power Supply Tested Working
18559 ASML 4022-436-7114 VME Control Board working
18560 ASML 4022-437-2993 8-Channel Fiber Optics Board working
18561 ASML 4022-471-5284 Control Board working
18562 Hinds Instruments 030-2001-050 Dual PEM Control Board ASML 4022-436-87411
18563 Lambda LRS-50-15 AC-DC Switching Power Supply Lot of 14 Used Tested Working
18564 Lambda EWS100-5 DC Power Supply lot of 15 tested working
18565 Lambda EWS300P-12 DC Power Supply lot of 3 working
18566 Lambda LRS 54M-24 DC Regulated Power Supply Used Working
18567 Lambda LRS 54M-12 DC Regulated Power Supply Used Working
18568 AMAT Cryo Pump Auto N2 Purge 0090-91229 working
18569 Hitachi ESC-1000F DC Single Channel Power Supply Working Surplus
18570 Hitachi ESC-1000F DC Single Channel Power Supply Working Surplus
18571 Hitachi ESC-1000F DC Single Channel Power Supply Working Surplus
18572 Hitachi ESC-1000F DC Single Channel Power Supply Working Surplus
18573 AMAT Applied Materials 0090-91409 Beamline Interlock Box Rev.A working
18574 MKS Instruments AS00213-03 Sensor Integration Platform new
18575 MKS Instruments TWSPK001 Sensor Integration Platform new
18576 Daihen MOP-10B1-V Tuning Control Unit Box CMC-10 working 3D80-000280-V1
18577 Wafer Arm Controller
18578 TEL Tokyo Electron 3D10-302759-V1 ESC Protection Cover new
18579 AMAT Applied Materials 0225-34794 Gas Distribution Sputter Plate new
18580 EXT 70H Edwards B722-23-000 Turbomolecular Pump Tested Locked Rotor As-Is
18581 Particle Measuring Systems MiniNet 310 Mini-environment Monitor new
18582 EXT 70H Edwards B722-23-000 Turbomolecular Pump Tested Locked Rotor As-Is
18583 Lucas Labs OVDS-7010 Reference Module ILVP-1001-NW lot of 3 as-is
18584 AMAT Applied Materials 0041-00186 300mm Gas Ring new
18585 Datalux LMV10B 10-inch Flat Panel Display Used Working
18586 Edwards 6XPDM Pump Module Switch Box working
18587 Motorola STLN6491DA Single Board Computer 91614-01-A working
18588 Motorola STLN6491DA Single Board Computer 91614-02-A working
18589 Motorola STLN6398GC Single Board Computer working
18590 Computer Recognition Systems TRK2/5 VME Control Rack Bio-Rad Used Working
18591 Kenwood PSR-600 Controller working
18592 Centennial Equipment CE-3401-00 3/4-inch Rotary Valve Kit lot of 20 new
18593 Cosel MMC100U-2 DC Power Supply lot of 11 tested working
18594 Edwards U20000924 iNIM Network Interface D37310000 Used Working
18595 Edwards U20000920 iNIM Network Interface D37310000 Used Working
18596 Edwards U20000920 iNIM Network Interface D37310000 Used Working
18597 Edwards U20001107 Eason Control Box 6 Vacuum Pump Module Rev. B Used Working
18598 Edwards U20001107 Eason Control Box 6 Vacuum Pump Module Rev. B Used Working
18599 Controlotron System 990 Ultrasonic Flowmeter 994DFTDNBB-3-1904 working
18600 Controlotron System 990 Ultrasonic Flowmeter 994DFTDNBB-3 working
18601 Olympus BH3-5NR3-M Microscope Assembly BH2-HLSH KLA-Tencor CRS1010S working
18602 TEL Tokyo Electron P-8 103-4507-7046 Dual Arm Transfer Assembly working
18603 Kyosan DC High Voltage P/S Power Supply TEL Tokyo Electron Unity II Used Working
18604 Kyosan DC High Voltage P/S Power Supply TEL Tokyo Electron Unity II Used Working
18605 RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter Used As-Is
18606 STLDBCAL2 499000362 Aux Load Board PCB 799080017 working 799000362
18607 Asyst 6331/27273 Handler working
18608 Omron R88M-H1K130 AC Servo Motor & Seisa BL3-20A-11TAHDK1 Gear Drive Lam 4420
18609 Omron R88M-H1K130 AC Servo Motor & Seisa BL3-20A-11TAHDK1 Gear Drive Lam 4420
18610 Hitachi 560-5505 Lens-PS PCB S-9300 Scanning Electron Microscope Used
18611 Hitachi 560-5505 Lens-PS PCB S-9300 Scanning Electron Microscope Used
18612 TEL Tokyo Electron 2981-600328-11 DC Power Branch Board 2908-600328-11 Used
18613 Oriental Motor UDK5114NW2 5-Phase Servo Driver Vexta Used Working
18614 ASML Image Sensor Board 4022.437.0576 working
18615 Therma-Wave 18-009252 Sensor Module Rev. B Opti-Probe 2600B Used Working
18616 Therma-Wave 18-007482 Auto-Focus Bi-Cell Detector Rev. C1 Opti-Probe 2600B Used
18617 Therma-Wave 14-009631 Digital Interface PCB Rev. G Opti-Probe 2600B Used Working
18618 View Engineering 2860294-501 Power Module Assembly 450-0077-004 Damaged Used
18619 Lam Research 810-017004-001 Solenoid Interlock Board Rev. E Used Working
18620 100P Leybold 13885 Dry Vacuum Pump DRYVAC2 Used Tested Working
18621 Neslab Instruments 348103030100 Refrigerated Recirculator Coolflow CFT-33 As-Is
18622 Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. D Used Working
18623 Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. C Used Working
18624 Edwards NRY0190412 6xPDT Switch Box for iGX Vacuum Pumps Used Working
18625 Motorola STHX4006DA 2.3GHz WiMAX WAP Access Point  XCVR New
18626 Thermalogic Corp. Power Board K121-180 REV.C working 718-562
18627 Hiranuma Sangyo CADS Drain Wash Controller COM-555P working
18628 Edwards Interface Module NGE587000 Used Working
18629 OLYMPUS 218999 MD Plan 150 0.95 f=180 objective 26mm Thread Diameter
18630 NIKON 49441 Plan 2 / .05 Objective 3920-01553 New
18631 Omron PLC Module LK201 NC112 OC224 ID212 used working
18632 SCHLUMBERGER TECHNOLOGIES 40151223 SSC Board Used Working
18633 Leybold WS1001 Vacuum Pump Kit New
18634 Edwards D37215000 Vacuum Flash Module Used Working
18635 Edwards A53231000 Booster Connection Kit iQDP40 iQMB250F New
18636 AMAT 0020-26723 ball transfer load distribution plate new, sealed
18637 Hitachi DI0-0IN I/O PCB Used Working
18638 Hitachi I0TU-01N Input PCB I0CN-01A Used Working M-712E
18639 Hitachi AI0-02N Analog Input Board M-712E Working
18640 Hitachi EPD-02N Processor PCB Card M-712E Shallow Trench Etcher Used Working
18641 Hitachi EPD-02N Processor PCB Card M-712E Shallow Trench Etcher Used Working
18642 SVG 879-0133-004 Reticle Mask Cassette Lot of 11 Used Working
18643 Sony DC-700 Camera Adaptor Hitachi I900SRT Used Working
18644 Hitachi 560-5510 High Voltage Control Unit MHVC 560-5509 Used Working
18645 Fuji Seiki 1012851 Throttle Valve ISO 100 Used Working
18646 Fuji Seiki 1012851 Throttle Valve ISO 100 Used Working
18647 Keyence T1DC1-04434-10003 Heater Tape Unit Controller TF2-31 Used Working
18648 Panasonic GP-US502 Camera Control Unit Z-E115-01 working
18649 Hitachi PDM-100 DC Power Supply S-9300 CD SEM Used Working
18650 Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch lot of 8 working
18651 Eaton 1121450 EMO Panel Rev.C working
18652 Hitachi 569-5510 ST Sensor PCB S-9300 SEM Used Working
18653 Hitachi 568-5590 ST Sensor PCB Two Sensor Board S-9300 SEM Used Working
18654 Hitachi 569-5505 STSense PCB S-9300 CD SEM Used Working
18655 Hitachi 569-5526 I.L.CN PCB S-9300 Scanning Electron Microscope Used
18656 Hitachi 569-5519 EVCN3 PCB S-9300 CD Scanning Electron Microscope Used Working
18657 Hitachi 569-5520 VSCN3 PCB S-9300 Scanning Electron Microscope Used
18658 Eaton 1158560 DC Power Supply Module Rev.B working
18659 Hitachi HT94219A Control PCB PI01 Working
18660 Hitachi HT94218A Control PCB PM1 Working
18661 Hitachi HT96611A Control PCB ASN1 Used Working
18662 Hitachi HT94217 PCB Used Working
18663 Lam Research 853-012350-002-J-LLFD Outer Gate Assembly 4420 Etcher Used Working
18664 Hitachi 569-5516 ME I/F PCB S-9300 CD Scanning Electron Microscope Used Working
18665 AMAT Applied Materials 0100-90710 Vacuum Gauge Interface Board Rev.D working
18666 AMAT Applied Materials 0100-90880 Turbopump Interface Board Rev.A XR80 working
18667 Comptemporary Controls QL-CXS 8-Port Transceiver Hub working
18668 Millipore CDLD2106E Pressure Gauge CDLD-21S06-EMC lot of 6 working
18669 Millipore CDLD2106E Pressure Gauge CDLD-21S06-EMC lot of 6 working
18670 Granville-Phillips 275821 Differential Output Amplifier Series 275 lot of 5 used
18671 Elect Air Inc. 95656-N0V-3 Pneumatic Manifold lot of 4 working
18672 VAT 03009-NA24-1004 Slit Valve Novellus Concept II Altus Used Working
18673 Tylan General AC213C AdapTorr Valve Controller AC-2 Novellus 04-848579-00 Used
18674 KLA-Tencor CRS1010S Review Station DC Power Supply
18675 Murr Elektronik TNGS 10-230/24 DC Power Supply 24VDC/10AMP lot of 5 working
18676 GSI Lumonics 000-3008528 Laser Module KLA-Tencor CRS1010 Used Working
18677 MKS Instruments 100997144 Isolation Valve System 839-13521-1-1956 LAM 4420 Used
18678 Yaskawa DDMQF-SR2232IR System Robot Controller RC/ELC1 SRC-II 005 Used Working
18679 TEL Tokyo Electron 1D81-000140 PCB Board TYB41D-1/TMP Used Working
18680 TEL Tokyo Electron 1D81-000140 PCB Board TYB41D-1/TMP Used Working
18681 TEL Tokyo Electron 1D81-000120 PCB Board TYB419-1/PC Unity II Used Working
18682 AMAT XR80 24V Power Supply Board 0100-90025 working
18683 AMAT XR80 24V Power Supply Board 0100-90025 working
18684 Nikon 4S017-891-A AWLMTH-4 Interconnect Motherboard working
18685 Digital Electronics FP-VGA 260S Flat Panel Touch Screen Used Working
18686 Particle Measuring Systems FiberVac Fiber Optic Interface Used Working
18687 Particle Measuring Systems FiberVac Fiber Optic Interface Used Working
18688 Particle Measuring Systems FiberVac Fiber Optic Interface Used Working
18689 AMAT XR80 Implanter Controller 0090-91404 ITL working
18690 Particle Measuring Systems FiberVac VacuLaz Interface working
18691 Particle Measuring Systems FiberVac VacuLaz Interface working
18692 SCP Global Tech 1900121B-00 SPU Processor Board New
18693 AEG PC-A984-120 Modicon PLC Control System Assembly DEP 216 / AS-BDEP-216 Used
18694 Edwards D37370761 iGX Accessory Module 24V DC 210mA New
18695 Keyence LX2-70 Laser Thrubeam Photoelectric Sensor Lot of 6 Used Working
18696 Delta Energy Systems AWE-1DC-750W Power Supply SGPN4060A Lot of 8 Used Working
18697 Nikon NSR S202 Controller 4K171-841-3 working
18698 LAM Research 853-017160-002-F-1273 Rear EMO Assembly LAM 4420 Used Working
18699 Lam Research 853-017163-001-G-262B RF Switch Box 4420 Rainbow Used Working
18700 Yaskawa VS2B Wafer Transfer Robot with Dual End Effector Used Working
18701 Yaskawa VS2B Wafer Transfer Robot with Dual End Effector Used Working
18702 Yaskawa VS2B Wafer Transfer Robot with Dual End Effector Used Working
18703 LAM Research 853-013610-501-A-LEAN Solenoid Tray Assembly LAM 4420 Used Working
18704 Lam Research 715-130092-008 End Effector 200mm Wafer Rev. B Used Working
18705 LAM Research 715-130080-008 200mm End Effector Rev. F Used Working
18706 LAM Research 853-013610-001-D Solenoid Tray Assembly LAM 4420 Used Working
18707 LAM Research 853-013610-001-D Solenoid Tray Assembly LAM 4420 Used Incomplete
18708 AMAT XR80 Data Acquisition Inverter 0100-90027 working
18709 AMAT XR80 Implanter TPDU Monitor 0100-90492
18710 AMAT XR80 Implanter TPDU Monitor 0100-90492
18711 AMAT Applied Materials 0100-90385 Contacter Drive PCB Card XR80 Used
18712 AMAT Applied Materials 0100-90385 Contacter Drive PCB Card XR80 Used
18713 AMAT Applied Materials 0100-90875 Suppression Logic Issue C PCB Board Used
18714 AMAT Applied Materials 0100-90875 Suppression Logic Issue C PCB Board Used
18715 Beamline Vacuum Control
18716 LAM Research 852-011061-503-C-CHMN Lower Chamber Assembly 4420 Etcher As-Is
18717 Computer Recognition Systems 8815DL590 Image Bus Controller Rev. H Used Working
18718 Computer Recognition Systems 8843BQ347 Edge Detector PCB Card Rev. E Used
18719 Computer Recognition Systems 8805EC924 Rev. E Quad Ram Board Used
18720 Computer Recognition Systems 8815CM463 Image Bus Controller Rev. G Used Working
18721 Computer Recognition Systems 8843CM457 Edge Detector PCB Card Rev. G Used
18722 Computer Recognition Systems 8805DC795 Rev. D Quad Ram Board Used
18723 Computer Recognition Systems 8805DX899 Rev. E Quad Ram Board Used
18724 Computer Recognition Systems 8938BA268 LCS board 1520-1000 Rev. C Used
18725 Computer Recognition Systems 8949BN313 Rev E Gemini PC-3 Used Working
18726 Computer Recognition Systems 8815DF565 Image Bus Controller Used Working
18727 TEL ACT 8/12 CPC-T0001A-13 PCB Assembly Used
18728 Tokyo Electron TEL DC/DC Converter Board 2981-600310-12 Used Working
18729 TEL Unity 2 TMP 8ch Control Board REX-B860-CS2 working
18730 TEL Untiy 2 PCB TYB41C-1 1D81-000128-11 working
18731 Avio E67920 1D08-000098-12 PCB TEL Unity 2 Working
18732 TEL Unity 2 COM Board PCB 1D81-000-009218 working
18733 VAT 87907-R1 Adaptive Pressure Controller Board 610PB-26NM-0002
18734 VAT 87907-R1 Adaptive Pressure Controller Board  610PB-26NM-0004 Used
18735 TEL Tokyo Electron 1D81-0000-0096A9 Unity II Gas Board Used
18736 TEL Tokyo Electron 1D81-00-0096AA Unity II Gas Board E67920 Used
18737 TEL Unity 2 Control PCB 1D81-000-009219 working
18738 TEL Tokyo Electron MAIO Control Card 1D81-000099-C4
18739 TEL Tokyo Electron 1D08-000131-11 Unity II TYB421-1/INL PCB Used
18740 TEL Tokyo Electron 1D08-000103 Unity II PCB TYB412-1/C Used Working
18741 Progressive Technologies Inc. 12000G01 Sentry Supervisor working
18742 Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working
18743 Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working
18744 Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working
18745 Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working
18746 Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working
18747 Omron E5EK-AA2B Digital Temperature Controller Used
18748 Pureron DFM2-1000 Digital Flow Meter Lot of 3 Used
18749 Square D KAL262501127J Molded Case Circuit Breaker Lot of 3 Used
18750 Square D FAL22031127J Molded Case Circuit Breaker Lot of 5 Used
18751 Square D Vairous Molded Case Circuit Breaker 20A 25A 40A 240V~250V Lot of 7 Used
18752 Zellweger Analytics 2414-0017 Digi-Cat Non-Intrusive Calibrator Used
18753 Camco 70RGD0H20-360 Roller Gear Index Driver Used
18754 Intel NetStructure MPCBL0001F04 High Performance Single Board Computer Used
18755 Brooks Automation 002-7391-33 Pre-Aligner Used Working
18756 Kyowa WGA-100B-01 Instrumentation Amplifier Used Working
18757 Edwards Vacuum Flash Module D37215000 Working
18758 Edwards U20000521 Vacuum Interface Module Used Working
18759 Edwards U20000521 Vacuum Interface Module Used Working
18760 Edwards iNIM D37310000 Network Interface U20000924 Working
18761 Edwards U20000920 Flash Module Network Interface D37310000 Used
18762 Edwards ITIM E73+A1+T1 Control Module D37420000 working
18763 Edwards Local Control Module D37420000 Used Working
18764 DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working
18765 Edwards Y14204000 Temperature Management System TMS Used Working
18766 Edwards Y14204000 Temperature Management System TMS Used Working
18767 TEL ACT 12 300MM Air Valve Assembly Develop Process Station As-Is
18768 Pentagon Tech. Anelva Subtrate Holder 233-3059-99 new
18769 Ansul Agent Release Alarm Panel 442R Used Working
18770 Yaskawa SGMPH-01AAE-YA11 AC Servo Motor Used Working
18771 SMC VV5Q11-16-DAJ00773 Pneumatic Manifold NP420-DN1 Interface Control Used
18772 Pentagon Tech. Anelva PVD Split Ring 233-3055-11 new
18773 VAT 62034-KA18-1005 Pneumatic Angle Isolation Valve Lot of 6 Used Working
18774 VAT 26332-KA11-1001 Angle Isolation Valve Lot of 9 Used Working
18775 VAT 26332-KA71-1001 Angle Isolation Valve Lot of 5 Used Working
18776 VAT 26324-KA11-1001 Pneumatic Angle Valve Lot of 6 Used Working
18777 VAT 26324-KA11-1001 Pneumatic Angle Valve Lot of 6 Used Working
18778 Regal FS-10S Flow Sensor FS-S Lot of 2 Used Working
18779 Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch Lot of 5 Used Working
18780 Kokusai Electric Alpha FB001-DS Noise Filter Used Working
18781 Sony XC-77 CCD Video Camera Module Lot of 3 Used Working
18782 Intel MPCMM0001 Net Structure Chasis Management Module Top Assembly C52711-014R Used
18783 Intel MPCMM0001 Net Structure Chassis Management Module Top Assembly C52711-022 Used
18784 Keyence T2DC1-11652-10001 Heater Tape Temperature Control Unit Used Working
18785 Edwards D37215000 Vacuum Pump Flash Module Used Working
18786 Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used
18787 TEL Unity II ENI RFC-6 MW Controller RFC-6-01 Rev.B working
18788 Kyosan DC High Voltage Power Supply TEL Unity 2 working
18789 Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used
18790 Oriental Motor 4054-LD4 5-Phase Servo Driver lot of 2 working
18791 Chemetal Anelva PVD Ring Shield Holder 233-3057-99 new
18792 Oriental Motor B1583-07120KBL AC Servo Driver VEXTA MAG LOT TEL Unity II Used
18793 Sanken Electric Co. MLT-DCB0X5 DC Power Supply Used Working
18794 Sanken Electric Co. MLT-DCB0X5 DC Power Supply Used Working
18795 Yaskawa DR1-08AC Servo Drive working
18796 STEC SV-P1203 Mass Flow Meter SV-P1000 lot of 5 untested as-is
18797 STEC SV-P1102 Mass Flow Meter Lot of 3 As-Is
18798 STEC SV-P1102 Mass Flow Meter Lot of 3 As-Is
18799 STEC SV-P1203 Mass Flow Meter Lot of 4 As-Is
18800 STEC SV-P1203 Mass Flow Meter Lot of 4 As-Is
18801 Jobin Yvon Monochromator TEL Unity 2 H-10VIS Used Working
18802 Jobin Yvon Monochromator TEL Unity 2 H-10VIS Used Working
18803 Pentagon Tech. Anelva PVD Door Shield 233-3055-12 new
18804 TEL Tokyo Electron 1D08-000139-11 Unity II TYB324-1/PC PCB Used Working
18805 Tokyo Electron 1D81-000129 Unity II Control PCB TYB222-1/SW Used Working
18806 Tokyo Electron 1D81-000129 Unity II Control PCB TYB222-1/SW Used Working
18807 TEL Tokyo Electron 1D81-000096 Unity II Gas PCB TYB 211-1/GAS Used Working
18808 TEL Tokyo Electron 1D81-000096 Unity II Gas PCB TYB 211-1/GAS Used Working
18809 TEL Tokyo Electron 1D81-000140 Unity II PCB TYB41D-1/TMP Working
18810 TEL Tokyo Electron 1D81-000120 Unity II PCB TYB419-1/PC Used Working
18811 TEL Tokyo Electron 1D08-000103 Unity II PCB TYB412-1/C Used Working
18812 TEL Tokyo Electron 1D81-000104 Interface PCB TYB411-1/TC Used Working
18813 Pentagon Tech. Anelva Holder Shield Plate A12-00838 new
18814 TEL Tokyo Electron 1D81-000118 Unity II PCB Control Board TYB417-1/OPE Working
18815 TEL Tokyo Electron 1D81-000131-11 Unity II PCB TYB421-1/INL Used Working
18816 VAT 61144-PA52-1008 Throttle Valve Working
18817 VAT 61144-PA52-1008 Throttle Valve Working
18818 VAT 12044-PA24-1002 Vacuum Gate Valve working
18819 TEL Unity 2 Laser Assembly MLXS-D12-670-3 Lot 2
18820 VAT 87907-R1 Adaptive Pressure Controller Board 610PB-26NM-0002
18821 Pentagon Tech. Anelva 4-Spoke Shutter 233-5053-01 new
18822 TEL Tokyo Electron 1D81-000099-A6 Control PCB TVB111-1/MAIO Used Working
18823 TEL Tokyo Electron REX-B860-CS2 Unity II TMP 8ch Control Board Used Working
18824 TEL Tokyo Electron 1D81-000009219 Unity II PCB TYB 121-1/COM Used Working
18825 TEL Tokyo Electron 1D81-000009219 Unity II PCB TYB 121-1/COM Used Working
18826 TEL Tokyo Electron 1D81-000009218 Unity II PCB TYB 121-1/COM Used Working
18827 TEL Tokyo Electron 1D81-000126 PCB Control Board TYB311-1/PC Used Working
18828 Tokyo Electron TEL Unity 2 Slit Valve 012-004124 Used Working
18829 Tokyo Electron TEL Unity II Slit Valve 012-004123 Used Working
18830 TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used
18831 TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used
18832 TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used
18833 TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used
18834 VAT 26332-KA71-1001 Angle Isolation Valve Lot of 5 Used Working
18835 VAT 26328-KA11-1002 Pneumatic Angle Valve Lot of 4 Used Working
18836 Edwards iNIM D37310000 Network Interface U20000924 Working
18837 Edwards U20001107P Eason Control Box Used Working
18838 Edwards NRY0190412 Switch Box Rev. C 6xPDT IGX Pump Used Working
18839 Honeywell Sputtering Target 037-0173-25 new 300mm
18840 Edwards iNIM D37310000 Network Interface U20000924 Working
18841 AMAT Applied Materials 0040-75563 Load Lock Roughing Manifold Used Working
18842 Particle Measurement Systems 659510-100-AA Laser Control Unit FiberVac II Used
18843 Particle Measurement Systems 659510-100-AA Laser Control Unit FiberVac II Used
18844 Mitsubishi NV50-SW Earth-Leakage Circuit Breaker Lot of 6 Used Working
18845 Kokusai Electric FB001-DS Noise Filter Used Working
18846 Mitsubishi SD-N95 Magnetic Contactor Lot of 2 Used Working
18847 Orion Pelthermo ETM832A-DNF-L-G3 Power Supply 3000W 1-Phase 208V Working
18848 Orion Machinery ETM932A-DNF-L-G3 Pelthermo Power Supply Used As-Is
18849 Orion ETM832A-DNF-L-G2 Pelthermo Power Supply As-Is
18850 Hitachi CTE11-01 Temperature Control Assembly RKC REX-F7 As-Is
18851 Kokusai Electric U01200PMQA-DS1CE Ultrasonic Generator As-Is
18852 Pentagon Tech. Anelva Internal Shield 233-5053-02 new
18853 Pentagon Tech. Anelva Internal Shield 233-5053-02 new
18854 KLA-Tencor CRS1010 SDP Frame Grabber PCB 000483
18855 CKD N4S0-T30 Pneumatic Block Manifold N3S010 Lot of 3 Used Working
18856 Advanced TCA MPCBL0030 High Performance Single Board Computer D26196-001 Used
18857 Advanced TCA C13133-010 Power Supply Lot of 6 Used Working
18858 AdvancedTCA C13133-013 Power Supply Lot of 4 Used Working
18859 ATMI Shower Head 01-INT-006 refurbished 233413935
18860 Edwards D37420000 Local Control Module Used Working
18861 Yaskawa VS2B Transfer Robot Working
18862 Edwards D37310000 iNIM Base Network Interface 3X Cards 1X EGM Used Working
18863 Samsung Techwin SVP-5500N DX Video Presenter RS232C Used Working
18864 Yaskawa BC930310 Servo Controller SGD-02AN Working
18865 Pentagon Tech. Anelva PVD Fluted Ring 233-3055-15 new
18866 Yaskawa DDMQF-SR2231I Robot Controller SRC-II 006 Working
18867 Yaskawa DDMQF-SR2232IR System Robot Controller SRC-II 005 working
18868 Millipore FC-2900M Mass Flow Controller HE 20 SCCM Tylan Lot of 8 As-Is
18869 AdvancedTCA 100-D190-9496R11 Single Board Computer D19496-002 Used Working
18870 Evans Components NB-GL-8C-200-X Integrated Gas Stick Lot of 3 Used Working
18871 Pentagon Tech. Anelva PVD Cover Fixture A16-93047 new
18872 AdvancedTCA NPIC62765 Single Board Computer C62765-002 Used Working
18873 Tokyo Electronic Industry TE6036A7 Touch Screen Monitor LCD1012A Used Working
18874 AMHS 560-5547 PCB Used Working
18875 Novellus Systems 03-283968-00 Interface FE/LL C3 Vector Revision A Used Working
18876 Hitachi S-9300 SEM ALARM1F2 PCB 568-5602
18877 Hitachi S-9300 SEM 569-5505 ST Sense PCB Used Working
18878 Pentagon Tech. Anelva PVD Target Fixture A16-57797 new
18879 Hitachi S-9300 SEM 560-5505 Lens-PS PCB Used Working
18880 Hitachi S-9300 SEM 569-5519 EVCN3 PCB Used Working
18881 Hitachi S-9300 568-5590 ST Sensor PCB Used Working
18882 AdvancedTCA D27271-001 Single Board Computer MPCBL0020BPP01 Used Working
18883 AdvancedTCA D27271-001 Single Board Computer Card MPCBL0020BPP01 Used
18884 AdvancedTCA D52085-001 Single Board Computer Card SBC MPCBL0020S01Q Used
18885 AdvancedTCA D52085-001 SBC Single Board Computer MPCBL0020S01Q Used Working
18886 AdvancedTCA C87952-001 Single Board Computer Card MPCBL0010BPP Used
18887 Pentagon Tech. Anelva PVD Door Shield 233-3050-80 new
18888 AdvancedTCA D25065-001 Single Board Computer Card MPCBL0030N01PP Used
18889 AdvancedTCA D26196-004 Single Board Computer Card MPCBL0030 Used
18890 AdvancedTCA D26196-003 Single Board Computer Card MPCBL0030 Used
18891 Znyx Networks ZX5000-X4 16-Port AdvancedTCA Base Fabric Switch Used
18892 AdvancedTCA D25065-001 Single Board Computer Card MPCBL0030N01PP Used Working
18893 Hitachi 568-5569 NPSDAMP PCB Lot of 4 Used Working
18894 Hitachi S-9300 SEM 568-5602 ALARM1F2 PCB Working
18895 Hitachi S-9300 SEM 569-5526 I.L.CN PCB Working
18896 Hitachi S-9300 SEM 569-5516 ME I/F PCB Working
18897 Hitachi S-9300 SEM 569-5520 VSCN3 PCB Working
18898 Pentagon Tech. Cover, B Anelva PVD API-435 new
18899 Hitachi S-9300 SEM 569-5512 CNCORD1 PCB Used Working
18900 Ultrapointe Corporation Assembly 00045 Lon Motor Driver Board Lot of 2 Working
18901 Huber+Suhner SPA 3500/60/16/0/DS_C Planar Antenna Lot of 3 Used Working
18902 Tel Tokyo Electron CT ACT 12 300mm 2987-415068-W6 Head Assembly Used Working
18903 Pentagon Tech. Holder Cover SPC Anelva 233-5053-03 new
18904 Hoya-Schott SD-340 AOFS Driver Used Working
18905 Hitachi S-9300 SEM 569-5516 ME I/F PCB Working
18906 Allen-Bradley 700DC-PK400Z24 Master Control DC Relay 700-CPM Lot of 2 Used
18907 Pentagon Tech. Internal Shield Anelva 233-3059-56 new
18908 AEG DAP218/AS-BDAP-218 Output Module 042701664 Modicon Lot of 2 Used Working
18909 Sti 44510-0300 Safety Monitoring Relay SR05A Reseller Lot of 4 Used Working
18910 Sti 44510-0300 Safety Monitoring Relay SR05A Reseller Lot of 4 Used Working
18911 AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working
18912 AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working
18913 AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working
18914 Omron H3CR-A Timer With 2-M4X30 Socket Lot of 6 Working
18915 Pentagon Tech Holder Cover Anvelva 233-5052-99 new
18916 Aerotech 1035-01-1000-01 Magnet Servo Motor 1035DC/MO/E1000MB Working
18917 Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch Lot of 5 Used Working
18918 Pisco DMM-300 Fiber Film Type Drier Lot of 7 Used Working
18919 Evans Components NB-GL-8C-200-X Integrated Gas Stick Lot of 3 Used Working
18920 Agilent Remote Receiver E1709A lot of (6) Used Working
18921 Inficon 253-492 Pneumatic Angle Valve VAP040-X Used Working
18922 CKD N3S010 Solenoid Valve Manifold N4S0-T50 0.2-0.7MPa 12VDC Lot of 18 Working
18923 GSI Lumonics E11-13209-7 X-Y Scanner PCB Rev. D KLA-Tencor CRS-3000 Used Working
18924 MagneTek 1005096710 Digital Phase Lock Control PCB Rev. NC Used Working
18925 Sanyo Denki PMM-BD-5705-1 Motor Driver PCB Lot of 2 Used Working
18926 LDI Pneutronics 691-0074 PCB Control Board Rev. A Used Working
18927 LDI Pneutronics 691-0074 PCB Control Board Rev. 3 Used Working
18928 Ultrapointe Corporation Assembly 00045 Lon Motor Driver Board Lot of 2 Working
18929 Nikon 4S013-448 IRC-A-PCB Board Lot of 3 Used Working
18930 Ultrapointe Corporation Assembly 00045 Lon Motor Driver Board Lot of 2 Working
18931 Znyx Networks ZX5000-A1 16-Port AdvancedTCA Base Fabric Switch Used
18932 Znyx Networks ZX5000-A1 16-Port AdvancedTCA Base Fabric Switch Used
18933 Bio-Rad Y5301267 AIMS DC Servo Board Quaestor Q7 Used Working
18934 Computer Recognition Systems 8946-0001 VME/Overlay Interface Issue 1 PCB Used
18935 RGI Raster Graphics Inc 6000700-09A VME Board RG700 Used Working
18936 Bio-Rad Y5304901 DSF VME Interface Board Used Working
18937 Bio-Rad Y5304901 DSF VME Interface Board Used Working
18938 Diversified Technology ATC5231 Single Board Computer Card Used Working
18939 AdvancedTCA C89126-001 Single Board Computer Card NPIC89126 Used Working
18940 AdvancedTCA C55360-009 Single Board Computer Card MPCBL0001F04 Used
18941 AdvancedTCA D25065-001 Single Board Computer Radisys 61-0934-30 Working
18942 AdvancedTCA C13354-007 Single Board Computer MPCBL0001N04 Used Working
18943 Bio-Rad Y5304803 RATS Interface Board PCB Card Quaestor Q7 Used Working
18944 Bio-Rad Y5305021 PCB Issue B Used Working
18945 Bio-Rad Y5305021 PCB Issue B Used Working
18946 Bio-Rad K7034-002-2-23158-1001 PCB 002-1-23158-100 Used Working
18947 Bio-Rad K7034-002-2-23158-1001 PCB 23158-100 Used Working
18948 Bio-Rad K7034-002-2-23158-1001 PCB 002-1-23158-100 Rev. 2A Used Working
18949 Force Computers SYS 68K/IOBP-1 Rear I/O Transition Module IOBP-1 Used
18950 Force Computers SYS 68K/IOBP-1 Rear I/O Transition Module IOBP-1 Used
18951 Schroff 23000-041 Backplane PCB VME JI 11 SLOT Used Working
18952 Schroff 23000-041 Backplane PCB VME JI 11 SLOT Used Working
18953 AdvancedTCA C13354-007 SBC Single Board Computer MPCBL0001N04 Working
18954 AdvancedTCA C13354-015 Single Board Computer MPCBL0001N04 Used Working
18955 AdvancedTCA C89125-001 Single Board Computer Card NPIC89125 Used Working
18956 Siemens S30861-Q485-M-H3/01 Telecom Board Base Station Used
18957 AdvancedTCA D25065-001 Single Board Computer SBC Card MPCBL0030N01PP Used
18958 VERO Electronics VMExcel J1 Backplanes 243-413011 Used Working
18959 VERO Electronics VMExcel J1 Backplanes 243-413011 Used Working
18960 SCP Global Technology 3270171G Auxiliary Relay Unit Used Working
18961 AdvancedTCA D9788-001 Single Board Computer MPCBL0040B01Q Used Working
18962 VWR 61161-362 Digital Conductivity Resistivity Salinity Bench Meter Used Working
18963 Nikon 4S013-369- Interface PCB STGSTCAFX4 Used Working
18964 TDK RAW24-31R Power Supply Used Working
18965 AdvancedTCA C13354-007 Single Board Computer Card MPCBL0001N04 Used Working
18966 AdvancedTCA C13354-008 Single Board Computer MPCBL0001N04 Used Working Surplus
18967 AdvancedTCA C13354-008 Single Board Computer MPCBL0001N04 Used Working
18968 AdvancedTCA C55360-009 Single Board Computer MPCBL0001F04 Used Working
18969 AdvancedTCA C55360-007 Single Board Computer MPCBL0001F04 Used Working
18970 Kontron ATCA/FQA-01 AdvancedTCA Processor Blade Used Working
18971 AdvancedTCA C90917-001 Base Fabric Blade NPIC90917 Used Working
18972 OEM-12A ENI OEM-12A-21041-51 RF Power Generator Rev. C Tested Working
18973 AdvancedTCA C68158-001 Base Fabric Blade MPCB0010SPP Used Working
18974 Edwards W65531611 Barocel Pressure Sensor 100 Torr Tested Working
18975 Edwards W65521611 Barocel Pressure Sensor 10 Torr Transducer Tested Working
18976 Edwards W65511611 Barocel Pressure Sensor 1 Torr Transducer Tested Working
18977 Tokyo Electronic LCD1012X Touch Screen LCD Monitor Type BE6138A2 Used Working
18978 Bio-Rad Quastor Q7 OFO501328 Microscope Objective 70/0.50 Used Working
18979 M & E Control Data Pad TK-001 Used Working
18980 Nikon 4S015-197 CPU Board NK386SX4-NSC Used Working
18981 Nikon 4S018-444-EPDRV1-X2A PCB Board Used Working
18982 Nikon 4S018-550-LMDRVX3 PCB Controller Board Used Working
18983 Komatsu Electronics Inc. GR-712-1 Heat Exchanger Power Supply 20000620 working
18984 Nikon 4S018-379-SPI0X2 Scanner Blade PCB Used Working
18985 Nikon 4S018-351 PCB Board OPDCTRL2 Used Working
18986 TDK 2EA00E182B Nikon 4S001-064 Power Supply Blade Used
18987 Nikon 4SO14-142-3 PCB Blade RL-CTRL2 Used Working
18988 Nikon 4S018-403- PCB Blade PPD3S Used
18989 Nikon 4S001-082 Power Module PCB NSR S307E Used Working
18990 Nikon 4S007-668-A FIAAF Process B Board Used Working
18991 SVG 90S RS422 Communications PCB 99-80203-01 Rev J Used
18992 SVG 90S RS422 Communications PCB 99-80203-01 Rev J Used
18993 Nikon 4S007-843-F PCB EX-AIS Used Working
18994 Varian E11288510 Relay Interface Rev. A Used Working
18995 Kyowa WGA-100B-01 Instrumentation Amplifier Used Working
18996 Celerity 9240-05462ITL Mass Flow Controller Argon Bleed Assembly Used
18997 AMAT Applied Materials 0270-04365 EVR Extraction Tool Used Working
18998 Kokusai Electric Burning/Process Exhaust Pipe Temperature Controller Used
18999 AMAT Applied Materials 9240-04102 Cryo Interface Unit Lot of 2 Used
19000 Teradyne 420-431-01 Universal Manipulator Support Kit Used Working
19001 Merlin Gerin NSF150N Industrial Circuit Breaker Used Working
19002 Hoya-Schott AOFS Driver SD-340 Used Working
19003 Nikon NRS S306C 4K197-306 Pneumatic Box Working
19004 Koganei 4K199-395 Nikon Pneumatic Box Assembly NSR-S307E Used Working
19005 PULNIX TM-7EX Miniature CCD Camera Used Working
19006 Ansul Agent Release Alarm Panel 442R Used Working
19007 Nikon Optistation 3 200mm Optical Fiber Light Source PSM-11520 working
19008 Edwards NRY0190412 Switch Box Rev. C 6xPDT IGX Pump Used Working
19009 Mitsubishi AC Servo Drive MR-J2-20A-S12 working
19010 Screen SL-2121-C-Z Terminal SM Unit HLS-MC1A PC-97040A Working
19011 DNS Dainippon Screen SL-2130-C-Z Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC4 Used
19012 DNS Dainippon Screen SL-2130-C-Z Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC4 Used
19013 Mitsubishi MR-J2-10A-S12 AC Servo Drive MELSERVO Used Working
19014 Datalux LMV10B 10-inch Flat Panel Display Used Working
19015 Nikon 4S008-035 Power Supply Assembly Used Working
19016 CKD N4S0-T30 Solenoid Valve Manifold N3S010 Lot of 21 Used Working
19017 CKD Solenoid Valve Manifold N4S0-T30 N3S010 Lot of 7 Used Working
19018 CKD N4S0-T30R 18 Port Manifold Solenoid Valve N3S010 Lot of 4 Used Working
19019 Omega RD6111 Single Channel Flatbed Recorder AC/DC Voltages & Currents New
19020 CKD N4S0-T50 Solenoid Valve Manifold N3S010 Lot of 14 Used Working
19021 DNS Dainippon Screen VME-HLS-DS Processor VME Card PC-99033D PCB SL-1012B Used
19022 DNS Dainippon Screen VME-HLS-DS Processor VME Card PC-99033D PCB SL-1012B Used
19023 MYCOM MY5211-214 PCB PG-104L-05 Used Working
19024 MYCOM MY5211-214 PCB PG-104L-05 Used Working
19025 CKD N4S0-T50 Solenoid Valve Manifold N3S010 Lot of 28 Used Working
19026 CKD N3S010 Solenoid Valve Manifold N4S0-T50 0.2-0.7MPa 12VDC Lot of 18 Working
19027 Sanritz Automation SVP501-3-P10 PCB Used Working
19028 Screen PC-97010 PMCDIV Interface SL-3020 VMEbus PCB Card Used Working
19029 Screen PC-97010 PMCDIV Interface SL-3020 VMEbus PCB Card Used Working
19030 Edwards U20000924 iNIM D37310000 Network Interface Used Working
19031 alum-a-lift E40001233 Gate Valve End Effector Kit E20-9313A-01-000A Used Working
19032 Nikon 4S587-579A RS-HUB NSR S307E Used Working
19033 Nikon 4K197-306 Pneumatic Box NRS S306C Used Working
19034 CKD OPP3-1H Pneumatic Manifold Assembly N4S0 Used Working
19035 TEL Tokyo Electron T-3055DD Power Supply As-Is
19036 Nikon Z-4B1A-A1901 Power Supply Module NSR-S307E Used Working
19037 Tencor 33205 AC Power Box Assembly LPM Used Working
19038 Tencor 33205 AC Power Box Assembly LPM Used Working
19039 AMAT Applied Materials 9094-00923ITL Processor Vacuum Control Chassis As-Is
19040 Nikon 4S018-908-1 Connection PCB Card PNLRESET NSR-307E Used Working
19041 TDK RDH24-6R0 DC Power Supply Used Working
19042 TDK RDH24-6R0 DC Power Supply Used Working
19043 Watlow DIN-a-Mite Power Controller DB80-24C0-0000 Lot of 3 Used
19044 Eaton GDB-D 14k Circuit Breaker 15 Amp Lot of 4 Used Working
19045 AP Tech AP1001S 2PW Valve 772-091607-001 Lot of 10 Used
19046 Edwards NRY00T0000 VFB Purge Box P126X Used Working
19047 Omron Z4LC-C28 Parallel Beam Line Sensor Working
19048 Omron Z4LC-C28 Parallel Beam Line Sensor Working
19049 Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge Lot of 12 Used Working
19050 Emerson Bristol Babcock 506008-649 Pressure Switch Lot of 3 Used Working
19051 AMAT Applied Materials 0021-11486 300mm Shutter Disk Rev. 004 New
19052 Qualiflow 05M07 High Flow Shut Off Valve F-Series Reseller Lot of 40 Used
19053 IDEC RY2S-U Blade Relay Lot of 90 Working
19054 Nippon Puretech Cleanant Filter CTA-AN/620360155A2N New
19055 Nikon NSR Power Supply Assembly 4S013-413-SPRLNK 4S001-107 4S013-448 Used
19056 Nikon Z-4B1A-A1901 Linear Track NSR-S307E Used Working
19057 SCREEN DS-1608-TR Tank Module Wet Station Assembly DIW D-101 Working
19058 Nikon Z-4B1A-A0402 Linear Track NSR-S307E Used Working
19059 Nikon NSR-S307E Cassette Elevator Used Working
19060 Taisei Denki TE1B-720-EN-NF Blue Power Transformer FC-3000 Used Working
19061 Taisei Denki TE1B-720-EN-NF Blue Power Transformer FC-3000 Used Working
19062 Brooks Automation WTM-511-2-FWS02-V1 Transfer Robot 0190-08245 Untested As-Is
19063 Brooks Wet Robot WTM-511-2-FWS02-V1-CU 0195-02883 Working
19064 V-Tex Slit Valve 172B336X48DCPR03 Missing Door As-Is
19065 MYCOM MY5211-214 PCB PG-104L-05 Used Working
19066 Screen VME-HLS-DS PC-99033D PCB SL-1012B Used Working
19067 Screen PC-97002A Control HLS-VME2 VMEbus PCB Card Used Working
19068 Screen PC-97002A Control HLS-VME2 VMEbus PCB Card Used Working
19069 Screen PC-97002A Control HLS-VME2 VMEbus PCB Card Used Working
19070 Mycom PG104L-04 Process Control VMEBus PCB Card PG-104 MY5211-047A Used Working
19071 Mycom PG104L-04 Process Control VMEBus PCB Card PG-104 MY5211-047A Used Working
19072 Screen PC-97010 PMCDIV Interface SL-3020 VMEbus PCB Card Used Working
19073 Screen PC-97009 COMDIV SL-3010 PCB Card Used Working
19074 Screen PC-97009 COMDIV SL-3010 PCB Card Used Working
19075 Screen PC-97009 COMDIV SL-3010 PCB Card Used Working
19076 Edwards U20000924 iNIM D37310000 Network Interface Used Working
19077 Edwards U20001107 Eason Control Box Rev. A Used Working
19078 Edwards Y14204000 Temperature Management System TMS Used Working
19079 Comtrol A00058 Rocketport 8 port Circuit Board Rev.B Lot of 3 Used Working
19080 Computer Boards CIO-DAS48-PGA Analog Input Board Used Working
19081 Acces IDI-48A Digital Input Board Rev. A4 Lot of 3 Used Working
19082 Hitachi BBB1-02 Interface Board M-712E Used Working
19083 Taisei Denki TD-13659 Blue Power Transformer TE1B-720-EN-NF Copper Used
19084 Edwards U20000921 Network Interface 2X Cards 1X EGM Used
19085 Nor-Cal Products CSVP-1502-CF Pneumatic Copper Seal Angle Valve Used Working
19086 Komatsu Electronics Inc. GR-712-1 Heat Exchanger Power Supply 20000620 working
19087 AMAT Applied Materials 9090-00442 Decel PSU Resistor Assembly Working
19088 Orion ETM832A-DNF-L-G3 Pel Thermo Power Supply 3000W 1-Phase 208V Working
19089 Omron V700-L21 CIDRW Controller Used Working
19090 Omron V700-L22-1 CIDRW Controller Used Working
19091 Omron V700-L22-1 CIDRW Controller Used Working
19092 CKD TRP-03-A100T-X1002 Pressure Control Flow Splitter PARECT Used
19093 Orion ETC902-NSCP-L2 Pel Thermo As-Is
19094 Orion ETM832A-DNF-L-G2 Pel Thermo 3000W Power Supply 1-Phase 208V Working
19095 Hitachi Control Board IOTC-02N Used Working
19096 KLA-Tencor CRS1010 Mirror Assembly 003087 000381 working
19097 KLA-Tencor CRS1010 Mirror Assembly 003087 000381 working
19098 Hitachi Interface PCB VMPM-02N Working
19099 Orion ETC902-NSC-LP Pel Thermo Heat Exchanger As-Is
19100 Oriental Motor UDX5107N 5-Phase Stepping Motor Amplifier Super Vexta Working
19101 Hitachi ILB-02 M-712E Relay Board Working
19102 Ultratech Stepper 03-15-06034 Dual Stack Reticle Library Rev. A Used
19103 Ultratech Stepper 03-20-1955 Focus A/D 5 Axis PCB Rev. E Used
19104 KLA-Tencor AIT 2 Cradle Power Board 328014 Rev. B Working
19105 Hitachi DI0-01N Control PCB M-712E Used Working
19106 Hitachi I0TU-01N M-712E Input PCB I0CN-01A Working
19107 Hitachi AI0-02N Analog Input Board M-712E Working
19108 Hitachi EPD-02N Control Board PCB Used Working
19109 Screen PC-97040A Control PCB HLS-MC1A Used Working
19110 Screen PC-97040A Control PCB HLS-MC1A Used Working
19111 Screen PC-97019 Interface PCB HLS-MC4 Used Working
19112 Screen PC-97019 Interface PCB HLS-MC4 Used Working
19113 Screen PC-97013B Interface PCB HLS-MC2 Used Working
19114 Screen PC-97013B Interface PCB HLS-MC2 Used Working
19115 DNS Electronics SL-2121-C-Z Terminal SM Unit HLS-MC1A PC-97040A SCREEN Working
19116 DNS Dainippon Screen SL-2121 Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC3 Used
19117 DNS Dainippon Screen SL-2121 Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC3 Used
19118 DNS Dainippon Screen SL-2121 Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC3 Used
19119 Edwards NBR784000 Vacuum Interface Module NGR409000 Working
19120 Edwards NBR784000 Vacuum Interface Module NGR409000 Working
19121 Edwards NGR40900 DeviceNet Interface With AIM Working
19122 DNS Electronics FC-3000 Ion Pump Power Supply Used Working
19123 Hitachi BBET-11 Backplane Interconnect PCB Working
19124 Hitachi BBET-11 Backplane Interconnect PCB Working
19125 Nikon SPA452B Linear Motor Controller 4S587-470-3 As-Is
19126 Panasonic MSMA021A1E Servo Motor Assembly KE2-KK10 Working
19127 DNS Electronics FC-3000 Trench Clean Tank Module DS-1211 Copper Exposed Used
19128 DNS Electronics FC-3000 Trench Clean Tank Module DS-1211 Used Working
19129 DNS Electronics FC-3000 CHCL Tank Module Wet Station Assembly SCREEN DS-1608-TR
19130 DNS Electronics FC-3000 Rinse Tank Module DS-1211 Copper Exposed Used Working
19131 DNS Electronics FC-3000 CHCL Tank Module Wet Station Assembly Copper Exposed
19132 DNS Electronics FC-3000 Via Clean Tank Module DS-1211 Used Working
19133 Kokusai CX1229-1 Mechanical Controller DNS FC-3000 Used Working
19134 DNS Electronics FC-3000 Rinse Tank Module DS-1211 Used Working
19135 DNS Electronics FC-3000 Rinse Tank Module DS-1211 Copper Exposed Used
19136 DNS Electronics FC-3000 Rinse Tank Module DS-1211 Copper Exposed Used
19137 DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working
19138 Edwards U20000521 Vacuum Interface Module Used Working
19139 Edwards NRY0190412 Switch Box 6 x PDT IGX Pump Used Working
19140 Orion Pel Thermo ETM832A-DNF Power Supply Used Working
19141 DNS Electronics FC-3000 DS-1211-ANALOG(D) I/O Modules DS-1608-TR(B) Used Working
19142 Komatsu 20000620 Heat Exchanger Power Supply GR-712-1 Working
19143 Edwards Exhaust Purge Flow Control Box Used Working
19144 AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working
19145 AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working
19146 AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working
19147 Nikon 4S065-417 Power Supply Assembly With 4S065-418 Interface Working
19148 Hitachi HT94219A Control PCB PI01 Working
19149 Hitachi HT94218A Control PCB PM1 VER Working
19150 Hitachi HT94217 PCB CPU0 working
19151 Hitachi HT96611A Control PCB ASN1 Used Working
19152 Hitachi HT98309 Backplane PCB Working
19153 Taisei Denki TD-13659 Blue Power Transformer TE1B-720-EN-NF FC-3000 Used Working
19154 Mitsubishi NV50-SW Earth-Leakage Circuit Breaker Lot of 6 Used Working
19155 Mitsubishi SD-N95 Magnetic Contactor UN-CZ800 Lot of 2 Used Working
19156 TRI-MAG K45-4 High Current Amplifier K-Series Lot of 2 Working
19157 Brooks Automation 02-169208-00 ATM Robot As-Is
19158 KLA-Tencor 328014 Cradle Power Board PCB AIT 2 Used Working
19159 V-Tex 172B336X48DCPR03 Slit Valve Missing Door As-Is
19160 Tropel Doublet 3 Lens KLA-Tencor AIT 1 Working
19161 Hitachi DI0-01N Control PCB M-712E Used Working
19162 Hitachi I0TU-01N Input PCB I0CN-01A Used Working
19163 Hitachi I0TU-01N Input PCB I0CN-01A Used Working
19164 Hitachi ILP-02 PCB Used Working
19165 Hitachi ILD-02 PCB Used Working
19166 Hitachi AI0-02N Analog Input Board M-712E Working
19167 Hitachi BBPS-11 PCB Lot of 2 Used Working
19168 Hitachi I0TU-01N Input PCB I0CN-01A Used Working M-712E
19169 Hitachi BBDS-11 PCB Lot of 2 Used Working
19170 SunX LD-C60 Laser Line Sensor Controller Working
19171 DNS Electronics FC-3000 DS-1211-ANALOG(D) I/O Modules DS-1608-TR(B) Used Working
19172 DNS Electronics FC-3000 DS-1211-ANALOG(D) I/O Modules DS-1608-TR(B) Used Working
19173 Screen DSLE-0042 PCB Reseller Lot of 23 Used Working
19174 DNS Electronics Via Clean Tank Module FC-3000 DS-1211 Copper Exposed Used
19175 DNS Electronics Rinse Tank Module FC-3000 DS-1608-TR (B) Copper Exposed Used
19176 DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working
19177 DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working
19178 Johnson Controls FM-ORL101-0 Function Module Output Relay Metasys Lot of 4 Used
19179 AMAT Applied Materials 4020-00462 Cartridge Filter Reseller Lot of 20 New
19180 RKC TRY-10PD-16-DC Transmitter Reseller Lot of 10 Used Working
19181 Johnson Controls NU-XRL101-0 I/O Module Point Multiplex Metasys Working
19182 Johnson Controls NU-XBN101-0 Output Module 1 Amp 125VAC Working
19183 AMAT Applied Materials 0100-20353 Light Tower Operation Panel I/O Block Working
19184 AMAT Applied Materials 0100-20353 Light Tower Operation Panel I/O Block Working
19185 AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm Used Working
19186 SunX SF4-AH Light Curtain Set Emitter And Receiver Used Working
19187 SunX SF4-AH Light Curtain Set Emitter And Receiver Used Working
19188 Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M Rev. E Working
19189 Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M Rev. E Working
19190 Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M Rev. E Working
19191 Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M Rev. E Working
19192 Edwards U20000920 Flash Module Network Interface D37310000 Used
19193 Edwards U20000924 iNIM D37310000 Network Interface Used Working
19194 Edwards NGR409000 DeviceNet Interface With AIM Working
19195 Edwards NGR409000 DeviceNet Interface With AIM Working
19196 Edwards A52844463 im Interface Module Used Working
19197 JAE UT3-JAG4-L Touch Panel Monitor Used Working
19198 Sanyo Denki PY2E015A3MH1P00 Servo Amplifier PY2E015A PY Working
19199 Sanyo Denki PY2E015A3MH1P00 Servo Amplifier PY2E015A PY Working
19200 Hitachi BBET-11 Backplane Interconnect PCB Working
19201 Hitachi EPD-02N Control Board PCB Used Working
19202 Hitachi I0TU-01N Input PCB I0CN-01A Used Working M-712E
19203 Hitachi AI0-02N Analog Input Board M-712E Working
19204 Hitachi DI0-01N Control PCB M-712E Used Working
19205 Edwards Y14501002 Temperature Managements System Used Working
19206 Edwards Y14501002 Temperature Managements System Used Working
19207 Edwards U20000921 Network Interface 2X Cards 1X EGM Used
19208 Edwards U20000925 Netword Interface 4X Cards 1X EGM Used Working
19209 Panasonic MSD021A1XX AC Servo Driver Minas Used Working
19210 Panasonic MSD021A1XX AC Servo Driver Minas Used Working
19211 Edwards Y14204000 Temperature Management System TMS Used Working
19212 Edwards Y14204000 Temperature Management System TMS Used Working
19213 Edwards U20000347 Interface Module Working
19214 Edwards U20000346 im Interface Module NOVELLUS CONCEPT 2 DLCM Used Working
19215 Edwards A52844413 Pump Interface Module Used Working
19216 Oriental Motor UDX5107N 5-Phase Driver Super Vexta Used Working
19217 Tachibana Tectron TVME2300 PCB Rev. A Used Working
19218 Tachibana Tectron TVME2300 PCB Rev. A Used Working
19219 Hitachi 1B19229 ZVL897 Processor Board PCB Card OFV-DTCT PCB Used Working
19220 MKS Instruments Angle Valve 152-1063P Lot of 2 Used Working
19221 V-Tex 172B336X48DCPR03 Slit Valve Missing Door As-Is
19222 OAI 0320-010-01 Exposure Analyzer 320 Used Working
19223 Bede 60-013041-000 Sensor Interface FAB300 Working
19224 Kokusai D2E01310A Vertron Branch PCB Working
19225 Kyowa WGA-100B-01 Instrumentation Amplifier Used Working
19226 Omron S8PS-30024C Power Supply Lot of 2 Used Working
19227 Edwards W65531611 Barocel Pressure Sensor 100 Torr Tested Working
19228 Nikon NSR Series Power Supply Module CB1000A Used Working
19229 Hitachi Kokusai Electric DN-150A
19230 Kokusai Vertron D3E01299A Brake PCB Used Working
19231 Kokusai D4E01298 SPCONV2 PCB Vertron DD803V Working
19232 Edwards NRY0190412 6 x PDT Switch Box for IGX Pumps Used Working
19233 Soft Switching Technologies DS10025A120V2SH1106A Dynamic Voltage Sag Corrector
19234 Soft Switching Technologies DS10025A120V2SH1106A Dynamic Voltage Sag Corrector
19235 Digital TZ88N-VA Digital Tape Drive TZ88 Storage Works Lot of 2 As-Is
19236 Schlumberger Technologies 97913172 HCDPS Board PCB Card 40913172 Used Working
19237 Schlumberger Technologies 97911437 APGID “Y” Board PCB Working
19238 Schlumberger Technologies 97911001 Layer 12 Microstrip 40911001-1 PCB Working
19239 Asyst Teach Pendant 8045R2-1 Used Working
19240 Ansul Agent Release Alarm Panel 442R Used Working
19241 Ansul Agent Release Alarm Panel 442R Used Working
19242 Hitachi EC2 Temperature Control Assembly REX-F7 Used Working
19243 CKD N4S0-T30 8-Port Pneumatic Manifold N3S010 Solenoid Valve Lot of 4 Used Worki
19244 Edwards 3XIH600/3XIL70 Interface Unit GI Working
19245 Edwards U20000616 Shutdown Interface Box DWG# C4560-1 Working
19246 RITTAL Rudolf Loh GmbH AE 1002 Relay Assembly Used Workin
19247 CKD N4S0-T56 COMM Unit OPP3-1H 15-Port Pneumatic Manifold Lot of 2 Used Working
19248 Edwards A52844463 iQ Interface Module Used Working
19249 Evans Components NB-GL-8C-200-X Integrated Gas Stick Lot of 3 Used Working
19250 Regal FS-10S Flow Sensor FS-S Lot of 2 Used Working
19251 Regal Flow Sensor FS-30S Lot of 8 Used Working
19252 Zellweger Analytics 2414-0017 Digi-Cat Non-Intrusive Calibrator Used
19253 KLA-Tencor Quantox 64000 Cooling Module #98/KE10600-M working
19254 Edwards U20001189 iGX Series Vacuum Pump Power Cable 7 Foot Lot of 4 Used
19255 Edwards U20001189 iGX Series Vacuum Pump Power Cable 7 Foot Lot of 4 Used
19256 Edwards U20001189 iGX Series Vacuum Pump Power Cable 7 Foot Lot of 4 Used
19257 Edwards U20001189 iGX Series Vacuum Pump Power Cable 7 Foot Lot of 4 Used
19258 Mitsubishi SD-N95 Magnetic Contactor UN-CZ800 Lot of 2 Used Working
19259 Varian Semiconductor E111095460 Water Temperature/Resistivity Monitor Used
19260 MEL Systems And Services MBT 240i MELSS New Surplus
19261 Hiranuma Sangyo COM-555PH CADS Drain Wash Controller Used Working
19262 Alum-a-lift E20-9313A-08-000C Manipulator Varian E40001241 Used Working
19263 TDK Lambda RAX15-6R6 DC Power Supply 15V Lot of 4 Working
19264 SVG ASML 879-8074-003-B Cap Gauge Assembly Used Working
19265 TDK Lambda RAX05-20R DC Power Supply 5V Lot of 3 Working
19266 Kokusai T2DC6-12143 BTBAS Controller CX3-GPLON Module Working
19267 Leybold Vacuum 72142057-C Digital Temperature Gauge Meter Used Working
19268 Leybold 72142059-B Dual Digital Run And Process Timer Working
19269 Leybold 72142056 Digital Temperature Gauge Working
19270 osel P30E-12 Power Supply Unit Lot of 3 Used Working
19271 SVG ASML 854-8305-006-A Chassis Used Working
19272 RadiSys EXP-MX PCB Assembly Used Working
19273 Edwards NRY0DN000 Control Box Module Rev. J Used Working
19274 Edwards U20001107 Easton Control Box Module NRY0P8101US Alarm Enclosure Working
19275 Edwards Exhaust Purge Flow Control Box Used Working
19276 Edwards NRY00T0000 VFB Purge Box P126X Used Working
19277 Edwards NRY00T0000 VFB Purge Box P126X Used Working
19278 SVG ASML 859-8366-011 Power Supply Assembly Used Working
19279 Hitachi ZVL897 PCB OFV-DTCT Used Working
19280 Genmark Automation 9800106571 Robot System Small Controller Untested
19281 Tachibana Tectron TVME2300 PCB Rev. A Used Working
19282 Hitachi ZVL776/100 Processor Board PCB Card MH3000 I-900SRT Used Working
19283 VMIC 332-000113-427C Voltage Input Output PCB 2532A Used Working
19284 VMIC 332-0006015-000R VMIVME Bus PCB Used Working
19285 Omron G3PA-210B-VD Solid State Relay Lot of 12 Used Working
19286 Robitech 990-9131 Valve Module PCB 681-0181-009 Lot of 5 Used Working
19287 Robitech 990-9131 Valve Module PCB 681-0181-009 Lot of 5 Used Working
19288 RadiSys EPC-5 VIX CPU Module EXP-BP4 Used Working
19289 Allen Integrated Assemblies AP10204 PCB Assembly Used Working
19290 Kyoto Denkiki KDS-30350WF Dual Output DC Power Supply Hitachi M-712E Used
19291 Kyoto Denkiki KDS-30350WF Dual Output DC Power Supply Hitachi M-712E Used
19292 Edwards U20001107P Eason Control Box NRY0DN101US Working
19293 Edwards NRY0DN101US Control Box Module Working
19294 Power-One HPM5J2J2K DC Power Supply Used Working
19295 Therma-Wave Opti Probe 2600B Power Supply MC 18-010918 Working
19296 rKc DSX-BOL PCB DSX-BOL-11-33A Lot of 2 used Working
19297 Tel Tokyo Electron ACT 12 300mm 2985-404117-W3 Side Rinse Arm Assembly Used
19298 Tel Tokyo Electron ACT 12 300mm 2985-404116-W3 Side Rinse Arm Assembly Used
19299 3M 051111-90050-5 Diamond Pad Conditioning Disk A9810 Lot of 5 New
19300 Nikon NSR-S307E Elevator Working
19301 Omron S8PS-30024C Power Supply Lot of 2 Used Working
19302 SMC VJ3233T 5-Port Pneumatic Solenoid Valve Block VJ3133T Lot of 2 Used Working
19303 TEL Tokyo Electron 2985-406661-W3 Left Nozzle Arm Assembly ACT 12 200mm As-Is
19304 TEL Tokyo Electron 2985-406653-W3 Right Nozzle Arm Assembly ACT 12 200mm As-Is
19305 Nikon NSR S307E Photoelectric Sensor Module Z4LC-S28 Used Working
19306 TEL ACT 12 CKD 4SB019-C3 Pneumatic Manifold FL139571 2724 Lot of 3 Working
19307 CFM Festo 13-798 IC-8M3/2-0, 9-24 PH Vessel Module Power Valve Card working
19308 Seren IPS R600 RF Generator 600W As-Is
19309 CFM 22024-02 Relay Board B11/8 B11/7 Lot of 2 Used Working
19310 CFM Technologies 22024-02 Relay Board B11/12 Lot of 2 Used Working
19311 CFM Technologies 22024-02 Relay Board B11/4 Lot of 2 Used Working
19312 CFM Technologies 22024-02 Relay Board B13/4 B13/5 Lot of 2 Used Working
19313 CFM Technologies 22024-02 Relay Board B13/0 B13/1 Lot of 2 Used Working
19314 CFM Technologies 22024-02 Relay Board B11/2 B11/3 Lot of 2 Used Working
19315 CFM 22024-02 Relay Board B11/14 B11/15 Lot of 2 Used Working
19316 CFM 22024-02 Relay Board B13/6 B13/7 Lot of 2 Used Working
19317 CFM 22024-02 Relay Board B11/6 B11/5 Lot of 2 Used Working
19318 CFM Technologies 22024-02 Relay Board B13/2 B13/3 Lot of 2 Used Working
19319 CFM Technologies 22024-02 Relay Board B11/6 B11/5 Lot of 2 Used Working
19320 CFM Technologies 22024-02 Relay Board B11/14 B11/15 Lot of 2 Used Working
19321 AMAT Quartz Bell Jar ZCoat 0040-13509 new
19322 AMAT Quartz Bell Jar ZCoat 0040-13509 new
19323 AMAT Quartz Bell Jar ZCoat 0040-13509 new
19324 Hitachi S9300 Wafer Inspection Transfer Robot Scanning Electron Microscope Used
19325 Air Products 809-609012 Front Panel Display Processor AP10211 Used Working
19326 Air Products 809-609012 Front Panel Display Processor AP10211 Used Working
19327 Hitachi M-712E Right Temperature Control Module CTE11-01 RKC REX-F7 Used Working
19328 AdvancedTCA C94946-003 Power Supply Lot of 4 Used Working
19329 ABB Asca Brown Boveri ACH550-UH-03A3-4 AC Drive Untested As-Is
19330 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working
19331 Daihen HFA-50A-V RF Match Used Working
19332 Daihen HFA-50A-V RF Match Used Working
19333 Fujitsu Component NC14003-T752 Servis-Splitter PCB SF-310-5076-X751/01 Working
19334 Hitachi RYY-1 Interconnect PCB Working
19335 Hitachi BBDP2-01 Interconnect PCB Working
19336 Air Products AP10530 10 Inch Display Unit Working
19337 SMC SS0750-06-DAO00978 Pneumatic 6 Station Manifold Valve AMAT 4060-00846 New
19338 Parker UHP1004-2755A1M410 Manual Diaphragm Valve Lot of 2 Working
19339 Tescom Europe 74-2460KRH10 Manual Diaphragm Regulator Valve Working
19340 ASM FEMS 1006-522-01 NeoStream Fan Filter Unit CKC855-AN01 New
19341 Tokyo Keiso SFC-720-20 Ultrasonic Flow Meter Lot of 2 Working
19342 Edwards 2XIQDP80-QMB500 Control Enclosure Used Working
19343 Acces I/O Products IDO-48-S03 Isolated Digital Input PCB 27-166888-00 Working
19344 MKS Instruments AS01491-AB-1 Control PCB CDNR491R Board AMAT 0190-27072 Working
19345 Lambda JWS150-5 Power Supply Lot of 2 Used Working
19346 Toyo Sokki DLS-5028 Digital Load Cell Reader-Meter DC24V Working
19347 Toyo Sokki DLS-5028 Digital Load Cell Reader-Meter DC24V Working
19348 SAGInoMIYA PNE-2D05-011 Digital Flow Controller Used Working
19349 Kokusai Vertron D1E01300B Control Board SIOB/A2 Used Working
19350 Fuji Electric EFL-3.7SP-2 3 Phase RFI Filter Lot of 2 Used Working
19351 TEL Tokyo Electron 3D81-000017-V3 Power Supply PCB TYB511-1/I0AS Lot of 2 Used
19352 TEL Tokyo Electron 3D81-000020-V3 Power Supply PCB TYB514-1/I048 Lot of 2 Used
19353 SCP-Technologies 746-234-1D Interconnect PCB 171-511-1B Used Working
19354 VAT 12148-PA24-AFG1 Pneumatic Gate Valve Used Working
19355 TDK ZACT2280-ME Noise Filter 2000 VAC Used Working
19356 Okaya 3SUP-H100H-ER-4 Noise Filter Lot of 2 Used Working
19357 Hitachi M-511E Etching Machine Heater Transfer Unit Used Working
19358 Hitachi M-05A2LS-400K RF Matching Box M-511E Used Working
19359 SMC CDQ2B140C-J0406-XC11 Pneumatic Cylinder with Head M-511E Used
19360 Hitachi BBS511-1 15-Port PCB M-511E Used Working
19361 Hitachi RYX-2 PCB M-511E Used Working
19362 Hitachi BBS210-2 12-Port PCB M-511E Lot of 2 Used Working
19363 Hitachi PTPA-01 PCB M-511E Lot of 2 Used Working
19364 FGL11-X0029 CKD Leak Break Valve M-511E Lot of 2 Used Working
19365 Edwards B90002041 Pneumatic Gate Valve BGV Used Working
19366 Edwards B90002041 Pneumatic Gate Valve BGV Used Working
19367 CTA-AN/615310155A2N Nippon Puretec Clealant Filter New
19368 Nippon Puretec CTA-AN/460360155A2N Cleanant Filter New
19369 PV2-4/4-BTBDK2 Sanso Wet Pit Type Centrifugal Pump Used Working
19370 Omron CS1G-CPU43H CPU Unit Programmable Controller SYSMAC Used Working
19371 Yaskawa CIMR-J7AA21P5 Drive Controller VS Mini J7 Lot of 2 Used Working
19372 Yaskawa CIMR-J7AA21P5 Drive Controller VS Mini J7 Lot of 2 Used Working
19373 Omron NT20S-ST161B-V3 Interactive Display Used Working
19374 Omron NT20S-ST161B-V3 Interactive Display Used Working
19375 KLA-Tencor CRS1010 Laser Power Board 000327 working
19376 LAM Research 852-011200-003-G-231S Entrance Loadblock Assembly 4420 Used
19377 Omron CSIG-CPU43-V1 CPU Unit Programmable Controller SYSMAC Used Working
19378 TDK RAW12-14R 12V DC Power Supply Used Working
19379 MicroProbe PCIN62MP Wafer F32 Planar Lot of 5 New
19380 Artesyn 494000711 2-Slot CBVVI VME PCB Used Working
19381 Artesyn 494000711 2-Slot CBVVI VME PCB Used Working
19382 TEL Tokyo Electron Lithius CSB Robotics Arm CRA Assembly Used Working
19383 TEL Tokyo Electron Lithius CSB Robotics Arm CRA Assembly Used Working
19384 TEL Tokyo Electron Lithius Front Opening Unified Pod Used Working
19385 Applied Materials AMAT 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
19386 Novellus 02-359080-00 Robot Linear Track Used Working
19387 DNS Electronics Sulfuric90c Temperature Monitor Cooling Tank FC-3000 Used Working
19388 DNS Electronics SC-1 Temperature Controller Process Bath FC-3000 Used Working
19389 Kondoh Kohsya LM-101 Controller Assembly DSM-007 Used Working
19390 TEL Tokyo Electron Lithius Low Temp Hot Plate Process Station LHP Used Working
19391 OAI 0320-010-01 Exposure Analyzer 320 Panel Used Working
19392 2DI TDVD Two Dimensional Data Viewer New
19393 2DI TDVD Two Dimensional Data Viewer New
19394 Hitachi 564-5531 Control PCB S-9380 Used Working
19395 Hitachi 569-5504 SEM Stage Control Panel S-9300 Used Working
19396 Hitachi 569-5549 SEM EVAC Control Panel EV-Panel S-9380 Used Working
19397 Hitachi 569-5567 System Control PCB COL-CN2 S-9380 SEM Used Working
19398 Hitachi 569-5560 EM DIST PCB S-9380 SEM Used Working
19399 Hitachi 569-5558 System I/O PCB N-VSCN S-9380 SEM Used Working
19400 Hitachi 569-5561 System Control PCB ALARMIF4 S-9380 SEM Used Working
19401 Alcatel 967-1609-008 Capacity Key AQ Receiver Card UD-36AQ Used Working
19402 SVG 879-8210-001-B Signal Conditioner PCB Board A3101 Used Working
19403 SVG 879-8210-002-A Signal Conditioner PCB Board A3101 Used Working
19404 AJS Controls CLN-SYS-BR550-A ECU Transition System PCB 879-8071-002-E Used
19405 AJS Controls System-F-3041 ECU Transition PCB Board 879-8071 Used Working
19406 Alcatel 967-1609-008 Capacity Key AO Receiver Card UD-35AQ Used Working
19407 MueTec KH-1 Full Tilt Station SVG Lithography Step and Scan System Complete
19408 SVG RA2011-16 Oven Temperature Controller Used Working
19409 Advanced TCA D93606-001 SAS Expander UID D50012-002 New
19410 Advanced TCA D80204-002 SAS Expander UID D50012-02 New
19411 Znyx Networks ZX5000-X3 16-Port Advanced TCA Base Fabric Switch Used
19412 Omron PC-UBRP4A Communication PCB H-DPK Opti-Probe OP2600B Used
19413 Omron PC-UBRP4B Communication PCB Opti-Probe OP2600B Used
19414 Mykrolis QCCYATE01K QuickChange ATE Chemlock Cartridge New
19415 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used
19416 FDT Future Display Tech FDT19C06FP Touch-Screen Monitor Used Working
19417 Nihon Koshua MBA-010-H-2 1kW RF Matching Box Used Working
19418 TDK RM 12-12RGB DC Power Supply Nikon NSR Tested Working
19419 S2S Electronics SAF303-045 Softdrive Assembly PX995 Used Working
19420 BOC Edwards NRY0TN101 Pump Control Enclosure NRY0TN000 Used Working
19421 BOC Edwards NRY0DN101US Eason Alarm Control Enclosure NRY0DN000 Used Working
19422 Edwards NRY0TN101US Eason Alarm Enclosure NRY0TN000 Rev. M Used Working
19423 BOC Edwards NRYOTN101US Eason Alarm Enclosure NRY0TN000 Rev. D Used Working
19424 BOC Edwards NRY0DN101 Pump Control Enclosure NRY0DN000 Rev. D Used
19425 BOC Edwards 6XPDM Vacuum Pump Switch Box Enclosure Used Working
19426 BOC Edwards 6XPDM Vacuum Pump Switch Box Enclosure Used Working
19427 Edwards U20000480 Vacuum Pump Interface Module Used Working
19428 Digital View AV-7010-0120-A4 Display Screen Monitor Used Working
19429 Hitachi M-511E Microwave Plasma Etching System Mapping Sensor Robot Used Working
19430 iL70N Edwards Dry Vacuum Pump NRB4-46-945 Tested As-Is
19431 iL70N Edwards Dry Vacuum Pump NRB4-46-945 Tested Working
19432 iL70N Edwards Dry Vacuum Pump NRB4-46-945 Copper Tested Working
19433 iL70N Edwards Dry Vacuum Pump NRB4-46-945 Copper Tested Working
19434 AMAT Applied Materials IL100A Fuse Box Power Unit Used Working
19435 Hitachi HT98218 Control PCB COM VER. A Used Working
19436 Hitachi HT94219B Control PCB DI02 Used Working
19437 BANNER Engineering MGR4816A Light Curtain Receiver MGE4816A Emitter Beam Array
19438 Nikon 4S019-235 Power Module 4S018-908-1 Used Working
19439 BANNER Engineering MGR616A Light Curtain Receiver MGE616A Emitter Beam Array
19440 Opto 22 PB32HQ PCB Lot of 2 Used Working
19441 Nikon NSR 4S013-363-BLECX4 Linear Scale Assembly Mitutoyo ST420 Used Working
19442 Control Concepts UC208D-T3 Teradyne Tester Used Working
19443 Edwards U20001189 7 Foot Power Cable Rev. B i6X Series Lot of 4 Used Working
19444 Keyence PJ-V20T Light Curtain Transmitter PJ-V20R Receiver PJ-V90 Controller Set
19445 Nikon 029506 Ocular Lens Eyepiece Microscope Assembly Used Working
19446 Kinetics KM405618 Gas Flow Box AMAT 0240-97138 Millipore FC2900 Used Working
19447 SMC ITV2011-31N3N4-X95 E/P Pressure Regulator Lot of 6 Used Working
19448 Unitron ZSM 200m Stereo Binocular Microscope ZF Series 0.6X-3X Used
19449 Celerity FC-2979MEP5 Mass Flow Controller 1 SLM Ar Used
19450 Millipore FC-2979MEP5 Mass Flow Controller 30 SCCM C4F8 Used
19451 Millipore FC-2979MEP5 Mass Flow Controller 100 SCCM C2F6 Used
19452 Celerity IFC-125C Mass Flow Controller AMAT 0190-28962 MultiFlo SC25 Used
19453 Celerity IFC-125C Mass Flow Controller AMAT 0190-28964 MultiFlo SC27 Used
19454 Celerity IFC-125C Mass Flow Controller AMAT 0190-28965 MultiFlo SC28 Used
19455 Celerity IFC-125C Mass Flow Controller AMAT 0190-28963 MultiFlo SC26 Used
19456 Tylan FC-2979MEP5-M MFC Mass Flow Controller 500 SCCM CO TEL Unity II Used
19457 Tylan FC-2979MEP5-M MFC Mass Flow Controller 500 SCCM CO TEL Unity II Used
19458 Millipore FC2900S 4S Viton Mass Flow Controller 5 SCCM N2(Ar) Used
19459 Tylan FC-2900M Mass Flow Controller 200 SCCM Cl2 Used
19460 Tylan FC-2900M Mass Flow Controller AMAT 3030-00854 Used
19461 Millipore FC-2900M Mass Flow Controller AMAT 3030-05429 5 SLPM N2 Used
19462 Tylan FC-2900M Mass Flow Controller AMAT 0227-42246 Used
19463 Tylan FC-2900M Mass Flow Controller AMAT 3030-05420 Used
19464 Tylan FC-2900M Mass Flow Controller AMAT 0226-42472 Used
19465 Edwards D04847000 Active Ion Gauge Controller AIG-E-B2E Used Working
19466 Edwards U20000922 INIM Network Interface D3731000 Used Working
19467 Edwards U20000937 MCM & AIM Vacuum Interface Module Used Working
19468 Edwards NRY0RH101US Eason Control Box Module Alarm Enclosure Used Working
19469 Edwards NRY0DN101USP Eason Control Box Alarm Enclosure Used
19470 Edwards NRY0P8101 Eason Control Box Module Alarm Enclosure Used Working
19471 Edwards NRY0DN101US Eason Control Box Module Alarm Enclosure Rev. H Used
19472 Edwards NRY0DN101US Control Box Module Alarm Enclosure Rev. K Used
19473 Edwards NRY0TN101US Control Box Module Alarm Enclosure Rev. L Used Working
19474 Edwards NRY0DN101US Control Box Module Alarm Enclosure Rev. J Used Working
19475 Edwards NRY0DN101US Control Box Module Alarm Enclosure Rev. M Used Working
19476 Edwards D37215000 Vacuum Flash Module Lot of 2 Used Working
19477 Pulnix TM-200 CCD Camera with 200M Lens 4529117 Used Working
19478 Edwards U200001198 iNIM Interface Cable 4 Way 4M Used Working
19479 Watec LCL-903K Color CCD Camera with Tamron 3-8mm Lens Used Working
19480 Watec LCL-903K Color CCD Camera with Kowa 3-8mm Lens Used Working
19481 Edwards D37370591 PDT Extension Cable 4 Way 3M Used Working
19482 IPX-500A BOC Edwards A409-14-977 Dry Pump Tested Working
19483 IPX-500A BOC Edwards A409-14-977 Dry Pump Tested Working
19484 STEC SEC-7330M Mass Flow Controller SEC-7330 300 SCCM O2 Used
19485 STEC SEC-7330M Mass Flow Controller SEC-7330 1 SLM NH3 Used
19486 Edwards 2XQ80-QMB1200 Power Distribution Box Novellus Concept II Used Working
19487 Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used
19488 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 500 CCM 4%CH4/Ar Used
19489 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 50 SCCM O2 Used
19490 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 100 SCCM CH3F Used
19491 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 30 SCCM O2 Used
19492 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 500 SCCM Ar Used
19493 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 200 SCCM SF6 Used
19494 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 300 SCCM CL2(0.856) Used
19495 Aera FC-D985CT-BH Mass Flow Controller 150 SCCM CL2 Used
19496 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 50 SCCM NF3(0.479) Used
19497 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 15 SCCM O2 Used
19498 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 100 SCCM Cl2 Used
19499 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 10 SCCM SF6 Used
19500 Vicor Mission Power Solutions 700-0034-01 Power Supply 97923225 Rev. D Used
19501 Vicor Mission Power Solutions 700-0034-01 Power Supply 97923225 Rev. C1 Used
19502 Edwards Vacuum System NGW Gate Valve Interface Cable 1.2 M Lot of 4 Used
19503 Edwards D37207591 IQ Extension Cable 4 Way 3M Lot of 6 Used
19504 Edwards U200001023 Interface Cable 5 Way 4M Used Working
19505 Edwards Vacuum System Interface 15 Pin Cable Reseller Lot of 18 Used
19506 Fuji Seiki BV-4AX0-HF Exhaust Throttle Valve Used Working
19507 Future Display Technology FDT18C05FP 18″ Touchscreen Monitor Panel Used Working
19508 Future Display Technology FDT18C05FP 18″ Touchscreen Monitor Panel Used Working
19509 Edwards Vacuum System NGW Gate Valve Interface Cable 4.8 M Lot of 4 Used
19510 Edwards Vacuum System Connector Cable 15 Pin D 3M Lot of 4 Used
19511 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 200 SCCM HBr Used
19512 Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 5 SLM N2 Used
19513 Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 2 SLM N2O Used
19514 Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 2 SLM NF3 Used
19515 TEL Tokyo Electron 2985-5052232-11 ACT8 Wash Cup Holder Cover Used Working
19516 STEC SEC-7330M Mass Flow Controller SEC-7330 200 SCCM SF6 Used
19517 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 100 CCM C2F6 Used
19518 Varian 1008-4928 Vista Communications Module Used Working
19519 Granville-Phillips 352016 Gauge Controller Series 352 Lot of 4 Used Working
19520 Granville-Phillips 352001 Gauge Controller Series 352 Rev. 12 Used Working
19521 Granville-Phillips 352001 Gauge Controller Series 352 Rev. 12 Used Working
19522 TEL Tokyo Electron 041249 Ion Gauge Vacuum Manifold Assembly 274012 Used
19523 TEL Tokyo Electron 041249 Ion Gauge Vacuum Manifold Assembly 274012 Used
19524 TEL Tokyo Electron 041247 Ion Gauge Vacuum Manifold Assembly 274012 Used
19525 TEL Tokyo Electron 041247 Ion Gauge Vacuum Manifold Assembly 274012 Used
19526 Infranor MH0606 P43 Servo Amplifier Drive Board Used Working
19527 Kyowa WGA-100A Instrumentation Amplifier Lot of 2 Used
19528 Komatsu 20000240 Power Supply HGR-72 Used Working
19529 Sanken MLT-DCBOX5 Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working
19530 Sanken MLT-DCBOX5 Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working
19531 Sanken MLT-DCBOX5 Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working
19532 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 200 SCCM CHF3(0.498) Used
19533 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 50 SCCM CO Used
19534 Horiba STEC SEC-7330M Mass Flow Controller SEC-7330 2 SLM N2 Used
19535 Horiba STEC SEC-7330M Mass Flow Controller SEC-7330 1 SLM O2 Used
19536 Horiba STEC SEC-7330M Mass Flow Controller SEC-7330 1 SLM N2 Used
19537 Horiba STEC SEC-7330M Mass Flow Controller SEC-7330 1 SLM H2 Used
19538 STEC SEC-7330M Mass Flow Controller SEC-7330 200 SCCM CHF3 Used
19539 STEC SEC-7330M Mass Flow Controller SEC-7330 400 SCCM CF4 Used
19540 STEC SEC-7330M Mass Flow Controller SEC-7330 500 SCCM Ar Used
19541 STEC SEC-7330M Mass Flow Controller SEC-7330 30 SCCM O2 Used
19542 Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 10 SLM N2 Used
19543 Horiba STEC SEC-7350BM Mass Flow Controller SEC-7350 20 SLM N2 Used
19544 Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 10 SLM O2 Used
19545 Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 10 SLM H2 Used
19546 Horiba STEC VC-1410 Injection Valve 200 SCCM BTBAS Used
19547 Horiba STEC SEF-8240SM-UC Injection Valve 200 SCCM BTBAS Used
19548 STEC SEC-4500M Mass Flow Controller AMAT 3030-05704 20 SLM N2 Used
19549 Nikon NSR IPA Vapor Level Control Module Used Working
19550 Avio E67920 EPD Fiber Optic Comm Module Used Working
19551 Watec WAT-902H3 Color CCD Camera Supreme with Compumotor 3.5-10.5mm Lens Used
19552 SAM SFC1482FX4 Mass Flow Controller 20 SLM O2 Used
19553 SAM SFC480F1MC-4VM Mass Flow Controller 10 SCCM SiH4 Used
19554 MKS Instruments DLT2A213163AA Flow Ratio Controller AMAT 3030-07512 Used
19555 AE Advanced Energy M1-10-10-01-01-00 Mass Flow Controller 30 SCCM WF6 Used
19556 STEC SEC-7340M Mass Flow Controller 3 SLM H2 Used
19557 Horiba STEC SEC-Z12DWM Mass Flow Controller AMAT 0190-16747 Used
19558 Unit Instruments 1110-100006 Mass Flow Controller AMAT 3030-04694 Used
19559 Lintec MC2100NC Mass Flow Controller 300 SCCM N2 Used
19560 Celerity DSNGD1XM Mass Flow Controller 89-172 SCCM N2 Used
19561 Genwac GW-902H CCD Video Camera with Computar 4.5-10mm Lens Used Working
19562 KLA-Tencor 0025040-000 Pneumatic Elevator Lift Assembly Rev. AA Used Working
19563 KLA-Tencor 0025040-000 Pneumatic Elevator Lift Assembly Used Working
19564 KLA-Tencor 0025040-000 Pneumatic Elevator Lift Assembly Used Working
19565 KLA-Tencor 0026346-000 Pneumatic Transfer Assembly Rev. AD Used Working
19566 KLA-Tencor 0026346-000 Pneumatic Transfer Assembly Rev. AD Used Working
19567 Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Copper Cu Exposed Used
19568 Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Copper Cu Exposed Used
19569 Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Copper Cu Exposed Used
19570 Edwards NGW073000 Pneumatic Gate Valve Assembly Used Working
19571 ACL D13450 Microwave Control Module Rev. 5 Used Working
19572 AMAT Applied Materials 8047-10 2-Way Split Fiber Optic Cable Used Working
19573 Iwaki Musen Kenkyusho SR1057AC Power Supply AD2 PCB MK2G69950 Used
19574 Iwaki Musen Kenkyusho SR1058AE Power Supply AD3 PCB MK2G69951 Used
19575 Iwaki Musen Kenkyusho SC879EE Processor SEQ1 PCB MK2G68591C Used
19576 Iwaki Musen Kenkyusho MSR1266FF Power Supply AD1 PCB MK2G68585G Used
19577 TEL Tokyo Electron 3D81-000103-V1 PCB TPB-S.V0 TYB62E-1/RF Used Working
19578 SVG Lithography Systems 859-8366-011 Power Supply Assembly ASML Working
19579 SVG Lithography Systems 859-8366-004 Power Supply Assembly ASML Used Working
19580 AMAT Applied Materials 0010-21745 Endura 5500 Operator Control Panel Used
19581 iL70N Edwards NRB446945 Dry Vacuum Pump Tested Working
19582 IKO Nippon Thompson TSL220-600/A10E583 Robot Track 32.5″ Used Working
19583 IKO Nippon Thompson TSL220-600/A10E583 Robot Track 32.5″ Used Working
19584 SVG Lithography Systems 859-8366-010 Power Assembly Rev. A Used Working
19585 NTI Network Technologies ST-4U-TS-NBS-12V KVM Switch Nodemux Used Working
19586 Nikon PSM-11520 Optical Fiber Light Source with Cable Used Working
19587 Panasonic MSM022A1FT AC Servo Motor TEL Tokyo Electron 2980-192034-12 Used
19588 Panasonic MSM022A1FT AC Servo Motor TEL Tokyo Electron 2980-192034-12 Used
19589 Panasonic MSD023A1XX AC Servo Driver MINAS Used Working
19590 Panasonic MSD023A1XX AC Servo Driver MINAS Used Working
19591 Panasonic MSD023A1XX AC Servo Driver MINAS Used Working
19592 Phoenix Mecano VIF/4-24-PO-115-OPAL Power Supply AMAT SEMVision cX Used Working
19593 A to Z Electronics 50714800000 FOCI Assembly AMAT Applied Materials SEMVision cX Used
19594 Opal 70512360100 Assembly AMAT Applied Materials SEMVision cX Used Working
19595 Opal 50312460100 ETPS Assembly AMAT Applied Materials SEMVision cX Used Working
19596 Opal 50312460100 ETPS Assembly AMAT Applied Materials SEMVision cX Used Working
19597 Opal 50312350000 STC DR Assembly AMAT SEMVision cX Used Working
19598 TEL Tokyo Electron LPC-T0007A-11 Load Port Assembly ACT12 Cassette Block Used
19599 Oriental Motor CSD5807N-P-A11 5-Phase Driver Vexta TEL ACT12 Used Working
19600 TEL Tokyo Electron LPC-T0008A-11 Load Port CONN Board 100-T0008A-11 ACT12 Used
19601 TEL Tokyo Electron LPC-T0008A-11 Load Port CONN Board 100-T0008A-11 ACT12 Used
19602 TEL Tokyo Electron LPC-T0009A-11 Load Port DC/DC Conv.Board 100-T0009A-11 Used
19603 TEL Tokyo Electron LPC-T0009A-11 Load Port DC/DC Conv.Board 100-T0009A-11 Used
19604 TEL Tokyo Electron 2981-600418-11 I/F Board #007 2908-600418-11 ACT12 Used
19605 TEL Tokyo Electron LPC-T0007A-11 Load Port Add On Board T0B1007 ACT12 Used
19606 TEL Tokyo Electron LPC-T0007A-11 Load Port Add On Board T0B1007 ACT12 Used
19607 TEL Tokyo Electron 2981-600618-11 I/O DISP #02 PCB 2908-600618-11 Used Working
19608 TEL Tokyo Electron 2981-600618-11 I/O DISP #02 PCB 2908-600618-11 Used Working
19609 TEL Tokyo Electron 2981-600618-11 I/O DISP #02 PCB 2908-600618-11 Used Working
19610 TEL Tokyo Electron 2981-600552-11 C/S Fan Conn. Board #02 2908-600552-11 Used
19611 Takada FJ39EB-T010N0 Interface PCB TEL Tokyo Electron ACT12 Used
19612 Takada FJ39EB-T010N0 Interface PCB TEL Tokyo Electron ACT12 Used
19613 Takada FJ39EB-T010N0 Interface PCB TEL Tokyo Electron ACT12 Used
19614 TEL Tokyo Electron 2981-600355-14 STP IF Board 2908-600355-11 ACT12 Used
19615 Nova 210-70000-01 Novascan 420 Notebook Front End AMAT 0650-002266 Used Working
19616 Edwards NRY12J000A Intel Abatement Enclosure IXG600M Used Working
19617 BOC Edwards NRY0DN101CE Intel Eason Alarm Enclosure IGX600L Used Working
19618 DIP Incorporated EH0116(A) Power Supply PCB DB-E63-101B Used Working
19619 DIP Incorporated EH0116(A) Power Supply PCB DB-E63-101B Used Working
19620 RKC Instruments DSX-BOL-11-33A Temperature Controller PCB DSX-BOL Used Working
19621 TEL Tokyo Electron MPC-T0048A-11 IO MTR #02 Board PCB T0B1048 Used Working
19622 DIP Incorporated EH0111(B)-5 Power Supply PCB Assembly EH0111 DB-D56-101E Used
19623 Hitachi HA-024 DC/DC Conversion Board #05 PCB Board PHA-024-0 Used Working
19624 TEL Tokyo Electron HA-012 DC/DC COV #02 PCB PHA-012-1 Lithius Used Working
19625 TEL Tokyo Electron HA-012 DC/DC COV #02 PCB PHA-012-1 Lithius Used Working
19626 Hitachi ZVC018-0 Interface Board ZVC018-B00 PCB ZVC018-BA0 Used Working
19627 Hitachi ZVC018-0 Printed Circuit Board ZVC018-B00 PCB ZVC018-BA0 Used Working
19628 Hitachi ZVC018-0 Printed Circuit Board ZVC018-B00 PCB ZVC018-BA0 Used Working
19629 Progressive Technologies 12612G01 Exhaust Blower Sentry 1000 TEL ACT12 Used
19630 AMAT Applied Materials 0090-04461 Circuit Breaker Assembly Used Working
19631 Ebara ET600W Turbo-Molecular Pump Controller 600W ETC04 PWM-20M Used Working
19632 Modus Instruments DW-(*)-01P-0-RFRR-15-080 Display Controller Used Working
19633 Modus Instruments DW-(*)-01P-0-RFRR-15-080 Display Controller Used Working
19634 TEL Tokyo Electron 2981-600570-11 PCB Board 12 CONN STG #1 2908-600570-11 Lot of 2 Used
19635 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working
19636 TEL Tokyo Electron AP9E-0318C-12 PCB Motor I/O Board ACT12 Assembly Used
19637 NSK E043ZZIF1-002 PCB TIF Board E010ZZIF1-002-1 TEL Tokyo Electron ACT12 Used
19638 NSK E043ZZIF1-001 PCB XIF Board E010ZZIF1-001-1 TEL Tokyo Electron ACT12 Used
19639 Takada FJ39EB-X010N0 CRA X Board PCB TEL Tokyo Electron ACT12 Used Working
19640 Yaskawa SGM-A8A3TA11 AC Servo Motor TEL 2980-193372-11 ACT12 Used
19641 Yaskawa SGMP-A8A3TA11 AC Servo Motor TEL Tokyo Electron 2980-193371-11 Used
19642 NSK JS1003FN506 Servo Motor TEL Tokyo Electron 2980-193373-11 ACT12 200mm Used
19643 NSK JS1003FN506 Servo Motor TEL Tokyo Electron 2980-193373-11 ACT12 200mm Used
19644 NSK JS2006FN509 Servo Motor TEL Tokyo Electron 2980-192017-11 ACT12 200mm Used
19645 NSK JS2006FN509 Servo Motor TEL Tokyo Electron 2980-192017-11 ACT12 200mm Used
19646 Ultrapure R3111400 Deionizer DI Tank Container Used Working
19647 iQDP40 Edwards A532-40-905 Dry Vacuum Pump And QMB250F Blower Tested Working
19648 Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V2 Used Tested Working
19649 Diahen AGA-50G-V RF Power Generator Untested As-Is
19650 Yaskawa SGDA-01APY126 Digital Display Servo Drive Servopack OP03A Used Working
19651 Yaskawa SGDA-01APY126 Digital Display Servo Drive Servopack OP03A Used Working
19652 Yaskawa SGDA-01APPY126 Digital Display Servo Drive Servopack OP034 Used Working
19653 Yaskawa SGDA-01APPY126 Servo Drive Servopack TEL ACT12 Used Working
19654 Yaskawa SGDA-01APY126 Servo Drive Servopack Used Working
19655 Yaskawa SGDA-01APY126 Servo Drive Servopack Used Working
19656 Nikon NSR-S307E Pressure Sensor Assembly Used Working
19657 Nikon NSR-S307E Pressure Sensor Assembly Used Working
19658 Nikon Z-4B1A-A1901 Transfer Station NSR-5307E Used Working
19659 VAT 0200X-BA24-A Pneumtaic Slit Valve Used Working
19660 VAT 0200X-BA24-A Pneumtaic Slit Valve Used Working
19661 VAT 15040-PA24-0002 Pneumatic Gate Valve Used Working
19662 VAT 15040-PA24-0002 Pneumatic Gate Valve Used Working
19663 MKS Instruments 100991588 Pneumatic Angle Valve Used Working
19664 Digi 50000988-01 32-Port Terminal Server Etherlite 32 Used Working
19665 Daytronic Model 3530 Indicator Display SEM Vision CX Used Working
19666 Daytronic Model 3530 Indicator Display SEM Vision CX Used Working
19667 Pneutal SCP-160-A-1 Pneumatic Air Tank Used Working
19668 Opal 50312403000 Controller Chassis CDM DR-300 AMAT SEMVision cX Used Working
19669 Oram Power Supplies LPS 850 Power Supply AMAT SemVision cX Used Working
19670 Oram Power Supplies LPS 850 Power Supply AMAT SemVision cX Used Working
19671 Opal 50312450000 UI Distribution Control Assembly AMAT SEMVision cX Used Working
19672 CMC Cleveland Motion Controls BMR2005TCG00CEA002 PM Servo Motor Used Working
19673 Opal 30613210100 LVPS Assembly AMAT Applied Materials SEMVision cX Used Working
19674 Opal 50312560000 CCS PCB Board AMAT Applied Materials SEMVision cX Used
19675 Opal 70512527 CVC PCB Board AMAT Applied Materials SEMVision cX Used
19676 Opal 50412570 ETD PCB Board AMAT SEMVision cX Used Working
19677 Opal 50312540100 DVD PCB Board AMAT SEMVision cX Used Working
19678 Opal 3061255000 MIS2 PCB Board AMAT SEMVision cX Used Working
19679 Opal 30612530100 SRA3 PCB Board AMAT SEMVision cX Used Working
19680 Opal 320-250326 System Control SBC Board Assembly AMAT SEMVision cX Used
19681 AMAT Applied Materials 300mm Wafer Transfer Arm AMAT SEMVision cX Used Working
19682 Nikon Z-4B1A-A0402 Transfer Station With Display NSR-S307E Used Working
19683 Nikon NSR-S307E Laser Lens Reflection Assembly Used Working
19684 Nikon NSR-S307E Large High-Powered Lens Assembly Used Working
19685 Vicor 97123186 DC Power Supply MX4-410503-33-EL 4KW MepaPAC Tested Working
19686 TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 200mm Used
19687 TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 No Rack Used
19688 TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 No Rack Used
19689 TEL Tokyo Electron TCP Transition Chill Plate Process Station ACT12 Used
19690 TEL Tokyo Electron SHU Shuttle Slider Assembly ACT12 200mm Used Working
19691 TEL Tokyo Electron SHU Shuttle Slider Assembly ACT12 200mm Used Working
19692 NSK XY-HRS030ZM105 Linear Actuator TEL ACT12 200mm Used Working
19693 NSK XY-HRS055ZM123 Linear Actuator TEL ACT12 200mm Used Working
19694 Fortrend 114-1005 2-Tray Wafer Load Station F8225 Tested Not Working
19695 Fortrend 120-1004 Wafer Load Station F-8025S Tested As-Is
19696 TEL Tokyo Electron CSB Cassette Block Process Station ACT12 200mm Used Working
19697 TEL Tokyo Electron Fuse Block ACT12-200 200mm Used Working
19698 TEL Tokyo Electron CT2985-416713-W5 Resist Arm Assembly (12-R) ACT12 Used
19699 TEL Tokyo Electron CT2985-404116-W3 Side Rinse Arm Assembly (12-R) ACT12 Used
19700 TEL Tokyo Electron CT2985-416715-W6 Resist Arm Assembly (12-L) ACT12 Used
19701 TEL Tokyo Electron CT2985-404117-W3 Side Rinse Arm Assembly (L) ACT12 Used
19702 TEL Tokyo Electron F-T100-2 Photoresist Pump ACT12 Used Working
19703 TEL Tokyo Electron F-T100-2 Photoresist Pump ACT12 Used Working
19704 TEL Tokyo Electron F-T100-2 Photoresist Pump ACT12 Used Working
19705 TEL Tokyo Electron F-T100-2 Photoresist Pump ACT12 Used Working
19706 TEL Tokyo Electron Photoresist Buffer Tank Assembly TMD002-X8 ACT12 Used Working
19707 TEL Tokyo Electron Photoresist Buffer Tank Assembly TMD002-X8 ACT12 Used Working
19708 TEL Tokyo Electron SH5M015T1 Photo-Resist Filter F16SS ACT12 Used Working
19709 TEL Tokyo Electron SH5M015T1 Photo-Resist Filter F16SS ACT12 Used Working
19710 Oriental Motor A4839-9015KE 5-Phase Stepping Motor TEL ACT12 Used Working
19711 Fortrend 120-1004 Wafer Load Station F8025 Tested As-Is
19712 HX75 Thermo Neslab 386105021704 Recirculating Chiller Copper Not Working As-Is
19713 Shimadzu EI-3403MD Turbomolecular Pump TMP TEL 3D80-000960-V1 Used Working
19714 Shimadzu EI-3403MD Turbomolecular Pump TMP TEL 3D80-000960-V1 Used Working
19715 TEL Tokyo Electron ACT 12 Stepper Interface Stage Used Working
19716 Hitachi Stage Control Unit 568-5521 S-9300 SEM Used Working
19717 AMAT Applied Materials DIP294-2 DeviceNet I/O Block 9090-00273 Working
19718 AMAT Applied Materials DIP294-2 DeviceNet I/O Block 9090-00273 Working
19719 BOC Edwards D38665000 Active Gauge Controller Nikon NSR Used Working
19720 BOC Edwards D38665000 Active Gauge Controller Nikon NSR Used Working
19721 Nikon NSR KNB47145 Laser Lens Carousal Assembly K-00102 Used Working
19722 Nikon NSR KNB47138 Laser Prism CCD Camera Assembly K-00101 Used Working
19723 Nikon NSR KNB47138 Laser Prism Assembly K-00101 Used Working
19724 Lucas Labs Power Unit PDC Used Working
19725 TEL Tokyo Electron 20-Port Pneumatic Manifold 21-40 CKD 4SB019-C3 ACT12 Used
19726 TEL Tokyo Electron 20-Port Pneumatic Manifold 21-40 CKD 4SB019-C3 ACT12 Used
19727 TEL Tokyo Electron 20-Port Pneumatic Manifold 21-40 CKD 4SB019-C3 ACT12 Used
19728 TEL Tokyo Electron 2981-600409-11 PRA X Board PCB 2908-600409-11 ACT12 Used
19729 TEL Tokyo Electron 2981-600409-11 PRA X Board PCB 2908-600409-11 ACT12 Used
19730 TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used
19731 TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used
19732 TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used
19733 TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used
19734 Novellus Vacuum Valve Assembly KIV-075-P Used Working
19735 Tylan General CDL-21S06 Baratron Capacitance Manometer Novellus Concept II Used
19736 iQDP40 Edwards A532-40-905 Dry Vacuum Pump with QMB250 Blower Tested As-Is
19737 iQDP40 Edwards A532-40-905 Vacuum Pump with QMB250 Blower Used Tested Working
19738 AD-Tec AXR-2000III RF Plasma Generator Used Tested Working
19739 Ebara ET600WETC04PWM-20M Turbo-Molecular Pump Control Panel Used Tested Working
19740 Ebara ET600WETC04PWM-20M Turbo-Molecular Pump Control Panel Used Tested Working
19741 EPX180L Edwards Turbomolecular Pump A419-41-152 EPX 180L Not Working As-Is
19742 Rorze RE120-002-001 Indexer Elevator Assembly RD-023MS Used As-Is
19743 Lam Research Indexer Cover Rainbow 4420 Etcher Used Working
19744 Lam Research 713-011203-001 90 Degree Loadlock Cover Rev. E Rainbow 4420 Used
19745 TEL Tokyo Electron Interface Block Roller Clean Track ACT12 Used Working
19746 Daifuku CNT-2686A Encoder OPC PCB Board 2686A Used Working
19747 Oriental Motor A4509-048 5-Phase Driver PCB Used Working
19748 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working
19749 Hitachi ESC-2000E ESC Power Supply Assembly ESCID ESCOD Untested As-Is
19750 TEL Tokyo Electron Interface Block Roller Clean Track ACT8 Used Working
19751 TEL Tokyo Electron Interface Block Roller Clean Track ACT8 Used Working
19752 Shimadzu EI-3403MD Turbomolecular Pump TMP Turbo TEL 3D80-000960-V1 Used Working
19753 Shimadzu EI-3403MD Turbomolecular Pump TMP Turbo TEL 3D80-000960-V1 Used Working
19754 Cal Weld 10-142269-00N 3-Way Flex Bellows Vacuum Splitter DN 160 101081 Used
19755 Pulnix TM-200 CCD Camera with Tamron U89355 Lens Used Working
19756 TMP Shimadzu EI-203MD Turbo Molecular Pump Controller Used Tested Working
19757 TMP Shimadzu EI-203MD Turbo Molecular Pump Controller Used Tested Working
19758 Tel Tokyo Electron HTEW-TA6-E-11 AC Control Board #06 TAB2300 Used Working
19759 Schlumberger 97911139 Noise Suppersion Board PCB Used Working
19760 XP Power 003-101300-01-03 Circuit Board PCB Used Working
19761  Luxtron 320x/50x Interface PCB Used Working
19762 TDK 3EA00B283 Power Supply PCB Lot of 2 Used Working
19763 Nikon NK-C31D21 NSR Scanner PCB 4S01S-130-1 Used Working
19764 Nikon 4S007-994 Interface Board PCB AF-PSDX22-SUB NSR-S204B Step-and-Repeat Used
19765 Nikon FIAAF-TYUUKEI-H NSR Scanner PCB 4S007-931 Used Working
19766 Nikon FIAAF-TYUUKEI-A NSR Scanner PCB 4S007-948 Used Working
19767 SRC SVB-07 VME Systembus PCB Used Working
19768 Shimadzu TPB-60D (1) Turbomolecular Pump Controller TMP Power Unit Turbo Used
19769 Shimadzu TPB-60D (1) Turbomolecular Pump Controller TMP Power Unit Turbo Used
19770 Shimadzu TPB-60D (1) Turbomolecular Pump Controller TMP Power Unit Turbo Used
19771 Shimadzu TPB-60D (1) Turbomolecular Pump Controller TMP Power Unit Turbo Used
19772 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Lithius Used Working
19773 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Lithius Used Working
19774 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Lithius Used Working
19775 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Lithius Used Working
19776 Oriental Motor CSD5807N-P-A37 Servo Drive Vexta TEL Lithius Used Working
19777 Oriental Motor CSD5807N-P-A37 Servo Drive Vexta TEL Lithius Used Working
19778 Oriental Motor CSD5807N-P-A37 Servo Drive Vexta TEL Lithius Used Working
19779 TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working
19780 TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working
19781 TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working
19782 TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working
19783 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 OYDK-058 PCB Board Used Working
19784 TEL Tokyo Electron TKB7000 IO SPIN #01 TBK7042 COT ASSY PCB Lithius Used Working
19785 TEL Tokyo Electron TKB7000 IO SPIN #01 TBK7042 COT ASSY PCB Lithius Used Working
19786 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working
19787 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working
19788 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working
19789 TEL Tokyo Electron TKB7000 IO SPIN #01 TKB7043 DEV ASSY PCB Lithius Used Working
19790 TEL Tokyo Electron TKB7000 IO SPIN #01 TKB7043 DEV ASSY PCB Lithius Used Working
19791 TEL Tokyo Electron OYDK-017A IO P-CHEM #01 PCB Lithius Used Working
19792 TEL Tokyo Electron OYDK-017A IO P-CHEM #01 PCB Lithius Used Working
19793 TEL Tokyo Electron OYDK-018B CONN P-CHEM #01 PCB Lithius Used Working
19794 TEL Tokyo Electron OYDK-018B CONN P-CHEM #01 PCB Lithius Used Working
19795 Horiba STEC SMT-8000 Mass Flow Controller 200 SCCM BTBAS Used Working
19796 Horiba STEC SMT-8000 Mass Flow Controller 200 SCCM BTBAS Used Working
19797 Asyst 96B1-FDDR-AUBJ Temperature Control Module Watlow Used Working
19798 Asyst 96B1-FDDR-AUBJ Temperature Control Module Watlow Used Working
19799 AMAT Applied Materials 0010-47714 Target Gravity Safe Lock RF PVD New
19800 AMAT Applied Materials 0010-47716 Target Gravity Safe Lock RF PVD New
19801 Edwards C41624000 Vacuum Valve SIPV25PKA Used Working.
19802 Bailey& Mackey 1481GHQ Pressure Switch -50″/-6″ H2O Used Working
19803 Thermo Neslab Rte-111 Recirculating Water Bath Chiller 134103200101 Tested As-Is
19804 AMAT Applied Materials 0021-43798 Upper NI AL ARC-SRAY Sheild 300mm PVD New
19805 AMAT Applied Materials 0041-38981 RF Ground Sheild Source 300MM RF PVD New
19806 AMAT Applied Materials 0270-03559 Calbration Tool Cooldown/ DEGAS 300mm Used
19807 AMAT Applied Materials 0040-07501 RH Lamp Wire Cover 300mm PVD New
19808 Lauda C6CS, Constant Temp Immersion Heating Recirculating Bath Edition 2000 As-Is
19809 Festo 61-285456-00 Pneumatic Cylinder Cool PedLIFT LDLK Revision B Used Working
19810 Festo 61-285456-00 Pneumatic Cylinder Cool PedLIFT LDLK Revision B Used Working
19811 SensArray 1501B-8-0613 Thermocouple Instrumented Wafer Interconnect Panel Used
19812 IOtech T71-TC Terminal Panel Thermocouple Module Used Working
19813 Neslab RTE 111 Recirculating Water Bath Chiller 134103200103 Used As-Is
19814 Cosel PAA50F-15-N Power Supply Reseller Lot of 17 Used Working
19815 Cosel PAA75F-15-N Power Supply Reseller Lot of 18 Used Working
19816 Haskris R050 Recirculating Chiller R-Series Copper Tested Not Working As-Is
19817 AMAT Applied Materials 0270-03559 Calibration Tool Cooldown/DEGAS 300mm New
19818 Fluke 874610 Type K Thermocouple Surface Probe 80pic-3A New
19819 CKD N4S0-T30R 18-Port Pnueumatic Manifold N3S010 Solenoid Valve Lot Of 5 Used
19820 CKD N4S0-T30 18-Port Pnueumatic Manifold N3S010 Solenoid Valve Lot Of 5 Used
19821 CKD N4S0-T50 4-Port Pnueumatic Manifold N3S010 Solenoid Valve Lot Of 4 Used Work
19822 CKD N4S0-T30 8-Port Pneumatic Manifold N3S010 Solenoid Valve Lot of 4 Used
19823 TEL Tokyo Electron EPD Box Optic Sensor Unity II Used Working
19824 TEL Tokyo Electron EPD Box Optic Sensor Unity II Used Working
19825 Cosel K25A-12-N Power Supply P15E-15-N K25A-12 Lot of 24 Used Working
19826 Cosel PBA300F-24 Power Supply MMC100A-2-N K10AU-5 R25A-12 P15E-5N Lot of 6 Used
19827 Cosel LEP240F-24 4 Power Supply R100-24-N PAA100F-24-N Lot of 6 Used Working
19828 Cosel PAA15OF-24-N Power Supply P50E-12-N P50E-15-N PAA50F-5-N Lot of 7 Used
19829 Cosel MMB5OU-6 DC Power Supply Tel Tokyo Electron Unity II Used Working
19830 Cosel MMB75U-1 DC Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working
19831 Cosel MMB75U-1 DC Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working
19832 CKD N4S0-T50 14-port Pneumatic Manifold N4S030 Solenoid Valve Used Working
19833 CKD N4S0-T30 8-port Pneumatic Manifold N3S010 Solenoid Valve Used Working
19834 CKD N4S0-T30R 8-Port Pneumatic Manifold N3S010 Solenoid Valve Used Working
19835 Lambda LSS-37-12 Power Supply LFS-39-20 LFS-39-5 Reseller Lot of 16 Used Working
19836 Power-One HB15-1.5-A Power Supply HAD15-0.4-A Reseller Lot of 15 Used Working
19837 TDK EAK15-2ROG Power Supply RKW24-6R5C Lot of 30 Used Working
19838 Computer Rcognition Systems 8946-0001 VME/Overlay Interface PCB Used Working
19839 Computer Recognition Systems 8946BB291 VME/Overlay Interface PCB 8946BB291 Used Working
19840 Computer Recognition Systems 8946BA283 VME/Overlay Interface PCB 8946-0001 Used
19841 Cam Research 715-130092-008 End Effector 200mm Wafer Rev. A Copper Used Working
19842 Fujitsu Component NC14003-T752 SERVIS-Splitter PCB SF310-5076-X751/02 Used
19843 SVG Silicon Valley Group 859-0702-003 Scale Factor PCB Board Rev. B A1260 Used
19844 SVG Silicon Valley Group 859-0702-003 Scale Factor PCB Board Rev. C A1260 Used
19845 TEL Tokyo Electron 1D81-000096-AB PCB Board TYB 211-1/GAS Unity II Used Working
19846 TEL Tokyo Electron 1D81-000096-AA PCB Board TYB 211-1/GAS Unity II Used Working
19847 Bio-Rad YS301266 AIMS DC Servo Board PCB Card YS301267 Quaestar Q7 Used Working
19848 Bio-Rad Y5301266P AIMS DC Servo Board PCB Card Y5301267 Questar Q7 Used Working
19849 Computer Recognition System 89646BA284 VME/Overlay Interface PCB 8946-0001 Used
19850 Computer Recognition Systems 8946AH169 VME/Overlay Interface PCB 8946-0001 Used
19851 Bio-Rad Y5305020A Issue B PCB Card Y5305021 Quaestar Q7 Used Working
19852 RadiSys SBC 552B PCB Board ASML 879-8103-002 Used Working
19853 Perkin-Elmer A1206 ADC/ELPS Interface PCB Card ASML 859-8218-002 Used Working
19854 Zendex ZX 564 ZBX Mother Board PCB ASML 859-8147-001 E Used Working
19855 SVG Silicon Valley Group 99-80207-02 Spin Station Expansion PCB Rev. C Used
19856 Nikon 4S014-082-? PCB Card AM6PDCTL Used Working
19857 Nikon 4S015-063 PCB Card NK386SX Used Working
19858 Nikon 4S014-014-A NSR System Control PCB Card DCM86-L2 Used Working
19859  Lam Research 716-011036-001 Ring Filler Lower Rev. F Used Working
19860 Lam Research 716-011036-001 Ring Filler Lower Rev. F New
19861 Edwards D37370761 :GX Accessory Module Used Working
19862 Edwards D37370761 :GX Accessory Module Used Working
19863 Edwards D37370761 :GX Accessory Module Used Working
19864 Novellus 03-108656-00 C3 PMP EMO Cable Assembly 25FT. New
19865 NTI Vopex-2KVIM-A 2-port Video Switching Module KVM Splitter New
19866 Yakasawa CIMR-J7AA21P5 Drive Controller VS Mini J7 Used Working
19867 Yakasawa CIMR-J7AA21P5 Drive Controller VS Mini J7 Used Working
19868 Shimadzu TMP-203M Turbo Molecular Pump Used Tested Working
19869 Shimadzu EI-D3203M Turbomolecular Pump Controller 1.2K TMP Used Tested Working
19870 AMAT Applied Materials Pneumatic Door Assembly Desica Cleaner Used
19871 TEL Tokyo Electron 2987-416025-W3 IRA X-Axis Assembly 2980-191974-11 ACT12 Used
19872 Lambda LCS-A-120 Power Supply RWS15A-LUS-8A-5 Reseller Lot of 22 Used Working
19873 Bio-Rad Y5304800P RATS Interface Board PCB Card Y5304803 Quaestor Q7 Used
19874 Optronics Engineering LE-470 Microscope Camera Controller 99350C Used Working
19875 RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter Used Tested Working
19876 AMAT Applied Materials 0270-05028 Wafer Calibration Tool LCF Used Working
19877 AMAT Applied Materials 0270-05028 Wafer Calibration Tool LCF New
19878 NANOmetrics 7300-013555 CE-Chuck Full Contact AMAT 3820-00003 Used Working
19879 TEL Tokyo Electron 3D10-250834-V1 CEL Process Plate Used Working
19880 Clean Services 15-262478-00 PED Blankoff Novellus C3 Altus Used Working
19881 M.E.C. Tech MEC30512-1268TI Process Plate Assembly Copper Refurbished
19882 Clean Sciences 15-262478-00 PED Blankoff Novellus C3 Altus Copper New
19883 Honeywell 060-3155-01 Display and Signal Conditioner NK Used Working
19884 Ultratech Stepper Inspection Stage 2244i Photolithography 03-15-05375 Used
19885 Ultratech Stepper 03-20-02211-02 General I/O Breakout 2 PCB 2244i Used Working
19886 Ultratech Stepper 03-20-02294-02 General I/O Beakout 1 PCB 2244i Used Working
19887 Ultratech Stepper 03-20-01588-02  Motor MUX Transition PCB 2244i Used Working
19888 ASML 4022.637.13753 Stainless Steel Braided Hose DNSO-VLV-DN50-2X90D New
19889 ASML 4022.477.31546 Stainless Steel Braided Hose SS-NTDN32-MNPT11/4-VLV-2X90 New
19890 ASML 4022.639.81711 Stainless Steel Braided Hose DN50/65 NUT-90D-VL-NPT2.5 New
19891 ASML 4022.477.48586 Stainless Steel Braided Hose DNSO FNPT 1 1/2 VALVE New
19892 ASML 4022.639.13261 Hose PFA-FDN15-MNPT1/2-VLV-90D New
19893 ASML 4022.635.81433 2-Way Manifold FNPT 2 1/2-2X NUT DN50 New
19894 ASML 4022.635.60321 NXT Bend DN40 Assembly New
19895 ASML 4022.635.80822 Hose PFA-F3/4-VLV-F3/4-2X 90DEG New
19896 ASML 4022.635.80623 Hose PFA-F3/4-VLV-F3/4-2X 90DEG New
19897 Serto 630.40321 LPE Restriction ASML 4022.630.82162 Lot of 10 New
19898 Premium 0588.2 Power Supply Card ASML 4022.471.84293 Used Working
19899 ASML 4022.642.54911 Stainless Steel Elbow OYX0903-339304DN32 Lot of 4 Used
19900 HORIBA Advanced Techno ERD-001C-T Resistivity Sensor New
19901 Broyce Control 8519536 PDF Time Delay Relay MIEDF New
19902 Edwards B27158181 ISO80 Trapped Ring Lot of 4 New
19903 Edwards C10007090 ISO60-250 Claw Clamp Reseller Lot of 32 New
19904 Edwards C10007090 ISO60-250 Claw Clamp Reseller Lot of 32 New
19905 Edwards C10007181 ISO63-100 Single Claw Reseller Lot of 40 New
19906 DCG-200Z ENI DC22S-Z022000010A 0190-08034 Damaged Breaker Used Tested Working
19907 C&H Enterprises IS0289073-00 Blankoff Top Plate WCVD New
19908 Novellus 10-363935-00 RF Plasma Tube Used Working
19909 Novellus 10-363935-00 RF Plasma Tube Used Working
19910 Yaskawa JUSP-OPOZA Digital Operator Panel Servopack Used Working
19911 Cole-Palmer 98515-10 Recirculation Spray Gun TEQCOM RECIRC-101 Used Working
19912 ASML 4022.637.43121 Hose PFA F/F 3/4 90 DEG New
19913 ASML 4022.637.65802 Hose PFA-F3/8-VLV-F3/8-2X 90DEG New
19914 AMAT Applied Materials 0020-27155 Sleeve Brush Module New
19915 Edwards D37360310 Tool Interface SPI New
19916 VAT 62034-KA18-1005 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used
19917 VAT 62034-KA18-1005 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used
19918 VAT 62034-KA18-1005 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used
19919 VAT 26334-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used
19920 VAT 26334-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used
19921 VAT 26332-KA11-1001 Angle Isolation Valve Copper Exposed Used Working
19922 VAT 26332-KA71-1001 Angle Isolation Valve Copper Exposed Used Working
19923 VAT 26328-KA11-1002 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used
19924 VAT 26324-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used
19925 Tylan General FC-2900M Mass Flow Controller 20 SCCM He Used Working
19926 Tylan General FC-2900M Mass Flow Controller 20 SCCM He Used Working
19927 TEL Tokyo Electron TS3210-303210-11 Myler Ring Lot of 26 New
19928 Omega Engineering LVUN601-31310-.035-.25-1 Non Invasive Liquid Level Switch New
19929 Banner D12SN6FP High Power Fiber Optic Sensor 12-8800-050 New
19930 AMAT Applied Materials 0020-28668 SWLL Vacuum Poppet Valve 0020-28669 Used
19931 AMAT Applied Materials 0020-28668 SWLL Vacuum Poppet Valve 0020-28669 Used
19932 Millipore W2501VK01 3-Way Valve 864-13404-0 New
19933 Nor-Cal A113802 Manual Angle Isolation Valve Used Working
19934 Nor-Cal A113802 Manual Angle Isolation Valve Used Working
19935 Digital Dynamics 27-10157-00 I/O Controller IOC V4.00 Used Working
19936 Digital Dynamics 27-10157-00 I/O Controller IOC V3.02 Used Working
19937 AMAT Applied Materials 3300-04424 FTG Hose Barb 1.00H SWVL Lot of 4 New
19938 MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN Used
19939 MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN A119182 RB Used
19940 Opto22 35566-1097 Relay Board PCB MRC Eclipse Star Used Working
19941 SCP Global Technologies 00033602-00 MCS-E PIM Interface Used Working
19942 MKS Instruments 2170A12CL1BV Mass Flow Controller Assembly 6LV-RD6901-C Used
19943 iL7ON Edwards NRB4-46-945 Dry Vacuum Pump 44960 Hours Used Tested Working
19944 CFM Technologies 22024-02 Relay PCB Card B11/07 B11/08 Used Working
19945 CFM Technologies 22024-02 Relay PCB Card B11/10 B11/09 Used Working
19946 CFM Technologies 22024-02 Relay PCB Card B11/03 B11/04 Used Working
19947 CFM Technologies 22024-02 Relay PCB Card B11/05 B11/06 Used Working
19948 CFM Technologies 22024-02 Relay PCB Card B11/14 B11/15 Used Working
19949 CFM Technologies 22024-02 Relay PCB Card B11/01 Used Working
19950 Dart Controls 701BDC Motor Speed Control PCB Used Working
19951 Edwards D37360330 Tool Interface TEL Used Working
19952 Unipower 001-1607-100 Power Supply 500 Watt JG600 Used Working
19953 Kokusai Electric SPCONV10A DC-DC Converter Interface PCB Module D3E01232 Used
19954 Kokusai Electric SPCONV10A DC-DC Converter Interface PCB Module D3E01232 Used
19955 Kokusai Electric SPCONV10A DC-DC Converter Interface PCB Module D3E01232 Used
19956 Fujikin SCH0150 Heater Belt 100V-50W-1m Lot of 2 New
19957 Edwards Y14101004 TMS Insulation Clamp 40MM Lot of 8 New
19958 Nikon 4S013-448 IRC-A-PCB PCB Board Used Working
19959 AMAT Applied Materials 0190-07679 Control Station Interface Specification New
19960 TEL Tokyo Electron 3D86-005178-V1 N Copper RF Cable 2 MHz 19.97m Used Working
19961 TEL Tokyo Electron 3D86-005146-V1 RF Cable 2MHz 19.97m Used Working
19962 MRC Material Research Corp 885-11-000 PCB POS. 1 Rev. D Used Working
19963 Edwards Y14101000 TM5 Insulation Joining Straps 40mm Lot of 18 New
19964 AMAT Applied Materials 3700-02144 O-Ring Lot of 23 3700-01454 3700-01170 New
19965 Lam Research 734-007412-001 Load Lock Cover O-Ring Lot of 9 New
19966 AMAT Applied Materials 0050-75257 Chamber to Cryo Vacuum Line Used Working
19967 Granville-Phillps 275116 275 Convectron Gauge N2 Used Working
19968 MKS Instruments 2179A-22444 Mass Flow Controller Assembly 6LVV-DP6901-C Used
19969 Mitutoyo 09AAA790 Linear Scale ST320 Lot of 2 Used Working
19970 AMAT Applied Materials 0050-20160 Centura EMO Interconnect Cable Assembly New
19971 JAE 3143-1000 Accelerometer Sensor JA-5V Used Working
19972 Intergrated Power Designs SRW-65-1004 Power Supply WSR65US24-C Used Working
19973 Nemic-Lambda EWS100-5 Power Supply 5V Used Working
19974 Nemic-Lambda EWS100-5 Power Supply 5V Used Working
19975 Power-One SPM5G5M6L Switching Power Supply 1500 Watt Used Working
19976 Cosel PAAISOF-12 Power Supply R50A-24 5V 12V 15V 24V P100E-5 Lot of 5 Used
19977 Cosel PAA75F-15 Power Supply 15V PAA50F-15 Lot of 4 Used
19978 Cosel MMB5OU-6 Power Supply Multiple Output Lot of 5 Used
19979 Cosel PAASOF-24 Power Supply 24V Lot of 4 Used Working
19980 Cosel P30E-12 Power Supply 12V Lot of 2 Used Working
19981 Volgen SX150U-24S Power Supply 24V 6.5A Used Working
19982 Volgen EXU-156R6 Power Supply 15V Lot of 4 Used Working
19983 Cosel MMC7SU-1 Power Supply Multiple Output MMC Lot of 4 Used Working
19984 CKD VEC-SHA8G-C0303 Pressure Control Valve System VEC-VH8G-C0305-2 Used Working
19985 Fujikin 467000 Pneumatic Actuated Valve Reseller Lot of 4 Used Working
19986 Fujikin FPR-UDDFA-21-6.35UGF-APD Pneumatic Actuated Valve 070866 Lot of 4 Used
19987 Fujikin FPR-SDA-21-6.35UGF-APD Pneumatic Actuated Valve 070924 Lot of 5 Used
19988 Fujikin FPR-SDAT-216.35UGF-APD Pneumatic Actuated Valve 070916 Lot of 5 Used
19989 Fujikin FPR-SDAT-21-6.35UGF-APD#B Pneumatic Actuated Valve 091404 Lot of 5 Used
19990 Fujukin FPR-SDA-21-6.33UGF-APD#B Pneumatic Actuated Valve 091400 Lot of 5 Used
19991 NKS -0.1 to 0.2 MPa  Pressure Gauge 1.45″ Face VCR Lot of 5 Used Working
19992 NKS -0.1 to 0.4 MPa Pressure Gauge 1.45″ Face VCR Lot of 5 Used Working
19993 NKS -0.1 to 1MPa Pressure Gauge 1.45″ Face VCR Lot of 5 Used Working
19994 Tescom 12-1A11IGS2W1.54 Manual Pressure Regulator High Purity Lot of 8 Used
19995 MDC 311032 Pneumatic Angle Valve AV-153-P AMAT 0040-13040 Used Working
19996 MKS Instruments 51B13TCA2BA700 Baratron Pressure Switch Lot of 2 Used Working
19997 MKS Instruments 51B13TCA2BA100 Baratron Pressure Switch Lot of 2 Used Working
19998 AMAT Applied Materials 0015-02570 FTG Elbow 90 Degree Lot of 3 New
19999 MKS Instruments 51A13TCA2BA100 Baratron Pressure Switch Lot of 2 Used Working
20000 MKS Instruments 51A13TCA2BA700 Baratron Pressure Switch Lot of 2 Used Working
20001 MKS Instruments 2259C-11161 Mass Flow Controller Assembly SS-BN496-2C Used
20002 Wasco SV128-31W2A-X Vacuum Pressure Switch Lot of 2 Used Working
20003 Siemens ED23B100 Circuit Breaker GF01ED60 I-T-E Used Working
20004 SMC US5200 13-Port Pneumatic Manifold ARM2000 ISE40-01-22 Used Working
20005 SMC US5203 13-Port Pneumatic Manifold ARM2000 ISE40-01-22 Used Working
20006 TEL Tokyo Electron 8-Port Pneumatic Manifold 1-8 CKD 4SB019-C3 ACT12 Used
20007 AMAT Applied Materials 0150-00322 Endura 300mm CHM EMO Cable Assembly New
20008 Swagelok FJ Series 3/4″ Stainless Steel Convoluted Hose TS-20 Clamp 6.5″ Used
20009 Swagelok FJ Series 1/2″ Stainless Steel Convoluted Hose TS-15 Clamp 6.5″ Used
20010 iL70N Edwards A533-A5-945 Dry Vacuum Pump 45545 Used Tested Working
20011 TEL Tokyo Electron MPC-T0048A-11 IO MTR #Ø2 PCB TOB1Ø48 HA-016 Lithius Used
20012 TEL Tokyo Electron MPC-T0048A-11 IO MTR #Ø2 PCB TOB1Ø48 HA-016 Lithius Used
20013 TEL Tokyo Electron MPC-T0048A-11 IO MTR #Ø2 PCB TOB1Ø48 HA-016 Lithius Used
20014 TEL Tokyo Electron MPC-T0048A-11 IO MTR #Ø2 PCB TOB1Ø48 HA-016 Lithius Used
20015 Omron G9SC-120-TE2 RY MTR #02 PCB TEL Tokyo Electron Lithius Used Working
20016 Omron G9SC-120-TE2 RY MTR #02 PCB TEL Tokyo Electron Lithius Used Working
20017 TEL Tokyo Electron HA-017 BOARD IF FN #01 Lithius Used Working
20018 TEL Tokyo Electron HA-017 BOARD IF FN #01 Lithius Used Working
20019 Mitsubishi MR-J2S-BC001-QE AC Servo TEL Tokyo Electron Lithius Used Working
20020 Mitsubishi MR-J2S-BC001-QE AC Servo TEL Tokyo Electron Lithius Used Working
20021 Mitsubishi MR-J2S-BC001-QE AC Servo TEL Tokyo Electron Lithius Used Working
20022 Mitsubishi MR-J2S-20A-PQ108T020 AC Servo TEL Tokyo Electron Lithius Used Working
20023 Mitsubishi MR-J2S-20A-PQ108T020 AC Servo TEL Tokyo Electron Lithius Used Working
20024 Mitsubishi MR-J2S-20A-PQ108T020 AC Servo TEL Tokyo Electron Lithius Used Working
20025 Mitsubishi MR-J2S-20A-PQ 108T021 AC Servo TEL Tokyo Electron Lithius Used
20026 Mitsubishi MR-J2S-20A-PQ 108T021 AC Servo TEL Tokyo Electron Lithius Used
20027 Mitsubishi MR-J2S-20A-PQ 108T021 AC Servo TEL Tokyo Electron Lithius Used
20028 Mitsubishi MR-J2S-20A-PQ108T022 AC Servo TEL Tokyo Electron Lithius Used Working
20029 Mitsubishi MR-J2S-20A-PQ108T022 AC Servo TEL Tokyo Electron Lithius Used Working
20030 Mitsubishi MR-J2S-20A-PQ108T022 AC Servo TEL Tokyo Electron Lithius Used Working
20031 Mitsubishi MR-J2S-PQ108T023 AC Servo TEL Tokyo Electron Lithius Used Working
20032 Mitsubishi MR-J2S-PQ108T023 AC Servo TEL Tokyo Electron Lithius Used Working
20033 Mitsubishi MR-J2S-PQ108T023 AC Servo TEL Tokyo Electron Lithius Used Working
20034 TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Used
20035 TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Used
20036 TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Used
20037 TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Used
20038 TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Used
20039 TEL Tokyo Electron Interface Block Roller Clean Track Lithius Used Working
20040 TEL Tokyo Electron Interface Block Roller Clean Track Lithius Used Working
20041 TEL Tokyo Electron Interface Block Roller Clean Track Lithius Used Working
20042 TEL Tokyo Electron Interface Block Roller Clean Track Lithius Used Working
20043 Daikin DFS410TC1B Fan Filter Unit MMH4601000 TEL Tokyo Electron Lithius Used
20044 Daikin DFS410TC1B Fan Filter Unit MMH4601000 TEL Tokyo Electron Lithius Used
20045 MKS Instruments 131882-G7 Baratron Pressire Transducer Reseller Lot of 5 Used
20046 MKS Instruments 131882-G5 Baratron Pressure Transducer Reseller Lot of 5 Used
20047 Edwards D37420000 Local Control Module iTIM E73+A1+T1 Reseller Lot of 10 Used
20048 Edwards NRY0190412 6xPDT Switch Box iGX Pump Reseller Lot of 10 Used Working
20049 Edwards NRY0190412 6xPDT Switch Box iGX Pump Reseller Lot of 10 Used Working
20050 Edwards NRY0WP000 Abatement Enclosure 3xIGX100L/3xIGX600M Used Working
20051 Edwards U20001107 Eason Control Box Vacuum Pump Module Rev. A Used Working
20052 Edwards NRY0DN000 Eason Control Box 6 Vacuum Pumpo Module Rev. P Used Working
20053 Edwards NRY00T0000 P126X VFB Purge Box Rev. E Used Working
20054 Lambda LRS-50-15 AC-DC Switching Power Supply Reseller Lot of 5 Used Working
20055 Lambda LRS-50-15 AC-DC Switching Power Supply Used Working
20056 Lambda Electronics LNS-P-12 DC Regulated Power Supply Working Spare
20057 Lambda Electronics LNS-P-12 DC Regulated Power Supply Working Spare
20058 Lambda LNS-P-24 DC Regulated Power Supply Used Working
20059 Lambda LDS-P-15 Regulated DC Power Supply Reseller Lot of 10 Used Working
20060 AMAT Applied Materials 0020-96815 MEM Side Ring Rev. 002 New
20061 Riken Keiki OX-571A Oxygen Module Volume Range 0-25% OS-B11N Used Working
20062 Neslab ThermoFlex 900 Thermo Fisher 101121010000000 Chiller Not Working As-Is
20063 Evans PW-08-EZMF-GVPS-P1-PT Manual Temperature and Pressure Gauge Used
20064 Swagelok SS4BMG Metering Bellow-Sealed Valve Lot of 3 Used Working
20065 Swagelok SS-45S8 40 Series Manual Ball Valve Lot of 2 Used Working
20066 Evans NB-GL-8C-200-X Integrated Manual Regulator Valve Lot of 2 Used
20067 Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Used Working
20068 Evans NB-GL-8C-200-XX Integrated Manual Regulator Valve Manifold Used Working
20069 Evans NB-GL-8C-200-XX-IA Integrated Manual Regulator Valve Manifold Used Working
20070 Evans Components NB-GL-8C-200-X Integrated Manual Regulator Valve Manifold Used
20071 Evans Components NB-GL-8C-200-X Integrated Manual Regulator Valve Manifold Used
20072 Edwards 4 Channel Exhaust and Pressure Regulator Control Box Used Working
20073 Lambda LRS-52M-5 Regulated DC Power Supply Used Working
20074 Lambda LRS 52M-5 DC Regulated Power Supply Reseller Lot of 5 Used Working
20075 Lambda LRS 54M-24 DC Regulated Power Supply Reseller Lot of 4 Used Working
20076 Lambda LNS-X-50-OV DC Regulated Power Supply Reseller Lot of 6 Used Working
20077 Lambda LDS-X-15 DC Regulated Power Supply Used Working
20078 Daihen AGA-50B2-V RF Generator DGP-120A2 TEL 3D80-001479-V2 Used Tested Working
20079 Daihen HFA-30A-V RF Match TEL Tokyo Electron 3D80-000158-V3 Used Working
20080 Hitachi 568-5589 PASW PCB Card S-9300 SEM Used Working
20081 Pall DDF1UG001ENP44 Disposable Filter Assembly E00013393 Reseller Lot of 6 New
20082 AMAT Applied Materials 0190-07679 Control Station Interface Specification Used
20083 AMAT Applied Materials 0190-07679 Control Station Interface Specification Used
20084 Hitachi 560-5546 Hitachi S-9300 AMHS IO Card Used Working
20085 Novellus 73-0068 GSNX New Elevator Alignment Tool Left Side Pin GaSonics Used
20086 Edwards NRY0DN000 Pump Control Enclosure Rev. E NRY0DN101 Eason Alarm Used
20087 Diagnostic Instruments HRD076-NIK High Resolution Digital F-Mount Adapter Used
20088 Sony DXC-970MD 3CCD Color Video Camera Power HAD Used Working
20089 SDS V-DSP240/SP Hitachi S-9300 Interface Card SDS-9725 Used Working
20090 TEL Tokyo Electron Set-Up Fixture 200.5 Lot of 2 ACT8 200mm Used Working
20091 Horiba STEC SEF-8240SM-UC Mass Flow Meter Assembly VC-1410-UC BTBAS Used Working
20092 Nemic-Lambda EWS600P-24 Power Supply 600 Watt Used Working
20093 Edwards W65531611 Barocel Pressure Sensor Transducer 100 Torr Used Tested
20094 Edwards W65541611 Barocel Pressure Sensor Transducer 100 Torr Used Tested
20095 Melec C-820A Hitachi S-9300 Control Board working
20096 Omron H3CR-A Multifunction Timer P2CF-11 Reseller Lot of 4 Used Working
20097 KoMiCo S31A-GD-010-A GDP 1.0mm Plate 500080005 Used Working
20098 M.E.C. Tech MEC30512-1266TR Process Plate Copper Exposed Used Working
20099 Parker CPHX-DRIVE Indexer Driver Compumotor Plus Used Working
20100 Hitachi 560-5512 Control Rack NMEM Card S-9300 Used Working
20101 Mykrolis PCL0302E6 Cartridge Filter 0.3µm Planargard CL Reseller Lot of 6 New
20102 C&H Enterprises 15-289073-00 Blankoff Top Plate WCVD Copper New
20103 Edwards W655-21-611 Barocel Pressure Sensor Transducer 10 Torr Used Tested
20104 Hamamatsu R446 Photomultiplier Tube with C956-04 Power Socket Used Working
20105 HItachi 568-5559 Control Rack PS Disp Card S-9300 Used Working
20106 AMAT Applied Materials 0010-77795 High Precision Spray Bar Assembly New
20107 SMC 72-252832-00 Slit-Valve Actuator Blank-Off Plate Used Working
20108 AMAT Applied Materials 0020-26721 Transfer Mount Ball Rev. 003 New
20109 Parker 208195 NC Slit Valve Door New
20110 XP Power F4A3A4A6 Power Supply XPiQ Used Working
20111 Edwards NRY0DN000 Pump Control Enclosure Rev. M NRY0DN101US-A Eason Alarm Used
20112 AEG DAP 218/AS-BDAP-218 Modicon PLC Secondary Rack DAP 208/AS-BDAP-208 Used
20113 Qualidyne 10FC00A-0154 Switching Power Supply 10099 876-5032-00 Used Working
20114 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Rev. 7E Lithius IRAM Used
20115 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Rev. 7E Lithius IRAM Used
20116 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Rev. 7E Lithius IRAM Used
20117 TEL Tokyo Electron HA-017 BOARD IF FN #01 Rev. LC Lithius IRAM Used Working
20118 TEL Tokyo Electron HA-017 BOARD IF FN #01 Rev. LC Lithius IRAM Used Working
20119 TEL Tokyo Electron HA-017 BOARD IF FN #01 Rev. LC Lithius IRAM Used Working
20120 Omron G9SC-120-TE2 RY MTR #02 PCB Rev. MF TEL Tokyo Electron Lithius IRAM Used
20121 Omron G9SC-120-TE2 RY MTR #02 PCB Rev. MF TEL Tokyo Electron Lithius IRAM Used
20122 Omron G9SC-120-TE2 RY MTR #02 PCB Rev. MF TEL Tokyo Electron Lithius IRAM Used
20123 AMAT XR80 Card Chassis Assy. 0090-91694 ITL working
20124 NSK E0443ZZIF1-011A PCB TH-I/F TEL Tokyo Electron Lithius IRAM Used Working
20125 NSK E0443ZZIF1-011A PCB TH-I/F TEL Tokyo Electron Lithius IRAM Used Working
20126 NSK E0443ZZIF1-011A PCB TH-I/F TEL Tokyo Electron Lithius IRAM Used Working
20127 TEL Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used
20128 TEL Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used
20129 TEL Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used
20130 TEL Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius Used
20131 TEL Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius Used
20132 TEL Tokyo Electron IRAM Pneumatic Manifold Interface Block Lithius Used Working
20133 TEL Tokyo Electron IRAM Pneumatic Manifold Interface Block Lithius Used Working
20134 TEL Tokyo Electron IRAM Pneumatic Manifold Interface Block Lithius Used Working
20135 TEL Tokyo Electron IPC-T0053A-11 Photo Resist Switch Panel Lithius Used Working
20136 TEL Tokyo Electron IPC-T0053A-11 Photo Resist Switch Panel Lithius Used Working
20137 TEL Tokyo Electron MPC-T0048A-11 10 MTR #02 Rev. 7A TOB1048 HA-016 Lithius Used
20138 TEL Tokyo Electron MPC-T0048A-11 10 MTR #02 Rev. 7A TOB1048 HA-016 Lithius Used
20139 TEL Tokyo Electron MPC-T0048A-11 10 MTR #02 Rev. 7A TOB1048 HA-016 Lithius Used
20140 AMAT Applied Materials 9091-01393 Loop Controller PXP41W Used Working
20141 Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used
20142 Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used
20143 Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used
20144 Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used
20145 TEL Tokyo Electron 300mm Wafer Shuttle IRAM Interface Block Lithius Used Working
20146 TEL Tokyo Electron 300mm Wafer Shuttle IRAM Interface Block Lithius Used Working
20147 TEL Tokyo Electron 300mm Wafer Shuttle IRAM Interface Block Lithius Used Working
20148 Mitsubishi SD-Q19 Magnetic Contactor Reseller Lot of 4 TEL Lithius Used Working
20149 Mitsubishi NF50-SWU TEL Lithius Circuit Breaker Set 50A 30A 15A Lot of 5 Used
20150 Panasonic MADCT1503 AC Servo TEL Tokyo Electron 5080-192752-11 Lithius IRAM Used
20151 Panasonic MDDCT5316 AC Servo TEL Tokyo Electron 5080-192753-11 Lithius IRAM Used
20152 Novellus 73-0068 GSNX New Elevator Alignment Tool Right Side Pin GaSonics Used
20153 Komatsu 20001920 Controller MCU-02TM TEL Lithius Interface Block IRAM Used
20154 TEL Tokyo Electron HA-017 PCB BOARD IF FN #01 Used Working
20155 TEL Tokyo Electron HA-017 PCB BOARD IF FN #01 Used Working
20156 NSK 51161-802-001 Interface Block Robot Assembly TEL Tokyo Electron Lithius Used
20157 Cambridge Fluid Systems 0010-00888 Gas Panel Working
20158 Cambridge Fluid Systems 0010-00888 Gas Panel Working
20159 Cambridge Fluid Systems 0010-00888 Gas Panel Working
20160 Cambridge Fluid Systems 0010-00888 Gas Panel Working
20161 NSK ESB-SSB020A23F2-02 Robot Servo Drive TEL 5080-192754-11 Lithius Used Working
20162 TEL Tokyo Electron 5080-192750-12 X-Axis Upper IRAM XY-HS0026-802 Lithius Used
20163 TEL Tokyo Electron 5080-192749-12 X-Axis IRAM XY-HS0051-804-001 Lithius Used
20164 NSK M-SSB020FN502 Robot Servo Motor TEL 5080-192472-12 Lithius Used Working
20165 NSK 51161-802-001 IRAM X-Axis Robot Base Assembly 5080-192466-11 Lithius Used
20166 SMC INR-244-272B Power Supply Assembly 2TP-1B861 TEL Tokyo Electron Lithius Used
20167 SMC INR-244-272B Power Supply Assembly 2TP-1B861 TEL Tokyo Electron Lithius Used
20168 SMC INR-244-272 Power Supply Assembly 2IP-0C203 TEL Tokyo Electron Lithius Used
20169 TEL Tokyo Electron 5087-403670-12 Interface Block Robotic Track Set Lithius Used
20170 MKS Instruments AS00124-01 MicroNode I/O Module Rev. A Used Working
20171 MKS Instruments AS00121-01 MicroNode I/O Module Rev. A Used Working
20172 Granville-Phillps 275262 Vacuum Convectron Gauge 275 Lot of 2 Used Working
20173 Eastek 36-0428 Power Supply PCB P1228-PWR-208 Used Working
20174 Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch Used Working
20175 Varian E1000 Vent Valve Control 9699831S001 E37000105
20176 Valcom RN-760Torr-2VAO-4TL7 Pressure Switch Used Working
20177 Granville-Phillips 352001 Gauge Controller 112 Used Working
20178 Granville-Phillips 352016 Gauge Controller Series 352 Rev. A Used Working
20179 Granville-Phillips 20352001 Gauge Controller 112 Used Working
20180 Granville-Phillips 20352001 Gauge Controller Series 352 Used Working
20181 Watlow DC80-24C0-0000 Solid State Power Control DIN-a-mite Used Working
20182 Watlow DC10-20L5-0000 Solid State Power Control DIN-a-mite Used Working
20183 Hitachi HT98310 PS Card PCB Reseller Lot of 2 Used Working
20184 Granville-Phillips 307130 Vacuum Gauge Controller 307 Used Working
20185 Yamatake CMC10ACL000 Control & Communication Link CMC10 CC-Link Used Working
20186 Yamamoto EMP2SD210D Manometer Manosys EM-100 Receiver Used Working
20187 Yamamoto EMP2SD210D Manometer Manosys EM-100 Receiver Used Working
20188 Yamamoto EMP35D010D Manometer Manosys EM-100 Receiver Lot of 3 Used Working
20189 Load Controls Incorporated PH-3A Power Cell Power Transducer Used Working
20190 Omron G32A-A40-VD Power Device Cartridge Reseller Lot of 6 Used Working
20191 Omron E5EJ-A2H02 Digital Temperature Controller Used Working
20192 Omron E5EJ-A2HB Digital Temperature Controller Used Working
20193 Saginomiya LNE-ZN20-010 Pulse Converter Used Working
20194 Saginomiya LNE-ZN20-010 Pulse Converter Used Working
20195 AMAT Applied Materials 0150-09200 RF Cable 55 Foot 16.7m Used Working
20196 JAI CV-A1 Progressive Scan Camera Tamron 1:1.4 Used Working
20197 Yamamoto EMT1B0FVD Manosys Differential Pressure Transmitter 1B0FVD Used Working
20198 Modus Instruments DA-4-05M-0-RR-15-114 Display Alarm Lot of 2 Used Working
20199 Zellweger Analytics 2414-0017 Non-Intrusive Calibrator Digi-Cat Gauge Rev 7 Used
20200 Horiba Stec SEF-8240SM-UC Mass Flow Meter Assembly SMT-8000 BTBAS Used Working
20201 AP Tech AP1810SM Pressure Regulator Valve 002-17070-000 Used Working
20202 Screen SL-2210-FC-Z Network Control Module Used Working
20203 Screen SL-2210-FC-Z Network Control Module Used Working
20204 MKS Instruments 103250028 Pirani Vacuum Transducer 325 Moducell Used Working
20205 Malema USC-721-11 Ultrasonic Flowmeter USC-721 Converter Used Working
20206 KAHN 75-1514-10 Ceramic Digital OEM Hygrowmeter Used Working
20207 AMAT Applied Materials 0010-41466 INERT SLD NEON CDS Reseller Lot of 10 New
20208 THK KR2602A+111L0E-100B Linear Slide and Coupling AMAT 0190-34122 New
20209 Johnson Controls A350AA-1 S350AA-1 D350AA-1 Temperature Control Lot of 7 Used
20210 Allen-Bradley 440R-B2J020 Saftey Relay MSR5T Guardmaster Lot of 2 Used Working
20211 Zellweger Sieger 00705-A-1733 Sensor Assembly Used Working
20212 AP Tech AP1010SM Pressure Regulator Valve Used Working
20213 Tescom 449-265-0RR9 Manual Pressure Regulator Valve Used Working
20214 Evans Components NB-GL-8C-200-X-IA Integrated Manual Regulator Manifold Used
20215 Yamatake C25TV0UD1200 Single Loop Controller SDC25 Used Working
20216 KLA-Tencor 0055425-000 MFC Remote Assembly AIT UV Darkfield Used Working
20217 KLA-Tencor 0055425-000 MFC Remote Assembly AIT UV Darkfield Used Working
20218 Federal Pioneer MGFR-1-ZB Ground Fault Relay PRO-DEC-TOR mgfr Used Working
20219 Pisco DMM-300 Fiber Dry Pneumatic Air Dryer Used Working
20220 A-B Allen-Bradley 100-A60N*3 Contractor GD013 Lot of 2 Used Working
20221 Farnell Instruments NA200P300/B Power Supply Used Working
20222 Granville-Phillips 307130 Vacuum Gauge Controller 307 Cover Damage Used Working
20223 Acopian URB34GT150 Regulated Power Supply Used Tested Working
20224 Acopian 51515T6A Triple Output Power Supply Used Tested Working
20225 TDK RKW24-27R Switching Power Supply Used Working
20226 TDK FAW24-6R0 Power Supply Reseller Lot of 5 Used Working
20227 TDK FAW12-2R1 Power Supply Reseller Lot of 5 Used Working
20228 Cosel 5230388PR Power Supply P30E-12 Lot of 2 Used Working
20229 Telemecanique ABL8REM24030 Power Supply Lot of 2 Used Working
20230 Nagano Keiki GC84-1D9 Digital Indicator Lot of 3 Used Working
20231 Copal Electronics PZ-200 Pressure Indicator Used Working
20232 Ham-Let H-700-SS-L-1″-T-LD Manual Valve Reseller Lot of 4 Used Working
20233 SensArray 50-2030-01 Resistance Calibration Standard RTD Module 1000O New
20234 SensArray 50-2330-02 Resistance Calibration Standard RTD Module 1375O New
20235 Shindengen FYX131/62P-BDDd Switching Power Supply 8570082 Used Tested Working
20236 SensArray 50-1819 Adapter RTD Module New
20237 Omron G3NE-210T Solid State Relay Y92B-N50 Heat Sink Reseller Lot of 5 Used
20238 Fuji Electric BRRIIN-01S Earth Leakage Relay Used Working
20239 Rudolph Technologies A16108 HIGH PASS/C Board PCB Rev. B Used Working
20240 SMC NCDQ2B100-10D Double Action Pneumatic Cylinder Reseller Lot of 3 Used
20241 Radisys 63-0050-02 Processor Module 100MHz VMEBUS EPC-5A EXM-13A Used Working
20242 A-B Allen-Bradley 61-0255-40 Processor Module PLC-5/V30B VME-5/30 Used Working
20243 A-B Allen-Bradley 61-0255-32 Processor Module PLC-5/V30B VME-5/30 Used Working
20244 VMIC 332-000113-427 D VMEbus Processor Card VMIVME 2532A Used Working
20245 Yamatake SDU10T0100 Temperature Controller SDU10 Reseller Lot of 3 Used
20246 TEL Tokyo Electron TEB004-22 GOHUB Comms Module EC80-000184-22 Lithius Used
20247 TEL Tokyo Electron TEB004-22 GOHUB Comms Module EC80-000184-22 Lithius Used
20248 Lam Research 810-17004-001 Solenoid Interlock PCB Board Rev. D Used Working
20249 Panasonic MBDCT1507 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used
20250 Panasonic MBDCT1507 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used
20251 Panasonic MBDCT1507B02 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used
20252 Panasonic MBDCT1507B02 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used
20253 Panasonic MCDCT3312 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used
20254 Panasonic MCDCT3312 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used
20255 Panasonic MBDCT1503 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used
20256 Panasonic MBDCT1503 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used
20257 Panasonic MBDCT1503 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used
20258 Welch 8910A Vacuum Pump DirecTorr V C37JXDW-157 Used Tested As-Is
20259 Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y TEL Tokyo Electron Lithius Used
20260 Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y TEL Tokyo Electron Lithius Used
20261 Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y TEL Tokyo Electron Lithius Used
20262 Daikin DFS509TC1BR Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used
20263 Daikin DFS509TC1BL Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used
20264 Taiyo Machinery MP-300-R2 Mapping Unit TEL 5087-403663-11 Lithius Used Working
20265 Taiyo Machinery MP-300-R2 Mapping Unit TEL 5087-403663-11 Lithius Used Working
20266 Lam Research 810-017012-001 Heartbeat PCB Board Rev. F Used Working
20267 Lam Research 810-017012-001 Heartbeat PCB Board Rev. F Used Working
20268 TEL Tokyo Electron Optical Disc Drive DVD Assembly Lithius Used Working
20269 TEL Tokyo Electron Optical Disc Drive DVD Assembly Lithius Used Working
20270 Cosel AC3-OHRR-00 Power Supply ACE300F TEL Tokyo Electron Lithius Used Working
20271 Cosel AC3-OHRR-00 Power Supply ACE300F TEL Tokyo Electron Lithius Used Working
20272 Panasonic MSMA082S2N AC Servo Motor Y AXIS CRA TEL Tokyo Electron Lithius Used
20273 Panasonic MSMA082S2N AC Servo Motor Y AXIS CRA TEL Tokyo Electron Lithius Used
20274 TEL Tokyo Electron 5085-404809-11 Career Station Block Robot Track Lithius Used
20275 TEL Tokyo Electron 5085-404809-11 Career Station Block Robot Track Lithius Used
20276 Fuji Electric M-SPS1000RM-2F UPS TEL Tokyo Electron Lithius No Battery As-Is
20277 Fuji Electric M-SPS1000RM-2F UPS TEL Tokyo Electron Lithius No Battery As-Is
20278 TEL Tokyo Electron CPC-T0033A-12 IO CSB Board #01 TOB1033 Lithius Used Working
20279 TEL Tokyo Electron CPC-T0033A-12 IO CSB Board #01 TOB1033 Lithius Used Working
20280 TEL Tokyo Electron CPC-T0033A-12 IO CSB Board #01 TOB1033 Lithius Used Working
20281 TEL Tokyo Electron MPC-T0048A-11 IO MTR #02 Rev. 01 TOB1048 HA-016 Lithius Used
20282 TEL Tokyo Electron MPC-T0048A-11 IO MTR #02 Rev. 01 TOB1048 HA-016 Lithius Used
20283 TEL Tokyo Electron MPC-T0048A-11 IO MTR #02 Rev. 01 TOB1048 HA-016 Lithius Used
20284 TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working
20285 TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working
20286 TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working
20287 TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working
20288 TEL Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used
20289 TEL Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used
20290 TEL Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used
20291 TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used
20292 TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used
20293 TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used
20294 Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working
20295 Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working
20296 Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working
20297 Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working
20298 Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working
20299 TEL Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DK PHA-012-1 Lithius Used
20300 TEL Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DK PHA-012-1 Lithius Used
20301 TEL Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DJ PHA-012-1 Lithius Used
20302 TEL Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DJ PHA-012-1 Lithius Used
20303 Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 TEL Tokyo Electron Lithius Used
20304 Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 TEL Tokyo Electron Lithius Used
20305 Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 TEL Tokyo Electron Lithius Used
20306 Edwards W655-31-611 Pressure Sensor Transducer 100 Torr Used Tested Working
20307 Neslab 349104040121 Recirculating Chiller CFT-75 Used Tested Not Working
20308 Tamagawa TA3420N Track Ball TEL Tokyo Electron Lithius Used Working
20309 Tamagawa TA3420N Track Ball TEL Tokyo Electron Lithius Used Working
20310 Meiden UA023/350A Lithius Career Station Controller TEL Tokyo Electron Used
20311 Meiden UA023/350A Lithius Career Station Controller TEL Tokyo Electron Used
20312 Meiden YZ80ZM-11 FDD HDD Mirror Card TEL Tokyo Electron Lithius Used Working
20313 Meiden YZ80ZM-11 FDD HDD Mirror Card TEL Tokyo Electron Lithius Used Working
20314 Advanet AGPCi8012 CompactPCI PCB Card TEL Tokyo Electron Lithius Used Working
20315 Advanet AGPCi8012 CompactPCI PCB Card TEL Tokyo Electron Lithius Used Working
20316 Advanet AGPCi8012 CompactPCI PCB Card TEL Tokyo Electron Lithius Used Working
20317 TEL Tokyo Electron E2B301-11/UEC Ghost PCB Card E208-000020-11 Lithius Used
20318 TEL Tokyo Electron E2B301-11/UEC Ghost PCB Card E208-000020-11 Lithius Used
20319 TEL Tokyo Electron E2B301-11/UEC Ghost PCB Card E208-000020-11 Lithius Used
20320 Densan DCP-P750/11 CompactPCI Processor PCB Card TEL Tokyo Electron Lithius Used
20321 Densan DCP-P750/11 CompactPCI Processor PCB Card TEL Tokyo Electron Lithius Used
20322 TEL Tokyo Electron E2B401-11/UMC Ghost PCB Card E2B402-11/EGUM Used
20323 TEL Tokyo Electron E2B401-11/UMC Ghost PCB Card E2B402-11/EGUM Used
20324 Meiden UP003 Power Supply Module PCB Card TEL Tokyo Electron Lithius Used
20325 Meiden UP003 Power Supply Module PCB Card TEL Tokyo Electron Lithius Used
20326 Meiden UP003 Power Supply Module PCB Card TEL Tokyo Electron Lithius Used
20327 Meiden UA023/350A Industrial Computer Case SU52A40224 TEL Lithius Used Working
20328 Meiden UA023/350A Industrial Computer Case SU52A40224 TEL Lithius Used Working
20329 Lincoln Electric R3R-300 DC Arc Welder Idealarc Used Working
20330 Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. E Used Working
20331 TEL Tokyo Electron 3D10-300461-V1 Fixture Gap 110-210 New
20332 Densei-Lambda DPS2800 Power Supply TEL Tokyo Electron Lithius Used Working
20333 Lam Research 853-017805-045 RF Cable 15 Meter Rev. B Used Working
20334 TEL Tokyo Electron HTE-0V3-E-15 IO HP #01 PCB TMB1100 HTE-0C3-C-13 Lithius Used
20335 TEL Tokyo Electron HTE-0V3-E-15 IO HP #01 PCB TMB1100 HTE-0C3-C-13 Lithius Used
20336 TEL Tokyo Electron HTE-0V3-E-15 IO HP #01 PCB TMB1100 HTE-0C3-C-13 Lithius Used
20337 TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working
20338 TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working
20339 TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working
20340 TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working
20341 TEL Tokyo Electron HP Tower 1 Gauge Panel Process Station Lithius Used Working
20342 Nikon 2S700-580-1 System Control PCB SCPU Optistation 3 Used Working
20343 Nikon 2S700-580-1 System Control PCB SCPU Optistation 3 Used Working
20344 TEL Tokyo Electron HP Tower 2 Gauge Panel Process Station Lithius Used Working
20345 Pro-Face GP37W2-BG41-24V Graphic Panel Touch Screen QPM3D200B2P Used Working
20346 Total Control QPH2D100L2P Handheld Operator Interface Pendant Used Working
20347 Pro-Face GP37W2-BG41-24V Graphic Panel Touch Screen QPM3D200B2P Fibox Case Used
20348 SVG Silicon Valley Group 99-80269-01 Shuttle Interface Board PCB Rev. G 90S Used
20349 Aera TC FC-D985CT-BH Mass Flow Controller FC-D985c 300 CCM CI2(0.856) Used
20350 SVG Spin Station Expansion Board 99-80207-03 working
20351 Asyst 9700-6209-01 Power Distribution Center with AC/DC Switch Epsilon 3000 Used
20352 SVG Shuttle Interface Board 99-80269-01 Rev.J working
20353 Whedco 78006002 Servo Motor Controller Jr Series IMJ-313E-X-D Used Working
20354 AB Allen-Bradley 9101-2323 Servo Drive Ultra Series 1398-DDM-009 Used Working
20355 Whedco 78006002 Servo Motor Controller Jr Series IMJ-313E-X-D Used Working
20356 Electro-Craft 9101-1592 Servo Drive Advantage Line DDM-009 Used Working
20357 Omron S82K-10024 Power Supply Lot of 2 Used Working
20358 GE Fanuc IC693UDR005LP1 Programmable Controller Series 90 Mirco Used
20359 Panasonic MUMS041A1EOS AC Servo Motor Used Working
20360 Lam Research 853-002900-010 RF Cable Assembly New
20361 Parker Compumotor TS42B-DKNPS 1.8° Step Motor Used Working
20362 GE Fanuc MTR-3N31-H-R-N-S-A Brushless Servo Motor 518-43-010 Used Working
20363 Whedco 35005710 Servo Motor MTR-3N31-H-R-O-N-O Gearhead 518-43-010 Used Working
20364 Oriental Motor 5IK60GU-AW Induction Motor 5GU36KB Gear Head Used Working
20365 Yaskawa SGMAH-04AAA4C AC Servo Motor Used Working
20366 Pacific Scientific E31NRLT-LNF-NS-00 1.8° Step Motor Used Working
20367 Haydon Switch & Instrument LR430-05-0055ENG Servo Motor Used Working
20368 PRI Keyboard & Slave Control Board BM26380/F working
20369 Applied Motion HT17-068 Step Motor Reseller Lot of 5 Used Working
20370 Pacific Scientific P21NRHS-LNF-NS-02 Step Motor Reseller Lot of 4 Used Working
20371 Anaheim Automation 23L9104XCS-02 Stepper Motor Reseller Lot of 5 Used Working
20372 GE Fanuc HE693STP104AX Indexer Stepper Motor One Axis Used Working
20373 GE Fanuc Series 90-30 PLC 5-Slot Controller IC693PWR321Z Used Working
20374 A-B Allen-Bradley 1762-L40BXBR PLC Controller 1762-IQ8 1762-OW16 Used Working
20375 PRI RAM 1 16K Board BM70053 working
20376 Applied Motion 354ØMO Stepper Driver Dual Control Used Working
20377 Applied Motion 354ØMO Stepper Driver Single Control Used Working
20378 Applied Motion 1000-213 Stepper Driver PCB Assembly Used Working
20379 Minarik XL3025A-Q-0583 DC Motor Speed Controller Lot of 2 Used Working
20380 Minarik XL3025A-Q-0942 DC Motor Speed Controller Lot of 2 Used Working
20381 Applied Motion 1000-053E Stepper Driver PCB Used Working
20382 Sti 44507-0100 Actuated Safety Inerlock Switch Set of 2 Used Working
20383 Sti 44507-0100 Safety Interlock Switch MA Series Ferrogard 9 Lot of 4 Used
20384 PRI CPU85 XYZ Control Board BM70020 working
20385 ULVAC Sinku Kiko DSB-600 Sliding Valve Type Vacuum Pump 590 Torr Tested As-Is
20386 ULVAC Sinku Kiko DSB-600 Sliding Vane Type Vacuum Pump 700 Torr Tested As-Is
20387 Patlite SE-T Modular Signal Tower Reseller Lot of 4 Used Working
20388 Norgren S-A00936 Wafer Chuck Cylinder MRC Eclipse Used Working
20389 AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
20390 Edwards C41317000 Right Angle Valve PV25SPKA AMAT 0151-90830 Used Working
20391 Mitsubishi NF50-SWU Circuit Breaker 50A 30A Reseller Lot of 4 Used Working
20392 Mitsubishi NF50-SWU Circuit Breaker 15A 5A Reseller Lot of 3 Used Working
20393 HItachi 271-0011 Control Rack HV Card ESBI 100A ESB|100 S-9300 Used Working
20394 Semitool Output Board Assy. 14831-01 working
20395 Applied Motion 4034-329 Synchronous Stepping Motor Used Working
20396 Glentek GM2345-16-02001810-031 Permanent Magnet DC Servo Motor Used Working
20397 Glentek 3101112-1 Servo Amplifier Driver GA365-1 Used Working
20398 View Engineering 2870030-501 Interconnect Sub-Panel PCB 8100 Used Working
20399 Verteq Level/Pressure Sensor Sunburst Cleaner Used Working
20400 View Engineering 2109380-511NC Connector Interface Sub-Panel Used Working
20401 PRI Encoder Interface Board BM05750 working
20402 Verteq MP-005-02 Process Controller Sunburst Megasonic Cleaner Used Working
20403 Acuity Imaging CM4000 CCD Black and White Camera Used Working
20404 View Engineering 10000-501 PLC PCB Programmable Controller C40H-C6DR-DE-V1 Used
20405 Sound Vision SV Micro Microscope CCD Camera Used Working
20406 Opto Sonderbedarf ZOOM 70XL Microscope Lens Teli CS8620Ci Camera Assembly Used
20407 Oriental Motor E2936-524 Reaction Synchronous Motor ZGN18KA Used Working
20408 Lambda LFS-46-48 Regulated Power Supply Used Working
20409 GSI Lumonics 440-0234-001 Laser Power Module 450-0058-001 Used Working
20410 Glentek 3101086-2 Servo Motor GM1510B Used Working
20411 Whedco 35004561 Servo Motor MIR-1220-E-D-E-O Used Working
20412 Whedco 35004563 Servo Motor MIR-1235-E-D-E-O Used Working
20413 Oriental Motor USM206-401W Speed Control Motor Gear Head 2GN7.5K Used Working
20414 PRI CPU85 Pitch Control Board KX00002 working
20415 Panasonic MSM042A4A Servo Motor Used Working
20416 Panasonic MSM022A1F Servo Motor Used Working
20417 Keyence FS-V11 Photoelectric Optical Sensor Reseller Lot of 4 Used Working
20418 NF Electronic Instruments Actuator Controller Panasonic LSC BP22S-MJ Used
20419 Panasonic PU22EX-1 LED Light Controller LSC BP22S-MJ Used Working
20420 Panasonic CCD/CCU Video Module LSC BP22S-MJ Used Working
20421 Keyence LX2-60 Photoelectric Thru Beam Amplifier Used Working
20422 Panasonic EDTCB07QLF 10.5 Inch LCD Screen ECXF6604 LSC BP225-MJ Used Working
20423 SMC AMR3000-02S Pneumatic Manifold Panasonic LSC BP225-MJ Used Working
20424 OKI HCK-89911-02 Keypad Panasonic LSC BP22S-MJ Used Working
20425 Panasonic SMEMA Box SSR Relay Module LSC BP22S-MJ Used Working
20426 Hoya-Schott MegaLight 100 Fiber Optic Illuminator Panasonic LSC BP225-MJ Used
20427 Oriental Motor PK564AW 5-Phase Stepping Motor Vexta Used Workin
20428 Lam Research 810-17016-1 Stepper Motor Driver PCB Card Rev. B Used Working
20429 Lam Research 810-17018 Gap Motor Controller Board PCB Used Working
20430 PRI Encoder Interface Board BM05753 working
20431 Lam Research 853-190023-001 Controller Assembly 272072-00 Lam Rainbow 4420 Used
20432 Anorad 73777 Brushless Servo Amplifier SMA8415-1C54-003-1A-1-01 Used Working
20433 Galil Motion Control ICM-1900 Interconnect Module AMP-1900 Used Working
20434 Keyence RV3-55B/R Multifunctional Controller RV3-SSR Used Working
20435 GD California SYS68K/SIO-2 VME PCB Card Lam 810-017038-002 Rev. C Used Working
20436 Force Computers 890-14443-101 VME Card SYS68K/SASI-1 LAM MOD 1 4420 Used Working
20437 PRI I/O Interface Board BM70591 working
20438 Lam Research 810-017031-003 ADIO A0 PCB Card Rev. 2 Used Working
20439 Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working
20440 Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Used Working
20441 Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Used Working
20442 Edwards C5213 High Vacuum Tube Tee ISO100 ISO-K NW25 Used Working
20443 Edwards C5118 High Vacuum Tube Tee ISO100 ISO-K NW25 Used Working
20444 Edwards C5168 High Vacuum Adapter Tube Tee ISO160 to ISO100 NW25 Used Working
20445 Edwards C3095 High Vacuum Adapter Tee ISO63 ISO-F to ISO100 ISO-F NW25 Used
20446 Edwards High Vacuum Reducer Tee ISO100 ISO-K to NW50 Used Working
20447 PRI 128K RAM Board BM29095 working
20448 Key High Vacuum SL-150-NA113802 Manual Isolation Valve Used Working
20449 ATMI Showerhead BSE 0101091-205 01-INT-006 refurbished
20450 TEL Tokyo Electron 5085-404811-11 Career Station Robot No Effector Lithius Used
20451 SMC CDQ2A50-30D Pneumatic Cylinder CDQ2B63-25D Reseller Lot of 3 Used Working
20452 Hitachi KP-F100 Digital CCD Monochrome Camera Used Working
20453 Yaskawa SGMAH-01AAA21 AC Servo Motor Used Working
20454 MRC Materials Research A112990 Throttle Valve Assembly Rev. M Eclipse Star Used
20455 Honeywell 300mm Sputtering Target 07-600/15 new
20456 Key High Vacuum GV-6AP-4010780 Gate Valve MRC Eclipse Star Used Working
20457 Teli CS8320B CCD Camera TV0440A9 Assembly LKT Automation TMT 1214 Used Working
20458 Advantech IPC-610BP-250 Vision PC 610 LKT Automation TMT 1214 Used Working
20459 Advantech IPC-610BP-250 Handler PC 610 LKT Automation TMT 1214 Used Working
20460 Tang Kai Transformer Engineering 15KVA 415V Transformer Used Working
20461 SMC ZM131H-K5LZ-E15 Vacuum Generator ZSE1-00-15 Used Working
20462 Lin Engineering 5609X-01SD-01 0.9° Step Motor Used Working
20463 Yamatake HPX-T1 Photoelectric Sensor Reseller Lot of 4 Used Working
20464 MRC Materials Research A114569 Index Assembly Rev. A Eclipse Star Used Working
20465 CTI-Cryogenics 8039348 Pressurized Braided Stainless Steel Hose Used Working
20466 CTI-Cryogenics 8039346 Pressurized Braided Stainless Steel Hose Used Working
20467 CTI-Cryogenics 8039345 Pressurized Braided Stainless Steel Hose Used Working
20468 Square D JCK-23V20 Adjustable Time Delay Relay Reseller Lot of 7 Used Working
20469 MRC Materials Research A116924 Chamber Select Panel Eclipse Star Used Working
20470 MRC Materials Research A127527 Heater Power Control Eclipse Star Used Working
20471 Tadin 810002000 TadiSence Remote Sensing System TRS-A02 MRC Eclipse Used Working
20472 Siemens ED43B015 Circuit Breaker Sentron Series I-T-E 15A 480VAC Used Working
20473 Siemens ED43B050 Circuit Breaker Sentron Series I-T-E 50A 480VAC Used Working
20474 Oriental Motor PH268M-E15 2-Phase Stepping Motor Vexta Used Working
20475 Crydom D4850 D2440 Solid State Relay Reseller Lot of 9 Used Working
20476 DNS Dainippon Screen DSX-L48/D Level Controller Sensor New Surplus
20477 Edwards GVI 50 M Bellows Gate Valve B651-01-00 Used Working
20478 TEL Tokyo Electron AP9E-1222A CONN SPIN #03 Board PCB TKB7061 Lithius Used
20479 TEL Tokyo Electron AP9E-1222A CONN SPIN #03 Board PCB TKB7061 Lithius Used
20480 TEL Tokyo Electron AP9E-1222A CONN SPIN #03 Board PCB TKB7061 Lithius Used
20481 Edwards 3D80-000338-V1 STP SCU Turbomolecular Cable 20M TEL Used Tested Working
20482 AMAT Applied Materials 0010-76061 HP ENP Transfer Robot Centura RTP Used Working
20483 Siemens ED43B040 Circuit Breaker Sentron Series I-T-E 70A 480VAC Used Working
20484 Siemens ED43B020 Circuit Breaker Sentron Series I-T-E 480VAC 20A Used Working
20485 AMAT Applied Materials 0150-76512 CMP2 Controller Backplane Cable Umbilical Used
20486 AMAT Applied Materials 0150-76177 CGP1 Controller Backplane Cable Gas Panel Used
20487 AMAT Applied Materials 0150-76682 CLP1 Controller Backplane EMC COMP Used
20488 AMAT Applied Materials 0150-76181 CMP3 Controller Backplane EMC COMP Used
20489 Mitsubishi SD-N220 Magnetic Contactor 220 Amp Used Working
20490 Tamagawa TS3653N95E8 Step Motor Used Working
20491 BTM Corporation PCO-100-V130 Linear Clamp Cylinder Lot of 2 Used Working
20492 White Safetyline 192510 Static Discharge Hook Stick Used Working
20493 CTI-Cryogenics 8043341G600 Cryopump Flex Hose with TEE 8043504G002 Used Working
20494 CTI-Cryogentics 8043454G600 Cryopump Flex Hose with Tee 8043505G002 Used Working
20495 TEL Tokyo Electron HPT-106-2 Tubephragm Pump N2 Lithius Process Block Used
20496 TEL Tokyo Electron HPT-106-2 Tubephragm Pump N2 Lithius Process Block Used
20497 TEL Tokyo Electron ZPC-T0036A-11 CONN PRA Z #01 Board PCB TOB1036 Lithuis Used
20498 TEL Tokyo Electron ZPC-T0036A-11 CONN PRA Z #01 Board PCB TOB1036 Lithuis Used
20499 TEL Tokyo Electron BPC-T0038A-11 CONN PRA Base #01 PCB TOB1038 Lithius Used
20500 Shinyei THT-B5377-0.7 Humidity & Temperature Transmitter TEL Lithius Used
20501 Komatsu Electronics MCE-83WS Circulating Pump TEL Tokyo Electron Lithius Used
20502 Miki Pulley BXH-12-10-A-20 Pulley Z Brake TEL 5085-407039-11 PRA Lithius Used
20503 MKS Instruments 225A-24596 Baratron Tokyo Electron Lithius Used Working
20504 MKS Instruments ETVA-24591 Exhaust Throttle Valve TEL Lithius Used Working
20505 ADTEC Technology AT-400A PCB 03-170137-00 GasSonics Aura 2000LL Used Working
20506 KLA-Tencor CRS 2000 Mirror Assembly working
20507 ADTEC Technology AT-400A PCB 27-286643-00 GasSonics Aura 2000LL Used Working
20508 Novellus 03-164888-00 DC/DC Converter Board PCB Rev. B Lot of 2 Used Working
20509 Novellus 03-164888-00 DC/DC Converter Board PCB Rev. A Lot of 2 Used Working
20510 General Scanning 2860257-503 8100 View Engineering 3D LED Scanner Used Working
20511 View Engineering Heat Exhaust Unit 1NB412S77 8100 3-D Scanning Used Working
20512 View Engineering 2870240-1 System Controller 8100 3-D Scanning System Used
20513 Nor-Cal Products ESV-1002-NWB Manual Isolation Valve Used Working
20514 Nor-Cal Products ESVP-1002-NWB-SA Pneumatic Isolation Valve Used Working
20515 Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge 4861-65-GA-RM Used
20516 Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge 4861-65-GA-RM Used
20517 Leybold 20014895-000-1.6M Turbovac 340 M Cable Set 20014896-000-1.6M Used Tested
20518 Nidec UGTMEM-01SNQ41 Minertai Motor Recitle Loader Robot X-Axis Used Working
20519 Pearl Kogyo F-2000-0.1 RF Filter Module Used Working
20520 SMC XLD-50-X638 Vacuum Angle Isolation Valve TEL 3D80-000326-V1 Used Working
20521 KLA-Tencor 000-3008528 Optical Module CRS 2000 used working
20522 SMC 3D80-002107-V1 High Vacuum Valve XLAQ-40-X925 Lot of 2 Used Working
20523 VAT 62132-KA28-AAH1 Pneumatic Isolation Angle Valve Used Working
20524 MKS Instruments 253B-13264 Exhaust Throttle Control Valve Used Working
20525 Leybold 288 12 Manual Angle Isolation Valve 28812 Used Working
20526 KLA-Tencor CRS 2000 Power Supply working
20527 AMAT Applied Materials 0270-01156 Robot Calibration Tool 300mm E-Chuck New
20528 Axcelis 075342 RF Detector Module RF-2 Used Working
20529 Axcelis 075342 RF Detector Module RF-2 Used Working
20530 AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. C Used
20531 AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITC Rev. D As-Is
20532 Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-005 Used
20533 Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-805 Used
20534 Hine Design 853-4290-001 Load Lock Elevator GaSonics 94-1119 Used Working
20535 Asyst 04290-101 Load Lock Elevator Rev. 0 GaSonics 94-1119 Hine Design Used
20536 Asyst 04290-101 Load Lock Elevator Rev. 1 GaSonics 94-1119 Hine 06763-005 Used
20537 GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. E Used Working
20538 GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. E Used Working
20539 GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. C Used Working
20540 GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. C Used Working
20541 GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. J Used Working
20542 GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. H Used Working
20543 Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-005 Used
20544 Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-805 Used
20545 Hines Design 853-4290-002 Process Chamber Elevator GaSonics 94-1118 Used Working
20546 Asyst 04290-201 Process Chamber Elevator Rev. 0 GaSonics 94-1118 Used Working
20547 GaSonics A95-219-01 Wafer Cooling Station 200mm End Effecter Used Working
20548 TEL Tokyo Electron T-3044SS Circuit Breaker Module 3D93-M50268-V1 Used Working
20549 Oriental Motor PH268M-E1.5 2-Phase Stepping Motor Lot of 2 Used Working
20550 CKD FGL11-4RM-32-015 Vacuum Break Valve Used Working
20551 Diavac Limited LCAV-25H Pneumatic Angle Valve MRC Eclipse Star Used Working
20552 Hitachi BBB5-01 Pin Out PCB Board M-712E Shallow Trench Etcher Used Working
20553 Hitachi BBBA-02 Backplane PCB Board M-712E Shallow Trench Etcher Used Working
20554 Hitachi BBMF-01 Backplane PCB Board M-712E Shallow Trench Etcher Used Working
20555 GE Fanuc Series 90-30 PLC 5-Slot Controller IC693CPU313V Used Working
20556 Yamatake HPB-D1 Photoelectric Sensor Lot of 2 Used Working
20557 Kuhnke 690.620.11.00 PROFIBUS I/O 8IN/8OUT PLC Unit New
20558 Fuji Electric BU-ESB3050 Circuit Breaker 50 AMP Lot of 2 Used Working
20559 GE Fanuc Base 10-Slot EMI Enhanced PLC IC693CH5391 Lot of 4 Used Working
20560 GE Fanuc IC693MDL645 Input Module 24VDC Lot of 4 Used Working
20561 Daihen AGA-27C-V RF Generator HFA-30A-V Tel 3D80-000825-V3 Used Tested Working
20562 Turbo-v450 Varian 969-9542 Turbomolecular Vacuum Pump Controller Used Working
20563 TEL Tokyo Electron 848 TCP Transition Chill Plate Left ACT12 Incomplete As-Is
20564 TEL Tokyo Electron 2985-429208-W4 ADH Adhesion Module 847 ACT12 Damaged As-Is
20565 Ultratech Left Power Supply Assembly 2244i Photolithograph System Used Working
20566 Ultratech Right Power Supply Assembly 2244i Photolithography System Used
20567 Ultratech Stepper Temperature Controller Module RKC REX-F700 2244i Used Working
20568  Ultratech Stepper Temperature Controller Module RKC REX-F700 224i As-Is
20569 Haskris Company R050 Recirculating Chiller R-Series Display Not Working As-Is
20570 Fujikin FCS-4WS-798-F160#B Mass Flow Controller MFC FCS Ar Used
20571 Gespac GESPIA-2A 8835 PCB Card PIA-2A OnTrack DSS-200 Used Working
20572 Opto 22 PB24Q Relay Board PCB ODC5Q 6 OnTrak DSS-200 Used Working
20573 Opto 22 PB24Q Relay Board PCB ODC5Q 4 OnTrak DSS-200 Used Working
20574 Gespac GESDAC-2B-8945 PCB Card DAC-2B OnTrack DSS-200 Used Working
20575 Gespac GESADC-12A 9310 PCB DAQ Module Card ADC-12A OnTrack DSS-200 Used Working
20576 Gespac GESICU-2A 8549 PCB Inteconnect Card ICU-2A OnTrack DSS-200 Used Working
20577 Lam Research 282858802 PCB Intelligent Stepper Card OnTrak DSS-200 Used Working
20578 OnTrak Systems 28-0075-011 PCB Intelligent Stepper Card DSS-200 Used Working
20579 Lam 12-1000-017 Dual DC Motor Controller PCB Card Rev. C OnTrak DSS-200 Used
20580 Lam 12-1000-017 Dual DC Motor Controller PCB Card Rev. D OnTrak DSS-200 Used
20581 OnTrak Systems 22-1000-006 DSS Interface PCB DSS-200 Remote Module Used Working
20582 OnTrack Systems 22-1000-006 DSS Interface Robot Relay PCB DSS-200 Remote used
20583 Lam Research 22-5100-004 Isolated I/O Board PCB OnTrack DSS-200 Used Working
20584 Asyst 9700-5819-01 Robot Controller CMS II Rev. 4 ASM Epsilon 3000 Used Working
20585 Asyst Technologies 05050-017 Wafer Pre-Aligner Model 5 Used Working
20586 Asyst Technologies 05050-017 Wafer Pre-Aligner Model 5 Used Working
20587 Minarik 507-01-106 DC Gearmotor Bison 220C-PU Reseller Lot of 2 Used Working
20588 TEL Tokyo Electron E208-000021-11 Ghost Port PCB Card E2B401/UMC Lithius Used
20589 TEL Tokyo Electron E208-000021-11 Ghost Port PCB Card E2B401/UMC Lithius Used
20590 TEL Tokyo Electron HA-014 CONN SPIN #02 PCB Board Lithius Used Working
20591 TEL Tokyo Electron APC-T0041A-11 CONN AMC #01 PCB Board Lithius Used Working
20592 Taiyo Machinery NP8148Q010-1 PCB Board 12SCRA X TEL Tokyo Electron Lithius Used
20593 TEL Tokyo Electron HA-018 CONN SPIN #04 PCB Board Lithius Used Working
20594 MKS Instruments EPCA-24592 2-Channel Controller Card TEL Lithius Used Working
20595 Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 TEL Lithius Reseller lot of 2 Used
20596 TEL Tokyo Electron CPC-T0033A-12 IO CSB Board HA-012 Assembly Lithius Used
20597 Panasonic MSMA08252N AC Servo Motor Y AXIS CRA Assembly TEL Lithius Used
20598 Tamagawa TA3420N Track Ball TA3420N4103 TEL Tokyo Electron Lithius Used Working
20599 Tamagawa TA3420N Track Ball TA3420N4103 TEL Tokyo Electron Lithius Used Working
20600 Meiden UP012/002A Battery Module TEL Tokyo Electron Lithius Used Working
20601 Meiden UP004 Battery Module TEL Tokyo Electron Lithius Used Working
20602 TEL Tokyo Electron E244-000048-11 PCB E2B302/NUEC E281-000042-11 Lithius Used
20603 Yamatake EMT0850C05KXXT0 LCD Monitor TEL Tokyo Electron EC80-000188-11 Used
20604 KV Automation 4022.486.23731 Gas Supply Module ASML Used Working
20605 KV Automation 4022.486.23731 Gas Supply Module ASML Used Working
20606 KV Automation 4022.486.21591 Robot Gripper Manifold ASML Used Working
20607 TEL Tokyo Electron Clean Track Lithius Operation Manual Set Used
20608 Komatsu 20001920 Thermo Module MCU-02TM TEL Lithius Interface Block IRAM Used
20609 Omron D4GS-N4R Slim Safety Door Switch Lot of 4 Used Working
20610 Omron D4GS-N4R Slim Safety Door Switch D4GS-N4R Lot of 3 Used Working
20611 SMC INR-244-271 Controller Assembly 4TP-1B849 TEL Tokyo Electron Lithius Used
20612 Komatsu BAMA01263 Power Supply PCB Board CADG00143 TEL Lithius Used
20613 Komatsu BAMA01263 Power Supply PCB Board CADG00143 TEL Lithius Used
20614 Komatsu 30005300 Processor PCB Board CADK00360 TEL Tokyo Electron Lithius Used
20615 Komatsu 30005300 Processor PCB Board CADK00360 TEL Tokyo Electron Lithius Used
20616 Komatsu BAMA00191 Power Supply PCB Board CADK00251 TEL Lithius Used Working
20617 Densi-Lambda PWB-793E Power Supply PCB Board TEL Lithius Used Working
20618 Schaffner FN3010-20-46 Three Phase Filter Module TEL Lithius Used Working
20619 ASML Vacuum Gas Module 4022.486.21561 working
20620 Cosel AD960-24 Power Supply Komatsu PCB BAMA01132 TEL Lithius Used Working
20621 Digital HTK2300V Operator Interface Panel Pro-Face TEL Lithius Used Working
20622 Digital HTK2300V Operator Interface Panel Pro-Face TEL Lithius Used Working
20623 Panasonic MSMA102P1H AC Servo Motor TEL Tokyo Electron Lithius Used Working
20624 NSK 51161-802-001 Interface Block Robot IRAM TEL Lithius with End Effector Used
20625 NSK 51161-802-001 Interface Block Robot IRAM TEL Lithius with End Effector Used
20626 Komatsu BAMA01180 Power Supply PCB Board CADK00360 TEL Lithius Used
20627 Komatsu BAMA01150 Power Supply PCB Board CADK00330 TEL Lithius Used Working
20628 Komatsu BAMA01170 Power Supply PCB Board CADK00340 TEL Lithius Used
20629 Komatsu BAMA01160 Power Supply PCB Board CADK00340 TEL Lithius Used
20630 ASML Control Card Module 4022.470.7782 working
20631 Komatsu BAMA01140 Display PCB Board CADK00320 TEL Lithius Used Working
20632 SUNX Sensors FX-301 Photoelectric Sensor FX-300 Series Reseller Lot of 10 Used
20633 SUNX Sensors FX-301 Photoelectric Sensor FX-300 Series Reseller Lot of 10 Used
20634 NAiS AY33002 Relay Module RT3S-24V Lot of 3 Used Working
20635 Omron E3X-DA11-5 Photoelectric Sensor Switch Lot of 8 Used Working
20636 Schneider Electric LC1F150 3-Pole Contactor LAD11 LX1FF024 Used Working
20637 Nor-Cal ESVP-1002-NWB Pneumatic Isolation Angle Vacuum Valve Used Working
20638 Nor-Cal AIV-1002-NWB Pneumatic Isolation Angle Valve Used Working
20639 Nichias 5010-202984-11 Spin Chuck TEL Tokyo Electron 5085-411385-1* ACT12 Used
20640 Flowell 60-6.3X4.3U-PS-R Resin Fitting G160531 TEL 028-017546-* Lot of 9 New
20641 ATMI SM4BKAFG-050812 Photo Resist Dispenser TEL Tokyo Electron Lithius Used
20642 Mitsubishi NF50-3WU3 050 Circuit Breaker NF50-SWU Reseller Lot of 4 Used Working
20643 Mitsubishi NF50-3WU3 030 Circuit Breaker NF50-SWU Reseller Lot of 5 Used Working
20644 Mitsubishi NF50-3WU2 015 Circuit Breaker NF50-SWU Reseller Lot of 5 Used Working
20645 Cosel LDA15F-24 Compact Power Supply PCB LDA15F Used Working
20646 Schlumberger Systems Servo Interface PCB Board Rev. A Used Working
20647 Schlumberger Systems 504-000 DC Motor Driver 3012-504-001 Used Working
20648 Pacific Scientific SC402-010 Servo Controller Lot of 4 for Repair As-Is
20649 Pacific Scientific SC403-002 Servo Controller 105-040300-01 Used Working
20650 IMS Electra COM 3 High Pin Count Test Station GPIB/ETHERNET PCB Card Used
20651 Integrated Measurment Systems 100-0092-001B XL Terminator PCB Lot of 2 Used
20652 IMS Electra 120-0280-031 ATS100 Datamodule PCB Card 100-0280-001 Used Working
20653 IMS Electra 120-0280-021 ATS100 Datamodule PCB Card 100-0280-001 Used Working
20654 IMS Electra 120-0280-801 ATS100 Datamodule PCB Card 100-0280-001 Used Working
20655 IMS Electra 650-0095-101 PCB Card Rev. A 300-0271-000 Used Working
20656 IMS Electra 120-0292-502 Blazer 2 Controller PCB Card 100-0292-002 Used Working
20657 IMS Electra 120-0088-004 Timing Module PCB Card 100-0088-004 Used Working
20658 NSK E043ZZIF1-012B PCB Board Z-I/F TEL Tokyo Electron Lithius Used Working
20659 Tescom 44-3213H282-296 Manual Pressure Regulator Swagelok SS-45S8 Used Working
20660 Tescom 44-3213H282-296 Manual Pressure Regulator Swagelok SS-45S8 Used Working
20661 Tescom 44-3213H282-769 Manual Pressure Regulator Swagelok SS-45S8 Used Working
20662 TEL Tokyo Electron Fan Speed Controller Lithius Used Working
20663 SMC INR-244-261 RC Circuilator Pump Assembly TEL Tokyo Electron Lithius Used
20664 SMC INR-244-261 RC Circuilator Pump Assembly TEL Tokyo Electron Lithius Used
20665 SMC INR-244-261B RC Circulator Pump Assembly TEL Tokyo Electron Lithius Used
20666 Ogura Clutch RNB 0.8G Electromagnetic Spring Applied Brake TEL Lithius Used
20667 TEL Tokyo Electron G140130 IRA Plate Assembly New
20668 Mitsubishi CP30-BA Circuit Protector 3-Pole 30A Reseller Lot of 10 Used Working
20669 Mitsubishi CP30-BA Circuit Protector 3-Pole 2A Reseller Lot of 10 Used Working
20670 Fuji Electric CP33F3/20 Circuit Protector Reseller Lot of 8 Used Working
20671 Fuji Electric CP32PS/7.5 Circuit Protector Reseller Lot of 12 Used Working
20672 Schaffner FN3010-20-46 Three Phase Filter Module Lot of 2 TEL Lithius Used
20673 Mitsubishi NF50-SWU3 030 Circuit Breaker NF50-SWU Reseller Lot of 4 Used Working
20674 Mitsubishi NF50-SWU2 015 Circuit Breaker NF50-SWU Reseller Lot of 4 Used Working
20675 Lam Drive Arm Assy. 12123-1-C-01441057 working
20676 Hitachi HMB632-JUS Keyboard TEL Tokyo Electron Lithius Used Working
20677 Vicor MX5-412500-23-EL Power Supply 4kW MegaPac 97121008 Used Tested Working
20678 Vicor MX5-48051-33-EL Power Supply 4kW MegaPac 97961120 Tested Low Output As-Is
20679 Vicor MX5-410507-33-EL Power Supply 4kW MegaPac 97121010 Used Tested Working
20680 Vicor MX1-410517-33-EL Power Supply 4kW MegaPac 97921754 Used Tested Working
20681 Vicor MX2-45501-32-EL Power Supply 4kW MegaPac 9712336 Used Tested Working
20682 Vicor MP8-49501-22-EL Power Supply 4kW MegaPac 97123194 Damaged Modules As-Is
20683 Vicor MXB-48051-33-EL Power Supply 4kW MegaPac 97961120 Tested Low Output As-Is
20684 Vicor MXB-410511-33-E?L Power Supply 4kW MegaPac 97921756 Tested Low Output As-Is
20685 Iwaki HPT-106-2 Photoresist Tubephragm Pump HPT-106 Lot of 3 For Repair As-Is
20686 AMAT Applied Materials 0150-13386 Interface Cable P2 XFER-LCF MF I/O New
20687 Edwards NGG058000(P) DWG Interface Cable NGG058010 Lot of 4 New
20688 Turck WSC WKC 572-2M/C1126 DNET Cable 2 Meter AMAT 0620-02366 New
20689 Edwards U20001356 Cable Harness 16-Pin to 16-Pin Lot of 2 New
20690 Inficon 600-1008-P15 Vacuum System Power Supply Extension Cable New
20691 Edwards U20000721 4-Pin Molex to Photohelic Cable Assembly B3451 New
20692 Leybold 72127746 RCU LCU System Controller Cable 50 Foot 721-27-746 New
20693 Edwards U20000447P Gate Valve to Pump Cable Assembly 19.5 Foot Used Working
20694 Edwards U20001370 Pump to Pump Cable Lot of 2 Used Working
20695 CKD AMD01-8BUR-04-TC Pneumatic Valve Photoresist Lot of 10 TEL Lithius Used
20696 CKD AMD01-8BUR-04-TC Pneumatic Valve Photoresist Lot of 10 TEL Lithius Used
20697 CKD MD01-8BUR-04-TC Pneumatic Valve Photoresist Lot of 5 TEL Lithius Used
20698 Kognei F-AVP070-23W Pneumatic Valve Photoresist Lot of 10 TEL Lithius Used
20699 Kognei F-DAVP070-23W Pneumatic Valve Photoresist Lot of 10 TEL Lithius Used
20700 Fujikura RA-TKER-2-1(A) Manual Pressure Regulator Lot of 4 TEL Lithius
20701 Fujikura RA-TKER-2-1(A) Manual Pressure Regulator Lot of 3 TEL Lithius
20702 Mitutoyo 378-802-6 Motorized Microscope Objective Navitar 1-51170 1-6015 Used
20703 TMC DC-2000 Precision Valve Controller Rev. C Used Working
20704 Ultratech 01-18-03903 Power Supply Stage Driver Used Working
20705 Rasco Prism Purge SOW Blower Assembly Used Working
20706 Edwards B58120000 Vibration Isolator Bellows SS DN100 ISO100 EXT Pump Used
20707 SCU Seiko Seiki SCU-301 Turbomolecular STP Control Unit Used Tested Working
20708 SCU Seiko Seiki SCU-H2001K2 Turbomolecular Pump Control Unit Used Tested Working
20709 TEL Tokyo Electron OYDK-017A IO P-CHEM #01 OYDK-018B PCB Assembly Lithius
20710 TEL Tokyo Electron OYDK-017A IO P-CHEM #01 OYDK-018B PCB Assembly Lithius
20711 TEL Tokyo Electron OYDK-017A IO P-CHEM #01 OYDK-018B PCB Assembly Lithius
20712 IMS Electra 121-0280-031 ATS100 Datamodule PCB Card 100-0280-001 Used Working
20713 Oriental Motor EM596-NAE-A3 5-Phase Stepping Motor Vexta Used Working
20714 Oriental Motor EM596-NAE-A3 5-Phase Stepping Motor Vexta Used Working
20715 KLA-Tencor Archer XT+ Power Distribution Assembly 0017522-000 Used Working
20716 Ultratech Stepper 03-15-02049 WAS BREAKOUT Board PCB Used Working
20717 Ultratech Stepper 03-20-02567-01 PMT AMP M2000 PCB Board Used Working
20718 Ultratech Stepper 01-18-01818-01 Optics Assembly 2244i Photolithography Used
20719 Miki Pulley BXH-12-10-A-20 Spring Actuated Brake Motor TEL Lithius Used Working
20720 Miki Pulley BXH-12-10-A-20 Spring Actuated Brake Motor TEL Lithius Used Working
20721 Miki Pulley BXH-12-10-A-20 Spring Actuated Brake Motor TEL Lithius Used Working
20722 Yaskawa SGMAS-12ABA-TE11 AC Servo Motor with Nabtesco GH7-11 Gearhead TEL Used
20723 Varian Pump to Chamber Flange Turbo-V 300HT ISO100 ISO-K AMAT VeraSEM Used
20724 TMP Shimadzu EI-3203MD Turbo Molecular Pump Controller 1.8K Tested Used Working
20725 Densi-Lambda PWB-793F Power Supply PCB Board TEL Lithius Used Working
20726 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working
20727 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working
20728 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working
20729 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working
20730 TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working
20731 TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working
20732 TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working
20733 TEL Tokyo Electron OYDK-05S ANALOG PT #02 PCB Board Lot of 4 Lithius Used
20734 TEL Tokyo Electron OYDK-05S ANALOG PT #02 PCB Board Lot of 4 Lithius Used
20735 TEL Tokyo Electron OYDK-053 ANALOG 1-5 #02 PCB Board Lot of 3 Lithius Used
20736 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working
20737 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working
20738 TEL Tokyo Electron OYDK-062 CONN CHEM #02 PCB Board Lithius Used
20739 Oriental Motor CSD5807N-P-A37 Servo Driver Vexta Lot of 2 TEL Lithius Used
20740 Yamatake CMW200A102 Process Controller CMW Lot of 4 Used Working
20741 Sunx DP4-50Z Compact Digital Display Pressure Sensor DP4 Series Lot of 10 Used
20742 Sunx DP4-50Z Compact Digital Display Pressure Sensor DP4 Series Lot of 5 Used
20743 Sunx DP4-50Z Compact Digital Display Pressure Sensor DP4 Series Lot of 5 Used
20744 Sunx DP4-52Z Compact Digital Display Pressure Sensor DP4 Series Lot of 3 Used
20745 Krone KS-3000-500Pa-V1 Differential Pressure Manometer Reseller Lot of 5 Used
20746 Sunx DP4-52Z Compact Digital Display Pressure Sensor DP4 Series Lot of 3 Used
20747 Sunx DP4-52Z Compact Digital Display Pressure Sensor DP4 Series Lot of 3 Used
20748 Yaskawa SGMAS-A5ABA-TE11 AC Servo Motor TEL JZSP-CSP01-TE11 JZSP-CSM01-TE11 Used
20749 Yaskawa SGMAS-A5ABA-TE11 AC Servo Motor TEL JZSP-CSP01-TE11 JZSP-CSM01-TE11 Used
20750 Yaskawa SGMAS-A5ABA-TE11 AC Servo Motor TEL JZSP-CSP01-TE11 JZSP-CSM01-TE11 Used
20751 Yamamoto MS61ALV120D Pressure Switch Assembly Manostar FR51 TEL Lithius Used
20752 SMC LVA20-02-B Fluoropolymer Valve Reseller Lot of 10 TEL Lithius Used Working
20753 Yamamoto MS61ALV300D Differential Pressure Switch Lot of 24 TEL Lithius Used
20754 Opal 50317890000 SMC-Micro Board PCB Card Used Working
20755 Opal 70417880100 SMC-RS232 Card PCB AMAT Applied Materials Used Working
20756 Opal 70411560000 VCR/SMC Relay Board PCB AMAT Applied Materials Used Working
20757 Nemic-Lambda RWS30A-5 Power Supply PCB Card YM-95-774 Used Working
20758 Lambda PA-3N-4-6SG-001 AC/DC Power Supply PCB Card Used Working
20759 EBM Papst 505-3004 System 3000 24&48V Fan Monitor V2.0 PCB Assembly Used
20760 AMAT Applied Materials 0100-91146 ARC Interrupt PCB Board 0020-53074 Used
20761 Edwards NGW415000 Pneumatic Gate Valve Used Working
20762 AMAT Applied Materials 0100-91104 Vacuum Gauge RJ45 CONN I/F PCB 0120-93712 Used
20763 AMAT Applied Materials 0100-91104 Vacuum Gauge RJ45 CONN I/F PCB 0120-93712 Used
20764 Granville-Phillips 009614-101 330 Display Board PCB 9615-101 Used Working
20765 Granville-Phillips 009001-108 Ionization Gauge Electrometer Module Used Working
20766 Granville-Phillips 009592-109 330 Power Board PCB Module Used Working
20767 AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used
20768 AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used
20769 AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used
20770 AMAT Applied Materials 0100-01676 DECEL/FOCUS PSU Interface PCB Card Used
20771 AMAT Applied Materials 9090-00314 daq MkII type H Used Working
20772 AMAT Applied Materials 0100-90385 Contactor Drive PCB Card No Face Used Working
20773 AMAT Applied Materials 0100-01884 Ave Voltage Control PCB Card Used Working
20774 Integrated Power Designs SRW-45-4004 Power Supply WSR45UM44-C Lot of 2 Used
20775 Integrated Power Designs SRW-14-4004 Power Supply WSR45UM44-C Lot of 5 Used
20776 Astec LPS25 Switching Power Supply XP Power KM25US24 Reseller Lot of 17 Used
20777 Vicor VI-LU3-EV AC/DC Switcher FlatPAC 24 Volts DC 150 Watts Used Working
20778 Vicor VI-LUL-CY AC/DC Switcher FlatPAC 28 Volts DC 50 Watts Used Working
20779 Vicor VI-LUL-CY AC/DC Switcher FlatPAC 28 Volts DC 50 Watts Used Working
20780 Vicor VI-MU4-ES AC/DC Switcher FlatPAC 48 Volts 300 Watts Used Working
20781 Vicor VI-MU4-ES AC/DC Switcher FlatPAC 48 Volts 300 Watts Used Working
20782 AMAT Applied Materials AM9090-00923ITL Processor Vacuum Control Chassis Used
20783 HVA High Vacuum Apparatus 22211-0210 Pneumatic Slit Valve used working
20784 CTI-Cryogenics 8113211G001 Goldlink Support Communications Unit EM-S300W Used
20785 CTI-Cryogenics 8113211G001 Goldlink Support Communications Unit EM-S300W Used
20786 DIP Incorporated 15029308 DIP293 PCB Board AWAT 9090-00273 Used Working
20787 DIP Incorporated 15029208 DIP292 PCB Board 1502908-D AWAT 9090-00273 Used
20788 Power-One LWN 2660-6 AC-DC/DC-DC Converter DIN Rail Power Supply Used Working
20789 CFT-75 Neslab 395104041507 Refrigerated Recirculator Used Tested Working
20790 CTI-Cryogenics 0500-00277 On-Board IS Controller Rack Mount Panel AMAT Used
20791 Glassman PS/ER06N25.0YZA 6kV Power Supply AMAT 9090-01265ITL Used Working
20792 Glassman PS/ER06N25.0YZA 6kV Power Supply AMAT 9090-00473ITL Used Working
20793 AMAT ES30712310000 Internal Power Distribution Unit Main PDU SEMVision Used
20794 Arcom Control Systems PC-COM4 RS232 Communications PCB Card Used Working
20795 SST Woodhead 5136-DNP-PCI DeviceNet Pro PCI Adater PCB Card Used Working
20796 A-B Allen-Bradley 1404-DM Powerminitor 3000 Display Used Working
20797 Brooks 200mm Prealigner Chamber 10600-10 working
20798 Miki Pulley BXH-12-10-A-20 Spring Actuated Holding Brake Used Working
20799 Omron F35-A Safety Light CurtainSet of 2 F35-A482-D F35-A482-L Used Working
20800 Omron F35-A482-D Safety Light Curtain F35-A Used Working
20801 Nikon 45013-448 PCB Board Assembly IRC-A-PCB TEC VDJB0013203 Used Working
20802 Iwaki HPT-106-2 Photoresist Tubephragm Pump PHDC11H11B Filter TEL Lithius Used
20803 AMAT Applied Materials 0100-00925 I/O Processor Sensor PCB Card Used Working
20804 AMAT Applied Materials 0100-01487 PSD Board GRIPPER IN LL PCB Card Used Working
20805 AMAT Applied Materials 0120-01139-S1 PSD Board GRIPPER IN LL PCB Card Used
20806 AMAT Applied Materials 0120-98079-S1 I/O Processor Sensor PCB Card Used Working
20807 AMAT Applied Materials 0100-91032 PSU Micro Discharge Issue B PCB Board Used
20808 AMAT Applied Materials 0100-91032 PSU Micro Discharge Issue C PCB Board Used
20809 AMAT Applied Materials 0100-90875 Suppression Logic Issue D PCB Board Used
20810 TEL Tokyo Electron TPC-T0037A-11 CONN PRA THETA #01 PCB Board TEL Lithius Used
20811 TEL Tokyo Electron HTE-0V3-E-15 HP #01 HTE-0C3-C-13 PCB Board Lithius Used
20812 KLA-Tencor Quantox GSI Scanner PCB 3002004 working
20813 AMAT Applied Materials 0110-01139 PSD Board E-CHUCK PCB Card Used Working
20814 AMAT Applied Materials 0110-01139 PSD Board BEAM ALIGN PCB Card Used Working
20815 AMAT Applied Materials 0100-01697 DECEL/FOCUS PSU Interface ISS. A PCB Card Used
20816 AMAT Applied Materials 0100-01697 DECEL/FOCUS PSU Interface ISS. B PCB Card Used
20817 AMAT Applied Materials 0100-01735 EVR Gap Servo PCB Card Used Working
20818 AMAT Applied Materials 0100-01734 EVR Lateral Servo PCB Card Used Working
20819 AMAT Applied Materials 0100-01950 ARC Interrupt A PCB Board 0020-53074 Used
20820 AMAT Applied Materials 0100-01691 Gas Interlock 10 Torr SDS PCB Card Used
20821 AMAT Applied Materials 0100-02195 Gas Interlock 10 Torr SDS PCB Card Used
20822 GSI General Scanning Inc. Lumonics 311-15593-1 Control PCB KLA-Tencor Used
20823 AMAT Applied Materials 0100-00985 HP Gas Interlock 10 Torr SDS PCB Card Used
20824 Oriental Motor CSD5807N-P-A37 Servo Driver Vexta Lot of 4 TEL Lithius Used
20825 Oriental Motor CSD5807N-P-A37 Servo Driver Vexta Lot of 4 TEL Lithius Used
20826 TEL Tokyo Electron XPC-T0045A-11 CONN PRA X #02 PCB Board TOB1045 Lithius Used
20827 TEL Tokyo Electron OYDK-055 ANALOG PT #02 PCB Board Lithius Used Working
20828 TEL Tokyo Electron OYDK-053 ANALOG 1-5 #02 PCB Board Lithius Used Working
20829 TEL Tokyo Electron HTE-MC1-B-12 CONN HPI #01 Board TMB2001 PCB Lithius Used
20830 TEL Tokyo Electron HTE-MC2-B-12 CONN HPB #01 TMB2101 PCB Board Lithius Used
20831 TEL Tokyo Electron Top IC Plate Cup Washer Holding Stage Lithius Used Working
20832 TEL Tokyo Electron Top IC Plate Cup Washer Holding Stage Lithius Used Working
20833 Cosel LEA100F-24-Y Switching Power Supply XP Power CPA100PS24-C Lot of 3 Used
20834 Komatsu 20001900 Circulating Pump MCE-83WS TEL Lithius No Connector Used Working
20835 TEL Tokyo Electron 5010-406593-11 TRS Plate CWH Lithius Used Working
20836 AMAT Applied Materials 1080-90120 Exchange Arm Motor Assembly 0021-06737 Used
20837 Asyst 9700-6584-01 Advan Tag RFID Render ATR-9000 with 9700-6224-01 Sensor Used
20838 Carl Zeiss 45 74 92 Microscope System AF Controller 457492 Used Working
20839 Asyst 9700-6209-01 Power Distribution Center ASM Epsilon 3000 Used Working
20840 EDCO Technologies 1SU41006 Phantom REMOTE-PCI-V.6 5BO20106 PCB Card Used Working
20841 EDCO Technologies 1SU41008 Phantom REMOTE-PCI-V.6 5BO20106 PCB Card Used Working
20842 AMAT Applied Materials EA 30816830 SBC_RST Board PCB Opal Used Working
20843 Yaskawa JAMMC-SRC03I NOP OM-2 PCB Card DF9200878-B-0 Used Working
20844 Yaskawa JAMMC-SRC01I Automation Control PCB Card JAMMC-SRC02 Used Working
20845 Yaskawa JAMMC-SRC04I DT-DV Circuit Protector PCB Board DF9300878-A0 Used Working
20846 L&H Power 849081.004 Power Supply IM804-1335/115A1 Used Working
20847 RKC Instruments D100F-8N*DN-NN-NN Tempurature Controller REX-D100 Used Working
20848 MDC High Vacuum Adapter Tube Flange Tee ISO160 ISO-F NW16 Used Working
20849 VAT 12044-PA24-1002 Vacuum Gate Valve TEL Tokyo Electron Unity II Used Working
20850 VAT 12044-PA24-1002 Vacuum Gate Valve TEL Tokyo Electron Unity II Used Working
20851 Nikon 4S018-866 PPD3X4 PCB Card NSR-S204B Step-and-Repeat System Used Working
20852 Nikon 4S018-866 PPD3X4 PCB Card NSR-S204B Step-and-Repeat System Used Working
20853 Yasunaga YP-40VC Linear Air Pump TEL Tokyo Electron Lithius Used Tested Working
20854 Yasunaga YP-40VC Linear Air Pump TEL Tokyo Electron Lithius Used Tested Working
20855 TEL Tokyo Electron 5085-405392-12 IRAS Fork Assembly End Effector Lithius Used
20856 Baldor VM3545 3 Phase Industrial Motor Chicago 38-5-1-10 Used Working
20857 TEL Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Used Working
20858 TEL Tokyo Electron TKB7001 IO Spin #02 AP9Z-1521C PCB Board Lithius Used Working
20859 CKD AMC-D2-X1 Valve Control PCB AMC-D2 TEl Tokyo Electron Lithius Used Working
20860 MKS Instruments 622A01TBE Baratron Transducer 1 Torr Used Tested Working
20861 Horiba STEC SEC-4600R Mass Flow Controller 100 SLM N2 Used Working
20862 SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working
20863 SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working
20864 Ultratech Stepper 01-15-08204 Utility Panel 2244i Photolithography Used Working
20865 Yaskawa DF9202111-C0 Servo Control PCB Board CLSR-CA-64N2A Used Working
20866 HVA Pneumatic Amray Slit Valve 22211-0210 used working
20867 Yaskawa DF9202827-B0 Power Supply PCB Board CLSR-CD-33N2A Used Working
20868 Yamatake CME8153 Pneumatic Valve N2 Air 10L/min Lot of 13 TEL Lithius Used
20869 Yamatake CME8151 Pneumatic Valve N2 Air 10L/min Lot of 10 TEL Lithius Used
20870 3M Fluorinert FC-3283 Fluorinated Electronic Liquid 0.75 Gallon New Surplus
20871 CAE Ultrsonics MSX-24T-208-CLMT Match Unit Used Working
20872 A-B Allen-Bradley 1769-IF4 Compact I/O PLC 2 Channel Analog Input Module Used
20873 Brooks 200mm Wafer Indexer 8600-65BAJ working
20874 Tazmo E0R05-8012A Dual Digit Display PCB Board Used Working
20875 Tazmo E0R05-9538 Driver Process PCB Board 810286311 ASM 510020201 Used Working
20876 PPS Corporation 2D88M Microstep Servo Driver Used Working
20877 PPS Corporation 5D13M Microstep Servo Driver Used Working
20878 AMAT Applied Materials 0100-90077 Thermistor Gauge Controller PCB Card Used
20879 AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card Used Working
20880 Edwards NRA226000 IGC Auto Restrike Box Used Working
20881 Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working
20882 Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working
20883 Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working
20884 ET300W Ebara Turbo-Molecular Vacuum Pump Type 1 Used Working
20885 SMC NCDRA1BS100-90 Pneumatic Rotary Actuator Used Working
20886 SMC NCDRA1BS100-90 Pneumatic Rotary Actuator Used Working
20887 TEL Tokyo Electron 3D81-000010-V2 Connector Board PCB TYB614-1/RFPD Used
20888 Robitech 980-4825 Pneumatic Control Valve PCB Card 980-4800 Lot of 10 Used
20889 Robitech 980-4825 Pneumatic Control Valve PCB Card 980-4800 Lot of 10 Used
20890 NTRON C7-01-5124-00-0 Model 5100 O2 Analyzer 5124B-N1 Used Working
20891 AMAT Applied Materials 9090-00979 Electrode Assembly 3X2H Used Working
20892 SMC LX-525A-5BD-30S-A Electric Cylinder Sanyo 103F5508-70XE42 TEL Lithius Used
20893 KLA-Tencor Quantox 64000 #088/KE10600-M Cooling Module used working
20894 Sanyo Denki P20B13100FXSA2 Servo Motor TEL 5087-400478-15 Lithius DEV ASSY Used
20895 Panasonic MFA090HA5NSC AC Servo Motor Assembly TEL Lithius DEV COAT Used Working
20896 Panasonic MFA090HA5NSC AC Servo Motor Assembly TEL Lithius DEV COAT Used Working
20897 CKD AMF-V-X1 Solution Valve TEL Tokyo Electron 5087-400486-15 Lithius DEV Used
20898 CKD AMF-V-X1 Solution Valve TEL Tokyo Electron 5087-400486-15 Lithius DEV Used
20899 CKD AMF-V-X1 Solution Valve TEL Tokyo Electron 5087-400486-15 Lithius DEV Used
20900 Koganei F-AVP125-19W Pneumatic Valve Reseller Lot of 34 TEL Lithius Used
20901 Koganei F-AVP070-6W Pneumatic Valve Reseller Lot of 27 TEL Lithius Used
20902 Koganei F-AVP125-19W Pneumatic Valve Dual Block Lot of 85 TEL Lithius Used
20903 Sanyo Denki 103F5508-70XE42 Stepping Motor StepSyn Lot of 14 TEL Lithius Used
20904 TEL Tokyo Electron 5087-400475-16 Left Arm DEV ASSY Lithius Used Working
20905 TEL Tokyo Electron 5087-400476-16 Right Arm DEV ASSY Lithius Used Working
20906 AMAT Applied Materials 0100-91087 Sol/Fil EXT Interface PCB Card Issue F Used
20907 SMC LG-96A-A1 Linear Actuator 103H7851-70E1 TEL Tokyo Electron Lithius Used
20908 Omron EE-SX770A Photoelectric Switch Sensor Reseller Lot of 22 TEL Lithius Used
20909 AMAT Applied Materials 0100-90650 Wheel Current Board Issue B Used Working
20910 SMC CQSB25-DCG7964G-15 Compact Cylinder Reseller Lot of 8 TEL Lithius Used
20911 AMAT Applied Materials 0100-94078 Argon/Oxygen Bleed and Charge Monitor PCB Used
20912 Koganei F-SAVP070-8W Pneumatic Valve Reseller Lot of 6 TEL Lithius Used Working
20913 CKD N4E0 Series 8-Port Pneumatic Manifold N4E010-MU Lot of 2 TEL Lithius Used
20914 CKD N4E0 Series 13-Port Manifold TEL 5012-000086-11 Lithius Used Working
20915 CKD N4E0 Series 13-Port Manifold TEL 5012-000086-11 Lithius Used Working
20916 Keyence PZ2-41 Photoelectric Sensor PZ2-41 Reseller Lot of 12 TEL Lithius Used
20917 CKD AMC-V-X51-DB AMC Assembly TEL 5012-000067-11 Reseller Lot of 4 Lithius Used
20918 AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Used Working
20919 AMAT Applied Materials 0100-00970 Spin Window PCB Card Used Working
20920 Hitachi DIO-02N Digital I/O Interface PCB Card Used Working
20921 Hitachi AIO-05N Analog I/O Interface PCB Card Used Working
20922 Hitachi EPD-05N Digital to Analog Converter PCB Card Used Working
20923 TMP Shimadzu TMP-203M Turbomolecular Vacuum Pump Used Working
20924 Ion Systems 5024(e)-CE Emitter Controller 5024 MKS Instruments Used Working
20925 ZTE XMBW R9100 WiMAX Remote Radio Unit RRU 2610~2700Mhz Used Working
20926 Axcelis Microwave Waveguide Assembly GAE GA3107 H3862 Fusion E53 Used Working
20927 Edwards D37280700 Pump Display Terminal Module Controller Used Working
20928 Opal 50312640100 ASA Assembly AMAT Applied Materials Used Working
20929 A to Z Electronics 50312640000 ASA Assembly AMAT Applied Materials Used Working
20930 A to Z Electronics 50312640000 ASA Assembly AMAT Applied Materials Used Working
20931 Hitachi HT94219A Processor PCB Card PI01 Ver. A Used Working
20932 Blue M OV-560A-2 Stabil-Therm Constant Temperature Cabinet Used Tested Working
20933 Tokyo Keiso SFC-M 6-Channel Flowmeter Signal Converter Controller TEL Lithius Used
20934 Tokyo Keiso SFC-M 6-Channel Flowmeter Signal Converter Controller TEL Lithius Used
20935 Tokyo Keiso SFC-M 6-Channel Flowmeter Signal Converter Controller TEL Lithius Used
20936 Tokyo Keiso SFC-M 6-Channel Flowmeter Signal Converter Controller TEL Lithius Used
20937 Hitachi Wafer Alignment Unit Vacuum Chuck M-712E Shallow Trench Etcher Used
20938 Panasonic LD-601 Laser Line Sensor Sunx Hitachi M-712E Used Working
20939 Panasonic LD-601 Laser Line Sensor Sunx Hitachi M-712E Used Working
20940 KLA-Tencor 760-063145-00 Noncontact Measuring System Kaman SMU 9000-15N Used
20941 TEL Tokyo Electron MA15605B SW-PANEL LED PCB FAP-1601-1202-0BF Lot of 5 Used
20942 TEL Tokyo Electron HA-009 EXT DIO #02 PCB PHA-009-0 Lithius Used Working
20943 TEL Tokyo Electron HA-009 EXT DIO #02 PCB PHA-009-0 Lithius Used Working
20944 TEL Tokyo Electron OYDK-063 CONN ADH CHEM #02 PCB Board Lithius Used
20945 TEL Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Used Working
20946 TEL Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Used Working
20947 Fujikin UPCM-4WS3-C13LF20-B1-HE Pressure Controller UPC 13.33kPa Used Working
20948 MKS Instruments 123714-G1 GBROR InSitu Flow Verifier GBR1B24CR1 Used Working
20949 MKS Instruments 41A12DGA2BA010 Baratron Pressure Switch Used Working
20950 Daihen AMN-30F-V RF Auto Matcher TEL Tokyo Electron 3D80-000142-V8 Used Working
20951 Daihen AMN-30F-V RF Auto Matcher TEL Tokyo Electron 3D80-000142-V8 Used Working
20952 Koganei F-AVP070-4W Pneumatic Valve Reseller Lot of 67 TEL Lithius Used
20953 Koganei F-AVP070-3W Pneumatic Valve Reseller Lot of 72 TEL Lithius Used
20954 OnTrak Systems 12-1000-002 Dual DC Motor Controller PCB Card DSS-200 Used
20955 Lam Research 12-1000-002 Dual DC Motor Controller Rev. E PCB Card DSS-200 Used
20956 Gespac MEM-12D PCB Card GESMEM-12D 9602 OnTrak DSS-200 Used Working
20957 OnTrak 22-1000-006 DSS Interface 22-5100-004 SMIF-ARM PCB Board DSS-200 Used
20958 Reliance Electric 0042-6637 PWM Servo Drive 0016-6458 OnTrak DSS-200 Used
20959 Sola 86-24-310 Component Type Custom Rectifier 264-37254-0200 OnTrak DSS-200 Used
20960 Todd Products SC48-8F Power Supply 69302230 OnTrak DSS-200 Used Working
20961 Digital Power USC150-401 Power Supply OnTrak DSS-200 Used Working
20962 SMC PF2W504-03-1 Water Flow Switch Assembly Reseller Lot of 15 Used Working
20963 SMC PF2W200-M Flow Switch 4-Channel Reseller Lot of 23 Used Working
20964 CKD N3E0660-M Solenoid 2-Valve Manifold Reseller Lot of 9 N4EO Used Working
20965 SMC VXA2 131 Air Operated Valve Assembly Reseller Lot of 2 Used Working
20966 SMC CDQSB16-75DC Pneumatic Air Cylinder JB16-4-070 Lot of 8 Used Working
20967 Savillex 1000 ML Chemical Vessel TEL Tokyo Electron Lithius Used Working
20968 Oriental Motor UDX5114N-E 5-Phase Servo Driver Super Vexta Used Working
20969 Panasonic ADKA100BPTADA AC Servo Drive Unit Used Working
20970 AMAT Applied Materials 0040-55456 300mm Quartz Bell Jar 633007491-F Copper Used
20971 Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working
20972 Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working
20973 Nikon 4S018-776 Y Axis Interface Board Y_I/F PCB Used Working
20974 Nikon 4S018-775-1 X Axis Interface Board X_I/F PCB Used Working
20975 Nikon 4S019-029-1 Prealigner 1 Interface Board PRE1_I/F PCB Used Working
20976 Novellus 26-167697-00 I/O Interface Gamma 2130 PCB 03-167697-00 Used Working
20977 TEL Tokyo Electron 3D05-450040-V1 Glass Base WINDOW-PF 3D05450040V1 New
20978 Koganei F-AVP070-4W Pneumatic Valve Reseller Lot of 8 TEL Lithius Used
20979 Savillex 1000 ML Chemical Vessel No Mounting Bracket TEL Lithius As-Is
20980 TEL Tokyo Electron 504W Reservoir Tank Flow Control Assembly Lithius Used
20981 TEL Tokyo Electron 509D Reservoir Tank Flow Control Assembly Lithius Used
20982 CKD SCPD2-L1655 Pneumatic Cylinder Reseller Lot of 8 TEL Lithius Used Working
20983 Nagano Keiki GC30-111 Pressure Sensor Reseller Lot of 3 Used Working
20984 Tokyo Keiso UCUF-04B/ZT Pump Assembly Reseller Lot of 30 TEL Lithius Used
20985 Tokyo Keiso UCUF-06B/ZT Pump Assembly Reseller Lot of 30 TEL Lithius Used
20986 TEL Tokyo Electron 3D05-450039-V1 Glass Base WINDOW-P- 3D05450039V1 New
20987 Matsushita Electric AIGT3100B 5.7″ NAiS Programmable Display GT30 Used Working
20988 Nikon 4S008-152 Isolated DC/DC Converter Board PCB PRE2 PWSEP Used Working
20989 Philips 4022-192-70585 DCN/SD PCB Card FEI Micrion Used Working
20990 Nikon 4S018-792- Processor Board PRE2 I/O-2 PCB 4S018-792-D Used Working
20991 Nikon 4S018-869 Processor Board PRE2 I/0-1A PCB Used Working
20992 Nikon 4S018-766 Driver Interface Board PCB IU-DRV5 H=10.0mm Used Working
20993 Nikon 4S018-766 Driver Interface Board PCB IU-DRV5 H=10.0mm Used Working
20994 Nikon 4S013-310 Interconnect Fuse Board PCB BLX3 Used Working
20995 KLA-Tencor 0041186-003 AIT UV 2D PSF DRVR Board PCB Rev. AA Used Working
20996 Agilent 10890-68002-01-0305-00609 Dual Laser Axis VME PCB Card 10898A Used
20997 Tokyo Keiso UCUF-06B/ZT Pump Assembly UCUF-04B/ZT Lot of 13 TEL Lithius Used
20998 Pall PHDC1H23 Photoresist Filter PhotoKleen Lot of 3 New
20999 Pall PHDC1H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working
21000 Pall PHDC1H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working
21001 Pall PHDC1H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working
21002 Pall PHDC2H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working
21003 Pall PHDC2H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working
21004 CKD PMM20-8BUR-HG-TC Pneumatic Valve Photoresist Lot of 11 TEL Lithius Used
21005 CKD PMM20-15BUR-HG-TC Pneumatic Valve Photoresist Lot of 7 TEL Lithius Used
21006 CKD PMM20-15BUR-HG-TC Pneumatic Valve Photoresist Lot of 7 TEL Lithius Used
21007 CKD TMD002-8BUR-TC Pneumatic Stop Valve Reseller Lot of 8 TEL Lithius Used
21008 CKD TMD002-8BUR-TC Pneumatic Stop Valve Reseller Lot of 8 TEL Lithius Used
21009 Surpass MV-1/4F60Y Pneumatic Stop Valve Reseller Lot of 8 TEL Lithius Used
21010 SIIG JJ-P04621-S7 4-port Multiport Serial Adapter P030-62 Used Working
21011 Chamber Performance Services 633005408-F Aluminium Shield Copper Exposed Used
21012 Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Y-LB Used Working
21013 Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Z-LF Used Working
21014 Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Z-RF Used Working
21015 Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Z-RB Used Working
21016 Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Y-RB Used Working
21017 Mitsubishi MR-J2S-10B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used
21018 Mitsubishi MR-J2S-20B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used
21019 Digital UF-EXX01-TEL1 Flat Panel Extender Unit TEL PR300Z Used Working
21020 Mitsubishi MELSEC-Q PLC Control Assembly TEL Tokyo Electron PR300Z Used Working
21021 Medien UA026/814Z System Control Computer TEL Tokyo Electron PR300Z Used Working
21022 TEL Tokyo Electron 1B80-002389-11 DN Board Assembly DI80D080 PR300Z Used Working
21023 Mitsubishi Q173DV PLC SSCNET Channel Distribution PCB TEL PR300Z Used Working
21024 Komatsu Electronics 20000310 Temperature Controller AIC-7-6-T3 Used Working
21025 Komatsu Electronics 20000310 Temperature Controller AIC-7-6-T3 Used Working
21026 Komatsu Electronics 20000310 Temperature Controller AIC-7-6-T3 Used Working
21027 TEL Tokyo Electron Cassette Loader Assembly PR300Z Carrierless BEOL Used Working
21028 Mitsubishi MR-J2S-60B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used
21029 Mitsubishi MR-J2S-350B-ED087 AC Servo MELSERVO TEL PR300Z Copper Exposed Used
21030 Fuji Electric FRN2.2E1S-2J Inverter FVR-E11 TEL Tokyo Electron PR300Z Used
21031 Omron S8T-DCBU-01 DC Backup Block Power Supply Set S8TS-06024 TEL PR300Z Used
21032 SUNX Sensors FX-301-F7 Photoelectric Sensor FX-300 Reseller Lot of 10 Used
21033 SUNX Sensors FX-301-F7 Photoelectric Sensor FX-300 Reseller Lot of 10 Used
21034 Keyence FS-V21R Digital Amplifier Fiberoptic Sensor Reseller Lot of 5 Used
21035 Keyence FS-V21R Digital Amplifier Fiberoptic Sensor Reseller Lot of 5 Used
21036 Fujikura RP-TKER-4-2(B) Manual Pressure Regulator Lot of 4 TEL Lithius Used
21037 Fujikura RP-TKER-4-2(B) Manual Pressure Regulator Lot of 4 TEL Lithius Used
21038 Fujikura RP-TKER-4-2(B) Manual Pressure Regulator Lot of 3 TEL Lithius Used
21039 Pall PHDC11H11B PhotoKleen Photoresist Filter Assembly Lot of 3 TEL Lithius Used
21040 Granville-Phillips Convectron Gauge Cables 113084001
21041 Yamatake CME0451 Pneumatic Valve N2 Air 20L/min Lot of 11 TEL Lithius Used
21042 TEL Tokyo Electron Chemical Vessel Assembly Clean Track Lithius Used Working
21043 CKD N4E0 Series 14-Port Pneumatic Manifold N3E0660-M TEL Lithius Used Working
21044 CKD N4E0 Series 14-Port Pneumatic Manifold N3E0660-M TEL Lithius Used Working
21045 CKD N4E0 Series 9-Port Pneumatic Manifold N3E0660-M TEL Lithius Used Working
21046 CKD N4E0 Series 9-Port Pneumatic Manifold N3E0660-M TEL Lithius Used Working
21047 CKD N4E0 Series 6-Port Pneumatic Manifold N3E0660-M Lot of 2 TEL Lithius Used
21048 CKD N4E0 Series 10-Port Pneumatic Manifold N4E010-M TEL Lithius Used Working
21049 CKD N4E0 Series 10-Port Pneumatic Manifold N4E010-M TEL Lithius Used Working
21050 TEL Tokyo Electron HDMS Proccess Reservoir Tank Lithius Used Working
21051 TEL Tokyo Electron Time Delay Relay Assembly Omron H3DE-M2 PR300Z Used Working
21052 Omron H3CR Analog Timer Lot of 4 H3CR-H8L H3CR-A8 TEL Tokyo Electron PR300Z Used
21053 TEL Tokyo Electron CSV2 6-Port Manifold SMC SQ1231DY-5-C4-Q PR300Z Used Working
21054 TEL Tokyo Electron CSV3 6-Port Manifold SMC SQ1231DY-5-C4-Q PR300Z Used Working
21055 TEL Tokyo Electron CSV6 10-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21056 TEL Tokyo Electron SVC2 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21057 TEL Tokyo Electron SVC2 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21058 TEL Tokyo Electron SVC1 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21059 TEL Tokyo Electron SVC1 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21060 TEL Tokyo Electron SVC6 10-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21061 TEL Tokyo Electron SVC6 10-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21062 TEL Tokyo Electron SVC3 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21063 TEL Tokyo Electron SVC3 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21064 TEL Tokyo Electron SVC4 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21065 TEL Tokyo Electron SVC4 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21066 TEL Tokyo Electron SVC5 17-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working
21067 TEL Tokyo Electron I/O Chamber 5-Port Manifold SMC SQ1331RY-5-C4-Q PR300Z Used
21068 TEL Tokyo Electron VP/VI 4-Port Manifold SMC SQ1131Y-5-C4-Q PR300Z Used
21069 TEL Tokyo Electron VP/VI 4-Port Manifold SMC SQ1131Y-5-C4-Q PR300Z Used
21070 Sanken Electric HWA300-24C Power Supply TEL Tokyo Electron PR300Z Used Working
21071 TEL Tokyo Electron PR300Z Wafer Spin Motor Assembly BEOL Cleaning System Used
21072 TEL Tokyo Electron PR300Z Right Wafer Mapping Assembly M-DW1 System Used Working
21073 TEL Tokyo Electron PR300Z Right Wafer Mapping Assembly M-DW1 System Used Working
21074 TEL Tokyo Electron PR300Z 300mm Wafer Spin Cassette BEOL Copper Used Working
21075 Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.31 OPTISTATION 3 Used
21076 Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.31 OPTISTATION 3 Used
21077 Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.31 OPTISTATION 3 Used
21078 TEL Tokyo Electron PR300Z 300mm Wafer Pincette End Effector Copper Used Working
21079 Asyst Technologies 9700-9961-01 RFID Reader Advantag ATR Gateway Used Working
21080 Yaskawa YR-CRJ3-A00 Robot MOTOMAN TEL Tokyo Electron PR300Z Used Working
21081 Mykrolis FC-2900V Mass Flow Controller 5 SCCM CO2 Used
21082 Yaskawa ERCR-NS00-A210-E Robot Controller NXC100 Used Working
21083 Yaskawa ERCR-NS00-A210-E Robot Controller NXC100 Used Working
21084 TEL Tokyo Electron PR300Z 300mm Wafer Rack Assembly BEOL Copper Exposed Used
21085 EBM Papst 505-3004 System 3000 24&48V Fan Monitor PCB Reseller Lot of 9 Used
21086 Setra 2241100PAAA33D9JAP Pressure Transducer 224 Lot of 7 Used Working
21087 Wasco P400V-25W3A-X/9671 Vacuum Pressure Switch Lot of 4 Used Working
21088 Wasco SP-129-81W3A-X/9698 Vacuum Pressure Switch Lot of 3 Used Working
21089 Nagano Keiki ZT17 Pressure Sensor Reseller Lot of 7 Used Working
21090 Omron H3DE-M2 Time Delay Relay Lot of 8 TEL Tokyo Electron PR300Z Used Working
21091 TDK RKW24-6R5C Power Supply Lot of 2 TEL Tokyo Electron PR300Z Used Working
21092 TDK RKW24-6R5C Power Supply Lot of 3 TEL Tokyo Electron PR300Z Used Working
21093 TDK-Lambda RTW12-4R3C Power Supply Lot of 2 TEL PR300Z Used Working
21094 TDK-Lambda RTW24-2R2C Power Supply Lot of 3 TEL PR300Z Used Working
21095 TDK-Lambda RTW24-4R2C Power Supply Lot of 3 TEL PR300Z Used Working
21096 Agilent 10898-68002-23-04 Dual Laser Axis VME PCB Card 10898A 10898-60002 As-Is
21097 AMAT Applied Materials 3700-01091 O-Ring Viton 2-389-S Reseller Lot of 4 New
21098 AMAT Applied Materials 3700-01170 O-Ring Viton 2-388-S Reseller Lot of 4 New
21099 Sumitomo Electric 52131001A D/A Board PCB Card DA-Z Nikon NSR Used Working
21100 Sumitomo Electric 52131001C Drive Board DRIVE-Z3 PCB Card Nikon NSR Used Working
21101 Sumitomo Electric 52131001C Drive Board DRIVE-Z3 PCB Card Nikon NSR Used Working
21102 Sumitomo Electric 52131001C Drive Board DRIVE-Z12 PCB Card Nikon NSR Used
21103 Sumitomo Electric 52131020C Backplane Board PCB Nikon NSR Used Working
21104 Power Source PFE24HSX-U Switching Power Supply 24VDC 240 Watts Used Working
21105 KLA-Tencor 0041186-003 AIT UV 2D PSF DRVR Board PCB Rev. AB Used Working
21106 KLA-Tencor 0040615-002 LCD ROW COL Driver Lens Assembly Rev. AC Used Working
21107 KLA-Tencor 0033116-002 Lens Alignment Assembly 0033233-001 AIT UV Used Working
21108 KLA-Tencor 0033116-002 Lens Alignment Assembly 0033233-001 AIT UV Used Working
21109 TEL Tokyo Electron 2-Port Pneumatic Manifold SQ1231DY-5-C4-Q PR300Z Used
21110 TEL 1B80-002389-11 DN Board Assembly DI80DO80 1B80-002394-11 PR300Z Used Working
21111 TEL 1B80-002390-11 DN Board Assembly DI48DO64 1B80-002395-11 PR300Z Used Working
21112 TEL 1B80-002390-11 DN Board Assembly DI48DO64 1B80-002396-11 PR300Z Used Working
21113 TEL 1B80-002389-11 DN Board Assembly DI80DO80 1B80-002393-12 PR300Z Used Working
21114 TEL Tokyo Electron 1B80-001527 PCB A/D CN BOARD PR300Z Lot of 3 Used Working
21115 Mitsubishi CP30-BA Circuit Protector 2-Pole 5A Reseller Lot of 9 Used Working
21116 Mitsubishi CP30-BA Circuit Protector 2-Pole 3A Reseller Lot of 6 Used Working
21117 Mitsubishi CP30-BA Circuit Protector 2-Pole 10A Reseller Lot of 6 Used Working
21118 Mitsubishi CP30-BA Circuit Protector 3-Pole 10A Reseller Lot of 6 Used Working
21119 Mitsubishi CP30-BA Circuit Protector 3-Pole 15A 30A Lot of 6 Used Working
21120 Fuji Electric FRN2.2E1S-2J FRENIC-Multi Inverter Used Working
21121 Fuji Electric EFL-4.0E11-2 3 Phase RFI Filter Reseller Lot of 2 Used Working
21122 Omron H3CR-H8L Timer Relay H3CR-A8 Reseller Lot of 4 Used Working
21123 Schumacher 1730-3013 Vacuum System Control Panel Assembly Used Working
21124 Muse Measurements M9600-100 Loop Powered Scale Base 100 Pounds Used Working
21125 Muse Measurements M9600-100 Loop Powered Scale Base 100 Pounds Used Working
21126 SMC XLAQ-40-X925 Vacuum Angle Isolation Valve TEL 3D80-002107-V1 Used Working
21127 IDEC PS5R-G24 24V DC Power Supply Reseller Lot of 4 Used Working
21128 Omron S8PS-05005C 5V DC Power Supply Used Working
21129 Cosel K150AU-24 24V Power Supply Reseller Lot of 3 Used Working
21130 Cosel R150U-24 24V Power Supply Reseller Lot of 4 Used Working
21131 Omron S8PS-10024C 24V DC Power Supply Used Working
21132 Mean Well DR-75-12 12V DC Power Supply Reseller Lot of 4 Used Working
21133 Hermos TLG-I1-1000-S0-00EB Transponder Reader TLG-RS232 Used Working
21134 Brooks TLG-I2-FL6M-01 Transponder Reader TLG-RS232 Used Working
21135 Sanyo VCC-5884 Color CCD Camera Set of 3 Used Working
21136 KLA-Tencor 0024480-000 Single Lens 0019774-000 Left Assembly AIT Fusion UV Used
21137 KLA-Tencor 0024480-000 Single Lens 0019774-000 Left Assembly AIT Fusion UV Used
21138 KLA-Tencor 0022418-000 Reflector Lens Rev. AB AIT Fusion UV Used Working
21139 KLA-Tencor 0022418-000 Reflector Lens Rev. AB AIT Fusion UV Used Working
21140 SMC 3D80-000055-V1 1-Port Pneumatic Manifold Lot of 4 SZ3A60K-5LOZ-C6-X31 Used
21141 SMC 3D80-000057-V1 2-Port Pneumatic Manifold Lot of 3 SZ3A60K-5NLOZ-M-X31 Used
21142 SMC 3D80-000054-V1 4-Port Pneumatic Manifold Lot of 3 SZ3A60K-5LOZ-C4-X31 Used
21143 SMC 3D80-000053-V1 5-Port Pneumatic Manifold Lot of 2 SZ3260K-5LOZ-C4-X31 Used
21144 SMC 3D80-000053-V1 5-Port Pneumatic Manifold Lot of 2 SZ3260K-5LOZ-C4-X31 Used
21145 SMC 5-Port Pneumatic Manifold Lot of 2 SZ3360-5NLOZ-C6 Used Working
21146 CKD N4S0-T56 16-Port Pnuematic Manifold OPP3-1H Lot of 2 Used Working
21147 CKD N4S0-T56 8-Port Pnuematic Manifold OPP3-1H Lot of 2 Used Working
21148 CKD N4S0-T56 15-Port Pnuematic Manifold OPP3-1H N4S010 Used Working
21149 Motoyama SUSF316L 3-Way Diaphragm Valve Normally Closed 10K – 1/4 Used Working
21150 Motoyama SUSF316L 3-Way Diaphragm Valve Normally Open 10K – 1/4 Used Working
21151 Motoyama SUSF316L Pneumatic Valve Normally Closed 10K – 1/4 Lot of 5 Used Working
21152 MDC 311074-1010 Pneumatic Angle Valve Used Working
21153 Nikon LS1 Optical Laser Sensor Head Set of 3 LS1-1 LS1-2 LS1-3 NSR Series Used
21154 Nikon LS2 Optical Laser Sensor Head Set of 3 LS2-1 LS2-2 LS2-3 NSR Series Used
21155 Nikon LS3 Optical Laser Sensor Head Set of 3 LS3-1 LS3-2 LS3-3 NSR Series Used
21156 Nikon LS4 Laser Sensor Set of 3 LS4-1 LS4-2 LS4-3 NSR Series Used Working
21157 Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working
21158 Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working
21159 Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working
21160 Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working
21161 Nikon LS5 Laser Sensor Set of 3 LS5-1 LS5-2 LS5-3 NSR Series Used Working
21162 Sony CMA-D2 Camera Adaptor Power Supply Reseller Lot of 2 Used Working
21163 Watec LCL-903K Color CCD Camera with Kowa 3.5-10.5mm Lens Used Working
21164 TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working
21165 TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working
21166 TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working
21167 TeleFrank TZP80-2405/S AC-DC Converter TZP 80 Used Working
21168 Fujikin 053801 Pneumatic Valve Normally Closed 316L-P Lot of 5 Used Working
21169 Fujikin 053804 Pneumatic Valve Normally Open 316L-P Lot of 3 Used Working
21170 Fujikin 059577 Pneumatic Valve Normally Closed 316L-P Lot of 4 Used Working
21171 Asyst 9700-6584-01 Advan Tag RFID Reader ATR-9000 Used Working
21172 SMC ZSE30-01-65-M Pressure Switch Reseller Lot of 14 Used Working
21173 AMAT Applied Materials 0190-24484 Transponder Reader TLG-I2-AMAT-R1 Used Working
21174 TDK S2091-86-001 Load Port Power Supply TAS300 Used Working
21175 TDK S2091-86-001 Load Port Power Supply TAS300 Used Working
21176 TDK S2091-86-001 Load Port Power Supply TAS300 Used Working
21177 TDK S2091-86-001 Load Port Power Supply TAS300 Used Working
21178 Densi-Lambda JWS50-24/A Power Supply Reseller Lot of 2 Used Working
21179 Nemic-Lambda NNS50-15 Power Supply Reseller Lot of 2 Used Working
21180 TDK-Lambda HWS150-5A 5V Power Supply Reseller Lot of 2 Used Working
21181 Cosel PBA50F-15 15V Power Supply Reseller Lot of 2 Used Working
21182 Dalsa TA-C1-04K30-50E Video Controller  Used Working
21183 Nemic-Lambda NNS15-5 Linear Power Supply Used Working
21184 Nikon 65259 Revo Controller Hitachi I900SRT Used Working
21185 Kokusai CX1103M Power Supply/Inverter D3E01317 Used Working
21186 Inficon 399-001 Vacuum Switch VSA100A TEL 3D86-000485-V4 Used Working
21187 Inficon 399-001 Vacuum Switch VSA100A TEL 3D86-000485-V4 Used Working
21188 Nikon SPA452A WYL Linear Motor Controller 4S587-469-3 Used Working
21189 Nikon SPA451B W X Linear Motor Controller 4S588-463 Used Working
21190 Hitachi ZVV020-2 Processor Board PCB Card I-900 CHPCMP ZVV020 I-900SRT Used
21191 Hitachi ZVV022 Processor PCB Card I-900 GRYCMP2 I-900SRT Used Working
21192 Hitachi ZVV016 Processor PCB Card I-900 EXBF2 I-900SRT Used Working
21193 Mitsubishi MR-J2S-40A-S12 AC Servo Amplifier MELSERVO Drive Used Working
21194 Axcelis Technologies 531061 E84 Interface Board Backplane PCB 531051 Used
21195 Horiba STEC SEC-Z512MGX Digital Mass Flow Device Z500 100 CCM CHF3 New Surplus
21196 Matsusada Precision HJPZ-1B-HS-V2 High Voltage Power Supply Piezo Driver Used
21197 MKS Instruments 99E1694 Pneumatic Angle Valve Used Working
21198 Hitachi ZVV023 Processor PCB Card I-900 CELCMP2 I-900SRT Used Working
21199 Hitachi ZVV032 Processor PCB Card I-900 VINP2 I-900SRT Used Working
21200 Hitachi ZVV034 Processor PCB Card I-900 VEDIT2 I-900SRT Used Working
21201 Hitachi ZVV031 Processor PCB Card I-900 EXBF3 I-900SRT Used Working
21202 Hitachi ZVV036 Processor PCB Card I-900 CLOCK1_2 I-900SRT Used Working
21203 Hitachi ZVV035 Processor PCB Card I-900 CLOCK3_2 I-900SRT Used Working
21204 Hitachi ZLJ070 Processor PCB Card I-900 CHPMEM2 I-900SRT Used Working
21205 Hitachi 571-7207 SRSEQ11 PCB Board I-900SRT Used Working
21206 Hitachi 571-7204 STSEQ11 Interface Board PCB I-900SRT Used Working
21207 Hitachi ZVV037 Control Board PCB Card I-900 HK3 MONIT2 I-900SRT Used Working
21208 Hitachi 571-7016 Processor PCB Card COUNTER0 I-900SRT Used Working
21209 Hitachi 571-7511(b) Processor PCB Card AFADC00 I-900SRT Used Working
21210 Hitachi ZVV021 Control Board PCB Card I-900 HK3 TRANDEF I-900SRT Used Working
21211 Hitachi ZVV029 VME Interface Board I-900 EXBF-VME2 PCB Card I-900SRT Used
21212 Hitachi 571-7025 Processor PCB Card AFCNT00 I-900SRT Used Working
21213 Densan DVEVA-SH7750 ES4 VME Interface PCB Card I-900SRT Used Working
21214 Hitachi 571-7028 Processor PCB Board AFBUS00 I-900SRT Used Working
21215 Hitachi 571-7201 Processor PCB Board OPSEQ11 I-900SRT Used Working
21216 Sumitomo Electric 52131020C Backplane PCB Board 52110507 Nikon NSR Used Working
21217 TEL Tokyo Electron CPC-T0001A-13 Chemical I/O PPC-T0006A-11 2981-600001-12 Used
21218 Tencor Instruments 294420 Motor Distribution S8000 PCB Board Rev. AC KLA Used
21219 Cosel LEA150F-24 Power Supply 24V Used Working
21220 Kokusai SPCONV10A Converter Interface Module Used Working
21221 DNS Electronics LPD Module FC-3000 Wet Station Used Working
21222 A to Z Electronics 70512360100 SDT Module Used Working
21223 Ion Systems NilStat 5024(e) Controller Used Working
21224 Panasonic MSD4ACA1XX AC Servo Driver Minas Used Working
21225 Nikon 4S001-087-DENGEN8200 Power Supply Board Used Working
21226 Festo CPV10-GE-MP-8 8-Port Pneumatic Manifold CPV-10-VI Used Working
21227 Nagano Keiki GC84-ID9 Digital Indicator Lot of 8 Used Working
21228 SMC 3D80-000057-V1 2-Port Pneumatic Manifold SZ3A60K-5NLOZ-M-X31 Used Working
21229 CKD N4S0-T50 5-Port Pneumatic Manifold Reseller Lot of 4 Used Working
21230 Festo CPV10-GE-MP-4 4-Port Pneumatic Manifold CPV-10-VI Used Working
21231 SMC 10-Port Pneumatic Manifold VQ1200Y-5 Used Working
21232 SMC 11-Port Pneumatic Manifold SY3140-5LZ Used Working
21233 Nemic-Lambda EWS100-24 Power Supply 24V Used Working
21234 Nemic-Lambda RWS30A-12/A Power Supply 12V Used Working
21235 Nemic-Lambda RWS30A-24/A Power Supply 24V Used Working
21236 Yamatake FRS100C100 Flame Detector Relay FRS100 Used Working
21237 Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-4 v2.23 OPTISTATION 3 Used
21238 Nissei Corp GF12N005 -UTML25NN GTR 3-Phase Induction Motor Used Working
21239 Nissei Corp UGFM-12-5-T25 GTR 3-Phase Induction Motor Used Working
21240 Nissei F2F18L160-UTBR90NNX GTR 3-Phase Induction Motor 40 Used Working
21241 Nissei F2F18L160-UTBR90NNX GTR 3-Phase Induction Motor 40T6 Used Working
21242 Nissei Corp F2S15N030-UTBR90NN 3-Phase Induction Motor Used Working
21243 Shimpo-Nidec VRSF-PB-5B-50 Servo Motor ABLE Reducer Used Working
21244 Omron R88M-W75030T-B AC Servo Motor NES100W-N15CXN16C Used Working
21245 Omron R88M-W20030T-S2 AC Servo Motor Used Working
21246 Yaskawa SGMAH-04A1A-AD21 AC Servo Motor Used Working
21247 Edwards NRD75100H Helios Head Assembly Combustion Chamber Used Working
21248 Nikon 2S700-386 Microscope Control Keypad 2S070-031-4 OPTISTATION 3 Used Working
21249 Nikon 2S700-552 Microscope Keypad PCB Board 2S070-043 OPTISTATION 3 Used Working
21250 Nikon 2S700-385 Stage Focus EMO Keypad 2S070-032-2 OPTISTATION 3 Used Working
21251 Grundfos CRN5-10 B-P-G-E-HQQE Centrifugal Pump A96581463P20539 90LA2-24FT115-C Used
21252 Grundfos CRN5-10 A-P-G-E-HUUE Centrifugal Pump A96511917P10410 90CB-2-FT115-C Used
21253 Grundfos CRN5-10 A-P-G-E-HUUE Centrifugal Pump A96511917P10430 90CB-2-FT115-C Used
21254 SVG Silicon Valley Group 99-80333-01 End Station CPU 03-80333-01 PCB 90S Used
21255 Sanyo Denki PMM-BD-57025-1 Servo Driver Assembly TEL Tokyo Electron P-8 Used
21256 SVG Silicon Valley Group 99-80270-01 Sensor Multiplexor PCB Board 90S Used
21257 SVG Silicon Valley Group 99-80270-01 SYS90 System I/O Board PCB Rev. G 90S Used
21258 SVG Silicon Valley Group 99-80271-01 Sensor Multiplexor PCB Board 90S DUV Used
21259 Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 OPTISTATION 3A Used Working
21260 Nikon 2S700-582-1 TCCNT Control PCB Card 2S014-035-5 OPTISTATION 3A Used Working
21261 Nikon 2S700-582-1 TCCNT Control PCB Card 2S014-035-5 OPTISTATION 3A Used Working
21262 Nikon Power Unit Roll Around Power Supply OPTISTATION 3 Used Working
21263 Nikon OPTISTATION 3 Microscope Turret with Light Source Assembly Used Working
21264 ABB Control EH160C-N 3-Phase Contactor 24V Coil Used Working
21265 TEL P-8 Wafer Prober 200mm Wafer Indexer Assembly working
21266 SVG Silicon Valley Group 99-80308-01 DC Distribution MCE Mount PCB 90S Used
21267 SVG Silicon Valley Group 99-80304-01 Handler Interface Board PCB 90S DUV Used
21268 SVG Silicon Valley Group 99-80396-01 Nikon Interface PCB Assembly 90S DUV Used
21269 Electroglas 243015 Analog to Digital Selector Board PCB 243014A Used Working
21270 Medtronic Micro-Rel 3312784 VTI Hamlin Accelerometer PCB Card 100897-1 Used
21271 Electroglas 100020 Interface Control Board PCB Card 10019A Used Working
21272 Electroglas 100016 Prober Cycle Control Board PCB Card 100015C Used Working
21273 Electroglas 100012 Setup & Auto SEQ Control PCB Card 100011C Used Working
21274 Electroglas 100936 Adaptive “Z” Controls PCB Card 100935 Used Working
21275 Electroglas 102676 Ramp Length & Velocity Control PCB Card 102675 Used Working
21276 Electroglas 100040-3 Ramp Slope and Align Load Control PCB Card 1000395 Used
21277 Electroglas 100004 Single Axis Pulse Control PCB Card 100003 Used Working
21278 Electroglas 242840 Multifunction Joystick Controller Used Working
21279 Olympus SZ Stereoscopic Zoom Microscope Head 0.7-4X G20X Support Block Used
21280 Oriental Motor PH266L-31 2-Phase Stepping Motor Vexta Used Working
21281 Brooks Automation 015-0804-01 Wafer Aligner Gimbal Assembly Used Working
21282 Irvine Optical UG LDF ELV Connection Board PCB Alphanetics Lot of 4 Used
21283 Irvine Optical 601999 XYZT UNIV Sensor Connector Board PCB 601995 Used Working
21284 Irvine Optical Company BLDST1 RVC Connection Board PCB Used Working
21285 Irvine Optical Company BLCTL1 RVC Time Delay Board PCB CNS-35-92 Used Working
21286 Integrated Power Designs SRW-100-1008 Power Supply Reseller Lot of 2 Used
21287 Oriental Motor PH265L-04 2-Phase Stepping Motor Vexta Used Working
21288 AMAT Applied Materials 0010-22567 CPI-VCM Chamber Source 3 Missing Parts As-Is
21289 Deublin 957-600 Pneumatic Motor AMAT Applied Materials 0190-01272 Used Working
21290 AMAT Applied Materials 0090-01312 300mm Source Rotation Motor Assembly Used
21291 AMAT Applied Materials 0021-11382 CPI-VCM Chamber Source 3 Magnet Assembly Used
21292 Hitachi BBS208-4 System Interface LED Display Connection Board PCB Used Working
21293 AMAT Applied Materials 0100-09126 Remote Wiring Distribution Board Panel Used
21294 AMAT Applied Materials 0226-30332 Modified N2-DRYVAC Distribution Board PCB Used
21295 Matsushita AIGT3100B NAiS Programmable PLC System FP0-C14 FP0-E16 FP0-A21 Used
21296 AMAT Applied Materials 0100-09040 7 Relay SSR AC Control Board PCB Used Working
21297 Red Lion Controls GL300T002400 Operator Control Panel GL300T Used Working
21298 SVG Silicon Valley Group 85-48094-01 Operator Control Panel 90S ASML Working
21299 SVG Silicon Valley Group SV99-43636-04 Keyboard Panel 90S DUV System ASML Used
21300 IBM 57F2705 SBC Single Board Computer 57F2434ESD PCB Card SVG 90s DUV Spare
21301 IBM 57F2705 SBC Single Board Computer 57F2434ESD PCB Card SVG 90s DUV Spare
21302 Texas Microsystems 10813 PCA Backplane BM7-AT 7AT Slots SVG 90S DUV Used Working
21303 Texas Microsystems 10813 PCA Backplane BM7-AT 7AT Slots SVG 90S DUV Used Working
21304 Nikon BD PlanApo 40/0.80 Microscope Objective 210/0 OPTISTATION 3 Used Working
21305 Nikon M Plan 2.5 0.075 Microscope Objective 210/0 OPTISTATION 3 Used Working
21306 TDK MSE280D Power Supply PCB Card 2EA00E280 Nikon 4S001-106-1 Used Working
21307 Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.23 OPTISTATION 3A Used
21308 Nikon 2S701-009 NTP-NMC-T PCB Card 2S020-061-1 V1.08 OPTISTATION 3 Used Working
21309 Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 V1.21 OPTISTATION 3 Used
21310 Nikon KAB11040/3201-2 2S017-394-2 CCD-I/F PCB 4S018-546 OPTISTATION 3 Used
21311 TEL Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working
21312 Nikon 2S003-041 MIC-I/F PCB Board 4S003-058 OPTISTATION 3 Used Working
21313 Nikon KAB11110/3301-0 AF-CCD Auto Focus Microscope Module OPTISTATION 7 Used
21314 Nikon VS-MOT Auto Focus Microscope Motor LA16G324B50MC OPTISTATION 7 Used
21315 Nikon Shutter Assembly AS-MOT AS-POT Auto Focus Microscope OPTISTATION 7 Used
21316 Nikon BMU-3 Optical Lens Assembly OPTISTATION 7 Reseller Lot of 2 Untested As-Is
21317 Nikon BMU-2 BMU-EXH3 Optical Mirror and Lens Assembly OPTISTATION 7 Used Working
21318 AVED AV545-ISA-ADTDK0189 Video Processor Board AV54 PCB SVG 90S DUV Used Working
21319 Nikon 2S700-581 System Control PCB SCNT Optistation 3 Used Working
21320 Nikon 2S700-581 System Control PCB SCNT Optistation 3 Used Working
21321 Nikon 2S700-581 System Control PCB SCNT Optistation 3 Used Working
21322 Oriental Motor PK564AW-A44 5-Phase Stepping Motor Vexta Used Working
21323 Hitachi SEQ Box Power Module I-900SRT Used Working
21324 Yaskawa XU-DL1110 Robot Power Supply Module Hitachi I-900SRT Used Working
21325 Mitsubishi HC-MF23G1-UE Servo Motor Takamura K9005 B Gearhead Used
21326 ABB CP-24/1.0 Switching Power Supply 1SVR423418R0000 Reseller Lot of 3 Used
21327 Transmation 2800-NI Universal Temperature Transmitter Reseller Lot of 3 Used
21328 TEL Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working
21329 TEL Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working
21330 Matsushita NAiS Programmable PLC System FP0-C14 FP0-E16 FP0-A21 Used Working
21331 Teknic M-3450-111 Servo Motor SST 8000 Quad Delta 2100867 Summit ATC Used
21332 Teknic M-3450-111 Servo Motor SST 8000 Quad Delta 2100867 Summit ATC Used
21333 Mitsubishi Electric HC-MF2G1-UE AC Servo Motor Gear Head K9020 B Used Working
21334 Oriental Motor 5RK60GU-CWT Reversible Motor Gear Head 5GU18KA Used Working
21335 Oriental Motor SGMAH-01A1A-AD11 AC Servo Motor Used Working
21336 VEM Motors Thurm KU1R 132 SX 2T DSD NSD TPM145 AC Drive Motor Used Working
21337 Nemic-Lambda HR-12F-36V DC Power Supply Used Working Surplus
21338 Nemic-Lambda HR-12F-36V DC Power Supply Used Working Surplus
21339 SVG Silicon Valley Group 99-38154-01 Z Motion Transfer Robot 9002 90S DUV Used
21340 SVG Silicon Valley Group 40615-01-0 Chill Plate End Station 150mm 90S DUV Used
21341 SVG Silicon Valley Group 99-43012-02 Wafer Shuttle Arm Robot 9003S 90S DUV Used
21342 TEL Tokyo Electron P-8 Wafer Prober Loader Rack 3281-000086-13 working
21343 SVG Silicon Valley Group 99-43012-01 Wafer Shuttle Arm Robot 9003S 90S DUV Used
21344 Maxon Motor 44.060.000-00.09-071 Shuttle Motor SVG 90S DUV 112-087 Used Working
21345 SVG Silicon Valley Group Wafer Gripper Station 150mm 90S DUV Used Working
21346 Millipore ENC0MPH01 Encompass HV Fluid Dispense Pump Photoresist SVG 90S Used
21347 Millipore ENC0MPH01 Encompass HV Fluid Dispense Pump Photoresist SVG 90S Used
21348 Yaskawa XU-DL2100 Servo Drive Nikon 4S064-521-2 Used Working
21349 Nikon 4S008-180 Audio Video Processor Board PCB AV-I/FX4B Used Working
21350 Nikon 4S005-387 Optical Sensor Board AF-SENSORX4-SUB-A 4S083-858 Used Working
21351 Nikon PCB Assembly 4S008-110 ALGAF-T/A-X4+ 4S008-113 4S008-112 Used Working
21352 Omron 3G8F7-DRM21-1Ro PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working
21353 Omron 3G8F7-DRM21-1Ro PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working
21354 Sanyo Denki PMM-BD-57035-7 Servo Card TEL Tokyo Electron 3286-000880-1 P-8 Used
21355 Sanyo Denki PMM-BD-57035-7 Servo Card TEL Tokyo Electron 3286-000880-1 P-8 Used
21356 Mitsubishi Q80BD-J71LP21-25 PLC MELSECNET/H Interface PCB BD808C121G51 Used
21357 Meiden ZN77Z Backplane Board PCB MU24A31291 SU22A32117 A SU18A30221 Used
21358 Meiden UP006/001A Battery Unit Card MDTE-PU4057-3964(3) Used Working
21359 Lightwave Electronics M131S-AA01 Laser Diode Driver 131A Used Working
21360 Coherent DPY301II Laser Power Supply Used Untested As-Is
21361 NTRON C7-01-1110-02-0 Model 1100 O2 Analyzer 1110BE-N1 Used Working
21362 Dalsa TA-L2-04K30-50E Inspection Lens Module Hitachi I-900SRT Used Working
21363 AMAT Applied Materials 0010-08323 Local RF Match Rev. 007 Used Working
21364 AMAT Applied Materials 0010-08322 Local RF Match Used Untested As-Is
21365 Tokyo Electronic Industry CS8340B Compact CCD Camera TV4602A1 Used Working
21366 Hitachi CCWLMT Linear Optics Stage Assembly I-900SRT ORG Used Working
21367 Asyst Technologies 0FH3000-001 Prealigner Hitachi I-900SRT Used Working
21368 Hitachi Wafer Stage Assembly I-900SRT Defect Inspection Tool Used Working
21369 Nabtesco 3D80-000005-V3 Wafer Transfer Robot Motor Base Used Working
21370 Nabtesco 3D80-000005-V3 Wafer Transfer Robot Motor Base Used Working
21371 Berkeley Process Control USA2-11-28-UR Multi-Axis Universal Servo Amplifier Used Working
21372 Berkeley Process Control USA2-11-28-UR Multi-Axis Universal Servo Amplifier Used Working
21373 Sanyo Denki PMM-BD-57035-8 Servo Card TEL Tokyo Electron 3286-001590-1 P-8 Used
21374 Sanyo Denki PMM-BD-57035-8 Servo Card TEL Tokyo Electron 3286-001590-1 P-8 Used
21375 Power-One HN15-4.5-A Power Supply VAD610708 KLA-Tencor AIT 2 Used Working
21376 Power-One HN5-9/OVP-A Power Supply VAD610707 KLA-Tencor AIT II Used Working
21377 Power-One HN28-3-A Power Supply VAD610239195 KLA-Tencor AIT 2 Used Working
21378 Power-One HC5-6/OVP-A Power Supply VAD610709 KLA-Tencor AIT 2 Used Working
21379 KLA-Tencor Dual SMIF Interconnect I/O PCB 0037918-00 Rev. AD Used Working
21380 KLA-Tencor 552364 Light Tower Driver Board AIT 2 Used Working
21381 KLA-Tencor 509868 Rev. AB Remote LED Board AIT 2 Used Working
21382 Tencor Instruments 363251 4 Channel Motor Control PCB Card Rev. AC AIT 2 Used
21383 Condor HB5-3/OVP-A+ Power Supply HC12-3.4-A+ HN24-3.6A+ Reseller Lot of 5 Used
21384 TEL Tokyo Electron 3281-000019-15 PCB Card TVB3401-1/GPIB P-8 Used Working
21385 TEL Tokyo Electron 3281-000019-15 PCB Card TVB3401-1/GPIB P-8 Used Working
21386 Condor HE2-18-A+ Power Supply HE5-18/OVP-A+ HCBB105W-A+ HCC15-3-A+ Lot of 5 Used
21387 Conoptics M25DS Laser Modulator Power Amplifier Model 25 DS Used Working
21388 Keyence SJ-R036C Static Elimination System Bar Type 360mm Hitachi I-900SRT Used
21389 Allen Integrated Assemblies AP15211 Digital Analog Input Board Used Working
21390 Allen Integrated Assemblies AP15222 Digital Output PCB Card Used Working
21391 National Instruments AT-MIO-16D Multifunction DAQ PCB Card 181965-01 Used
21392 MuTech M-Vision 1000 Frame Grabber PCB Card MV-1000 Used Working
21393 Loughborough Sound Images FRU 600-02095 Processor Card PCB 260-00200 R12 Used
21394 National Instruments AT-GPIB/TNT Plug and Play PCB Card 183663C-01 Used Working
21395 SVG Silicon Valley Group 99-80268-01 System Power Supply Board PCB 90S DUV Used
21396 Sony XC-7500 CCD Camera VGA Hitachi I-900SRT Used Working
21397 Hitachi I-900SRT Lens Wheel Filter Assembly Oriental Motor PK544-NAC Used Working
21398 Hamamatsu C7883E Optical Sensor Board PCB Assembly Hitachi I-900SRT Used Working
21399 SSM Solid State Measurements SX-UID500H CM H2 Lamp Assembly Hitachi I900SRT Used
21400 Nikon 4S008-116 ALGAF-S/D-X4+ Optical Sensor Assembly OPTISTATION 7 Used
21401 Hamamatsu H8008-01 Photomultiplier Tube H8008 Used Working
21402 TEL P-8 Wafer Prober Axis Drive Assembly with PQL-INT board used working
21403 Toflow Helical Flow Inline Flow Meter 0.5~5L/min Used Working
21404 Tokyo Flow Meter HF-M30 Helical Inline Flow Meter 2~20L/min Used Working
21405 Nikon Prism and Mirror Block Optics Assembly OPTISTATION 7 Used Working
21406 Nikon Laser Alignment Block Optics Assembly OPTISTATION 7 Used Working
21407 Nikon Pneumatic Lens Alignment Block Optics Assembly OPTISTATION 7 Used Working
21408 Ashcroft XLDP Differential Pressure Transducer Assembly SVG SV99-45892-01 Used
21409 Cutler-Hammer JD3250F Industrial Circuit Breaker 3A83976G18 Series C Used
21410 Hitachi UH35N AC Magnetic Contactor Reseller Lot of 3 Used Working
21411 Nikon 2S700-580-1 Processor Board SCPU PCB Card 2S014-033-4 OPTISTATION 3 Used
21412 Nikon 2S700-580-1 Processor Board SCPU PCB Card 2S014-033-4 OPTISTATION 3 Used
21413 TEL P-8 Wafer Prober Tray Loader Assembly working
21414 TEL P-8 Wafer Prober Tray Loader Assembly working
21415 TEL P-8 Wafer Prober Tray Loader Assembly working
21416 Asyst Technologies 9700-6224-01 Sensor for ADVANTAG 9100 Lot of 2 Used Working
21417 Omron S82J-10024D Compact Power Supply Reseller Lot of 10 Used Working
21418 Omron V640-HAM11-V2 RFID Amplifier Unit with CIDRW Head V640-HS61 Used Working
21419 Omron V640-HAM11-V2 RFID Amplifier Unit with CIDRW Head V640-HS61 Used Working
21420 Shinko SCE93-100009-C1 Interface Board PCB SLPCN3A-1 SBX08-000032-11 Used
21421 Shinko M223D Compact Servo Drive PDS-D (PbF) Used Working
21422 Shinko M223D Compact Servo Drive PDS-D (PbF) Used Working
21423 Dynax F104-IO/1 In Out I/O Interface Board PCB DNX5191 Used Working
21424 Dynax F104-CPU Processor CPU Board PCB DNX5171 Used Working
21425 TEL PCB Display Driver Board 3281-000043-19 working
21426 Mitsubishi FR-A024-0.4K Inverter FREQROL-A024 Parameter Unit FR-PU03E Used
21427 Axcelis Technologies 624811 Power Supply Gemini Used Working
21428 Delta Design 1941692-503 Pick and Place Interface Board PCB TLC-503 Used
21429 ASML 4022.471.7488 Capacitor Interconnect PCB Card Used Working
21430 Delta Design 1669755-501 Push Bar/LCD Control PCB Used Working
21431 AMAT Applied Materials 0100-00003 Stepper Drive PCB VME Card Used Working
21432 Pureron DFM2-1000 Flow Meter Reseller Lot of 5 Used Working
21433 TEL P-8 Wafer Prober Axis Drive Assembly with SMC Cylinder CY1R6H-R5495-125
21434 Nikon 4B043-726 Magnetic Linear Rail Assembly OPTISTATION 7 Used Working
21435 Shimadzu 262-78187-20V1 TMP Turbomolecular Pump Control Cable Set 20M Used
21436 TEL Tokyo Electron 3281-000147-12 LST-1 PCB Card 3208-000147-11 P-8 Used Working
21437 TEL Tokyo Electron 3281-000147-12 LST-1 PCB Card 3208-000147-11 P-8 Used Working
21438 KLA-Tencor 0052196-007 MMD Analog AIT UV Board 0021488-001 AIT Fusion UV Used
21439 KLA-Tencor 0052412-001 GPIO In/Out PCB Card 0052413-001 Used Working
21440 Dolan-Jenner A241PB6TC42 Fiber-Lite Regulated Power Supply A-241P-RS-232 Used
21441 Dolan-Jenner A241PB6TC42 Fiber-Lite Regulated Power Supply A-241P-RS-232 Used
21442 TEL Tokyo Electron OYDK-065 CONN EXT CHEM #02 PCB Board Lithius Used Working
21443 TEL Tokyo Electron OYDK-020 CNTR EXT CHEM #01 PCB Board Lithius Used Working
21444 TEL Tokyo Electron OYDK-064 RY EXT CHEM #02 PCB Board Lithius Used Working
21445 TEL Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Lithius Used Working
21446 Tokyo Keiso UCUF-04B/ZT Photoresist Pump Assembly TEL Lithius Used Working
21447 TEL Tokyo Electron Chemical Vessel Drawer Assembly Clean Track Lithius Used
21448 TEL Tokyo Electron HTE-TC3-A-AA IF TC CTRL #03 Board PCB TMB2200 Lithius Used
21449 Iwaki HPT-106-2 Photoresist Tubephragm Pump HPT-106 TEL Lithius Untested As-Is
21450 Omron 3G8F7-DRM21-1(1) PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working
21451 Omron 3G8F7-DRM21-1PbF PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working
21452 Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V5.16A Used Working
21453 Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V5.16A Used Working
21454 Meiden YZ50A Backplane Board PCB SU18A30141 MU24A31161 SU22A31969 Used Working
21455 ADTX A202588 Hard Drive Interconnect Processor Board PCB AXRB-NCA-MD Used
21456 Meiden YZ84Z LED Indicator Board PCB SU22A31963 MU24A31158 Lot of 3 Used Working
21457 Setra 204100-50-NK Pressure Transducer 204 0-700kPa Used Working
21458 ASML 4022.471.6240 Fiber Optic Tranceiver PCB Card 4022 471 4187.1 Used Working
21459 ASML 4022.471.5535 Dummy VME Card PCB VME64E 4022 471 55361 Used Working
21460 ASML 4022.471.5533 Dummy VME Card PCB VME64E 4022 471 5533.1 Used Working
21461 ASML 4022.436.7714 Embedded Programmable Logic VME Card PCB Used Working
21462 ASML 4022.471.6464 I/O In Out Interface VME Card PCB 4022 471 64651 Used Working
21463 ASML 4022.471.7041 S04 Serial Interface VME Card PCB 4022 471 70421 Used Working
21464 ASML 4022.471.6947 S08 Serial Interface VME Card PCB 4022.470.88821 Used Working
21465 ASML 4022.471.6949 S15 Serial Interface VME Card PCB 4022 471 69501 Used Working
21466 ASML 4022.471.7510 S31 Serial Interface VME Card PCB 4022 471 74971 Used Working
21467 ASML 4022.471.7491 S31 Serial Interface VME Card PCB 4022 471 74971 Used Working
21468 ASML 4022.437.3013 Shutter Control Interface Card PCB Used Working
21469 Anorad B801857A Dual PI Interface Board PCB Used Working
21470 DIP Incorporated EH0111(B)-8 Power Supply PCB Assembly EH0111 DB-D56-101E Used
21471 Daifuku P0D-3716A Backplane Interconnect Board PCB Used Working
21472 Nikon 4S013-474 Power Distribution Board PCB RLIOP-I/F3 Used Working
21473 Air Products 287-423121 LED Indicator Supervisior Board PCB Used Working
21474 Air Products DD 1151 Processor Board PCB Card DD1001 Used Working
21475 Air Products CRSD1538 Display Processor Board PCB Card Used Working
21476 Air Products CRSD 1036 CPU Processor Board PCB Card CRSD1036 Used Working
21477 Air Products CRSD 1537 Digital Processor Board PCB Card CRSD1537 Used Working
21478 Air Products CRSD1244 Backplane Board PCB Card CRSD 1244 Used Working
21479 Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working
21480 Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working
21481 Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working
21482 Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working
21483 Ametek 5-7006 AMETEK-RTP Fan Control PCB Assembly 5-7004 Used Working
21484 ASML 4022.634.27501 WH Robot Interface PCB Card 4022 634 27511 Used Working
21485 Air Products 1-809-602562 Gasguard Operator Interface Display Panel Used Working
21486 Toshiba 8VD00236000 SALG Power Source PCB Card PSU-KN3-PWB Nikon 4S001-112 Used
21487 Hirata HPC-778B LED Indicator Processor Board PCB HPC-778 Used Working
21488 Hirata HPC-784C AP21 N6 Processor Board PCB 5303940-0C-D Used Working
21489 Brooks Automation 002-6878-02 Connection Board PCB 002-8276-02 Used Working
21490 Nikon LANRCSLIFX4 BNC Interface Processor Board PCB Used Working
21491 Yamatake SAB10-C4V12 YVME-IF Interface SDS VME Card PCB 81526535-001-03 Used
21492 Shinko SCE93-100036-C1 LPCN-2A-1 Connection Board PCB SBX08-000040-11 Used
21493 Nikon 4S005-362-2 Optical Sensor Board PCB AF-SENSORX4 P19055AAB-A Used Working
21494 Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A Used Working
21495 IP-Square IP57709A-NWC Video Processor Board IPS7709A-NWE Used Working
21496 Nitto CPU-I/F CPU Interface Logic Board PCB Used Working
21497 Tiris RI-CTL RFID Reader Control Module PCB RI-CPU-0001-02 Used Working
21498 Sysmex TA-100KR-P LAN to RS-232C Conversion Adapter IF Board PCB DP0352B Used
21499 Delta Design 1906872-501 Input Sensor Board PCB Used Working
21500 Humo Laboratory HC-6002-2 Two Channel GEM Controller Card PCB HC6002 Used
21501 Humo Laboratory HC-6202-2 4 Line ACI PCB Card HC6002 Used Working
21502 Humo Laboratory HC-6130-1 PC Card I/F Board PCB Card HC6130 Used Working
21503 MKS Instruments 622A12TBE Baratron Transducer 100 Torr Used Tested Working
21504 MKS CV7627A-05 Vacuum Isolation System 627A.1TAD—–S 750B Tested Used Working
21505 MKS Instruments 51A11TCA2BA002 Baratron Pressure Switch Used Tested Working
21506 MKS Instruments 622A12TBE Baratron Capacitance Manometer Not Working As-Is
21507 MKS Instruments 629A13TBC Baratron Pressure Transducer Tested Not Working As-Is
21508 MKS Instruments 627A.1TAD—–S Baratron Transducer Vacuum Leak Tested As-Is
21509 Air Products DD 1552 Non-Incendive Interface Board PCB Used Working
21510 Air Products CRSD 1319 Power Supply Assembly PCB CRSD1319 SRW-65-2201 Used
21511 Hirata HPC-784A Omron Relay Interface Board PCB Used Working
21512 Nikon 4S013-354 Cassette Elevator Interconnect Board CSTLNK [A] PCB Used Working
21513 Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243 Used Working
21514 Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used
21515 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. G Used Working
21516 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. H Used Working
21517 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. D Used Working
21518 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. B Used Working
21519 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. C Used Working
21520 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. F Used Working
21521 Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. E Used Working
21522 Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. F Used Working
21523 Delta Design 1941692502 Pick and Place Interface Board PCB OLB V1.47 Used
21524 Delta Design 1906876-501 Output Driver PCA Board PCB Used Working
21525 Delta Design 1906876-501 Output Driver PCA Board PCB Rev. C Used Working
21526 Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. E Used Working
21527 Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. B Used Working
21528 Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. G Used Working
21529 Delta Design 1667195-501 Quad Pressure Sensor Board PCB 1667195-501 Used Working
21530 Delta Design 1663396-501 68K Parallel Interface Buffer Board PCB Used Working
21531 TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working
21532 TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working
21533 RiboTech RT-10034 Summit ULT Board PCB RT-10031 Delta Design Used Working
21534 Delta Design 1937031-501 DAC P1 Interface Board PCB Reseller Lot of 2 Used
21535 Delta Design 1937028-501 ADC Interface Board PCB Reseller Lot of 2 Used Working
21536 Mean Well PD-2512 Power Supply PCB 1923755-001 Reseller Lot of 2 Used Working
21537 Delta Design 1935860-501 PXI-TC Interface Board PCB 1935859-401 Used Working
21538 Delta Design 1661333-502 68000 Master Board PCB 1661332-401 Used Working
21539 Delta Design 1686300-502 Power Distribution Board PCB 1686299 Used Working
21540 Delta Design 1670345-503 256K/512K/1 MEG SRAM Memory Board PCB 1670344 Used
21541 Delta Design 2001-586-000 Interface Backplane Board PCB 2001-586-002 Used
21542 Delta Design 1658643-501 2 Channel Temperature Controller Board PCB Used Working
21543 Delta Design 1684444-501 Dual Channel Temperature Controller Board PCB Used
21544 Delta Design 1657925-501 68008 Processor Board PCB 1664814-001 Used Working
21545 Motorola 0708601 SBC Single Board Computer PCB 01-W3527F Used Working
21546 Motorola 01-W3269F SBC Single Board Computer PCB 84-W8269F01E Used Working
21547 Motorola 01-W3269F SBC Single Board Computer PCB Rev. 01F 84-W8269F01C Used
21548 Delta Design 1937019-503 2400W PFC Boost Supply Board PCB 2001-587-000 Used
21549 SMC INR-244-216U Thermo-Con Power Supply RCP INR-244-244T-670 Used Working
21550 SMC INR-244-217B Thermo-Con Power Supply RCP INR-244-244T-670 Used Working
21551 Delta Design 1666339 Dual DC Motor Control Board PCB 1669550-001 Used Working
21552 Delta Design 1662669-501 DC Dual DC Motor Control Board PCB Used Working
21553 Delta Design 1684833-501 Dual DC Motor Control-Enhanced Board PCB Used Working
21554 Delta Design 1662040-501 AC Power Control 1308 Transformer Board PCB Used
21555 Delta Design 1977192-601 Power Supply Board PCB 2001-585-000 Used Working
21556 SMC 2TP-2B575 Thermo-Con Operator Panel PCB INR-244-244T-670 Used Working
21557 ABB ACH550-UH-03A3-4 Adjustable Frequency AC Drive HVAC ACH-CP-B New Surplus
21558 Nikon KSN CS013-025-CHBR-IF Chamber Interface Board PCB PRT M-050 NSR-S307E Used
21559 Nikon 4S019-073-1 Chamber CPU Board PCB CHBCPU-1 PC01023B NSR-S307E Used Working
21560 Nikon 4S018-982 Interface Processor Board PCB RA-TYUKEI-ETTR-1+ NSR-S307E Used
21561 Nikon 4S018-983 Interface Processor Board PCB RA-TYUKEI-ETTR-2+ NSR-S307E Used
21562 Hirata HPC-784A Relay Processor Board PCB AI AM-1 Used Working
21563 Hirata HPC-778 Relay Processor Board PCB AI AM-1 Used Working
21564 ASML Nikon Reticle CCM EL. Connection Box 4022.470.0891
21565 Nikon 4S019-137 Driver Board PCB REX-DRV3 NSR-S307E Used Working
21566 Nikon 4S019-147 Motor Control Board PCB REX-MTR NSR-S307E Used Working
21567 Nikon 4S019-192 Pneumatic Control Board PCB REX-AIR3 NSR-S307E Used Working
21568 Nikon 4S013-488 Interface Board PCB RTX4B1 NSR-S307E Used Working
21569 Nikon 4S008-207 Processor Board PCB EP-EES NSR-S307E 200mm DUV Used Working
21570 Nikon 4S008-057 Processor Board PCB AISref-X4 NSR-S307E 200mm DUV Used Working
21571 Mykrolis 50-04424 Interface Board PCB Sub-Assembly 40-04425 Used Working
21572 AMAT Applied Materials 0100-01492 Source Conditioning Board PCB Used Working
21573 Yamatake 408371-001 CPS Interconnect Board PCB Assembly 81408372-011-02 Used
21574 DIP 15039603 Digital I/O CDN396 PCB Card AMAT 0190-14148 DIP-065-026 Used
21575 AMAT Applied Materials 0090-02233 HDPCVD Interlock PCB Card 0190-00285 Used
21576 AMAT Applied Materials 0100-20454 Controller Backplane Board PCB Rev. 005 Used
21577 Delta Design 1666339 Dual DC Motor Control Board PCB Rev. AJ 1698422-501 Used
21578 Zendex ZX 564 ZBX Mother Board PCB PCZX-564-A ASML 859-8147-001 Used Working
21579 ASML 859-0529-001 ISBX Interface Board PCB 859-5036-005 Used Working
21580 ASM Advanced Semiconductor Materials 03-320460D01 MFC I/F Board PCB Used Working
21581 Nikon 4S017-054 AFMTH Interface Board PCB Used Working
21582 Opal 13811 Power Relay Board PCB Card 12488 12489 AMAT SEMVision Used Working
21583 Therma-Wave 14-020990 Shutter Power Driver Board PCB 40-015811 Used Working
21584 Sealevel Systems 3420 ISA 8-Port RS-232 Serial Interface PCB Card Used Working
21585 TDK TAS-LED Load Port Indicator Light Board PCB TAS300 Used Working
21586 TDK TAS-CNEXT Load Port Interface Board PCB Reseller Lot of 2 TAS300 Used
21587 TDK TAS-RIN8 Backplane Interface Board Reseller Lot of 4 TAS300 Loadport Used
21588 TDK TAS-RIN16 Backplane Interface Board Reseller Lot of 4 TAS300 Loadport Used
21589 TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Loadport Used Working
21590 TDK TAS-IN8 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working
21591 TDK TAS-IN12 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working
21592 KLA-Tencor HRP-340 Motor Interface Board 303737 working
21593 Schumacher 1730-3002 Reservoir Controller PCB Card S0000164-3 Used Working
21594 Schumacher 1730-3002 Reservoir Controller PCB Card J0403066-1 Used Working
21595 Schumacher 1730-3003 Cabinet Controller PCB Card 1731-3003 Used Working
21596 Schumacher 1730-3009 I/O Input Output Controller PCB Card J0309064-3 Used
21597 Schumacher 1730-3009 I/O Input Output Controller PCB Card S0000164-1 Used
21598 Schumacher 1730-3005 Backplane Board PCB 1731-3005 S09066-55 Used Working
21599 Schumacher 1730-3005 Backplane Board PCB 1731-3005 S0000163-2A Used Working
21600 ASM Advanced Semiconductor Materials 2421534-21 Distribution PCB Used Working
21601 ASM Advanced Semiconductor Materials 2550210-21 Distribution PCB Used Working
21602 Hitachi 549-5525 RPSCONT2 Interface Board PCB 25496725 Used Working
21603 Hitachi 564-5515 EMO CNT Interface Board PCB 25646715 Used Working
21604 Hitachi E-1391-1 Relay Board PCB D-1830 Rev. F Used Working
21605 Shinko SBX93-100003-C1 LPCN-1A-1 Connection Board PCB SBX08-000008-11 Used
21606 Schumacher 1730-3006 Pneumatic EMO Control PCB Card J0404125-1 Used Working
21607 Mydax M1002C RTD Interface Input Board PCB Chiller 1M9W-T Used Working
21608 Mydax M1004D Power Interface Board PCB Chiller 1M9W-T Used Working
21609 Mydax M1003D I/O Interface Board PCB Chiller 1M9W-T Used Working
21610 Mydax M1010D Flowmeter Interface Board PCB Chiller 1M9W-T Used Working
21611 Fuji Electric VFC084A-2T Ring Compressor Blower Used Working
21612 Hitachi 560-5521 NIP Control Board PCB S-9300 Ion Pump Used Working
21613 Hitachi 560-5521 NIP Control Board PCB S-9300 Ion Pump Used Working
21614 PCI Industrial Computers PCI-12S Backplan Board PCB Used Working
21615 Alphasem AG AS420-1-01 Relay Board PCB AS420-1 Used Working
21616 Alphasem AG AS264-2-01 Relay Board PCB AS264-2 Used Working
21617 Alphasem AG AS370-0-01 Communication Board PCB AS370-0 Used Working
21618 Alphasem AG AS267-1-01 Communication Board PCB AS267-1 Used Working
21619 Alphasem AG AS485-0-02 Interface Board PCB AS485-0 Used Working
21620 Comtrol Europe 59750-1 Hostess 2000 BD-SMART8 Smart 8-100 PCB Card Used Working
21621 Alphasem AG AS257-0-02 PC/AT Interface PCB Card AS257-0 Used Working
21622 Dynax MSS5A3A1XDD AC Servo Driver Amplifier Used Working
21623 Shinko SBX93-1000053-C1 CBCN-2-1 Connection Board PCB SBX08-000011-11 Used
21624 Dynax DNX2080 Terminal Relay Board PCB Fics-IOM/16RH Used Working
21625 Shinko 011BP4S-00-0B0 BP-4S PCB Card Backplane Board BP4SB Used Working
21626 Dynax DNX2090 DC-DC Power Interface Board PCB Fics-IOM/16HI Used Working
21627 Shinko ATA-IDE/R-LF(V2.0) Compact Flash PCB Card MASTER-ATA IDE Used Working
21628 Shinko SCE93-100011-C1 SBC Processor LVDT-3A-1 PCB Card SBX08-000027-12 Used
21629 TEL Tokyo Electron E244-000058-11 HDD I/F PCB Card E2B022-11/FRIF A203478 Used
21630 TEL Tokyo Electron E244-000053-11 CPU Processor VME PCB Card E2B023/ECU Used
21631 OKI Techno E2U008/PWR Power Supply PCB TEL Tokyo Electron E239-000069-11 Used
21632 TEL Tokyo Electron E240-000057-11 ECC2 Controller Cooling Fan Card Used Working
21633 TEL Tokyo Electron E281-000029-12 Backplane PCB E2B020-12/BP VPD0PC036F Used
21634 TEL Tokyo Electron BX80-000063-11 ECC2 Controller MC Rack Card Used Working
21635 TEL Tokyo Electron E280-000004-14 CCI Master DI/DO PCB Card E2B004-14/CCIM Used
21636 TEL Tokyo Electron TEB108-12/SIO PCB Card EC80-000117-32 Used Working
21637 TEL Tokyo Electron EC80-000163-21 Network Interface PCB Card TEB110-11/PCL Used
21638 TEL Tokyo Electron E280-000011-13 DC-DC Converter PCB Board E2B003-11/PSD Used
21639 TEL Tokyo Electron E280-000016-41 Video and Comm PCB Card E2B013-22/FPD3 Used
21640 ADTX A202989 IDE Interface Board Assembly TEL E280-000032-31 ZXRB-NAT-31 Used
21641 TEL Tokyo Electron E280-000015-13 Interface Board PCB Card E2B012-11/FDEX Used
21642 NEC 220-500091-001 Risercard01 A2 PCB TEL Tokyo Electron 220-50009D-001-A Used
21643 TEL Tokyo Electron E280-000014-12 Interface Board PCB Card E2B011-11/BP Used
21644 TEL Tokyo Electron 3D80-000766-V1 ECC2 Controller MC Rack Card T-3044SS Used
21645 TEL Tokyo Electron 3D80-000766-V2 ECC2 Controller MC Rack Card T-3044SS Used
21646 TEL Tokyo Electron 3D80-000766-V3 ECC2 Controller MC Rack Card T-3044SS Used
21647 TEL Tokyo Electron 3D81-000041-V2 TPB-S.VO PCB TYB62G-1/SYS2 T-3044SS Used
21648 TEL Tokyo Electron 3D81-000040-V2 Processor PCB TYB61F-1/SYS1 T-3044SS Used
21649 TEL Tokyo Electron 3D81-000045-V2 Processor PCB TYB62H-1/OPF2 T-3044SS Used
21650 TEL Tokyo Electron 3D81-000044-V3 Processor PCB TYB61K-1/OPF1 T-3044SS Used
21651 Shinko Electric 3D80-050107-V1 Wafer Prealigner SCE92100050 TEL T-3044SS Used
21652 Meiden SW100 8-Port Switching Hub UT203/001A TEL Tokyo Electron T-3044SS Used
21653 TEL Tokyo Electron 2L81-050152-V1 Analog I/F PCB YWP-C Assembly T-3044SS Used
21654 TEL Tokyo Electron 3D86-000513-V2 Drive Assembly DBDP74AB363BEBA30 T-3044SS Used
21655 Shinko BX80-0001-40-V1 LM-ARM-CONT(CE) Controller SCE92300107 TEL T-3044SS Used
21656 CTI-Cryogenics 8107814G003 Cryopump CRYO-TORR 8R MRC Eclipse Used Working
21657 TEL Tokyo Electron E280-000065-12 8-Port Switching Hub E2U205-12 T-3044SS Used
21658 TEL Tokyo Electron 3D81-000046-V2 Interface PCB TYB61L-1/ELIF T-3044SS Used
21659 TEL Tokyo Electron PS1 Power Supply Assembly Tray PAA300F-24 T-3044SS Used
21660 TEL Tokyo Electron PS2 Assembly Power Supply Tray PAA600F-24 T-3044SS Used
21661 TEL Tokyo Electron PS1 Assembly Power Supply Tray PBA600F-24 T-3044SS Used
21662 AE Advanced Energy 27-368450-00 B RF Navigator 10013 3155162-037 Novellus Used
21663 Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Used Working
21664 TEL Tokyo Electron PR300Z Robot Assembly with 300mm Wafer Handler Copper Used
21665 3com 3C16470B Baseline Switch 2016 HP 10016356 Reseller Lot of 3 Used Working
21666 HP Hewlett-Packard 98624-66501 HP-IB 98624A PCB Card Reseller Lot of 2 Used
21667 HP Hewlett-Packard A1473-66530 Connector PCB Card B-0100-RT Used Working
21668 TEL Tokyo Electron 3281-000014-16 Board PCB Card TVB3102-1/SIO P-8 Used Working
21669 TEL Tokyo Electron 3281-000014-16 Board PCB Card TVB3102-1/SIO P-8 Used Working
21670 AMAT Applied Materials 0100-90851 H.V/A.MAG Motherboard PCB 0100-90015 Used
21671 AMAT Applied Materials 0100-90941 H.V/A.MAG Motherboard PCB 0100-90015 Used
21672 PTI Progressive Technologies 12612G01 Automated Exhaust System Sentry 1000 Used
21673 Granville-Phillips 274012 Ionization Pressure Gauge Tube Assembly Used Working
21674 Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working
21675 Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working
21676 Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working
21677 TEL Tokyo Electron UI-120A Uniwire System I/O Channel Interface Card P-8 Used
21678 Nikon 4S019-235 Interface PCB Card C/D_I/F NSR-307E Used Working
21679 ASM Advanced Semiconductor Materials 03-20930 PCB Card 02-15839 Used Working
21680 ASM Advanced Semiconductor Materials 03-21127 PCB Card 02-15467-01 Used Working
21681 ASM Advanced Semiconductor Materials 03-21022 PCB Card 02-15912 Used Working
21682 ASM Advanced Semiconductor Materials 01-18100 HiPEC Motion Solution Rack Used
21683 Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. D.1 Used
21684 Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. F Used
21685 Schumacher 1730-3002 Reservoir Controller PCB Card 1731-3002 Rev. G Used
21686 Schumacher 1000000-462-002 Cabinet Controller PCB Card 1731-3003 Used Working
21687 Omron 3G8B2-NI001 Interface PCB Card TEL Tokyo Electron 3286-002066-11 P8 Used
21688 Schumacher 1730-3009 I/O Input Output Controller RCI-M PCB Card J0309064-2 Used
21689 DIC Corporation SD16L-24SHI E eFLOW Resistivity Meter Unit Used Working
21690 AMAT Applied Materials 9090-00791 ITL 29Z Power Supply Assembly Used Working
21691 GaSonics 90-2670 PCA LED and Interface Panel PCB A89-013-01 A-2000LL Used
21692 Varian L6281-701 Pnumatic Angle Valve NW-16-A/O L6281701 Used Working
21693 Varian 233355096 Pnumatic Vacuum Angle Valve NW-16-A/O Used Working
21694 RECIF Technologies SPPF50A60000 Wafer Handler Assembly SPP300F05 SPP300 Used
21695 Schlumberger 97914014 Relay Switch Board PCB 40914014 Used Working
21696 ASM Advanced Semiconductor Materials 02-33082 HiPEC Power Supply Assembly Used
21697 AMAT Applied Materials 0040-61814 200mm Chamber Centura RTP Used Working
21698 AMAT Applied Materials 0040-61813 200mm Chamber Centura RTP Used Working
21699 AMAT Applied Materials 9010-01382ITL SiF4 MFC Gas Panel Assembly Celerity Used
21700 AMAT Applied Materials 9010-01382ITL SiF4 MFC Gas Panel Assembly Celerity Used
21701 Mydax M1004D Power Interface Board PCB Chiller 1VL5WA1 Used Working
21702 Nikon 4S587-735 Motor Amplifier VCM AMP Used Working
21703 TEL Tokyo Electron 3281-000146-12 PCB PST-STD Board Card 3208-000146-11 P-8 Used
21704 KLA Instruments 6001755-03 TEL P-8 Video Board Working
21705 KLA Instruments 6001755-03 TEL P-8 Video Board Working
21706 Mydax M1003D I/O Interface Board PCB Chiller 1VL5WA1 Used Working
21707 Mydax M1009A Single Relay Interface Board PCB Chiller 1VL5WA1 Used Working
21708 Mydax M1009A Dual Relay Interface Board PCB Chiller 1VL5WA1 Used Working
21709 Mydax M1007 LED Power Supply Board PCB Chiller 1VL5WA1 Used Working
21710 Mydax M1001E Chiller Operator Interface Controller Panel 1VL5WA1 Used Working
21711 AMAT Applied Materials 0010-13967 OFT Centerfinder Receiver 300mm Centura Used
21712 AMAT Applied Materials 0010-76968 OFT Centerfinder Emitter 300mm Centura Used
21713 Proteus Industries 9812SA19P3 Flow Meter AMAT 0190-09338 Used Working
21714 Yaskawa 410000-8600 Robot Controller ERCJ-CRJ3-B00-CN TEL PR300Z Used Working
21715 Yaskawa 410000-8600 Robot Controller ERCJ-CRJ3-B00-CN TEL PR300Z Used Working
21716 Digital Electronics UF7811-2-DV2S-24V Operator Panel TEL PR300Z Used Working
21717 Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel Used Working
21718 Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel Used Working
21719 SMC VNB301AS Pneumatic Process Valve Reseller Lot of 2 Used Working
21720 AMAT Applied Materials Centura RF Cable Set of 2 23 Foot 7 Meters Used Working
21721 KLA Instruments 6001756-05 TEL P-8 Video Board Working
21722 KLA Instruments 6001756-05 TEL P-8 Video Board Working
21723 Swagelok SS-BN8FR8-C High Purity Valve Stainless Reseller Lot of 2 Used Working
21724 Swagelok SS-BNV51-DU-C Bellows Sealed Valve Reseller Lot of 2 Nupro Used Working
21725 RECIF Technologies CPUCH0027 Fan Filter Unit Moterboard PCB MOBBH0191 Used
21726 RECIF Technologies DISDH0132A Interface Board PCB PCB0132A Used Working
21727 RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A Used Working
21728 TEL P-8 Prober PST-OPT Board 3281-000129-11 working
21729 TEL P-8 Prober PST-OPT Board 3281-000129-11 working
21730 RECIF Technologies INTAH0251 Lighting Interface Board PCB PCB0251 Used Working
21731 Omron V400-F050 2D Code Reader Camera 25mm TV Lens with Cable Used Working
21732 MORITEX Corporation MBRL-CW5015 White High Intensity LED Array Used Working
21733 Komatsu 20000300 Temperature Controller AIH-64QS-T5 TEL PR300Z Used Working
21734 RECIF Technologies DISAH0482 A Interface Board PCB PCB0482 D Used Working
21735 Matrox 63039620227 Image Processing Module SBC F2M56C00000AS0R 4Sight II Used
21736 TEL Tokyo Electron 3281-000080-11 I/O PCB Card TVB9003-1/316 P-8 Used Working
21737 RECIF Technologies PCOBH2500B STD Processor Board PCB STD\H2500A Used Working
21738 Matrox 7121_00 4Sight II Acquisition Module Board PCB Used Working
21739 RECIF Technologies PCOFH2500B STD Processor Board PCB STD\H2500A Used Working
21740 Minicom 1DT12006 Duet PC Splitter Industrial KVM Reseller Lot of 2 Used Working
21741 RECIF Technologies STDAH0543 A LED Interface Board PCB PCB0543 A Used Working
21742 RECIF Technologies ZS0000705-8Q ChemGuard Tool Interface Board PCB AP1555 Used
21743 TEL Tokyo Electron 3281-001184-17 PCB Card TVB6004-1/QMC3 P-8 Used Working
21744 TEL Tokyo Electron 3281-001184-17 PCB Card TVB6004-1/QMC3 P-8 Used Working
21745 Hugle Electronics 510TS Ionizing Bar 39.5″ TEL Tokyo Electron PR300Z Used
21746 Hugle Electronics 510TS Ionizing Bar 39.5″ TEL Tokyo Electron PR300Z Used
21747 TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-300 w/Encloser Used
21748 TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 PCB Board 2908-600595-11 Used
21749 TEL Tokyo Electron 838 SHU Shuttle Slider Assembly ACT12-300mm Used
21750 PTI Progressive Technologies 12042 Operator Interface Keypad TIM-100 Used
21751 Cognex 800-5829-1R A Fixed-Mount ID Reader Set In-Sight 5410R InfiniStix Used
21752 STEC PAC-D2 Special Purpose Power Source Controller Used Working
21753 Cambridge Fluid Systems 0010-91663 Gas Panel Used Working
21754 Mitsubishi MR-J2S-20B-S087 AC Servo MELSERVO TEL PR300Z Copper Exposed Used
21755 Digital UF-EXX01-TEL1 Flat Panel Extender Unit TEL PR300Z Copper Used Working
21756 TEL Tokyo Electron EC80-000184-12 5-Port Hub TEB004-12/GOHUB PR300Z Copper Used
21757 Cosel AC3-OHRR-00 Power Supply ACE300F TEL PR300Z Copper Exposed Used Working
21758 Yaskawa YR-CRJ3-A00 Robot MOTOMAN TEL Tokyo Electron PR300Z Copper Used Working
21759 MKS Instruments AS01391-21 CDN391R Board PCB Card AMAT 0190-24115 Used Working
21760 DIP Incorporated 15039603 CDN396 Board PCB Card AMAT 0190-01270 Used Working
21761 Thermonics 1B-080-1A In Out Processor PCB Card T2400R I/O Used Working
21762 Thermonics 1B-079-1D CPU Processor PCB Card 1B-079-XA Used Working
21763 Thermonics 1B-086-1A Flow Control Board PCB 1B-086-1D Used Working
21764 Thermonics 1B-100-XA 2420 Boom Head Control Board PCB 1B-100-1D Used Working
21765 Thermonics 1B-087-1A Heater Control Board PCB 1B-087-1D Used Working
21766 Thermonics 1B-084-1A T2420 Mother Board Backplane PCB 1B-084-1D Used Working
21767 TEL Tokyo Electron 3D81-000102-V1 Interface Board PCB TYB61C-1/TOP1 Used Working
21768 TEL Tokyo Electron 3D81-000035-V2 Interface Board PCB TYB62C-1/TOP2 Used Working
21769 TEL Tokyo Electron 3D81-000063-V5 Processor PCB Card TYB517-1/IOAS Used Working
21770 TEL Tokyo Electron 3D81-000063-V5 Processor PCB Card TYB517-1/IOAS Used Working
21771 Nikon 4S018-675-D-IO-1(3) Processor Board PCB J1PC103B Used Working
21772 Nikon 4S008-114 Processor Board PCB ALGAF-P/A-X4+ Used Working
21773 Nikon 4S008-115- Processor Board PCB ALGAF-P/D-X4+ Used Working
21774 Nikon 4S008-115- Processor Board PCB ALGAF-P/D-X4+ Used Working
21775 Reid Ashman Manufacturing RA21688 Distribution Board PCB Used Working
21776 Reid Ashman Manufacturing RA16220 Granite I/O Processor Board PCB Used Working
21777 Poly-Flow Engineering EA-019 Auto Flow II Micro Controller Board PCB Used
21778 Nikon 4S018-793-B Input Output Processor Board PCB PRE2 I/O-4 Used Working
21779 Nikon 4S019-198 Input Output Processor Board PCB PRE2 I/O-3B Used Working
21780 Hitachi MTIF01 #2201 Interface Connection Board PCB I-900SRT Used Working
21781 Nikon 4S008-181 Audio Video Processor Board PCB AV-I/FX4B Used Working
21782 Nikon 4S008-049-D Interface Board PCB alg-Z8277 Used Working
21783 Nikon 4S008-052-C Processor PCB Board X4-AIS A-554 NSR Series Used Working
21784 Nikon 4S013-482 Interface Board PCB STGX42B Used Working
21785 Nikon 4S013-484 Interface Connector Board STGX44B PCB Used Working
21786 Nikon 4S013-393 Interface Connector Board STGX42A PCB Used Working
21787 TEL Tokyo Electron 3D81-000098-V1 Network Board PCB E67920 TYB515-1/I016 Used
21788 TEL Tokyo Electron 3D81-000031-V3 Interface Board PCB E67920 TYB62A-1/VCONT Used
21789 Kulicke and Soffa Industries 01471-4000-000-12 Processor Board PCB Card Used
21790 Kulicke and Soffa Industries 01471-4000-000-13 Processor Board PCB Card Used
21791 Kulicke and Soffa Industries 01471-4000-000-14 Processor Board PCB Card Used
21792 Kulicke and Soffa Industries 01471-4000-000-15 Processor Board PCB Card Used
21793 Kulicke and Soffa Industries 01482-4001-000-02 Processor Board PCB Card Used
21794 Kulicke and Soffa Industries 06100-4006-000-03 Processor Board PCB Card Used
21795 JAE KT000983 6 Axis Vibration Measurment Unit Nikon 4S587-588 NSR-S307E DUV Used
21796 Queensgate NS2303/A Position Sensor Unit Nikon 4S288-271 NSR-S307E DUV Used
21797 Queensgate NS2300/A Position Sensor Unit Nikon 4S587-005 NSR-S307E DUV Used
21798 Queensgate NS2300/D Position Sensor Unit Nikon 4S288-213-1 NSR-S307E DUV Used
21799 Setra 204 Pressure Transducer 0-700 KPA Nikon NSR-S307E DUV Lot of 8 Used
21800 Edwards D37272800 Pump Display Module Terminal Controller Used Tested Working
21801 Agilent Z4208-68001-23-0645-00010 Controller PCB Z4208B VME Card NSR-S307E Used
21802 Nikon 4S019-288-1 Laser Interface VME Card IFSIGCOR NSR-S307E 300mm DUV Used
21803 Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S307E Used
21804 Agilent Z4208C Controller VME Board E1845-60001 Nikon NSR-S205C Used Working
21805 Nikon 4S019-288-1 Interface Board VME Card IFSIGCOR PCB NSR-S205C Used Working
21806 Vaisala HMPNIK-S3-A1B0A1EE12A1A3A Humidity/Temperature Transmitter Used
21807 Vaisala HMPNIK-S2-A1P0A2EE12C1N1A Humidity/Temperature Transmitter Used Working
21808 Omron S82D-3024 Power Supply Used Working
21809 SanRex HKD-1510BT Survey Mode Metal Surface Treament Power Supply Used Working
21810 Nikon LS353-01-030 Interface Processor Board PCB LANRCSLIFX4 Used Working
21811 Screen SL-2210-FC Network Control Module MMC057g Used Working
21812 Screen SL-2210-FC Network Control Power Modules SL2220-C Lot of 2 Used Working
21813 SynOptics 920-368-A DC/DC PCB 480-335-A 75W Used Working
21814 AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working
21815 NSK ESA-J2006AF3-2 Theta Axis Servo Motor Driver TEL Clean Track ACT12-300 Used
21816 Yaskawa SGDS-01A72A AC Servopack SGDS 4P2158-1-2 Used Working
21817 ASML Prodrive 6001-0202-2801 Controlled Power Module CPM-P20 4022-470-8838 Used
21818 Modus Instruments DA-1-09E-0-RFRR Display Alarm Lot of 2 Used Working
21819 Nikon 4S018-716 Relay Control Board PCB OPDCTRL3 NSR-S307E DUV 300mm Used
21820 Nikon 4S019-156 Processor Board PCB AVDRVX4B NSR-S307E DUV 300mm Used Working
21821 Nikon 4S019-156 Processor Board PCB AVDRVX4B NSR-S307E DUV 300mm Used Working
21822 Nikon 4S015-261 Processor Control Board PCB NK-C44-60R NSR-S307E DUV 300mm Used
21823 Nikon 4K177-955-1 Robot Controller Tazmo RRW-07 V4.2 NSR-S204B Used Working
21824 Kulicke and Soffa Industries 01471-4001-000-01 Processor Board PCB Card Used
21825 Kulicke and Soffa Industries 01483-4027-000-02 Video I/F Board PCB Card Used
21826 Kulicke and Soffa Industries 00835-4580-000-71 Interface Board PCB Card Used
21827 Kulicke and Soffa Industries 1471-4013-0-01 Relay Board PCB Card Used Working
21828 Kulicke and Soffa Industries 00835-4509-000 Wire List Board PCB Card Used
21829 Omron S82J-05024D Open Frame Power Supply 29431600102-A3 Reseller Lot of 6 Used
21830 Oriental Motor K0366-D Brushless DC Motor Driver Used Working
21831 TEL Tokyo Electron HA-009 Digital In Out Board EXT DIO #02 PCB Used Workin
21832 Kokusai D2E01080A Power Supply Board PCB PFS/A1 Used Working
21833 Jenoptik Infab 013501-083-17B Interface Board PCB Brooks 013501-090-17 Used
21834 National Instruments 180925C Interface Board PCB SC-2060 Used Working
21835 National Instruments 180935C-01 Interface Board PCB SC-2062 Used Working
21836 RECIF Technologies STDAH0347D Interface Board PCB PCB0347B Used Working
21837 RECIF Technologies STDAH0237A Pneumatic Output Board PCB PCB0237A Used Working
21838 GaSonics 12N-010-13 Intel Mechanization Board PCB Card 05003-001 Used Working
21839 RECIF Technologies MOBBH0131D CPU Processor Board PCB CPUAH0027A Used Working
21840 Texas Instruments RI-CPU-0001-03 TI-RFID Board TIRIS RFID PCB Used Working
21841 Texas Instruments RI-STU-MB6A-02 TIRIS RFM Board PCB RI-RFM-104B-01 Used Working
21842 RECIF Technologies PWRAH0168A Power Supply Board PCB PCB0168A Used Working
21843 Nikon 4S003-028 Relay Resistor Board PCB DCMD-L2 NSR-S306C Used Working
21844 Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3 Used
21845 SVG Silicon Valley Group 859-0727-010 Shutter Interlock Control PCB A2501 Used
21846 FSI 290104-400 Pneumatic Chemfill Interface Board PCB 209104-200 Used Working
21847 Nikon 4S008-036 Analog to Digital Converter Board PCB EP-X4 Used Working
21848 Therma-Wave 14-002863 Trackball Interface Board PCB 40-002862 Used Working
21849 Nikon Wafer Transfer Robot NSR-S306C 200mm DUV Scanning System Untested As-Is
21850 Nikon 4S013-364 Interface Board PCB BLPWX4 NSR-S205C Used Working
21851 Nikon 4S008-268 Power Supply Board PCB IU-PWR2-SRC Used Working
21852 Nikon 4S013-363 Interface Board PCB BLECX4 Used Working
21853 Daifuku CTV-3484A Interface Board PCB KK1984V-0 Used Working
21854 RECIF Technologies STDAH0130C Interface Board PCB PCB0130B Used Working
21855 Nikon 4S013-418 Robot Interlink Board RBTRLNK PCB Card Used Working
21856 Jenoptik Infab 812100038 131-25 Interface Board PCB 013501-130-17I1 AEz1 Used
21857 IEE 03601-82 Alphanumeric Fluorescent Display Board PCB 30703-03 Used Working
21858 Thermonics 1B-088-1A T2420R Front Panel Board PCB 1B-084-1D Used Working
21859 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used
21860 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30B TAS300 Load Port Used
21861 AMAT Applied Materials 0100-00579 System AC Distribution Board PCB Used Working
21862 Delta Design 1937019-503 2400W PFC Boost Supply Board PCB Rev. G-R Used Working
21863 Delta Design 1937019-501 2400W PFC Boost Supply Board PCB Rev. B Used Working
21864 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. C Used Working
21865 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. D Used Working
21866 Teledyne Harmonic Filter Board 1002363800 Rev. B Used Working
21867 Delta Design 2001-585-000 Power Supply Board PCB 2001-585-002 Used Working
21868 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. A Used Working
21869 Mean Well PD-2512 Power Supply PCB PD-25-R3 Reseller Lot of 2 Used Working
21870 Delta Design 1662040-501 AC Power Control 1308 Transformer Board PCB Rev. H Used
21871 Motorola 0708601 SBC Single Board Computer PCB 01-W3527F Rev. F/C4 Used Working
21872 ASM Advanced Semiconductor Materials 03-185614-02 WHS Sensor I/F Board PCB Used
21873 ASM Advanced Semiconductor Materials 03-186004D01 Option I/F Board PCB Used
21874 Delta Design 1941692502 Pick and Place Interface Board PCB Rev. F V1.47 Used
21875 Schlumberger IX Pin Slice Single Board 97923140 Rev. 3 Used Working
21876 Delta Design 1941692-501 Pick and Place Interface Board PCB Rev. F V1.47 Used
21877 Delta Design 1947941601 Pick and Place Interface Board PCB Rev. D Used Working
21878 Hitachi 571-71127 Hg-Lamp Position Monitor LMPMON1 571-7117 PCB I-900SRT Used
21879 Horiba STEC HC-100A Readout Display Module HC-100 RKC REX-C100 Used Working
21880 Coherent 0169-628-04AA Laser Emission Control Module 170C Innova Used Working
21881 Tokyo Flow Meter USF100A-K10EP Ultrasonic Flow Meter USF100A Honda Lot of 2 Used
21882 Tokyo Flow Meter USF100A-K15EP Ultrasonic Flow Meter USF100A Honda Lot of 2 Used
21883 Honda Electronics USF100A-G20EP Ultrasonic Flow Meter USF100A Lot of 2 Used
21884 +GF+ Signet 3-8750-1P pH/ORP Transmitter with 3-2720 Preamplifier Assembly Used
21885 Schlumberger Control Board 97924121 Rev. D Used Working
21886 Yamatake C40L6D0AS050D0 SDC40L Digital Indicating Controller SDC40 Used Working
21887 ASML 4022.472.4428 Programmable Logic Device VME PCB Card Used Working
21888 ASML 4022.471.5745 HSSL Processor VME PCB Card Used Working
21889 ASML 4022.471.63192 Indicator Processor VME PCB Card Used Working
21890 ASML 4022.471.8128 DC-DC-Converter VME PCB Card Used Working
21891 ASML 4022.471.5027 VME Timing Control TCB Syncbus Trigg PCB Card Used Working
21892 ASML 4022.471.63637 Fiber Optic Status Processor VME PCB Card Used Working
21893 SBS Technologies 91415171 IP-Octal Plus-232-S00003 PCB AMAT 0190-12159 New
21894 ASML 4022.471.6292 DC to DC Convertor Board PCB Card 05 Used Working
21895 ASML 4022.471.6702 Interface Board PCB Card 16 4022.471.66981 Used Working
21896 Teledyne 1001086000B VC-99 Interface Board working
21897 ASML 4022.471.6700 Interface Board PCB Card 15 4022.471.66981 Used Working
21898 ASML 4022.471.6697 Interface Board PCB Card 14 4022.471.66981 Used Working
21899 ASML 4022.471.6285 Processor Board PCB Card 10 4022.471.62841 Used Working
21900 ASML 4022.471.7420 Processor Board PCB Card 16 4022.471.74221 Used Working
21901 ASML 4022.471.7421 Processor Board PCB Card 17 4022.471.74221 Used Working
21902 ASML 4022.471.6276 Processor Board PCB Card 11 4022.471.62751 1/6 Used Working
21903 ASML 4022.471.6282 Processor Board PCB Card 13 4022.471.62811 Used Working
21904 ASML 4022.471.6607 AT IM ZZA T1100 RP Board PCB Card 19 4022.471.61591 Used
21905 ASML 4022.471.7852 Interface Board PCB Card 18 4022.471.78531 Used Working
21906 ASML 4022.471.6980 Interface Board PCB Card 20 4022.471.69811 Used Working
21907 ASML 4022.471.6678 Interface Board PCB Card 17 4022.471.66791 Used Working
21908 ASML 4022.471.6782 Interface Board PCB Card 03 4022.471.62591 Used Working
21909 ASML 4022.471.6341 AT IM ISB2-3 RP Board PCB Card 09 4022.471.62721 Used
21910 ASML 4022.471.6279 AT IM MC2 RP Board PCB Card 12 4022.471.62781 Used Working
21911 ASML 4022.471.7155 Interface Board PCB Card 19 4022.471.71601 Used Working
21912 ASML 4022.471.7156 Interface Board PCB Card 20 4022.471.71601 Used Working
21913 ASML 4022.471.7157 Interface Board PCB Card 22 4022.471.71601 Used Working
21914 ASML 4022.471.7158 Interface Board PCB Card 23 4022.471.71601 Used Working
21915 ASML 4022.471.7159 Interface Board PCB Card 25 4022.471.71601 Used Working
21916 Teledyne 1001840702A Power Supply Board working
21917 ASML 4022.471.7153 Interface Board PCB Card 25 4022.471.71541 Used Working
21918 ASML 4022.471.7152 Interface Board PCB Card 28 4022.471.71541 Used Working
21919 ASML 4022.471.6712 Interface Board PCB Card 12 4022.471.56171 Used Working
21920 ASML 4022.471.6809 Interface Board PCB Card 03 4022.471.57531 Used Working
21921 ASML 4022.471.4657 Interface Board PCB Card S15 4022.471.46581 Used Working
21922 ASML 4022.471.5845 Interface Board PCB Card S14 4022.471.58481 Used Working
21923 ASML 4022.471.4504 MSL LED Indicator Board PCB Card Used Working
21924 ASML 4022.471.6707 Interface Board PCB Card 01 4022.471.67081 Used Working
21925 ASML 4022.471.7726 DC/DC Converter Board PCB Card 4022.471.77311 Used Working
21926 ASML 4022.471.6943 DC/DC Converter Board PCB Card 02 4022.471.69441 Used
21927 ASML 4022.471.7281 Relay LED Indicator Board PCB Card Used Working
21928 ASML 4022.471.5673 Encoder Board PCB Card 100-0000-114 Used Working
21929 ASML 4022.471.6418 Capacitor Board PCB Card Used Working
21930 Motorola 01-W3839F25A MVME 2431 Processor PCB Card ASML 4022.470.6469 Used
21931 ASML 4022.471.6363 Fiber Optic Processor VME PCB Card 4022.471.63651 Used
21932 Nikon 4S065-531 Control Module LZR-OPT-2 NSR-S620D ArF Immersion Used Working
21933 TEL Tokyo Electron 5087-400486-15 Dev.Solution 2 Valve CKD AMF-V-X1 Lithius Used
21934 TEL Tokyo Electron 5087-400486-15 Dev.Solution 1 Valve CKD AMF-V-X1 Lithius Used
21935 CKD AMF-V-X1 Valve AMF TEL Dev.Solution 2 5087-400486-15 Lithius DEV System Used
21936 CKD AMF-V-X1 Valve AMF TEL Dev.Solution 1 5087-400486-15 Lithius DEV System Used
21937 Nikon 4S604-203-1 Magnetic Linear Rail ULSI-2390-NQ21 NSR-S307E DUV Used Working
21938 Nikon 4S604-201-2 Magnetic Linear Rail ULSI-2390-NQ11 NSR-S307E DUV Used Working
21939 Nikon 4S604-203-2 Magnetic Linear Rail ULSI-2390-NQ11 NSR-S307E DUV Used Working
21940 Nikon 4S587-625 AVIS2 ERG Amplifier SEA241A NSR-S307E DUV 300mm Used Working
21941 Nikon Power Supply Module 4S001-107 NSR-S307E DUV 300mm Used Working
21942 Regal FS-30S Flow Sensor Lot of 8 Used Working
21943 Regal FS-30S Flow Sensor Lot of 8 Used Working
21944 Nikon Power Supply Module 4S001-107 NSR-S307E DUV 300mm No Cover Used Working
21945 Nikon Power Supply Module 4S001-102 NSR-S205C Step-and-Repeat Used Working
21946 Kensington Laboratories WFH3B TT/LR/HS Wafer Handling Robot Used Working
21947 TEL Tokyo Electron OEM Operation Manual Set Clean Track Lithius Used
21948 TEL Tokyo Electron 5093-M00118-11 Electrical System Diagram Manual Lithius Used
21949 Kensington Laboratories 4000D Servo Positioning Controller Tilt and Scan Used
21950 RKC Instrument REX-F7 High Limit Controller 0-400°C Used Working
21951 AVAL Data AVME-142 Processor Board PCB MPU-42 TSA-235C Used Working
21952 AVAL Data AVME-352 6 Channel Interface PCB Board SIO Used Working
21953 Motorola MVME-162-223 VME Interface Board PCB 01-W3059F Used Working
21954 Tachibana Tectron TVME2500 VME PCB Card NEC-16T Rev. B TVME2500-CRD Used Working
21955 Kuroda UV-510 Uniwire VME Interface Board PCB PB-0792-A IG6 Used Working
21956 Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S205C Used
21957 Nikon LANRCSLIFX4 Interface Board PCB FarmVer. 1.01 NSR-S307E DUV 300mm Used
21958 Nikon 4S001-093 Power Supply Board PCB PW-NK NSR Used Working
21959 Nikon 4S001-092 Power Supply Board PCB PW-NK NSR Used Working
21960 Nikon 4S018-852-3 Detector Board PCB EP-GW 1-677-707-13 NSR-S205C Used Working
21961 Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S307E DUV Used Working
21962 Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S307E DUV Used Working
21963 Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S307E DUV Used Working
21964 Nikon 4S018-922-B Control Board PCB IU-DRV1-X4P NSR-S307E Used Working
21965 Nikon 2S700-555 Video Sync Board OST MST PCB 2S015-064-4 V5.16A Used Working
21966 Nikon 4S015-316 CPU Control PCB Card ACP-112(Slave) NSR-S307E Used Working
21967 Nikon 4S015-315 CPU Control Card PCB ACP-112(Master) NSR-S307E Used Working
21968 Nikon 4S085-431-1 Carrier Module Card PCB 4S025-171 4S019-414-1 Used Working
21969 Nikon 4S001-070-1 Power Supply Card PCB PW-NE DDP-029-A Used Working
21970 Nikon 4S005-342 Interface Board PCB KAB11000/3401-1 Nikon OPTISTATION 7 Used Working
21971 Nikon 4S018-768 Processor Board PCB NA-IOP-I/F PRT M-040 Used Working
21972 Nikon 4S018-768 Processor Board PCB NA-IOP-I/F PRT M-040 Used Working
21973 Nikon 4S003-057 Relay Board PCB STG-I/F1 KAB11005/501-0 Optistation 7 Used
21974 Nikon 4S018-402 Interface Board PCB MTH-8SH Used Working
21975 Nikon 4S018-866 Relay Control Card PCB PPD3X4 NSR-S205C Step-and-Repeat Used
21976 Nikon 4S019-147-A Processor Control Board PCB REX-MTR NSR Used Working
21977 Nikon 4S001-122-1 Power Supply Card PCB MSE378 NSR Used Working
21978 Nikon 2S700-323-2 Relay Card PCB S-AF(H) 2S020-020-5 Used Working
21979 Nikon 2S005-228-3 Relay Control Board PCB MCR-CNTRL2 Optistation 7 Used Working
21980 Nikon 4S020-207-1 Processor Control Board PCB AF-CNT Optistation 7 Used Working
21981 Nikon 4S019-461 Processor Card PCB BSA-OTH CAB03NK037 NSR Used Working
21982 Nikon 4S019-460 Processor Card PCB BSA-MOT CAB03NK036 Used Working
21983 Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat Used
21984 Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat Used
21985 Nikon 4S014-145 Interface Bus Board PCB AF-BUSX2 NSR-S204B Step-and-Repeat Used
21986 Nikon 4S015-130-1 Interface Card PCB NK-C31D21 NSR-S204B Step-and-Repeat Used
21987 Nikon 4S025-340-1 Interface Control Card PCB STGX8_HT NSR-620D Used Working
21988 Nikon 4S025-350 RemoteIO-Comm Card PCB NSR-S620D Immersion Scanner Used Working
21989 Nikon 4S015-172-1 Processor Card PCB NK-C441-1-50 NSR-S205C Used Working
21990 Nikon 4S025-300-1 Interface Board PCB X8_WLDB NSR-S620D Immersions Used Working
21991 Nikon 4S018-867 Proccesor Control Card PCB PPD3X4-I/F NSR 4S015-227 Used Working
21992 Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S205C Step-and-Repeat Used
21993 Nikon 4S025-356 IO-COM4 Interface Card PCB 4S013-768 NSR-S620D Used Working
21994 Nikon 4S018-870-B Interface Board PCB ALGMTH-X42 NSR Used Working
21995 Nikon 4S019-061 Processor Card PCB AVDRVX4VE NSR-S205C Step-and-Repeat Used
21996 Nikon 4S018-723 Relay Board PCB PWMDRV1 NSR SEK-K Used Working
21997 Nikon 4S018-693 Processor Control Card PCB FPIF-IMAC NSR-S307E DUV Used Working
21998 Nikon 4S013-510 Interface Card PCB SPAIFX4B NSR-S307E DUV Used Working
21999 Nikon 4S014-178 Linear Pulsemotor Control Card PCB ULYCNT NSR-S307E DUV Used
22000 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working
22001 Nikon 4S003-057 Interface Board PCB STG-I/F1 KAB11005/501-0 Optistation 7 Used
22002 Nikon 4S018-885 Interface Board PCB CPCI-IF NSR-S307E DUV Used Working
22003 Nikon 4S025-719 Processor Control Card PCB STGXBV1_SL-WS NSR-S620D Used Working
22004 Nikon 4S022-001 Single Board Computer IF3X8-2 NSR-620D Immersion Used Working
22005 Nikon 4S015-499-FP Single Board Computer PCB NK-MPC7457 NSR-S620D Used Working
22006 Nikon 4S025-072-1 Processor Card PCB IF2X8-HUB NSR-S620D Immersion Used Working
22007 Keithley Multimeter 177 Microvolt DMM Used Working
22008 Nikon 4S025-563 Interface Control Card PCB AFX8IF NSR-S620D Immersion Used
22009 Nikon 4S001-142 Power Supply Relay Card PCB AFX8PW NSR-S620D Used Working
22010 Nikon 4S008-119-1 Processor Control Card PCB XYDRV SNR-S307E DUV Used Working
22011 Nikon 4S018-705 Relay Board PCB RL-LøHSP NSR-S204B Step-and-Repeat Used Working
22012 Nikon 4S025-492 Processor Control Board PCB BK_WARIO NSR-S307E Used Working
22013 Nikon 4S025-282-1A Interface Control Card PCB X8_WLIO NSR-S620D Used Working
22014 Nikon 4S015-501-1 Single Board Computer PCB STG51 NSR-S620D Used Working
22015 Nikon 4S019-645-1 Processor Control Card PCB AFX6BD1-MAIN NSR-S620D Used Working
22016 Thermo Gas Tech Genesis Gas Detector working
22017 Nikon 4S018-767 Interface Control Board PCB L.TEMPX4 NSR Used Working
22018 Nikon 4S018-767 Interface Control Board PCB L.TEMPX4 NSR Used Working
22019 Nikon 4S019-083 Interface Adapter Card PCB RST-ADPT NSR-S307E DUV Used Working
22020 TEL Tokyo Electron 850 CPL Transition Chill Plate ACT12 200mm Used Working
22021 HP Hewlett-Packard Pulse Function Generator 8116A Used Working
22022 Kensington Laboratories CSMT Scanner and Tilt Arm Assembly CSMT-4 Used Working
22023 Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-01 55010-10 Used Working
22024 Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-51 55010R-10 Used Working
22025 Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-51 55010R-10 Used Working
22026 Edwards D37232212 iH Sim NIM Board PCB 801-1047-01 Used Working
22027 Edwards NRY0RH402 Vacuum Pump Interface Cable Reseller Lot of 6 Used Working
22028 HP Hewlett-Packard D2572B System Control PC with Monitor Kensington CSMT-4 Used
22029 Hitachi 560-5530 COL-CN2 Power Relay PCB Hitachi S-9300 CD SEM Used Working
22030 TEL Tokyo Electron HTE-IFA-B-W1 IFB Add On Board PCB TAB5300-W ACT12 Used Working
22031 Yokogawa DR1200A00 *1C/96S2895 DD Servo Actuator TEL Tokyo Electron ACT12 Used
22032 Yokogawa DR1200A00 *1C/96S2895 DD Servo Actuator TEL Tokyo Electron ACT12 Used
22033 Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M with 9700-6224-02 Sensor Used
22034 Asyst 9700-6584-01 Advan Tag RFID Reader ATR-9000 with 9700-6224-02 Sensor Used
22035 Axcelis Microwave Waveguide Assembly ASTeX GL219 2M256 Untested As-Is
22036 TEL Tokyo Electron X AXIS (CRA) IPL Career Station 300mm Wafer Handler Lithius Used
22037 Kniel 303-013-04 .03 Power Supply PCB Card CP 8,5.2/SEMI ASML 4022.476.01311 Used
22038 Aerotech MXH50-D-16M High Res Encoder Signal Multiplier EFN01009 Y-Axis Used
22039 Aerotech MXH50-D-16M High Res Encoder Signal Multiplier EFN01009 X-Axis Used
22040 Kniel 314-200-04 .03 Power Supply PCB Card CP 8,5.2/SEMI ASML 4022.436.72661 Used
22041 Fisher Berkeley B00111-06 Ektacom Volume Module PE-14-R Used Working
22042 Panasonic MSMA022S2G AC Servo Motor Clean Track Lithius Used Working
22043 Yaskawa SGDA-02A12A Servo Drive Servopack 200V Used Working
22044 TEL Tokyo Electron 2985-423760-W3 IFB Interface Block Cooling ACT12-300 Used
22045 Aera FC-D985CT-BH Mass Flow Controller MFC FC-D985C 100 CCM 4%H2/N2 Used Working
22046 KLA Instruments Power Supply Rack KLA-Tencor AIT Fusion Used Working
22047 TEL Tokyo Electron CPC-G229A01B-11 Pump Connection Board Clean Track ACT12 Used
22048 Pro-Face GP377R-TC41-24V HMI Graphic Control Panel GP377R-MLTE41 Used Working
22049 Yamatake DMC50 Multi-Loop Controller Set of 3 DMC50CS DMC50M Used Working
22050 KLA-Tencor 0024480-000 Single Lens Right Assembly 0019774-000 AIT Fusion UV Used
22051 KLA-Tencor 0022418-000 Reflector Lens Rev. AA AIT Fusion UV Used Working
22052 Ebara 305W PUMP CONTROLLER Used
22053 Aera FC-D980C MFC Mass Flow Controller Multi N2CF 1.000 USED
22054 Aera FC-985C MFC MASS FLOW CONTROLLER 20SCCM CH2F2 USED
22055 Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 50SCCM C4F6 USED
22056 Tylan General FC-2902MEP5 MFC MASS FLOW CONTROLLER 10SCCM O2 USED
22057 Aera FC-D985C MFC MASS FLOW CONTROLLER 25SCCM CH2F2 USED
22058 Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 200SCCM CL2 USED
22059 Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 20SCCM O2 USED
22060 Tylan General FC-2902MEP MFC MASS FLOW CONTROLLER 20SCCM C4F8 USED
22061 Tylan General FC-2902MEP-T MFC MASS FLOW CONTROLLER 1000SCCM O2 USED
22062 Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 5000SCCM O2 USED
22063 Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 100SCCM O2 USED
22064 Aera FC-D980C MFC MASS FLOW CONTROLLER Multi-2 USED
22065 Aera FC-D980C MFC MASS FLOW CONTROLLER Multi 4 USED
22066 Aera FC-D980C MFC MASS FLOW CONTROLLER Multi-5 USED
22067 Aera FC-D980C MFC MASS FLOW CONTROLLER Multi-1 USED
22068 Aera FC-980C MFC MASS FLOW CONTROLLER 50SCCM C5F8 USED
22069 Aera FC-980C MFC MASS FLOW CONTROLLER 50SCCM SF6 USED
22070 Aera FC-980C MFC MASS FLOW CONTROLLER 50SCCM SF6 USED
22071 Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 50SCCM O2 USED
22072 Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 100SCCM CF4 USED
22073 Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 150SCCM CF4 USED
22074 Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 100SCCM CL2 USED
22075 Ebara 217407 PUMP CONTROLLER w/EMO switch USED
22076 ATMI CAMP-000235 CANISTER NEW
22077 Applied Materials 0200-10325 Ceramic Dome DPS Metal
22078 MKS Instruments 252D-1-VPO Exhaust Valve Controller Type 252 Used Tested Working
22079 UNIT Instruments UFC-8100 MFC Mass Flow Controller 20cc NF3 USED
22080 Tylan General FC-2960 MEP5 MFC Mass Flow Controller 4SLPM N2 USED
22081 Tylan General FC-2960 MEP5 MFC Mass Flow Controller 4SLPM N2 USED
22082 UNIT Instruments UFC-1661 MFC Mass Flow Controller 750SCCM C12 Edge Card D-Sub RJ-45P PID FW: 1.02 USED
22083 AERA FC-985CT-BF MFC MASS FLOW CONTROLLER 100SCCM CF4
22084 TYLAN FC-2950MEP5 MFC MASS FLOW CONTROLLER 2950 200SCCM CL2
22085 TURBOTRONIK NT 20 Leybold 855 62 Pump Controller NT20 SW2.5 Tested Working Spare
22086 LPG-1AT ENI LPG-1ATM3 RF Generator 100W @ 450KHz Used Tested Working
22087 Aera FC-D980C MFC Mass Flow Controller 100SCCM N2 USED
22088 Tylan General FC-2960MEP5 MFC Mass Flow Controller 10SLPM N2 USED
22089 Tylan General FC-2960MEP5 MFC Mass Flow Controller 0.05SLPM N2 USED
22090 Tylan General FC-2960MEP5 MFC Mass Flow Controller 500SCCM N2 USED
22091 Tylan General FC-2960MEP5 MFC Mass Flow Controller 20SLM N2 USED
22092 Tylan General FC-2910V MFC MASS FLOW CONTROLLER 2900 50SLPM O2 USED
22093 Blackston Ultrasonics 910217 WJ TANK NEW
22094 Haskris WW1 Chiller sold as-is
22095 Nikon 4S064-957 DC Power Supply VDBC0002902 Used Working
22096 Nikon 4S019-460 PCB Circuit Board BSA-MOT Used Working
22097 Nikon 4S019-461 PCB Circuit Board BSA-OTH Used Working
22098 Nikon TCCNT 2S700-582-1 PCB Circuit Board 2S014-035-5 Used Working
22099 Nikon CCCNT 2S700-583-1 PCB Circuit Board 2S014-036-4 Used Working
22100 Nikon SCNT 2S700-581 PCB Circuit Board 2S014-034-1 Used Working
22101 Nikon PCB Circuit Board SCPU 2S700-580-1 2S014-033-4
22102 Daihen SMA-20B Microwave Assembly Hitachi M-712E used working
22103 AMAT Circuit Board Focus PSU Interface 0100-01877 AMAT Quantum working
22104 AMAT Circuit Board Decel PSU Interface 0100-01697 AMAT Quantum working
22105 AMAT 0100-91015 Circuit Board Monitor Interface Rev E AMAT Quantum used working
22106 AMAT Guiding Tube Circuit Board 0090-91085 AMAT Quantum Impanter working
22107 AMAT 0100-94078 Argon Oxygen Bleed and Charge Monitor Circuit Quantum X works
22108 Nikon Circuit Board 4S018-885 CPCI-IF NSR-S307E Nikon Control Rack Sub Alignment
22109 Nikon NSR SEA141A 4S587-624 AVIS1 ERG AMP 4S013-374-2 Nikon NSR-S205C used works
22110 MDG039 SL-3020 Screen Circuit Board PMCDIV PC-97010 DNS Screen FC-3000
22111 PG104L-04 Mycom Circuit Board MY5211-047A M4COM DNS Screen FC-3000
22112 DNS SL-1011 Screen Circuit Board HLS-VME2 PC-97002A DNS Screen FC-3000
22113 DNS SL-3010 Screen Circuit Board COMDIV PC-97009 DNS Screen FC-3000
22114 PC-97010 M4COM PC-97002A 252SE PC-97009 DNS Screen FC-3000 Chassis used working
22115 Nikon Sony EP-GW Circuit Board 4S018-852-3 1-677-707-13 BD29A Nikon NSR-S205C
22116 Nikon IFIOPIF NK8601A Circuit Board 4S013-355 4S015-227 Nikon NSR-S307E working
22117 AMAT 0100-01698 Source Magnet Control PCB Quantum X Beamline Rack used working
22118 AMAT 0100-01415 Vendor Interface A Mag PCB Quantum X Beamline Rack used working
22119 AMAT Vendor Interface Pre-Accel 0100-01319 Circuit Board AMAT Quantum X
22120 AMAT TPDU Monitor 0100-90492 Circuit Board AMAT Quantum X Beamline Control Box
22121 AMAT Contacter Drive 0100-90385 Circuit Board AMAT Quantum X working
22122 AMAT daq Mk11 type H 0090-90967 Circuit Board AMAT Quantum X working
22123 AMAT Applied Materials Beamline Vacuum Control panel 0100-90533 AMAT Quantum X
22124 AMAT Turbo Pump Interface 0100-01326 Circuit Board AMAT Quantum X working
22125 AMAT Vacuum Gauge Interface 0100-90710 Circuit Board AMAT Quantum X Beamline Control Rack Rev D working
22126 AMAT Applied Materials 0100-90881 Vacuum Interlock PCB Card Used Working
22127 AMAT Enhanced Purge I/Lock 0100-01925 Circuit Board AMAT Quantum X working
22128 AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working
22129 Nikon 4S017-866-B Circuit Board Interferometer STGLIUIF Nikon NSR Working
22130 Nikon 4S018-379 Circuit Board SPIOX2 Nikon NSR-S204B working
22131 Tylan FC-2979MEP5-WM Mass Flow Control 1.5 SLPM O2 used working
22132 Nikon 2S015-064-4 Circuit Board MST 2S5700-55 working
22133 Nikon Optistation 7 Circuit Board STG-I/F1 2S003-0567 working
22134 Nikon Optistation 7 Circuit Board MCR-CNTRL2 KAB11000/3802-3 2S005-228 working
22135 Nikon Optistation 7 Circuit Board AF-CNT-KAB11110/3201-0 4S020-207-1 working
22136 Nikon Optistation 7 Circuit Board MIC-CNT-KAB11000/3401-1 4S005-342-2S005-230
22137 Nikon 4S001-070-1 Power Supply Board PW-NE working
22138 Nikon 4S018-402 Circuit Board MTH-8SH working
22139 Opal 50312570000 Circuit Board CVC Board AMAT SemVision cX 300mm used working
22140 Nikon 4S014-178 LDYCNT Circuit Board Nikon NSR-S307E Wafer Loader works 0326893
22141 Nikon 4S008-119-1 XYDRV Circuit Board Nikon NSR-S307E Wafer Loader works A40017
22142 Opal 50312560000 Circuit Board CCS Board AMAT SemVision cX 300mm used works
22143 Opal 50312575100 Circuit Board ETD Board AMAT SemVision cX 300mm used working
22144 Opal 50312540200 Circuit Board DVD Board AMAT SemVision cX 300mm used working
22145 Opal MIS2 Board 30612550000 Circuit Board AMAT SemVision cX 300mm used working
22146 Opal 30612530100 Circuit Board SRA3 Board AMAT SemVision cX 300mm used working
22147 Nikon WL3M0T5 Circuit Board 4S007-953-A Nikon NSR-S204B Wafer Loader working
22148 Ultrapure DI/Glycol Tank used working
22149 Nikon 4S587-470-3 Linear Motor Controller SPA452B Nikon NSR-S307E Wafer Loader
22150 Nikon 4S587-625 AVIS2 ERG AMP SEA241A Nikon NSR-S307E used working
22151 Nikon 4S588-087-1 RF Linear Motor Controller SPA355C Nikon NSR-S307E used works
22152 Nikon 4S587-472-2 Linear Motor Controller SPA454B Nikon NSR-S307E used working
22153 Nikon 4S018-693 FPIF-IMAC Circuit Board Nikon NSR-S307E Wafer Loader working
22154 AMAT 0100-00970 Spin Window Circuit Board AMAT Quantum X Control Rack working
22155 AMAT 0100-91087 SOL/FIL EXT Interface Circuit Board AMAT Quantum X Control Rack
22156 AMAT 0100-90650 Wheel Current Circuit Board AMAT Quantum X Beamline Control Rack
22157 AMAT 0100-01844 Charge Voltage Circuit Board AMAT Quantum X Beamline Contrl Rack
22158 Hitachi High Tech. 2-A00624-90 300mm DZ-ISL Electrode Cover Hitachi M-712 Etcher
22159 Nikon 4S019-147-A Circuit Board REX-MTR Nikon NSR
22160 Nikon 4S003-057 Circuit Board STG-I/F1 KAB11005/501-0 NikonOptistation 7 works
22161 Nikon 4S018-723 Circuit Board PWMDRV1 Nikon NSR
22162 Nikon 4S018-922-B Circuit Board IU-DRV1-X4P Nikon NSR working
22163 DIP 294-2 Device Net I/O Block 9090-00273 AMAT Quantum X Process Module working
22164 Nikon 4S001-122-1 Circuit Board Nikon NSR
22165 Nikon 4S019-432 C-Power Module Circuit Board Nikon NSR
22166 Nikon 4S015-315-ACP-112 Circuit Board Nikon NSR
22167 Nikon 4S015-316-ACP-112 Circuit Board Nikon NSR
22168 Nikon 4S018-768-NA-IOP-I/F Circuit Board
22169 AMAT Applied Materials 9090-00314 Circuit Board daq Mk11 Type H
22170 Nikon 4S001-093 Circuit Board Power Supply Nikon NSR
22171 KLA Tencor 376299 Rev AB ISA13/PCI6 Backplane Circuit Board KLA SP1-TBI working
22172 KLA-Tencor Operator User Interface Surfscan SP1 TBI Untested As-Is
22173 Nor-Cal Products ESV-1002-NWB Manual Angle Isolation Valve used working
22174 Nikon 2S700-323-2 PCB Circuit Board 2S020-020-5 Used Working
22175 Festo LR-M1-G1 Regulator Valve Lot of 8 used working
22176 SMC SS5T3-42-04-CSF-Q Z-3797 Manifold SY3340R-5LOU-Q SY3240-5LOU-Q Lot of 9
22177 Nikon NSR-S204B Pneumatic Block used working
22178 Nikon 4S013-510 PCB SPAIFX4B Nikon NSR-S307E used working
22179 Nikon NSR-S205C Wafer Stage Cable Guide Main Body Used Working
22180 Nikon NSR-S205C 4S018-867- PPD3X4-I/F Circuit Board w/ Aux Board used working
22181 Nikon 4S018-866- Circuit Board PPD3X4 Nikon NSR-S205C used working
22182 MKS Type 640 Pressure Controller 640A12TW1VA2V 100 TORR used working
22183 Nikon 4S015-215 LC ADPT Circuit Board Nikon NSR-S307E used working
22184 Nikon 4S587-468-2 WX Linear Motor Controller SPA451A Nikon NSR-S307E used works
22185 Nikon 4S588-087 RF Linear Motor Controller SPA355B Nikon NSR-S307E used working
22186 Nikon IFIOPIF NK8601A Circuit Board 4S013-355 4S015-227 Nikon NSR-S205C working
22187 Nikon LANRCSLIFX4 Circuit Board Assembly Nikon NSR-S307E used working
22188 Nikon 4S001-065 Circuit Board Nikon MSE182C NSR-S307E used working
22189 Nikon 4S019-288-1 Circuit Board IFISIGCOR Nikon NSR-S205C used working
22190 Nikon 4S013-487 PCB IFIOPIF3 w/ 4S015-259 PCB NK8241 Nikon NSR-S307E used works
22191 Nikon 4S019-288-1 Circuit Board IFSIGCOR Nikon NSR-S307E used working
22192 Nihon Koshuha MBA-010-H-2 1kW RF Matching Box Assembly used working
22193 Nikon 4S019-262 Circuit Board IU-DRV2-X4B1 used working
22194 Aera FC-D985CT-BH Mass Flow Controller CF4(0.419) 200 CCM used working
22195 Aera FC-D985CT-BH Mass Flow Controller 4% CH4/Ar 500 CCM used working
22196 Aera FC-D985CT-BH Mass Flow Controller 4% H2/N2 100 CCM used working
22197 Nikon 4S018-870-B Circuit Board ALGMTH-X42 Rev C used working
22198 MKS 152H-P0 type 152 Automatic Pressure Controller GaSonic A-2000LL used sold as
22199 AMAT 0100-01485 X Sensor PCB Rev B AMAT Quantum X PRA Rack used working
22200 AMAT 0100-01486 Y Sensor PCB Rev B AMAT Quantum X PRA Rack used working
22201 AMAT 0100-01487 Gripper IN LL PCB Rev B AMAT Quantum X PRA Rack used working
22202 AMAT 0100-01488 Z Sensor PCB Rev B AMAT Quantum X PRA Rack used working
22203 AMAT 0100-01489 E-Chuck PCB Rev A AMAT Quantum X PRA Rack used working
22204 AMAT 0100-01491 Beam Align PCB Rev C AMAT Quantum X PRA Rack used working
22205 AMAT 0100-01490 Faraday Alignment PCB Rev C AMAT Quantum X PRA Rack used working
22206 Shinwa RCV-3-11E-D Motor Valve
22207 Nikon 4S065-531 LZR-OPT-2 PCB Module Nikon NSR-S620D used working
22208 Nikon 4S015-130-1 PCB NK-C31D21 Nikon NSR-S204B Lot of 5 used working
22209 Nikon 4S019-156 Circuit Board DVRVX4B Nikon NSR-S307E used working
22210 Nikon 4S015-261 Circuit Board NK-C44-60R Nikon NSR-S307E used working
22211 ASML ASSY 859-0927-009A Circuit Board MAJN032 859-0904-007A used working
22212 ASML ASSY 859-0927-009A Circuit Board MAJN032 859-0904-007A used working
22213 ASML ASSY 854-8301-006C Circuit Board 851-8240-007K 854-8302-003B used working
22214 ASML ASSY 854-8301-006C Circuit Board 851-8240-007K 854-8302-003B used working
22215 ASML ASSY 859-0741-006B Circuit Board DSP Mother Board 851-8240-007K working
22216 ASML ASSY 859-0741-006B Circuit Board DSP Mother Board 851-8240-007K working
22217 ASML ASSY 859-0743-018-C Circuit Board 858-8040-012A 851-8240-008A used working
22218 ASML ASSY 851-8226-008B Circuit Board 4 Axis Counter A1212 used working
22219 ASML ASSY 851-8226-008B Circuit Board 4 Axis Counter A1212 used working
22220 ASML 859-8218-002Q Circuit Board ADC/ELPS Interface A1206 used working
22221 ASML 859-8218-002Q Circuit Board ADC/ELPS Interface A1206 used working
22222 ASML ASSY 859-8379-001B Circuit Board 851-8554-003F SBX/STD BUS used working
22223 ASML ASSY 859-8379-001B Circuit Board 851-8554-003F SBX/STD BUS used working
22224 ASML RadiSys Corp 879-8103-002-A Circuit Board used working
22225 ASML 859-8147-001E Circuit Board 851-8833-001A 859-0529-004J used working
22226 ASML 859-8147-001E Circuit Board 851-8833-001A 859-0529-004J used working
22227 ASML RadiSys Corp 859-8150-002B Circuit Board used working
22228 ASML RadiSys Corp 859-8150-002B Circuit Board used working
22229 ASML ASSY 859-0743-017C Circuit Board 858-8040-012A 851-8240-007K used working
22230 ASML ASSY 851-8226-008A Circuit Board 4 Axis Counter A1212 used working
22231 ASML ASSY 854-8301-006A Circuit Board 854-8302-003A 851-8240-007H used working
22232 ASML ASSY 859-0741-006A Circuit Board DSP Mother Board 851-8240-007K working
22233 ASML ASSY 859-0743-017A Circuit Board 858-8040-012A 851-8240-007K used working
22234 ASML ASSY 859-0927-008-G Circuit Board 859-0904-006C used working
22235 ASML ASSY 859-0927-008F Circuit Board 859-0904-006C used working
22236 ASML ASSY 859-0743-010A Circuit Board 858-8040-007D 851-8240-007F used working
22237 ASML ASSY 859-0741-004-G Circuit Board 851-8240-007F used working
22238 ASML ASSY 859-0942-004G Circuit Board 851-8240-007G used working
22239 ASML ASSY 851-8226-008A Circuit Board A1212 4 Axis Counter used working
22240 ASML ASSY 859-8218-002F Circuit Board A1206 ADC/ELPS Interface used working
22241 ASML RadiSys 879-8103-001A Circuit Board used working
22242 ASML 859-8147-001B Circuit Board 859-0529-004A 851-8833-001A working
22243 ASML RadiSys 859-8150-002A Circuit Board used working
22244 ASML ASSY 859-8379-001-02 Circuit Board SBX/STD BUS 851-8554-003E used working
22245 V-Tex 3D80-001812-V1 Door Slit Valve Rollcam TEL Tokyo Electron T-3044SS Used
22246 Horiba STEC PV-2103MC Piezo Valve PV-2000 used working
22247 Nikon 4S018-767 Circuit Board L.TEMPX4 used working
22248 Nikon 4S025-719 Circuit Board STGX8V1_SL-WS Nikon NSR-S620D used working
22249 Nikon 4S025-356 PCB IO-COM4 w/ Sub-PCB 4S013-768 Nikon NSR-S620D used working
22250 Nikon 4S025-300-1 PCB X8_WLDB Nikon NSR-S620D used working
22251 Nikon 4S019-645-1 PCB AFX6BD1-Main w/ 2 AFX6BD1 Nikon NSR-S620D used untested
22252 Nikon 4S025-072-1 PCB IF2X8-HUB Nikon NSR-S620D used untested
22253 Nikon 4S025-350 Remote IO-COM PCB Nikon NSR-S620D used untested
22254 Nikon 4S015-490-1 PCB NK-MPC7547-1200DS2 Nikon NSR-S620D used untested
22255 Nikon 4S015-499 PCB w/ 4S015-500 & 4S025-552 used working
22256 Nikon 4S025-476 PCB w/ Sub M00452 Nikon NSR-620D used untested
22257 Nikon 4S022-001 Single Board Computer Nikon NSR-620D used working
22258 Nikon 4S025-340-1 Single Board Computer Nikon NSR-620D used working
22259 Nikon 4S025-340-1 Single Board Computer Nikon NSR-620D used working
22260 Nikon 4S001-142 Single Board Computer Nikon NSR-620D used working
22261 Nikon 4S025-282 Circuit Board X8_WLIO Nikon NSR-S620D used working
22262 Asyst 9700-6584-01 Advan Tag RFID PB 90M Rev E Kokusai DD-1203V 300mm working
22263 Vicor 4kW Mega Pac MXB-41001-33-EL Power Supply used working
22264 Vat 96603-01 Pendulum Plate used working
22265 Kokusai Electric CX1229-2 Controller Module Kokusai Vertron Used Working
22266 Nikon 4S587-604 Switch Box WYL SWB1 used working
22267 Nikon 4S018-705 PCB RL-L0HSP NSR-S204B used working
22268 Nikon Wafer Slider Side Slider Broken End Effector NSR-S204B Used Untested As-Is
22269 Nikon 4S008-114 PCB ALGAF-PA-X4+ used working
22270 AMAT 0100-02195 SDS Gas Interlock PCB Rev A Quantum X used working
22271 Nikon Circuit Board 4S001-061 PW-NB Nikon NSR-S205C Control Rack working
22272 Nikon 4S008-115 PCB Circuit Board ALGAF-P/D-X4 Used Working
22273 RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Used Tested Water Leak As-Is
22274 Hitachi Kokusai F12K1550VH Left and Right Tube Furnace Used Working
22275 RGA-50C Daihen RGA-50C-V RF Power Generator Used Tested Working
22276 RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Tested Low Output 18W As-Is
22277 RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Tested Low Output 30W As-Is
22278 XDS10 Edwards A726-01-903 Scroll Pump XD S10 4 mTorr Tested Working Refurbished
22279 XDS10 Edwards A726-01-903 Dry Scroll Pump XD S10 Tested Working Refurbished
22280 XDS10 Edwards A726-01-903 Scroll Pump XD S10 3 mTorr Tested Working Refurbished
22281 Soft Switching Technologies DS10002A120VS410001A Dynamic Voltage Sag Corrector Mini DYSL New
22282 Pall ABFG1GPQL3EH1-K3 Ultikleen G2 Excellar Filter New
22283 Burks 22850-6-MV Viton Repair Kit for 3CR6M 3CR6MV 22850-6MV Series Pumps New
22284 AMAT Applied Materials 0240-23355 HTHU Heat Shield Kit Rev. B W/C 6″ New Spare
22285 Oseco DI5-0590-002 Rupture Disc 056789-1-1 V-3666-1 Reseller Lot of 2 New
22286 Kensington Laboratories 4000-60053 Axis Robot Piggyback Board PCB Used Working
22287 Swagelok NXT-DRP161AFJFJ-C Large Body Radial Diaphragm Valve NC Actuator New
22288 HVA High Vacuum Apparatus 11210-02023RS-001 Pneumatic Gate Valve NW50 Used
22289 Nanometrics 899-0633 IR Source Assembly 643-0010 Reseller Lot of 2 Used Working
22290 Fujikin FPR-ND-71-6.35-2 Diaphragm Valve Reseller Lot of 9 New Spare
22291 Fujikin FPR-ND-71-6.35-2-ALC Diaphragm Valve Reseller Lot of 2 New Spare
22292 KLA-Tencor 58-0090 Rotary Stage Seal Rebuild Kit Reseller Lot of 4 New Spare
22293 Disco MOENHE69–B Oil Stone Reseller Lot of 2 New Spare
22294 Axcelis Technologies 203669 Lollipop Microwave UV MOD H Lamp New Spare
22295 Parker 060916V8562 Parofluor O-Ring 6-916 V8562-75 Reseller Lot of 6 New Spare
22296 AMAT Applied Materials 3400-01435 Flex Braid Hose Assembly Swagelok New Spare
22297 Swagelok SS-BN4-K1 PCTFE Bellows Stem Tip Adapter Kit Reseller Lot of 2 Valve
22298 VAT 205048 Crank Bolt Kit 1000213930 Series 140 Reseller Lot of 2 New Spare
22299 Gatan 656.07542 Felt Polishing Ring 15mm Reseller Lot of 45 JEOL New Spare
22300 APTech AP3580S 2PW FV4 FV4 High Purity Valve AP3580S-2PW-FV4-FV4 New Spare
22301 Fujikin CWLV-4-3-14R Block with Seal 1.125 Right Gas Feed Reseller Lot of 4 New
22302 Fujikin CWLV-4-3-14L Block with Seal 1.125 Left Gas Feed Reseller Lot of 4 New
22303 Fujikin CWL-4X4BW Block with Seal Single Port VCR Reseller Lot of 22 New Spare
22304 Fujikin CWV-4-14 Block with Seal Two Port VCR Reseller Lot of 24 New Spare
22305 FSI 290121-400 System/Logic Chemfill Interface PCB 290121-200 Edwards Vacuum New
22306 Kensington SB91268-01 SBC Single Board Computer PCB Card 4000-60010 V13.54 Used
22307 Kensington SB93102-01 SBC Single Board Computer PCB Card 4000-60010 Used Working
22308 SXM AFM7109 Resonant Frequency Lock-In Board PCB Used Working
22309 Yield Engineering 780-10197-01 Stainless Steel 200mm Wafer Boat KLA-Tencor New
22310 West Coast Quartz 91-00367B Quartz External 8″ Ring AMAT Applied Materials New
22311 AMAT Applied Materials 0040-99957 195mm Semi Notch Shell Assembly ESC DPS New
22312 LTD Material 10299-000 Cover Quartz ESC NGDT New Spare
22313 LTD Material 10945-000 Quartz DC Bias Plug NGDT Reseller Lot of 8 New Spare
22314 FSI 290122-400 System/Logic Chemfill Interface PCB 290122-200 Edwards Vacuum New
22315 Oerlikon Leybold 13750 Exhaust Silencer Kit Reseller Lot of 2 New Spares
22316 AMAT Applied Materials 0020-31276 Plug DC .6 SHAFT SIC-SI DOPED Lot of 14 New
22317 Pfeiffer PU E22 001-T Diaphragm Vacuum Pump Replacement Kit MVP 015 New
22318 Glemco 99407 High Temperature Filament Clamp Source GSD Reseller Lot of 5 New
22319 Axcelis Technologies 17099201 Strike Plate Reseller Lot of 3 New Spares
22320 Swagelok SS-FM4SL4SL4-12 Stainless Steel Convoluted Hose Reseller Lot of 2 New
22321 Cosel K150AU-24 24V Power Supply Reseller Lot of 2 Used Working
22322 Fujikin FUNSDLT-21G-6.35UGC#A Valve Fine Series Reseller Lot of 12 New Spares
22323 Omega Engineering PX02Z0010-002GI Transducer 0-2 psig Omegadyne New Spare
22324 LTD Material 10424-000 Quartz Chamber Tube 3500 New Spare
22325 Ulvac Technologies 1012397 Support SS Mandala H2O Cooling Ring Lot of 2 New
22326 Precision Plus Vacuum Parts PPL-23970211 Reseller Lot of 181 Leybold New Spares
22327 Precision Plus Vacuum Parts PPL-23973146 Reseller Lot of 200 Leybold New Spares
22328 Brooks Automation 4301G01 Controller Unit Sentry Supervisor New Spare
22329 AMAT Applied Materials 0100-35124 Seriplex I/O Distribution Board PCB Card Used
22330 Lam Research S715-011622-101 Lifter 4-Pin Memory Metal Reseller Lot of 3 New
22331 Lam Research 715-013477-002 PL MTG WFR NOZ Support Plate New Spare
22332 Rayovac RAY2312 Battery Lam Research 663-091342-001 Turbo Lot of 10 New Spares
22333 Swagelok SS-43ZF2 Stainless Steel 1-Piece 40 Series 5-Way Ball Valve New Spare
22334 Oseco 303241-001 Rupture Disc Edwards Vacuum Reseller Lot of 5 New
22335 KLA Instruments Pneumatic Vibration Isolator Legs Set of 2 KLA-Tencor 21xx Used
22336 MKS Instruments 161-0050K Inline Manual Valve New Spare
22337 Logitrade 1-990522 Magnet Card PCB Genius Coil Current Ferro AP&T0522 Used
22338 AMAT Applied Materials 0100-09011 AI MUX/CURRENT SENSE PCB Rev. G P5000 Used
22339 AMAT Applied Materials 0021-37702 Side Receiver Right 200mm 316-EP New Surplus
22340 Lam Research 663-091342-001 Battery Controller New Surplus
22341 MKS Instruments CB700-1-10 Baratron Manometer Cable Reseller Lot of 5 New Spares
22342 Swagelok NXT-DRP161AFGFG-WH Large Body Radial Diaphragm Valve Actuator New
22343 Net Flow Research 826308B 4-Channel Temperature Probe Assembly New
22344 ASM Advanced Semiconductor Materials 16-321411C01 Lifter Susceptor Ring New
22345 Lam Research 734-094637-001 Quartz Window O-Ring Reseller Lot of 5 New
22346 Swagelok SS-4BK-K5 Stem Tip/Adapter Lam 796-002673-001 Reseller Lot of 20 New
22347 Furon UPM2-F1212-M UPM 1000 Manually Actuated 2-Way Diaphragm Valve New Spare
22348 ESI ES-1313-0200 2.0 mm ID Platinum Injector for Agilent ICPMS New Spare
22349 KLA-Tencor CS-916-3 Needle QTX Backside Chuck Set of 2 New Spares
22350 Axcelis Technologies 93221 Fusion Probe Tip New Spare
22351 Novellus Systems 93-0122 Hall Effect Horizontal Sensor Assembly New Spare
22352 Veeco AMF 8153 1-D Tip Assembly Dektak SXM Atomic Force Microscope New Spare
22353 Net Flow Research 826308B 2-Channel Temperature Probe Reseller Lot of 12 New
22354 Swagelok SS-44X56-UC-1466 1-Piece 40 Series 3-Way Ball Valve New Spare
22355 Expertech 27004971 Bypass Valve Micrometer Seal Kit Reseller Lot of 3 New Spares
22356 NKS W2001WF-8PS1X Lead-Screw Lam Research 854-011153-002 New Spares
22357 Eurotherm PC3000 AI/VERSION 3/MV4 4-Channel Analogue Input Module New Spare
22358 Eurotherm PC3000 AO/VERSION3/V4 4-Channel Analogue Output Module New Spare
22359 Eurotherm PC3000 DO/VERSION3/LGC12 Logic Digital Output Module New Spare
22360 Net Flow Research 826308B 2-Channel Temperature Probe Reseller Lot of 12 Used
22361 Eurotherm PC3000 RIM/VERSION3/ Rack Interface Module PC300#388169 New Spare
22362 Eurotherm PC3000 DI/VERSION3/CC14 14-Channel Dry Contact Input Module Used
22363 ESI ES-2122-5351 35mm PFA Spray Chamber w/Endcap Agilent 7500/HP ICPMS New Spare
22364 ASM Advanced Semiconductor Materials 04-324594A01 200mm Side TC Sheath Kit New
22365 RECIF Technologies SPCH Wafer Handling Wand Support Reseller Lot of 8 Used
22366 Humphrey HMRGH20X18″ I/O Door Vertical Cylinder Novellus 93-0099 New Spare
22367 SUSS MicroTec B01SBND SB8 Chamber Leveling Plates Kit Used Working
22368 SCP Santa Clara Plastics 3270171A Auxiliary Relay Unit MCS ARU Module Used
22369 SCP Santa Clara Plastics 3270171F Auxiliary Relay Unit MCS ARU Module Used
22370 Schumacher BK1200SSB Stainless Steel Bubbler Ampule Used Working
22371 SCP Global Technology 00018633 Auxiliary Relay Unit SCP MCS ARU Module Used
22372 Novellus Systems 97-3856 DCE Tube Clean Mod Upgrade Kit with MFC & Hardware New
22373 AMAT Applied Materials 0010-09181 Precision 5000 Platform DC Power Supply Used
22374 Mitsubishi P90U Video Copy Processor Video Printer P90 Used Working
22375 Mitsubishi P90W Video Copy Processor Video Printer P90 Used Working
22376 Sony Video Copy Processor Video Printer UP-870MD UP-890MD Lot of 2 Damaged As-Is
22377 ASM Advanced Semiconductor Materials 3553116-01 Diaphragm Blowout Port Lot of 4
22378 Oriental Motor RKD514L-A 5-Phase Servo Driver VEXTA Used Working
22379 Nor-Cal 3870-02286 Angle Valve Assembly 0040-36023 AMAT Applied Materials Used
22380 Melec AD-5410 5-Phase Stepping Driver JEOL JWS-7555S Working Spare
22381 Ebara A10S Multi-Stage Dry Vacuum Pump 32823 Hours Tested Working Spare
22382 JEOL BP102104 Connector PC Board PCB 4TP-1B815 JWS-7555S SEM Working Spare
22383 SRC SEC-220VE Extender Board PCB Card JEOL JWS-7555S SEM Working Spare
22384 JEOL PS-5000J Industrial PC Computer Automation Controller SBC8352 JWS-7555S
22385 Seiko Seiki STP-301 Turbomolecular Pump Turbo ISO100 ISO-K Tested Working
22386 Seiko Seiki STP Series Turbomolecular Pump Cable 15M STP-301 SCU-301 Tested
22387 JEOL P210-X4 Capacitive Gage Controller ASP-10-PCR WS-51020 JWS-7555S Working
22388 Daitron DCA-10A Dual Camera Adaptor JEOL JWS-7555S Working Spare
22389 Nor-Cal AIV-1502-CF Angle Valve Assembly 0040-36023 AMAT Applied Materials Used
22390 Sankyo Sensorium-10 Auto Focus Unit JEOL JWS-7555S Working Spare
22391 JEOL PS UNIT(7) Power Supply JWS-7555S Wafer Defect Review SEM Working Spare
22392 JEOL PS UNIT(7) Power Supply JWS-7555S Wafer Defect Review SEM Working Spare
22393 JEOL INT PS UNIT Power Supply 10kV Drive BP102075-00 JWS-7555S SEM Working Spare
22394 JEOL CLAMP CONT CCT Controller PCB Assembly BP101959-00 JWS-7555S SEM Working
22395 JEOL MAG PWR AMP Magnet Power Amplifier Assembly AP002207(01) JWS-7555S Working
22396 JEOL Ion Vacuum Pump Magnet Assembly JWS-7555S Wafer Defect Review SEM Working
22397 JEOL HV Tank High Voltage Ion Gun JWS-7555S Wafer Defect Review SEM Working
22398 JEOL WS-50SOM Camera and Illuminator Assembly WS77OM Sony XC-711 JWS-7555S
22399 Oriental Motor UPX534M-A 5-Phase Stepping Motor VEXTA JEOL JWS-7555S SEM Working
22400 AMAT Applied Materials 0224-46313 Valve Assembly Swagelok Nupro 6LV-BN8BW8 Used
22401 Oriental Motor PK569AW 5-Phase Stepping Motor VEXTA JEOL JWS-7555S SEM Working
22402 Oriental Motor PK566-NAC 5-Phase Stepping Motor VEXTA JEOL JWS-7555S SEM Working
22403 JEOL WS-7555FEGH/IP Manual Angle Valve JWS-7555S SEM Working Spare
22404 NOK VV23F-SC3 Vacuum Valve F-TEC JWS-7555S SEM Working Spare
22405 Sumtak LBJ-004-500 Incremental Shaft Encoder OPTCODER Assembly JWS-7555S Working
22406 Edwards B58120000 Vibration Isolator Bellows SS DN100 ISO100 EXT Pump Used
22407 JEOL SIP/BAKEOUT Power Supply JWS-7555S Wafer Defect Review SEM Working Spare
22408 Sony DSC-1024HD Digital Scan Converter 1080i JEOL JWS-7555S SEM Working Spare
22409 Oxford Instruments DCL7614 Inca DryCool Nitrogen-free EDS Detector Working Spare
22410 Oxford Instruments 1108-107 Temperature Indicator Module ULTRACOOL Working Spare
22411 Nor-Cal Products 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working
22412 Oxford Instruments 51-1100-104 Inca MICS Controller Working Spare
22413 Oxford Instruments 51-1100-103 Inca X-Stream Controller Working Spare
22414 Oxford Instruments 51-1100-109 Inca DryCool Monitoring Controller Working Spare
22415 Oxford Instruments 51-1100-108 DryCool Controller 3522 353 11582 Missing Stands
22416 Oxford Instruments 51-1100-108 DryCool Controller 3522 353 11582 Working Spare
22417 JEOL PS UNIT(1) Power Supply Rack JWS-2000 Wafer Defect Review SEM Working Spare
22418 SCU-301-01 Edwards B748-10-070 STP Turbomolecular Pump Controller Tested Working
22419 STP-301 Edwards B748-30-060 Turbomolecular Pump Turbo Bad Bearing Tested As-Is
22420 Oxford Instruments DCL7647 Inca DryCool Nitrogen-free EDS Detector Working Spare
22421 JEOL 183022 Ion Gun Driver BEAM BLK PB Assembly PCB BP102022-00 JWS-2000 Working
22422 Agilent E3612A STD Bench Series DC Power Supply Tested Working Surplus
22423 HP Hewlett-Packard A4974-66001 LSI LOGIC A4974A PCB Card JEOL JWS-2000 Working
22424 HP Hewlett-Packard A4982-66502 Graphic Card Visualize fxe PCB JEOL JWS-2000
22425 HP Hewlett-Packard A6070-66510 System Motherboard PCB JEOL JWS-2000 Working
22426 JEOL BP101552-02 Power Supply Check Board PCB JWS-2000 Working Spare
22427 JEOL PMT HV PCB Module PCB JWS-2000 Wafer Defect Review SEM Working Spare
22428 JEOL PMT HV PS PCB Module AP001871-00 JWS-2000 Wafer Defect SEM Working
22429 JEOL PMT HV PS PCB Module AP001871-00 JWS-2000 Wafer Defect SEM No Cover Working
22430 JEOL PS UNIT(4) Power Supply Rack JWS-2000 Wafer Defect Review SEM Working Spare
22431 JEOL PS UNIT(3) Power Supply Rack JWS-2000 Wafer Defect Review SEM Working Spare
22432 MKS Instruments 161-0040K Inline Manual Valve Used Working
22433 JEOL PS UNIT(6) Power Supply Rack JWS-2000 Wafer Defect Review SEM Working Spare
22434 JEOL PS UNIT(2) Power Supply Rack JWS-2000 Wafer Defect Review SEM Working Spare
22435 Nor-Cal Products 3870-02286 Manual Angle Valve AMAT Used Working
22436 AMAT Applied Materials 0040-36024 Vacuum Tube 5 Inch NW40 Lot of 2 Used Working
22437 AMAT Applied Materials 0224-43876 Vacuum Tube 2 Inch NW40 Lot of 3 Used Working
22438 MARTEQ 1076701.1.1 Matching Transformer with Transducer Rev. F Lot of 2 Used Working
22439 Telemecanique LC1F150 3-Pole Contactor Square D Used Working
22440 AMAT Applied Materials 0150-20112 EMO Generator 1/2 INT Cable Assembly New
22441 Delatech CDO 857 LED PCB Board Used Working
22442 CTI-Cryogenics 8031135 3/4″ Cryogenic Helium Coupling Adapter Lot of 3 New
22443 Ulvac Technologies HV Ion Gun Cable Set of 2 High Voltage JWS-2000 SEM Working
22444 MKS Instruments 103250010 Pirani Vacuum Transducer 325 MODUCELL HPS Spare
22445 JEOL BP102091-00 Interlink Board OL INTLK PB PCB JWS-2000 SEM Working Spare
22446 Nidek S1145-PC2277A IM-14 Wafer Loader PCB Board IM-11 MAIN/11 Used
22447 Oriental Motor CSD5714D 5-Phase Driver PCB Board Vexta Used Working
22448 Nidek S1470-PC2592A Wafer Autoloader PCB Board IM14-MF1 OF/? Used Working
22449 Nidek S1145-PC2281A Wafer Autoloader PCB Board IM-11 LD/11 Used Working
22450 PRI Automation BM23475L14RH PCB Board PC23475 Used Working
22451 PRI Automation BM18251 PCB Board PB18251 BM18251/G Used Working
22452 PRI Automation PB18251 PCB Board Used Working
22453 Entegris H9200-0023 200mm Ultrapak Wafershield Wafer Transport Lot of 8 New
22454 Leybold Inficon 903-001-63 Transpector Gas Analysis System IPC-50 Turbovac Used
22455 Sti MS4300 Light Curtain Set 42672-0280 Transmitter 46287-0280 Receiver Used
22456 Sti MS4300 Light Curtain Set 42687-0240 Transmitter 42672-0240 Receiver Used
22457 Sti MS4312B-2 Light Curtain Transmitter MiniSafe-B MS4300B-2 Series Used Working
22458 Sti MS4316B Light Curtain Reciever miniSafe-B Used Working
22459 Sti MS4324B Light Curtain Reciever miniSafe-B Used Working
22460 Sti 42672-0280 Light Curtain Reciever MS4328BR MiniSafe MS4300 Used Working
22461 Molecular Analytics 5703121-96-30 AirSentry-IMS System AMI/ACID Incomplete As-Is
22462 FSI International 400-A/N290207 PCB Board B/N290207-200 Used Working
22463 Astec Powertec 080-25443-004 Super Switcher Power Supply 9K48-23-372-FG Used
22464 Square D FAL22020 2-Pole Thermal-Magnetic circuit Breaker 20A Used Working
22465 PRI Automation 7500 Box Pod Power Distribution Box Used Working
22466 PRI Automation BM2246L04 Horizontal Transfer Frame Missing Parts Used As-Is
22467 SMC 02-121427-00 Gate Valve Actuator XGT-0402AWM-X16 Rev. 7 Used Working
22468 Nidek S1145-PC2282-A IM-14 Waker Autoloader Motor Assembly IM-11 AP/11 Used
22469 Nidek S1145-PC2282-A IM-14 Wafer Autoloader Motor Assembly Missing Sensors As-Is
22470 ETA Electric ERB24SZ Compact Power Supply Used Working
22471 Promac Semiconductor 1252234 Deck Rinse Tank Round Dump SPC 9400
22472 Varian E15000101 Multi-Electrode MANIP Servo PCB Board Rev. F E14000101 Used
22473 KLA-Tencor 710-678715-00 SAT Backplane Assembly PCB Used Working
22474 Varian E15000031 Digital I/O PCB Board E14000031 Rev. K for Repair As-Is
22475 Varian E15001650 24 Digital Output PCB Rev. A E14001650 for Repair As-Is
22476 Varian E15000710 Load Lock Interface PCB Board E14000710 for Repair As-Is
22477 Varian E15001720 Exhaust Leakage Interface PCB Board E14001720 Used Working
22478 Varian E15000320 Elevator Control PCB Board Rev. C2 E14000320 for Repair As-Is
22479 Millipore RGEN-01 Photoresist Pump RDS TEL Tokyo Electron CT2911-000003R14 Used
22480 Millipore RGEN-01 Photoresist Pump RDS TEL Tokyo Electron CT2911-000003R14 Used
22481 Millipore RGEN-01 Photoresist Pump RDS TEL Tokyo Electron CT2911-000003R14 Used
22482 Millipore RGEN-01 Photoresist Pump RDS TEL CT2911-000003R14 Refurbished
22483 Sti 42672-0240 Light Curtain Receiver MS4324BR MiniSafe B MS4300 Used Working
22484 Nidek IM-14 Wafer Autoloader Lift Motor Assembly Lot of 2 for Repair As-Is
22485 AMAT Applied Materials 0150-09072 Cable Leveling Head Extension New
22486 CTI-Cryogenics 8041617G007 Cryopump Pressurized Hose AMAT 3400-01076 Used
22487 Kondoh 2980-091564-11 FFU Fan Filter Unit EIC-Y93P280 2T-450900FU-STG-11 Used
22488 Numatics VS14-01SA2 Shut-Off Valve Reseller Lot of 24 New
22489 Camozzi Pneumatics 6555 04-04 Stem Elbow Reseller Lot of 100 New
22490 Camozzi Pneumatics 6555 04-04 Stem Elbow Reseller Lot of 50 New
22491 Precision Robotics PA26331 Sealing Band 1010 THK UHMW 100 Foot Roll New
22492 Pfeiffer Vacuum PM 006 984 AX Splinter Shield PM006984AX New
22493 Pfeiffer Vacuum PF 303 116-T Centering Ring ISO160 19-02526-05B Lot of 2 New
22494 Sti 43268-0240 Light Curtain Controller MiniSafe-B MS4324B-2 Used Working
22495 AMAT Applied Materials 0150-09087 SUSC CAL Display Power Cable New
22496 DuPont AS-568A O-Ring Seal Kalrez Sahara AMAT 3700-00209 Lot of 3 New
22497 TEL Tokyo Electron 011-000950-1 Photo Resist Pump F-T100-1 Used Working
22498 Pall PHF22GP4H11-T Photo Resist Reservoir Tank CKD TMD002-X8 Used Working
22499 IDI 202G-COM Integrated Dispense System Photoresist IDS Controller 3-Card Used
22500 IDI Integrated Designs Chemical Dispense Card Rev. 3 IDI 200 PCB Used Working
22501 IDI Integrated Designs Dispense Mother Board Rev. 202G IDI 200 Used Working
22502 IDI Integrated Designs Dispense Mother Board Rev. B IDI 200 Used Working
22503 IDI Integrated Designs Chemical Dispense Card PCB Lot of 2 for Repair As-Is
22504 Emerson T20/250V Power Supply PCB IDI 200 Integrated Dispense System Used
22505 IDI 202G-COM Integrated Dispense System Photoresist IDS Controller 2-Card Used
22506 IDI 201D-COM Integrated Dispense System Photoresist IDS Controller 2-Card Used
22507 IDI 202G-COM IDS Controller Photoresist 2-Card Faulty Alarm Used Tested Working
22508 IDI 201D IDS Controller Photoresist 3-Card Faulty Card Used Tested Working
22509 IDI 202G-COM IDS Controller Photoresist 3-Card Alarm Fault Used Tested Working
22510 IDI 201D Integrated Dispense System IDS Controller No Cards Used
22511 IDI Integrated Designs 203-MC6L1S IDS Dispenser Photoresist Used Tested Working
22512 IDI 203-MC6L1S IDS Dispenser Photoresist 5-Port System Used Tested Working
22513 IDI Integrated Designs 203-MC6L1S IDS Dispenser Photoresist No Power As-Is
22514 Sti 43268-0160 Light Curtain Controller MiniSafe-B MS4316B-2 Used Working
22515 IDI 203-M8L1B-S IDS Dispenser Photoresist Lot of 2 Incomplete Untested As-Is
22516 KNF Neuberger 1156-N813.0-300 Pump CMP/ICP400 Lot of 11 for Repair Tested As-Is
22517 IDI Integrated Design 203-MC8L1S IDS Dispenser Photoresist Used Tested Working
22518 IDI Integrated Design 201-M6L10-S IDS Dispenser Photoresist Used Tested Working
22519 Millipore W2501CC01 Photoresist Pump Controller PHOTO-COOL Used Tested Working
22520 Millipore SPECIAL Photoresist Pump Controller PHOTO-250 Used Tested Working
22521 Leybold 72142075 RCU LCU System Controller 721-42-075 Used Working
22522 UNIT Instruments 1300-100132 Pressure Controller UPC-1300 200 SCCM N2 Used
22523 Tylan General FC-280SAV Mass Flow Controller MFC 50 SCCM N2 Used Working
22524 Tylan General FC-280SAV Mass Flow Controller MFC 200 SCCM N2 Refurbished
22525 Tylan General FC-280SAV-4V Mass Flow Controller MFC 50 SCCM N2 Refurbished
22526 Leybold 72142079 BRIS BCS Remote Indicator System 721-42-079 Used Working
22527 UNIT Instruments UPC-1300 Mass Flow Controller MFC 50 SCCM He Refurbished
22528 Granville-Phillips 274015 Glass Ion Gauge Tube 274 Vacuum Pressure Gauge New
22529 Swagelok 6LV-DA10359P-GR 4-Way Manual Valve New
22530 HP Hewlett-Packard 16320-66551 Pin Card PCB B-3152 16320-6651 New
22531 HP Hewlett-Packard 16320-66551 Pin Card PCB B-3152 16320-6651 Lot of 10 Used
22532 HP Hewlett-Packard 16320-66551 Pin Card PCB B-3152 16320-6651 Lot of 10 Used
22533 HP Hewlett-Packard 16320-66501 Pin Card PCB A-2315 HYP Used Working
22534 Semy Engineering 5010042 Gas Interface PCB Assembly MYP830400B SVG Mypro New
22535 Leybold 72142060 DRIS Dryvac Remote Indicator System 721-42-060 Used Working
22536 Semy Engineering MYP821800B Alarms Process Auxiliary Display Panel Used Working
22537 Edwards B65301000 Gate Valve Manual Operation GVI 100M ISO100 ISO-F Used Working
22538 Edwards C10009670 High Vacuum Flexible Bellows Stainless ISO100 Used Working
22539 Semy Engineering 908.00.04 Gas Interface Power Supply New Surplus
22540 Ebara ESR200WN Multi-Stage Dry Vacuum Pump ESR Series Tested New Surplus
22541 A10S Ebara A10S-S Multi-Stage Dry Vacuum Pump 23529 Hours Used Tested Working
22542 Northeast Robotics 501200 Variable Output Power Supply RSVI Used Working
22543 Standard Power Supplies CPS 120-S Power Supply 5VDC Used Working
22544 Olympus TDO Variable Intensity Microscope Illuminator Transformer Used Working
22545 International Power IHDCC-150W Power Supply Used Working
22546 ATMI Packaging PL04KAM1A1-AA-060309 NOWPak Dispenser New Surplus
22547 Parker 8V1-B8LJ-SSP-C3 Two Way B-Series Ball Valve Stainless Steel New Surplus
22548 Deltron Q5-12.0 Linear DC Power Supply MRC Materials Research 1010210 New
22549 Rectus 21KBAW13RVX Quick Coupling Reseller Lot of 10 Parker Hannifin New Surplus
22550 Verteq 1069347.3 Matching Transformer 1076701.1.1 Transducer 1:1.20 855 Used
22551 Verteq 1069347.3 RF Matching Transformer 1076701.1.1 Transducer 1:1.25 830 Used
22552 Verteq 1069347.3 RF Matching Transformer 1076701.1.1 Transducer 1:1.30 855 Used
22553 Verteq 1069347.3 RF Matching Transformer 1076701.1.1 Transducer 1:1.40 869 Used
22554 Verteq 1073995-18 RF Cable 18 Foot 5.4 Meters Reseller Lot of 6 New Surplus
22555 ENI OEM-1250 RF Generator 1250W 13.56MHz Refurbished
22556 Keyence FS-L71 Amplifier Unit Load Lock Sensor 02-329390D02 Axcelis 119927 New
22557 Whitman Controls P117V-3N-K52L Vacuum Switch Reseller Lot of 3 New Surplus
22558 Maxon Motor 137489 DC Motor Reseller Lot of 3 New Surplus
22559 Millipore CWUZ 01P 01 Microgard UPX 10″ Filter 0.05um Reseller Lot of 4 New
22560 Leica Wetzlar 301-360.055 MA-MO Master Modul PCB Card 301-360.055-007/02 Used
22561 SVG Silicon Valley Group 165696-004 Temperature Control Harness Assembly New
22562 AMAT Applied Materials 0010-09125 Heater Leveling Plate P5000 Precision 5000 New
22563 AMAT Applied Materials 3700-01372 Viton 75 DURO Brown O-Ring Lot of 116 New
22564 Oerlikon 102211837 Cryo Compressor Water Line 6 Meter Set of 2 Used Working
22565 Oerlikon 102093881 Transport or Vacuum Testing Dummy-Target Used Working
22566 Simco 4007165 Aerostat Ionizing Air Blower Guardian CR Cleanroom Used Working
22567 AMAT Applied Materials 0020-13079 Universal Chamber Output Manifold ASL New
22568 KNF Neuberger MPU818-N035.0-10.96 Diaphragm Vacuum Pump Matheson Tested Working
22569 KLA Instruments 200-000011-00 Microscope Turret Assembly 655-653668-00 2132 Used
22570 Nova Measuring Instruments 210-42000-01 QTH Illumination Assembly w/o Lamp Used
22571 Motorola WPLN4111AR Impres Adaptive Charger V3.90 MOTWPLN4111AR New Surplus
22572 Process Technology 926432-001 Solid State Relay Retrofit Kit Helios Heater New
22573 KLA Instruments 710-658161-20 Image Sensor Assembly 073-655201-00 Used Working
22574 OEM Group A29-00003-00 Clear Blank Off Plate AMAT Centura Lot of 2 New Surplus
22575 Hamamatsu R1617 Photomultiplier Tube Multialkali Photocathode New Surplus
22576 AMAT Applied Materials 0140-78188 Power Control Communication Cable New Surplus
22577 Nova Measuring Instruments 210-42000-04-R QTH Illumination Assembly Refurbished
22578 A10S Ebara A10S-S Multi-Stage Dry Vacuum Pump 10968 Hours Used Tested Working
22579 Beco SBV-24-M Suckback Valve PTFE Male and Female Set of 2 New Surplus
22580 AMAT Applied Materials 0020-10014 Lift Ring P5200 Precision 5200 Used Working
22581 Hosco V0429D Pressure Switch PM Series PMN 1AV Leybold 20077473 New Surplus
22582 Hosco V9716E Pressure Switch PM Series PMN 1AV Leybold 20077473 New Surplus
22583 Thermo Fisher 130048-00 Y-Cable Set of 2 for Kevex Omicron XRF New Surplus
22584 AMAT Applied Materials 1868624 200mm Pre Clean II Kit 0200-00218 0021-54083 New
22585 VAT 0300X-CA24-ALL1 Slit Valve AMAT Applied Materials 3870-00467 Refurbished
22586 HPS Vacuum 100001687 Lam Research 766-009866-080 NW80 Bellows Assembly Kit New
22587 Prisma PPWS Pneumatic Actuator Valve Assembly 1/4″ BSP New Surplus
22588 AMAT Applied Materials 0021-36689 Heater Leveling Plate TXZ Precision 5200 New
22589 UNIT Instruments UFC-8161 Mass Flow Controller AMAT 3030-12413 200 SCCM CL2 Used
22590 Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 2 SLPM N2 Refurbished
22591 Proteus Industries 91025006S24P2 Flow Meter AMAT 0090-76204 Used Working
22592 Cymer 06-02003-00B Blower Motor for ELS-6600 Laser ASML Used Working
22593 TCP 600 Pfeiffer PM C01 320 C Turbomolecular Pump Controller Used Tested Working
22594 Ryan Herco SC-AB1P00319J-M12-M4-1 10″ RHFS Filter Reseller Lot of 15 New Surplus
22595 Pall T8122423300 Polypropylene Slurry Filter CMP205-20UV-M3 300 New Surplus
22596 Nova Measuring Instruments 210-70090-00 NovaScan 420 Notebook Assembly Used
22597 ImperX VCE-B5A01 Flat PCMCIA Frame Grabber Card NovaScan 420 960-08011-00 New
22598 Fire Sentry RSD-00098-FD Multi-Spectrum Digital Flame Sensor Digital Ansul New
22599 LEP Ludl Electronic Products 73000400 RS232 INT PCB Card CPU80535 6000166 Used
22600 Plansee 17319860 Strike Plate Serrated Axcelis GSD High Current Implanter New
22601 AMAT Applied Materials 0040-02954 Mounting Spacer Ring DTCU Used Working
22602 AMAT Applied Materials 0020-04160 Spacer Circular EXT Cathode New Surplus
22603 KLA Instruments 710-677928-00 Robot Distribution PCB 073-650938-00 Used Working
22604 Tylan General FC-260V Mass Flow Controller MFC FC-260 150 SCCM SIH4 Refurbished
22605 Millipore FC-260 Mass Flow Controller MFC 150 SCCM SIH4 Refurbished
22606 ASM Advanced Semiconductor Materials LVC-414 Vaporizer 16g/mn SIHCL3 Refurbished
22607 ASM Advanced Semiconductor Materials LVC-414 Vaporizer 15g/mn SIHCL3 Refurbished
22608 Leybold ARS 16-25 Exhaust Filter with Lubricant Return D16BCS D25BCS New Surplus
22609 Southwest Quartz G6876 Quartz Window Hand Off Lid AMAT Centura CVD New Surplus
22610 Leybold 72142082 RUVAC Blower Pump System Controller 721-42-082 Used Working
22611 Kevex Instruments 130017-00E ADC Analog-to-Digital Converter VME PCB Card Used
22612 SVG Silicon Valley Group 80084B Extender Board PCB Used Working
22613 THK RSR12WVMUU+190LM Linear Motion Guide KLA-Tencor 260-660608-00 New Surplus
22614 Fleetguard Nelson 34K21TTP Universal Silencer CCS-1 1/4 with Filter 810471 New
22615 Kevex Instruments 51300663 Pulse Processor XRF1 XRF2 PCB Card 50300270-D Used
22616 Tylan FC-2900M Mass Flow Controller MFC 2900 Series 500 SCCM SIH4 Refurbished
22617 Aera FC-D980C Mass Flow Controller MFC 200 SCCM Ar Refurbished
22618 Thermo Electron 130049-00 Omicron X-Flag Flexible Cable Sensor F030049-00-E New
22619 AMAT Applied Materials 0200-39140 Ceramic Metal DPS 200mm Ring Focus Refurbished
22620 Osram 1000Q/T6/RTP/C Photo Optic Lamp AMAT 1010-00666 Reseller Lot of 18 New
22621 Varian E11041340 Pressure Gauge Distribution Manifold Assembly New
22622 Novellus Systems 116437-R-C PEP 3510 Lower Chamber Body Refurbished
22623 Pittman GM9413C555 DC Gear Motor SVG 112-019 Reseller Lot of 2 Used Working
22624 AMAT Applied Materials 3700-03242 Shielded Slit Valve Door New Surplus
22625 Lam Research 16-034139-00 Injector Tube Novellus STI New Surplus
22626 Novellus 95984-R Injector Tube Novellus STI CVD Reseller Lot of 5 Refurbished
22627 Dungs GAC-A4-4-3 Gas Pressure Switch Edwards A55635096 Reseller Lot of 2 New
22628 AMAT Applied Materials 0010-09063 2-Axis Susceptor Calibration Display Box New
22629 Minimotor SA BLD 568A PWM Servo Amplifier RC 32272 BLD-568A New Surplus
22630 Plast-O-Matic FC050B-000-1/4-PP Thermoplastic Flow Control Valve Lot of 3 New
22631 Varian Semiconductor Equipment E11042873 150mm 6pt S-I Clamp Ring New
22632 Varian Semiconductor Equipment E11042873 150mm 6pt S-I Clamp Ring New
22633 MKS Instruments 253A-11087 Exhaust Throttle Valve Type 253A Used Working
22634 Entegris 202-122-01 Fluoroware Pneumatic Diaphragm Valve Reseller Lot of 2 New
22635 Leybold 20078736 Temperature Alarm Pressure Switch Cable Reseller Lot of 3 New
22636 Leybold 20078735 Temperature Alarm Pressure Switch Cable Reseller Lot of 3 New
22637 A-B Allen-Bradley 1492-CB2G050 2-Pole Circuit Breaker Reseller Lot of 5 New
22638 Leybold 20010588 Water Hose with Adapter 95mm Reseller Lot of 3 New Surplus
22639 Minimotor SA 3556 K BL1 Servo Motor 950658 Gear Head 38/1-246:1 New Surplus
22640 FSI Metron 347120-0000 18MM Yellow Optical Filter GG475 Reseller Lot of 19 New
22641 Keithley Instruments 9162-122-04B I-Meter PCB Card 9162-PAU Used Working
22642 Keithley Instruments 9162-122-04C I-Meter PCB Card 9162-PAU Used Working
22643 ETO ABX-A434 RF Generator Controller ABX-X355 PCB Board AMAT 0190-36677 Used
22644 West Coast Quartz 91-00362A 8″ Quartz Ring EXT CATH Metal Notched New Surplus
22645 Tylan General FC-260 Mass Flow Controller MFC 400 SCCM 5%PH3/95%N2 Refurbished
22646 Nederman 10561032 NEX MD Fume Extraction Arm 2 Meter Reach New Surplus
22647 Ludl Electronic Products 73000805 Wafer Flat Notch Finder FLNOF PCB Card Used
22648 IBM 76H4841 Pentium Socket 7 Single Board Computer SBC PCB 12J3201 No CPU Used
22649 Ludl Electronic Products 180908 Flat Notch Finder Sensor Used Working
22650 Lam Research 12-8000-012 Roller Leak Kit Set of 2 OnTrak New Surplus
22651 InUSA 369102 Ozone Analyzer Motor Block Assembly CVD New Surplus
22652 ITT Aerospace Controls 088018-000 Neo-Dyn Pressure Switch 98087-173P5S115 New
22653 Banner Engineering VS2RN5R Receiver Sensor VS2 Series Reseller Lot of 3 New
22654 Novellus Systems 97-4038 Display Panel Kit Planar 996-0237-05 New Surplus
22655 Tylan General FC-260V Mass Flow Controller MFC 400 SCCM 5%PH3/95%N2 Refurbished
22656 Tylan General FC-2900MEP Mass Flow Controller MFC 200 SCCM N2O Used Working
22657 Tylan General FC-2900MEP Mass Flow Controller MFC 300 SCCM NH3 Used Working
22658 Aera FC-D981SBC Mass Flow Controller AMAT 3030-08675 10 SLM N2 Used Working
22659 Tylan General FC-2900MEP Mass Flow Controller MFC 300 SCCM NH3 Refurbished
22660 Tylan General FC-2902MEP Mass Flow Controller MFC 10000 SCCM HCL Refurbished
22661 Tylan General FC-2902MEP Mass Flow Controller MFC 200 SCCM CL2 Refurbished
22662 Leybold 72142076 RUVAC Blower Pump System Controller 721-42-076 Used Working
22663 Tylan General FC-2960MEP Mass Flow Controller MFC 100 SCCM SIH4 Refurbished
22664 Tylan General FC-2900M Mass Flow Controller Lam Research 797-91413-924 New
22665 Millipore FC-2960MEP5 Mass Flow Controller Tylan 2960 5%B2H6/95%N2 Refurbished
22666 Tylan General FC-2902MEP-T Mass Flow Controller AMAT 3030-04074 Used Working
22667 Nova Measuring Instruments 210-48000-00 NovaScan 840 Controller Used Working
22668 Watkins-Johnson Company 902024-001 WJ951 Input Card PCB 902023-001 Used Working
22669 TPS 201 Pfeiffer PM 041 819 AT Turbomolecular Pump Power Supply Used Working
22670 DuPont 620304 K8085 Non-Anodized Bonded Slit Valve Door AMAT SACVD CVD 5200 New
22671 Pittman GM9413C506 DC Gear Motor SVG 112-001 Reseller Lot of 2 Used Working
22672 Pittman GM9413C506 DC Gear Motor SVG 112-001 Reseller Lot of 3 Used Working
22673 SMC CQ2B20-5D Double Acting Compact Air Cylinder AMAT 3020-01131 Lot of 6 New
22674 GOK 01211-05 Nitrogen Pressure Regulator Inficon 8060-0018 New Surplus
22675 Fairchild 14212-T Pneumatic Positive/Negative Biasing Relay TEL 305140-001 New
22676 Wasco SP120-31W2B-X/8180 Vacuum Pressure Switch Leybold 20078091 New Surplus
22677 Hosco V9928D Pressure Switch PM Series Leybold 20078091 New Surplus
22678 TPS 301 Pfeiffer PM 041 820 AT Turbomolecular Pump Power Supply Used Working
22679 Leica 301-360.151-00 Objective Revolver Flex Board 301-360.151(-007)/02 Used
22680 InUSA A399025 IN-2000 UV Lamp Driver PCB Board Used Working
22681 AMAT Applied Materials 0020-33047 Optima P5000 MC Robot Wafer Grip Lot of 12 New
22682 Omron E2K-C25MF2 Capacitive Proximity Sensor Switch 811-13-016 Lot of 3 New
22683 Plast-O-Matic FC050EP-2-PP Thermoplastic Flow Control Valve Lot of 3 New Surplus
22684 Swagelok JNWMPT4010 Vacuum Adapter NW40 to NPT1 Male Reseller Lot of 2 New
22685 MRC Materials Research D112577 Ceramic Wafer Holder Pin Reseller Lot of 26 New
22686 Novellus 95983-R Injector Tube Novellus 571 CVD Reseller Lot of 8 Refurbished
22687 Entegris 1032-006 Purebond Union Fitting SCH 40 3/4″ New Surplus
22688 Inficon C100F Quadrupole RGA Sensor Probe Transpector Head Refurbished
22689 Idec FC4A-C16R2 MicroSmart Programmable Logic Controller New Surplus
22690 Schumacher 1463-0322G/G/F/C ATCS-15 Level Base HT Bubbler 1462-1515G ABU Used
22691 KLA-Tencor 730-679241-00 RGB Monitor Out I/O Panel Cable 2138 New Surplus
22692 KLA-Tencor 730-679274-00 RGB Monitor In UI Panel Cable 2138 New Surplus
22693 KLA-Tencor 750-677365-00 Video RGB Monitor Switch Cable 2138 New Surplus
22694 Solid State Equipment 1000461AX Pneumatic SSEC Control Board 1000-462A1 PCB Used
22695 MRC Materials Research 880-26-000 RF Driver 880-26-101 PCB Card Used Working
22696 AMAT Applied Materials 0040-96830 3mm Button Cathode Reseller Lot of 4 New Surplus
22697 MKS Instruments 9520-0230 Heater Jacket 135 Watt HPS Used Working
22698 AMAT Applied Materials 0190-11512 Particle Filter Element Lot of 2 New Surplus
22699 AMAT Applied Materials 0040-32543 0040-32543 IPS Lower Magnet Liner Refurbished
22700 AMAT Applied Materials 0140-38098 IPS HE Side Lower Lamp Connector Assembly Used
22701 AMAT Applied Materials 0140-38097 IPS Lower Lamp Connector Assembly Used Working
22702 AMAT Applied Materials 0021-03076 IPS SI Roof Support Ring Used Working
22703 AMAT Applied Materials 0140-36490 IPS Roof Lamp Connector Wire Assembly Used
22704 AMAT Applied Materials 0140-38099 IPS RF Side Lower Lamp Connector Assembly Used
22705 SCP 190-170-1B EMO Alarm System Controller Board PCB 9400 170-193-A Used Working
22706 Semy Engineering 501.01.08 DCU Nucom PCB Card Macintosh Used Working
22707 Varian Semiconductor Equipment E1135740 PIC Support Assembly Rev. 1 New
22708 AVK ATA2-440 Aluminum Knurled Rivet Nut Reseller Lot of 102 10 Packs New
22709 Greene Tweed 9117-SS513 O-Ring Chemraz Reseller Lot of 19 New Surplus
22710 Greene Tweed 9219-SS513 O-Ring Chemraz Reseller Lot of 18 New Surplus
22711 SCP Santa Clara Plastics 40005728-00 Door Plenum Insert Front Access 9400 Used
22712 AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. P2 Refurbished
22713 AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. A Refurbished
22714 VAT 92548-PA21-AAK1 Pneumatic Pendulum Isolation Valve 98482-R1 Untested As-Is
22715 AMAT Applied Materials 0040-32530 IPS Upper Lamp Roof Cooling Plate Used
22716 MKS Instruments 100314805 ISO-KF Vacuum Cross NW40 Series 31 Used Working
22717 Varian E17016010 Antimony Kit Single Vaporizer E17015970 E43000149 New
22718 TEL Tokyo Electron ME3-109690-B Drive Element Thermal Insulator 1130772565 New
22719 Mykrolis RTNINGEN2PU0UPG Dispense Pump Photoresist INGEN2PU0 Refurbished
22720 Micronics 09-00236-02 Motherboard PCB 08-00236-002A 47-0019-124 Used Working
22721 Pall LDFGL1UCFDL12E2-K7 Filter ULTIKLEEN G2 KLEEN-CHANGE New Surplus
22722 Tylan FC-2902MEP5-T Mass Flow Controller MFC 2SLPM H2 Refurbished
22723 Millipore FC-2902M Mass Flow Controller MFC Tylan 2900 150 SCCM CL2 Refurbished
22724 ASM Advanced Semiconductor Materials 1605182-R EPI Manometer Port Refurbished
22725 ASM Advanced Semiconductor Materials 1605182-R-C EPI Manometer Port Refurbished
22726 Metron U-715-330000-090-D Aluminium Al Gas Injection Ring Refurbished
22727 Nippon Pillar Fitting S-8B 019119-1001 Reseller Lot of 5 10 Packs New Surplus
22728 Nippon Pillar Fitting S-W4B 019109-912 Reseller Lot of 5 10 Packs New Surplus
22729 Westinghouse EHD3100L Industrial Circuit Breaker EHD 14k Series C New Surplus
22730 Westinghouse EHD3070L Industrial Circuit Breaker EHD 14k Series C New Surplus
22731 Semitool 14837-01 4-Channel 202 Serial Board PCB Card 2601800 New Surplus
22732 Greene Tweed 9223-SS513 O-Ring Chemraz AS-568A-223 Reseller Lot of 3 New
22733 Entegris E8-12TFN Flaretek Elbow Tube Adapter Reseller Lot of 5 New Surplus
22734 Leybold 72142058 RUVAC Blower Pump System Controller 721-42-058 Used Working
22735 AMAT Applied Materials 0200-01798 TxZ Heater Lift Pin Reseller Lot of 2 New
22736 Humphrey HTBDAK25X2″-RL Twin Piston Rod Pneumatic Cylinder HTBDAK25X2RL New
22737 Akrion 9652001A-00 Quartz Tank Sight Tube PVDF 94110 SCP 9400 New Surplus
22738 Gems Sensors 122341 Flow Switch Sensor 4060011A-00 New Surplus
22739 DuPont AS-568A Kalrez UltraPure O-Ring K#012 Reseller Lot of 12 New Surplus
22740 SCP Global Technologies 3270011E MCS PIM Interface Module Used Working
22741 SCP Global Technologies 00033602 MCS PIM Interface Module Used Working
22742 Carl Zeiss 44 26 90 Epiplan-APOCHROMAT Microscope Objective 150x/0.95 ∞/0 Used
22743 TEL Tokyo Electron 1110-310763-12 Half Moon Stopper Piece #2 Used Working
22744 Fabco-Air UE-121-X-C1-LR Pin Lift Cylinder Pancake Line Reseller Lot of 4 Used
22745 SVG Silicon Valley Group 102077-01 Door Closed Switch PCB Assembly Refurbished
22746 Parker Seals 2-107 FF350 Ultra Parofluor O-Ring Reseller Lot of 30 New Surplus
22747 Greene Tweed 9323-SS513 O-Ring Chemraz AS-568A-323 Reseller Lot of 6 New
22748 Leica 310564 15x W.F. Wide Field Microscope Eyepiece Set of 2 New Surplus
22749 Entegris 202-69-01 Integra Pneumatic Valve 1/4″ Normally Closed New Surplus
22750 Procon Products 102E140F11XX 1/2″ Brass Rotary Vane Pump Head New Surplus
22751 Metron 811-08-003 RTD Probe w/INCONEL Fitting AMAT Applied Materials New
22752 Metron 811-08-003A RTD Probe w/INCONEL Fitting AMAT Applied Materials New
22753 Denichi Computer Devices EN-6138 Industrial PC 1.2GHz SBC Cognex MVS 8100 Used
22754 SVG Silicon Valley Group M600290-01 Resistor Pack VTR7000 Used Working
22755 Opto 22 G4PB24 24-Channel Field Control I/O Module Rack Chassis PCB New Surplus
22756 Cole-Parmer HC205S-010 1.0 GPM 3.75 LPM Flow Meter New Surplus
22757 SVG Silicon Valley Group 600403-02 Host Computer Interconnect PCB 80106A Used
22758 GasTech 24-0131 H2 Detector Extender Board PCB Card ASM 720-014-10030 Used
22759 GasTech 24-0131 H2 Detector Extender Board PCB Card ASM 720-014-10030 Used
22760 Opto 22 G4PB32H 32-Channel Field Control I/O Module Rack Chassis PCB New
22761 Verteq 1076349-1 Frequency Synthesizer PCB Card 1076022-1 B Used Working
22762 SVG Silicon Valley Group 162340-001 SCR Firing Card PCB Thermco Systems Used
22763 Semitool 16799-01 32-Bit Output Board PCB Refurbished
22764 SCP Global Technologies 3270251A MCS 6 Cable Breakout Box Controller New
22765 SVG Silicon Valley Group 600967-01 Cassette Status Panel PCB Thermco Used
22766 Semy Engineering 501.01.53 CSW Eight Connection Box MYP9400006 Used Working
22767 Mykrolis ENCOM2CN0 Polyimide Pump Controller Millipore Used Working
22768 Mykrolis WGFG40HP1 Wafergard F-40 Cartridge Filter Entegris New Surplus
22769 Cognex 800-5660-2 Wafer Camera 203-0099-RB acuLight II Sony XC-75 HF35A-2M1 Used
22770 Cognex 800-5660-2 Wafer Camera 203-0099-RB acuLight II Sony XC-75 HF35A-2M1 Used
22771 Cognex 800-5660-2 Wafer Camera 203-0099-RB acuLight II Sony XC-75 HF35A-2 Used
22772 Cognex 800-5660-2 Wafer Camera 203-0099-RB acuLight II Sony XC-75 HF35A-2 Used
22773 Cognex 800-5660-2 Wafer Camera 203-0099-RB acuLight II Sony XC-75 CCTV 25mm Used
22774 Black Box IC495A SCSI Fiber Optic Extender Used Working
22775 SCP Global Technologies 1901701B EMO Alarm System Controller PCB 9400 Used
22776 Aviza Technology 600053-01 Analog Output PCB Card Used Working
22777 LTD Materials 10830-000 8″ Quartz Step Cover Ring DLIFT 2 Notch New
22778 UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM SIH4 Refurbished
22779 Millipore FC-2900M-4V Mass Flow Controller MFC 100 SCCM HBR Tylan Refurbished
22780 Semy Engineering 501.01.18 15 Input TC AMP Board PCB MYP9001005 Used Working
22781 Carl Zeiss 000000-1108-667 Microscope Lamp Power Supply Used Working
22782 ChemTec MAO250TAAC3 Flow Meter MAO Series New Surplus
22783 ChemTec MAO-125-T-20 AA Flow Meter MAO Series 32950-00 New Surplus
22784 KLA Instruments 200-000013-00 Mag Changer KLA-Tencor 2138 715-660136-00 Used
22785 Temptronic TP03000A-2300-1 ThermoChuck System TP03000 Electroglas 4090u As-Is
22786 Temptronic TP03000A2-TS-1 ThermoChuck Chiller TP03000 Untested As-Is
22787 Millipore WGEN02KP1 Hand Held Robot Teach Pendant Used Working
22788 Optrex 20481A-CEM LCD Screen PCB Generator Display Panel ETO 2580190-H Used
22789 KLA Instruments 710-650044-20 KLA DD Board PCB Card Rev DBO KLA-Tencor 2138 Used
22790 Horiba STEC LF-310A-EVD Liquid Mass Flow Meter TEPO AMAT 3030-05745 Used Working
22791 STEC LF-410A-EVD Liquid Mass Flow Meter TEOS AMAT 3030-05743 Used Working
22792 Aera FC-D980C Mass Flow Controller MFC 400 SCCM O2 Refurbished
22793 UNIT Instruments UFC-1660 Mass Flow Controller MFC 100 SCCM Ar Refurbished
22794 Tylan FC-2902MEP-T Mass Flow Controller MFC 2900 Series 100 SCCM CH3F Used
22795 Tylan FC-2900MEP Mass Flow Controller MFC 2900 Series 20 SLM H2 Refurbished
22796 KLA Instruments 710-679375-001 KLA DP Display Processor PCB Card KLA 2138 Used
22797 KLA Instruments 710-679375-002 KLA DP Display Processor PCB Card KLA 2138 Used
22798 Cymer E05-06080-03 Automatic Shutter Assembly 5000/6000 Series Laser ASML Used
22799 Mykrolis RTNINGEN2PU0UPG Dispense Pump Photoresist Millipore Refurbished
22800 Brooks Automation BM22462L01 Horizontal Transfer Assembly F33 Used Working
22801 Edwards A38128100 3 Phase Q Controller for QDP40 Vacuum Dry Pump Used Working
22802 SolaHD SLS-24-048T Regulated Power Supply TSLS-24-048T New Surplus
22803 Advance Electric SAV-3240-131Ci-V238 Diaphragm Valve 31433 New Surplus
22804 GasTech 57-0010 Remote Amplifier Board PCB 24-0314 Used Working
22805 DNS Dainippon Screen 2-F3-82680 Wafer Spin Chuck A 80A 2F382680 New Surplus
22806 OnTrak Systems 28-8875-038 SMIF Relay Board PCB Card – CE 96 Used Working
22807 ASM Advanced Semiconductor Materials 1613275-R-C J Piece Vacuum Port Refurbished
22808 PRI Automation BM22462L04 Horizontal Transfer Frame Lot of 3 Missing Parts As-Is
22809 Wasco P110-55W3A/6982 Vacuum Pressure Switch 50008489 6/14 New Surplus
22810 ITT Standard 5-142-03-008-063 Tube Heat Exchanger HCF Used Working
22811 SCP Global Technology 3270371A SCP MCS-E A-D Module Used Working
22812 Millipore YY50 32P S1 Inline Filter Wafergard Entegris New Surplus
22813 Faulhaber Minimotor 1624E006S84 Rotation Motor 21B12 K953 ANF8-A0320 New
22814 KLA Instruments 760-660153-00 60mm Lens Assembly KLA-Tencor 2138 Used Working
22815 KLA Instruments 760-660153-00 60mm Lens Small Body Assembly KLA-Tencor 2138 Used
22816 AMAT Applied Materials 0030-76062 Mitsubishi Monitor Bezel New Surplus
22817 Parker Hannifin RV-144-02 High Purity Valve PFA/PTFE Reseller Lot of 3 New
22818 AMAT Applied Materials 3700-02319 O-Ring Viton 2-225-S Reseller Lot of 20 New
22819 AMAT Applied Materials 0020-40905 5000 Standard Interface Blank Lot of 2 Used
22820 AMAT Applied Materials 3700-02329 O-Ring Duro White Reseller Lot of 4 New
22821 AMAT Applied Materials 0021-10863 IPS RF Feedthru Base Used Working
22822 Benkan KD6CS-SA-STD Pneumatic Valve 55805701 DNS Screen 5-39-04637 New
22823 Advance Electric SAV-3260-131CiZ Pneumatic Valve 1882 New Surplus
22824 AMAT Applied Materials 0020-39500 Molded Pumping Plate DxZ Chamber Handle New
22825 SCP Santa Clara Plastics FSL 2011-02 Counter Flow Heat Exchanger 9400 HP Used
22826 RECIF Technologies ANF8 M0031 10mm Rotating Rod Notch Aligner Lot of 5 New
22827 AMAT Applied Materials 0021-39935 IPS Upper TV Port Liner Used Working
22828 AMAT Applied Materials 0021-39935 IPS Upper TV Port Liner Refurbished
22829 WinSystems 400-0167-000 COM4 Interface PCB Card LPM/MCM-COM4 2003495-001 Used
22830 AMAT Applied Materials 0040-32552 Throttle Valve Blade Refurbished
22831 Jennings CSVF-500-0415 Adjustable RF Capacitor AMAT 0021-10751 Used Working
22832 Leica 567050 Microscope Objective PL Fluotar 10x/0.25 ∞/- with Sleeve Used
22833 Leica 567056 Microscope Objective PL APO 50x/0.90 ∞/0 Used Working
22834 Carl Zeiss 44 23 34 Epiplan-NEOFLUAR Microscope Objective 10x/0.30 HD ∞/0 Used
22835 AMAT Applied Materials 0021-10747 RF Match Capacitor Assembly Inner Coil Used
22836 AMAT Applied Materials 0021-10744 RF Match Capacitor Assembly Outer Coil Used
22837 AMAT Applied Materials 3700-01682 Duro White O-Ring Reseller Lot of 5 New
22838 Santa Clara Plastics M12-1754 Communication Cable 2700 Reseller Lot of 2 New
22839 Santa Clara Plastics 226-178-1B Interface Cable 2700 Reseller Lot of 2 New
22840 AMAT Applied Materials 0090-36323 AC Boomerang Cover Cable Sensor Lot of 3 New
22841 Green Tweed 9258-SC520 Chemraz O-Ring AS-568A-258 CPD 520 Reseller Lot of 4 New
22842 AMAT Applied Materials 0021-10725 RF Connection Roof Inner Coil IPS New
22843 Aera CA-98DP Mass Flow Controller Micro to Card Edge Adapter Lot of 2 Used
22844 SVG Silicon Valley Group 166186-003 Gas Line Thermco Systems New Surplus
22845 Glemco 99784AW Arc Slit Liner Hybrid 080″ T15 1797716 Reseller Lot of 7 New
22846 ChemTec MAO-125-T BB Flow Meter MAO Series New Surplus
22847 Entegris UE4FN-1 Flaretek Elbow Tube Fluoroware Reseller Lot of 10 New
22848 Aviza Technology 166759-002 Solenoid Harness Pack to Gas Board Cable New
22849 AMAT Applied Materials 3700-02765 Duro Brown O-Ring Reseller Lot of 31 New
22850 Buckley Systems 17133424 Electrode RE 11.0 CM QD33424 New Surplus
22851 DNS Dainippon Screen 2-J3-98218 Wafer Spin Chuck 80A 80B 2J398218 Used
22852 Ametek 256026 Stainless Steel Pressure Gauge 656231AF4CD3A00 Lot of 5 New
22853 ASM Advanced Semiconductor Materials 04-323576A02 ATM Valve Repair Kit New
22854 Millipore SI2M008R4 Inline Filter Wafergard II Micro Entegris New
22855 Mykrolis WG2F06WR1 Inline Filter Wafergard II F-6 Entegris New Surplus
22856 Fujikin FUCL-715-6.35-0.07-UP Check Valve TEL 012-000819-1 Reseller Lot of 2 New
22857 AMAT Applied Materials 3700-02408 Duro Black O-Ring Reseller Lot of 58 New
22858 TEL Tokyo Electron DS027-001544-1 Teflon Seal Spring 5390380107 Lot of 7 New
22859 ASM 04-328530-03 Susceptor Ring .275″ Quartz Pins SPRT Kit Lot of 3 New
22860 AMAT Applied Materials 0040-09273 Susceptor Lift Bracket Precision 5000 New
22861 AMAT Applied Materials 0021-39943 Liner Pump Port IPS Cleaned Refurbished
22862 AMAT Applied Materials 0021-39943 Liner Pump Port IPS Used Working
22863 PRI Automation 70000202 Front Panel Assembly PM421PRI Used Working
22864 AMAT Applied Materials 0021-39972 Middle Throttle Valve Liner Used Working
22865 AMAT Applied Materials 0021-39972 Middle Throttle Valve Liner Refurbished
22866 AMAT Applied Materials 0021-39971 Lower Throttle Valve Liner Refurbished
22867 AMAT Applied Materials 0021-39971 Lower Throttle Valve Liner Used Working
22868 AMAT Applied Materials 0040-32460 Boomerang IPS Used Working
22869 SVG Silicon Valley Group 168654-002 Plug Burn-In Bottom Double Wall 5 Zone New
22870 Aviza Technology 603374-01 Heater Burn-In Plug Spacer Assembly Used
22871 +GF+ George Fischer 175.315.534 Manual Diaphragm Valve 315 SYGEF Standard New
22872 AMAT Applied Materials 3700-01883 Duro Black O-Ring Reseller Lot of 60 New
22873 Entegris W2501TC01 Polymide Pump Trigger Cable New Surplus
22874 Expert Semiconductor Technology 600099-01 VTR Furnace COMM To ACE Cable New
22875 Millipore W2501CB08 Photo-250 Pump Control Cable Entegris New Surplus
22876 Millipore W2501CB08 Photo-250 Pump Control Cable Entegris Used Working
22877 Entegris W2501KP01 Photo-250 Pump Fastech Cable Used Working
22878 SCP Global Technology 2261381A-00 Resistivity Probe Wire Patch SCP 9400 New
22879 UE United Electric B54-103 9040 Temperature Switch 0-225°F New Surplus
22880 Quantum Global Technologies 02-327347D04 Injector Flange Stepped Refurbished
22881 SPT Microtechnologies 168507-007 Heater Jacket 4 Zone Power Receptacle Box Used
22882 Kunkle 6010HHM01-AM Steam Pressure Relief Valve 2″ I/O New Surplus
22883 Greene Tweed 9258-SC520 Chemraz O-Ring AS-568A-268 CPD 520 New Surplus
22884 Greene Tweed 9243-SS513 Chemraz O-Ring AS-568A-243 CPD 513 AMAT 3700-01718 New
22885 Goulds 3SV4TA30 Vertical Multi-Stage Pump e-SV Series Edwards TPU TCS Helios New
22886 Semitool 500048-01 Lift/Rotate Extended L/R Board PCB New Surplus
22887 RM Industries RMI-117F White Carousel RM Control Board PCB Used Working
22888 ATMI Packaging SP4CAAFE-070522 Dispenser NOWPak New Surplus
22889 AMAT Applied Materials 422-59-012 Vortex Single Chamber Flange Set of 3 Used
22890 A.G. Heinze RN814-0941-2 Nikon Scope NWL860 Loader Stage Y-Guide Rail New
22891 Semitool 243T0014-501 Lift/Rotate 12″ Equinox Cable Assembly New Surplus
22892 KLA Instruments 710-657068-20 AF Pulse Sensor Preamp Board PCB Re. EBO 2132 Used
22893 AMAT Applied Materials 0010-76993 8″ Right Cassette Reflector Handler New
22894 Brooks Automation 001-1070-02 Z-Bot Driver Controller Board PCB Untested As-Is
22895 AMAT Applied Materials 0200-00218 Cover Top Quartz 8″ PCII New Surplus
22896 Semitool 213T0181-543 2.0mm Reach Ring Contact with Drain Slots 200mm New
22897 Semitool 213T0360-505 Base Contact Ring Assembly ASM BB 200×1.1×2.00mmR New
22898 KLA Instruments 710-660800-001 NSC3 Processor PCB 073-660800-00 KLA-Tencor Used
22899 Mitsubishi Video Copy Processor Video Printer P90W P91W Lot of 2 Damaged As-Is
22900 AMAT Applied Materials 0190-01403 WxZ Heater TC Thermocouple New Surplus
22901 AMAT Applied Materials 0200-00406 Bushing Pedestal RPC + Reseller Lot of 6 New
22902 Alcatel 104200 Vacuum Pump Oil Mist Eliminator Filter OME 25 S Adixen New
22903 Andron A2KSX4140 Long Radius 45° Stainless UHV Elbow Fitting 2WK Lot of 2 New
22904 Nor-Cal Products 2FC-ISO-300-4-1NW-80-ISO-80 Flexible Coupling 7″ New Surplus
22905 ATMI Packaging SP4CAAFE-031722 Dispenser NOWPak New Surplus
22906 KLA-Tencor 780-08045-001 8100XP Pump Interface Kit Varian NW-40-R/O New Surplus
22907 Quantum Clean 1385-391308-14 Shutter Assembly Refurbished
22908 SPM FRS-ECP-000044 Splash Baffle Resist Reservoir Spider Reseller Lot of 5 New
22909 Semitool 213T0181-561 2.0mm Reach Ring Contact with Drain Slots 200mm New
22910 PALL LDFGLIGPDLIZFZ-K3 Ultikleen G2 Excellar Kleen-Change Filter New
22911 KDF Electronic 880-32-000 Relay Board PCB Card 880-32-101 MRC 634 Used Working
22912 ATMI Packaging SP4CAAFE-031721 Dispenser NOWPak New Surplus
22913 Edwards 11.02-3250 Bored Blank Flange KF50 QF50 Lot of 20 MKS HPS Nor-Cal New
22914 Eastern Air Devices LA23GCKC-2A1 Focus Zoom Motor KLA 740-659653-00 2132 Used
22915 AMAT Applied Materials 0021-03526 BPSG Slotted Outer Ring New Surplus
22916 Spectra-Physics 0093-1209 Plasma Tube Laser KLA-Tencor 780-062873-000 New
22917 VWR International Whatman Quantitative Filter 1441 090 1441-047 Lot of 11 New
22918 ATMI Packaging ND-EP-4-T Extended Probe Dispenser New Surplus
22919 Denton Vacuum TAR001-0048 4 Inch Chromium Target New Surplus
22920 Schneider Electric APC RBC11 Wafer Sorter Replacement Battery Cartridge Set New
22921 US Digital Designs USDD-0016 ZBOT1 Vacuum Board VAC PCB Z1V1-R Used Working
22922 Entegris N2-2 NPT Pipe Straight Union Nipple 1/8″ MNPT x 1/8″ MNPT Lot of 88 New
22923 Entegris BT8-8FN-1 Tee Adapter 1/2″ Flaretek x 1/2″ MNPT Branch Lot of 17 New
22924 Entegris RT4-4FN-1 Tee Adapter 1/4″ Flaretek x 1/4″ MNPT Run Lot of 22 New
22925 Entegris FUT8 NPT Pipe Tee Union 1/2″ FNPT Reseller Lot of 9 Fluoroware New
22926 Entegris RT6-8FN-1 Tee Adapter 3/8″ Flaretek x 1/2″ MNPT Run Lot of 7 New
22927 Entegris UE8N 1/2″ Integral Ferrule Elbow Union PFA Reseller Lot of 10 New
22928 Entegris FMC4-8 NPT Pipe Straight 1/4″ FNPT x 1/2″ MNPT Reseller Lot of 10 New
22929 Entegris FMC4-6 NPT Pipe Straight 1/4″ FNPT x 3/8″ MNPT Reseller Lot of 16 New
22930 Entegris N12-12 NPT Pipe Straight Union Nipple 3/4″ x 3/4″ MNPT Lot of 15 New
22931 Entegris FME4-4 NPT Pipe Elbow 1/4″ FNPT x 1/4″ MNPT Reseller Lot of 17 New
22932 Entegris FUE2 NPT Pipe Elbow Union 1/8″ FNPT Reseller Lot of 20 New Surplus
22933 Entegris RT6-6FN-1 Tee Adapter 3/8″ Flaretek x 3/8″ MNPT Reseller Lot of 12 New
22934 Entegris FMC2-4 NPT Pipe Straight 1/8″ FNPT x 1/4″ MNPT Reseller Lot of 21 New
22935 Entegris BT6-8FN-1 Tee Adapter 3/8″ Flaretek x 1/2″ MNPT Reseller Lot of 10 New
22936 Entegris N8-4 NPT Pipe Straight Union Nipple 1/2″ x 1/4″ MNPT Lot of 13 New
22937 Entegris RT4-6FN-1 Tee Adapter 1/4″ Flaretek x 3/8″ MNPT Reseller Lot of 12 New
22938 Daito GP40 Fuse 4A 250V TEL Tokyo Electron 045-000329-1 Reseller Lot of 150 New
22939 Motion Industries 6357589 Bearing Hub VHP Robot 16105 USB&D New Surplus
22940 Allied 90-150-350 Red Final C Adhesive Back Disc 8″ (Pk/5) Reseller Lot of 8 New
22941 Allied 50-60130 15µm Diamond Disc Metal Bonded 8″ Adhesive Back Disc New Surplus
22942 TEL Tokyo Electron CT067-000773-1 LED Indicator APX850-24R Lot of 20 New Surplus
22943 Varian 0391-F4156-301 Molecular Sieve Adsorbent Material Reseller Lot of 3 New
22944 Cleveland C61065 CR End Mill CEM-SE-2 Reseller Lot of 2 New Surplus
22945 A.G. Heinze RN814-0424 Wafer Chuck Z-Drive Belt Reseller Lot of 7 New Surplus
22946 Semitool 219T0185-01 Ring Actuation Drive Head 150/200mm Equinox Lot of 2 New
22947 Varian Semiconductor Equipment 102596001 REGEN Logic PCB 102596100 Used Working
22948 Semitool 219T0207-01 Ring Actuation Fixed Rotor Assembly Equinox New Surplus
22949 Semitool 229T0089-503 Rotary Purge Pass Thru Elec Assembly New Surplus
22950 Greene-Tweed 9123-SD570 O-Ring AS-568A-123 CPD 570 Reseller Lot of 17 New
22951 Greene Tweed 9121-SD570 O-Ring AS-568A-121 CPD 570 Chemraz Lot of 17 New Surplus
22952 Greene Tweed 9258-SC520 Chemraz O-Ring AS-568A-258 CPD 520 New Surplus
22953 FSI International 290199-400 Electric Shuttle Board PCB 290199-200 Used Working
22954 Semitool 16889-01 Semitool 16889-01 Servo Lift Assembly Board PCB AMAT Applied Materials New
22955 NEC VT60LP Lamp Unit with Air Filter Projector Bulb New Surplus
22956 Zebra 10011703 Z-Ultimate 3000T Polyester 3″x2″ Label Roll Reseller Lot of 5 New
22957 Swagelok SS-1GS4-KZ-SH-SPR Toggle Valve TEL CT012-004620-1 Lot of 6 New Surplus
22958 Swagelok 1/8″ Stainless Steel Fittings SS-2-E SS-2-SE SS-2-ST Lot of 19 New
22959 Sanyo 8KF-A900 Battery Silicon Disk TEL CT044-001195-1 Reseller Lot of 9 New
22960 Cognex 800-5660-1 Wafer Camera 203-0099-RB acuLight II Sony XC-75 HF35A-2M1 Used
22961 Cognex 800-5635-1 Wafer Camera System Acumen 10012 Sony XC-75 Computar 50mm Used
22962 ATMI Packing SC-23-21-22 SmartCap Cap Closure NOWPak Reseller Lot of 21 New
22963 AMAT Applied Materials 0020-22839 Pin Preclean Lift Reseller Lot of 3 New
22964 Sherer Engineering 10182011 Finger Hoop AMAT Endura 5500 Reseller Lot of 12 New
22965 Semitool 245005-01 Equinox Spin Motor Index Sensor Board PCB New Surplus
22966 Greene Tweed 9268-SS513 Chemraz O-Ring AS-568A-268 CPD 513 New Surplus
22967 Swagelok 3/4″ Stainless Steel Fittings SS-12-T SS-12-E SS-12-HC-1-8 Lot of 7 New
22968 Entegris C4-6FN-1 Straight Adapter 1/4″ Flaretek x 3/8″ MNPT Lot of 18 New
22969 Entegris FSU12 NPT Pipe Straight 3/4″ FNPT Reseller Lot of 32 New Surplus
22970 Patlite SEFB-402D-RYGB Indicator Light Tower 24V AC/DC New Surplus
22971 Swagelok SS-FJ4TA4TA4-12 Smooth Bore PTFE Hose 12″ Reseller Lot of 4 New
22972 AMAT Applied Materials 3700-02187 Chemraz O-Ring Reseller Lot of 4 New
22973 A.G. Heinze RN814-140-3 Nikon Wafer Loader Feeder Arm RP-MBV22000 New Surplus
22974 Swagelok Stainless Steel Fittings SS-6-CP SS-8-ME Reseller Lot of 65 New Surplus
22975 AMAT Applied Materials 3700-02355 Chemraz O-Ring Reseller Lot of 2 New
22976 Jamco BJ06 Safety Cabinet for Flammables 6 Gallon with 1 Door Yellow B New
22977 AMAT Applied Materials 0020-30083 BPTEOS Throttle Valve Plug New Surplus
22978 Entegris NOWPak SmartProbe Keyed Retainer Various Fluids Reseller Lot of 41 New
22979 Entegris BT12-12FN-1 Tee Adapter Branch 3/4″ Flaretek x 3/4″ MNPT Lot of 12 New
22980 Entegris BT12-12FN-1 Tee Adapter Branch 3/4″ Flaretek x 3/4″ MNPT Lot of 12 New
22981 Soft Switching Technologies DE10001A208V Dynamic Voltage Sag Corrector Mini DYSC New
22982 Entegris NPT Pipe Elbow Union FUE4 1/4″ FUE8 1/2″ FUE12 3/4″ FNPT Lot of 36 New
22983 Entegris Flaretek Straight Adapter FNPT FC4-6FN-1 FC12-8FN-1 Lot of 41 New
22984 Entegris WTA4-4PFN-1 1/4″ Flaretek x 1/4″ PureBond Reseller Lot of 16 New
22985 Entegris Semiconductor Fitting 1032-065 UE6N N8-6 N12-8 Reseller Lot of 33 New
22986 Axcelis Technologies 17111200/C Bellow Liner Assembly New Surplus
22987 KLA Instruments 710-806051-01 Video PCB Card TEL Tokyo Electron P-8 As-Is
22988 Prometrix 36-0276 Door Interconnect Backplane Board PCB 54-0276 KLA-Tencor Used
22989 FSI International 290104-400 Pneumatic Chemfill Interface PCB Edwards Used
22990 West Coast Quartz 91-00290 Quartz Pipe Mark II/MxP Poly Clamped AMAT New Surplus
22991 West Coast Quartz 0200-00071 Insulating Pipe 200mm EXT QTZ AMAT Rev. A New
22992 Summit Electronics GX500U-6002 Power Supply Kensington Laboratories Used Working
22993 SMC INR-244-126A Cooling Plate TEL Tokyo Electron CT1380-001135-11 New
22994 Entegris CE300KFSK4R Gas Purification System GateKeeper New Surplus
22995 Lam Research 718-043893-811 8 Inch Electrostatic Chuck Rev. H 9400 TCP New
22996 ATC Aztech Controls NVT-910-00298 Gas Panel Assembly New Surplus
22997 KLA-Tencor 0027758-000 Wafer APS2 AIT2 PCB Card 0135239-000 Untested As-Is
22998 Optronics Engineering P99018 Microscope Video Camera Controller DEI-470 Used
22999 Optronics Engineering E99018-0 Microscope Video Camera Controller DEI-470 Used
23000 Optronics Engineering LX-450A Microscope Video Camera Controller Used Working
23001 Swagelok HD2012004120A 8-Port Teflon Manifold with PVDF-FN-6-1K Flare Nuts New
23002 Lam Research 853-023631-035 RF Cable 35 Foot New Surplus
23003 Kensington Laboratories 4000-60048 SBC I/O Piggy Back Board PCB Used Working
23004 Flowline LC52-1001 Continuous Relay Proportional Controller LC52 Series New
23005 MKS Instruments 100004921 Bypass Valve Upgrade Kit Micrometer Viton Seals New
23006 AMAT Applied Materials 0200-10296 Insulator Main Bulkhead Cathode DPS New
23007 Veriflo 45200266 Diaphragm V-Block Valve 945Y2NC/NCFSFFMA New Surplus
23008 APTech AP74005S FV4 FV4 Vertical Flow Switch AP74005S-FV4-FV4 New
23009 Entegris ES-1C-MDT-100-012 Single Channel Module with Tee Cable New
23010 Kensington Laboratories 4000-60043 5-Axis Motherboard Backplane PCB Used Working
23011 RECIF Technologies SPCV Vertical Wafer Wand Holder Reseller Lot of 20 New
23012 VAT 507051 Vacuum Valve Integrated Controller COMPL New Surplus
23013 Ulvac Technologies 1012402 Teflon Case RF/Water Feedthru Reseller Lot of 6 New
23014 Veeco Instruments 96F1189 Dual Motor Driver Board PCB Used Working
23015 Ziatech ZT 8809A SBC Single Board Computer PCB Card 15-0023-01 15-0023-02 Used
23016 AMAT Applied Materials 0190-70103 Monitor Light Pen Interconnect Board PCB New
23017 AMAT Applied Materials 0020-30425 Insert AL Outer Pedeatal Reseller Lot of 4 New
23018 All Flex 122347-ML 3-Layer Flex Circuit PCB 1251 0ZGB2 Reseller Lot of 18 New
23019 AMAT Applied Materials 1310-01077 TC Washer Type K 70XKUGB114F Lot of 2 New
23020 Faulhaber 1000003572 ZBOT EXT/TER Micro Motor Reseller Lot of 4 New
23021 Therma-Wave 18-012206 TP500 Reference Assembly Therma-Probe TP500 Used Working
23022 FSI International 902427-001 Pneumatic Valve Assembly 306035-001 302006-002 New
23023 FSI International 092427-002 Pneumatic Valve Assembly 306035-001 302006-002 New
23024 Lam Research 856-210425-001 Retro AC/DC ENCL R/B Kit Reseller Lot of 15 New
23025 Kaydon Bearings KAA10XLO Bearing Ulvac Wrist Robot Brooks Reseller Lot of 2 New
23026 Banner Engineering SM31RLMHS-40381 Sensor MINI-BEAM Series Reseller Lot of 3 New
23027 Axcelis Technologies 250861 Rotator Interface Fusion Board PCB New Surplus
23028 Cybor 512H Photo Resist Power Supply Module Model 512 Used Working
23029 Cybor 512H Photo Resist Power Supply Module Model 512 Used Working
23030 MKS Instruments 100761016 Single Claw Clamp Lam 796-090956-004 Lot of 21 New
23031 Fujikin FUND-71G-6.35-2 Diaphragm Soft-Seal Valve Reseller Lot of 3 New Surplus
23032 Yuwei SS684ZZC High Precision Instrumentation Bearing Reseller Lot of 96 New
23033 AMAT Applied Materials 0021-09594 DPS Clamp Insulator Cathode Bulkhead New
23034 AMAT Applied Materials 0020-35291 Plug Gas Nozzle DPS Metal Etch Lot of 4 New
23035 Fujikin FUSDBCL-21-6.35UGC Diaphragm Soft-Seal Valve Reseller Lot of 2 New
23036 Fujikin FUSDBCLT-21-6.35UGC Diaphragm Valve with Gas Purge Port New
23037 Square D FLA34045 Interrupting Circuit Breaker KLA-Tencor AIT2 CPM New Surplus
23038 Greene Tweed 5641-0108-SC513 Chemraz O-Ring CPD 513 Reseller Lot of 24 New
23039 Greene Tweed 9320-SS513 Chemraz O-Ring AS-568A-320 CPD 513 Reseller Lot of 7 New
23040 Ulvac Technologies 1012528 O-Ring ISO-452 SIL Reseller Lot of 14 New Surplus
23041 ESI ES-2002-0500-120 Teflon Nebulizer MicroFlow PFA-100 with ASX-500 Probe New
23042 Precision Alliance PMM 05-10-HT Miniature Slide Reseller Lot of 90 New
23043 KLA-Tencor 18-007478 Laser Detector Rev. F1 8100 XP CD-SEM System Used Working
23044 KLA-Tencor 18-007478 Laser Detector Rev. E 8100 XP CD-SEM System Used Working
23045 KLA-Tencor 183270 Cassette SI Switch Plate 100-200mm 183270 Used Working
23046 Swagelok NXT-DRP161AFGFG-C Large Body Radial Diaphragm Valve NC Actuator New
23047 AMAT Applied Materials 0020-32364 Bracket Recessed Endpoint Rev. 002 New Surplus
23048 Trebor International KM50EU-00-A Spares Rebuild Kit Reseller Lot of 6 New
23049 Lam Research 839-030055-001 Turbo Support Block New Surplus
23050 Axcelis Technologies 1191790 Supply HV Receiver Used Working
23051 Brooks 14616-01 Tempered Boro Disc M800 XFER Chamber Window Ulvac 1019116 New
23052 Lam Research 853-017824-010 TCU EMO Cable Assembly 10 Foot New
23053 AMAT Applied Materials 0020-30420 8″ Pedestal Cover New Surplus
23054 Thermo Fisher Scientific TE-024548.4C Power Board MC008 PCB NESLAB HX150 Used
23055 Kvaser 733-0130-00082-7 Interface Board PCIcan HS PCB Card Used Working
23056 KLA-Tencor 600-654001-00 Heavy Blower Assembly KLA 213X Used Working
23057 GLI Hach 3726E2T-CE Electrodeless Conductivity Sensor Edwards 415688-001 New
23058 GLI Hach 3726E2T Electrodeless Conductivity Sensor Edwards 415688-001 New
23059 Eaton Semiconductor 1191790 Supply HV Receiver Used Working
23060 AMAT Applied Materials 0021-37701 Side Receiver Left 200mm 316-EP New Surplus
23061 Dupont AS-568A O-Ring K# 010 Compound 4079 Kalrez Reseller Lot of 10 New
23062 Omega Engineering LCHD-5K Load Cell Pancake Style Tension Compression New
23063 Axcelis Technologies 202771 Beam Break Sensor Receiver Cassette AFT New Spare
23064 Sunx CX-M10 Photoelectric Sensor with an Inverter Light Reseller Lot of 2 New
23065 Ulvac Technologies WP-01 Vacuum Pirani Gauge Sensor Head New Surplus
23066 Ulvac Technologies IS-BA1 Ion Source IS-BA1 5543 New Spare
23067 SMC NCDQ2WB63-01-0193US Cylinder NCDQ1WB63-01-70339 AMAT 3020-01060 New Spare
23068 SVG Silicon Valley Group 99-80302-01 90S Interlock Board PCB Card Used Working
23069 Setra 2251100PGD41106 Pressure Transducer Model 225 New
23070 Emoteq BH02301AF04HBE Brushless Servo Motor Semitool 994123 Used Working
23071 SSAC TDS24AL Time Delay Relay Digi-Set Lot of 3 Used Working
23072 BTI Bruce Technologies 3163361 Torch Control Interface PCB 3163360 Used
23073 Kuhnke 40.197 Relay Board New
23074 Fuji Electric EG104A 4-Pole 30A Earth Leakage Circuit Breaker Used Working
23075 Semitool 16799-501 32 Bit Output Board 16799C-501 Used Working
23076 Semitool 16750-507 32 Bit Input 302 Board 16750C-507 E Used Working
23077 Ceramic Tech 719-250922-001 Dark Spacer Shield Refurbished
23078 Semitool 16750-505 32 Bit Input MAG WIP 3 Ports 16750C-505 DW Board Used
23079 L&N Leeds And Nortrup 007498 Circuit Card Semitool 14239 Used Working
23080 Powertec 2K15D-1.3B DC Power Supply OEM II Semitool 00501761 New
23081 Trilogy Systems CW-DB1A LEM Technology Decoder Semitool 4017-17 Used
23082 HP Hewlett-Packard 98622-66501 16-Bit GPIO Interface Card 98622A Used
23083 AMAT Applied Materials 678663 PCB Test Extender Used Working
23084 Swagelok SS-FL8TA8TA8-36 Braided Hose 3 FT New
23085 Hirschmann RH2-TX 4-Port Ethernet Rail Hub 4613-34 Used Working
23086 Lambda LSS-36-12 Power Supply New
23087 Digital 5013216D S.L.U. M8043 PCB Card Used Working
23088 Semitool 16750-507 32 Bit Input 302 Board 16750C-507 Used Working
23089 Digital 5013216C S.L.U. M8043 PCB Card Used Working
23090 Answer Engineering 112-153 Rotary Actuator Turn-Act Used Working
23091 Texas Instruments SN74LS123N Monostable Multivibrator Lot of 100 Used Working
23092 Parker CM231XX-112251 AC Servo Motor Compumotor Used Working
23093 Applied Ceramics 91-01228A Insulator Pinless 8″ Quartz New
23094 Acopian U24Y1000 Unregulated Power Supply Used Tested Working
23095 Gurley Precision 25/045-NB16-IA-PPA-VSR1E-ABA Motor and Encoder Set Used Working
23096 Gurley Precision Instruments A25SB16P026A06ETN Motor and Encoder Set Used Working
23097 Gurley Precision 25/045-NB16-IA-PPA-VAR1E-AAX Motor and Encoder Set Refurbished
23098 Dynatronix 138-0323-40 FWD REG Board JH Processor Card PCB 190-0323-03 Used Working
23099 Dynatronix 138-0323-40 FWD REG Board SM Processor Card PCB 190-0323-03 Used Working
23100 Dynatronix 138-1203-10 TIMING Board Processor Card PCB 190-0203-00 Used Working
23101 Dynatronix 138-0335-03 Processor Board PCB 190-0335-01 MERLIN SE-USX Used Working
23102 Dynatronix 138-0352-00 Thermal Contact Relay Board PCB 190-0352-00 Used Working
23103 Solu Comp Water Quality Analyzer SCL-R-400-M32 working
23104 Dynatronix 138-0352-01 Thermal Contact Relay Board PCB 190-0352-00 Used Working
23105 Dynatronix 138-0353-03 Backplane Board PCB 190-0353-01 Used Working
23106 MKS Instruments 115382 Gauge Measurement & Control 16 BIT Motherboard PCB Used
23107 MKS Instruments D112082-G1-L Cold Cathode PCB Card D112081-E Used Working
23108 MKS Instruments D112310-G1-G Dual Pirani/Conventron PCB Card D112389-D Used
23109 MKS Instruments D111700-G1-G Gauge Measurement & Control PCB Card 111699-G Used
23110 MKS Instruments 111725-G1-F Capacitance Manometer PCB Card 111724-B Used
23111 TCP 380 Pfeiffer Vacuum PM C01 680 Turbomolecular Pump Controller Damaged As-Is
23112 Unaxis Bellow for Rotary 42310200 W0228 New
23113 Varian K7360301 Type 572 Ionization Gauge New
23114 Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2900 Series 200 SCCM N2 Used
23115 Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 0.2 SLPM N2 Used Working
23116 Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 100 SCCM N2 Used Working
23117 Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2960 Series 50 SCCM N2 Used
23118 Tylan FC-2960-MEP5-261R Mass Flow Controller MFC 2960 Series 5 SLPM N2 Used
23119 Celerity FC-2960MEP5 Mass Flow Controller MFC TN2960 5 SLPM N2 Used Working
23120 Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2900 Series 5 SLPM N2 Used Working
23121 Tylan FC-2950MEP5 Mass Flow Controller MFC 797-093267-505 200 SCCM Cl2 Used
23122 STEC SEC-7340M Mass Flow Controller MFC SEC-7340 10 SLM N2 Used Working
23123 Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2960 Series 20 SLPM N2 Used
23124 Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 20 SLPM N2 Used Working
23125 Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 0.05 SLPM N2 Used Working
23126 Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2900 Series 2 SLPM N2 Used Working
23127 Tylan FC-2960MEP5 Mass Flow Controller MFC 2960 Series 20 SLPM N2 Used Working
23128 Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 500 SCCM N2 Used Working
23129 Lot of 4 Watlow Columbia 06607982 Heater Jacket New
23130 Tylan FC-2960MEP5 Mass Flow Controller MFC 2960 Series 500 SCCM N2 Used Working
23131 Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2960 Series 500 SCCM N2 Used
23132 Millipore FC-2960MEP5 Mass Flow Controller MFC 2960 Series 20 SLPM Used Working
23133 Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2960 Series 4 SLPM Used Working
23134 Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2900 Series 20 SLPM Used Working
23135 Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2900 Series 20 SLPM Used Working
23136 Mykrolis FC-2960MEP5 Mass Flow Controller MFC 2960 Series 2 SLPM Used Working
23137 Aera FC-7800 Mass Flow Controller MFC ASM 54-123806A15 500 SCCM HCl New
23138 Applied Materials AMAT 0200-09473-B Clamp Ring New
23139 AMAT Applied Materials 8100D System DC Power Supply 01-81913-00 Rev.E working
23140 Applied Ceramics 91-00740A 200mm Quartz Insulator Simple New Surplus
23141 SemiTool SRD Weldment 50 Wafr Bowl 110R0010-01 (working, used)
23142 Laminaire Corp 5020290 LF Panel Air Filter new
23143 MKS Signal Conditioner Type 221 221B-15494 New
23144 Inficon SKY Capacitance Diaphragm Gauge CR090 New
23145 Parker Filter Mega-Pure AFDA10TTCW New
23146 Metron SO165-01 AMD 10018013 New Lot of 5
23147 Semitool Heater Blanket 60270-05 New Lot of 7
23148 HP Hewlett-Packard 1142A Probe Control and Power Module Used Working
23149 Agilent 34970A Data Acquisition Switch Unit Base No Bezels Cards Handle Used
23150 Agilent 34970A Data Acquisition Switch Unit with 34908A 34903A Used Working
23151 ESI 110M-PS Diode Pumped Laser System Power Supply Lightwave Used Working
23152 ESI 110M-PS Diode Pumped Laser System Power Supply Lightwave Used Working
23153 MKS Instruments 121258-G1-A Pressure Controller I/O PCB Card Used Working
23154 MKS Instruments 115367-G1-E Transducer Controller PCB Card Used Working
23155 MKS Instruments 113594-G1-V Serial Interface PCB Card Used Working
23156 MKS Instruments 116075-G1-D Valve Controller PCB Card Used Working
23157 MKS Instruments 115441-G1-F Power Controller PCB Card Used Working
23158 MKS Instruments 115780-G1-F Display Panel Knob Interface PCB Board Used Working
23159 MKS Instruments 113591-G1E Backplane Board PCB 113591-G1-E Used Working
23160 Kensington Laboratories 4000D-A Servo Positioning Controller Y X Axis Used
23161 Granville-Phillips 275806-EU Mini-Convectron Vacuum Gauge Used Working
23162 Omron GT1-AD04 Analog Unit 4 Point Input Module AD04 Used Working
23163 UNIT Instruments UFC-1100 Mass Flow Controller MFC 5 SLM N20 Used Working
23164 Huntington 1518 Thermocouple Vacuum Gauge Reseller Lot of 2 Used Working
23165 Veriflo 4510011DEX Manual Regulator Valve SQHP1002PFSFF4472SCI Used Working
23166 Edwards D37215000 Network Interface Flash Module iQDP New Surplus
23167 Tosoh 04813-0005 PIK Insulator 8″ W/FLT PC-II Refurbished
23168 DS Techno S3L20760 TCP Quartz Window New Surplus
23169 DS Techno S3L30296 Smooth Quartz Disc New Surplus
23170 Air Liquide Electronics EM-5000-MD Monitor Annunciator Used Working
23171 Edwards NGD862000 Vacuum Pump Additional Parts Cable Kit New Surplus
23172 Omega RD8804CD Paperless Recorder and Data Acquisition System RD8800 Used
23173 VAT 01034-KE44-0004 Mini UHV Vacuum Gate Valve Used Working
23174 VAT 01034-KE44-0004 Mini UHV Vacuum Gate Valve Body Only As-Is
23175 AMAT Applied Materials 0190-09731 BWCVD Motor Drive Assembly Used Working
23176 AMAT Applied Materials 0190-09731 BWCVD Motor Drive Assembly No Valve Gear Used
23177 AMAT Applied Materials 0010-09120 BWCVD Motor Drive Assembly Used Working
23178 Veriflo 45400086 Manual Regulator Valve 959100W2PFSFM5447 New Surplus
23179 Millipore SSC01A4BSM5H Pressure Transducer Solid Sense TF New Surplus
23180 Ziatech 15TPOFO-A 15 Slot Bus Embedded Computer PCB Card Cage STD 32 ZT 200 New
23181 TEL Tokyo Electron 10023541 Quartz Weighting Tank 32L New Surplus
23182 Tencor Instruments Surfscan 7700 Laser Assembly JDS Uniphase 2214-30SLQTT Used
23183 AMAT Applied Materials 0270-09227 Chamber Lid View Port 0021-02640 Used Working
23184 Brooks Automation 001-3710-03 26VDC Power Supply 13710-03 Used Working
23185 Brooks Automation 001-3710-03 26VDC Power Supply 13710-03 Cracked Display Used
23186 Edwards E21909516 Vacuum System Power Cable 1.5M w/Receptacle Plug Used Working
23187 ASM Advanced Semiconductor Materials 16-185854B01 Elbow OW Reseller Lot of 4 New
23188 Kensington PREALIGNER Module CSMT-4 Compact Wafer Sorter w/FORESIGHT 200mm Used
23189 Watkins Johnson 905714-001 Quad SSR Cable Interface CCA-001 Lot of 10 Used
23190 APTech AP1410SM 2PW MV4 MV4 3.38 VS Manual Regulator Valve Used Working
23191 VAT 09040-PE01-0001 Manual Actuator Gate Valve Used Working
23192 KoMiCo Bellows Cover A&B (DRM) Refurbished
23193 TEL Tokyo Electron Stainless Steel Cup Kit 4892 4889 2629 ACT8 Used Working
23194 TEL Tokyo Electron A124180 Hard Etch Door Assembly Used Working
23195 MKS Instruments 93-0496 TFN FEP Bellows Hose 175709-3 HPS Reseller Lot of 2 New
23196 HP Hewlett-Packard 1660A Logic Analyzer with Probe 54620-61601 Tested Working
23197 HP Hewlett-Packard 1670E Logic Analyzer with E5385A Calibrated Tested WorkingHP Hewlett-Packard 1670E Logic Analyzer with E5385A Calibrated Tested Working
23198 HP Hewlett-Packard 6628A System DC Power Supply Used Tested Working
23199 NH Research 1109122 Top Assembly Powertest S400 with 4820 Used Tested Working
23200 Scientific Atlanta V9528015 TV Modulator Pulsar MKII MN Standard New Surplus
23201 Emitech K650 Three Target Sputter Coater Benchtop System Chamber Quorum Used
23202 OEM-6B ENI OEM-6B-01M5 RF Generator 650W @ 13.56MHz Tested Not Working As-Is
23203 OEM-6B ENI OEM-6B-01M5 RF Generator 650W @ 13.56MHz Tested Not Working As-Is
23204 SPG Motors S9R90GKL-ES12CE Reversible Motor with Gear Head S9KC15BL Used Working
23205 Compumotor S83-135-MO Stepper Motor Gearhead NR34S-015-LB H62-9.5-1024VL Used
23206 Compumotor S83-135-MO Stepper Motor NE34-015-LB Gearhead H62-9.5-1024VL Used
23207 Pfeiffer Vacuum PM 051 811 AT Turbomolecular Pump Cable 192826 68 Foot 20M Used
23208 SysMedia 1033 Teletext Calibrated Distortion Unit Used Working
23209 Ultimetrix P4800 Power Delivery Network Analyzer Used Working
23210 Wahl 392VXC Digital Heat-Prober Thermometer with Platinum Resistance Probe Used
23211 Wahl 392MVX Digital Heat-Prober Thermometer with Platinum Resistance Probes Used
23212 Oriental Motor A4484-9215KPGM Stepping Motor Vexta New
23213 Price Pump HP75CH-425-06111-50-36-306 Close Coupled Centrifugal Pump HP75 Used
23214 SensArray 1530A-8-0499 Process Probe Instrumented Wafer KLA-Tencor Refurbished
23215 Swagelok JNWCP40 Vacuum Wingnut Clamp KF40 NW40 Reseller Lot of 4 New Surplus
23216 Veriflo 45300853 Manual Regulator Valve 917 Reseller Lot of 10 New Surplus
23217 Z-World 175-0257 Processor Board PCB 175-0264 Used Working
23218 Applied Motion Products 1000-137 DC Microstep Drive PCB with Cosel PMC30E-1 Used
23219 Swagelok JNWCP40 Vacuum Wingnut Clamp NW40 KF40 Reseller Lot of 10 New Surplus
23220 Swagelok JNWCRSV50 Fluorocarbon Centering Ring NW50 KF50 Reseller Lot of 17 New
23221 Swagelok Short Weld Flange JNWF40 JNWF25 NW40 NW25 Reseller Lot of 20 New
23222 CH2500 Thermo Fisher 121121110000001 Chiller Damaged Panel Used Tested Working
23223 CTI-Cryogenics 8112898G005 High Vacuum Pump Retrofast 8F Cryopump Dented As-Is
23224 Optronics S97670 NTSC CCD Camera with Carl Zeiss 45 29 95 Mount MEG System Used
23225 Carl Zeiss 45 28 34 Mount MEG System Motor Assembly Used Working
23226 Carl Zeiss Motorized Turret MEG System Microscope 45 28 16 Incomplete As-Is
23227 Carl Zeiss 1038-718 MEG System Microscope Lens Adapter Panel Axiotron Used
23228 Carl Zeiss 452809-9011-050 Microscope Processor PCB MEG System Axiotron Used
23229 Carl Zeiss 452766-9212-000 Microscope Laser Board PCB MEG System Axiotron Used
23230 Carl Zeiss 452766-9011 Microscope Laser Sensor Board PCB MEG System Axiotron
23231 Applied Materials AMAT 0090-35766-P1 5″ DPS ESC Used
23232 Applied Materials AMAT 0020-25077 Endura 5500 8″ lower shield new
23233 Applied Materials AMAT 0020-04277 Flange New
23234 AMAT Applied Materials 6″ Poly Quartz Cover 0200-00043 Rev.B new
23235 MKS Instruments 653B-13181 Throttle Control Valve Type 653B Used
23236 Tokyo Electron A21110-309640-11 Tube Support Cover new
23237 Applied Materials AMAT 0010-09574 Pedestal 125MM Flat New
23238 Koganei MRSP20X500 500mm Linear Actuator new
23239 Kloehn 19311 Syringe Pumps Motorized Injector Valves Assembly new
23240 HP Hewlett-Packard 5501B Laser Transducer Used Working
23241 Kikusui PIA4810 4-Slot Power Supply Controller Working
23242 Leybold Inficon 250-332 Pneumatically Actuated Inline Valve VIP 025-X VIP025 New
23243 ET Ebara ET300P B Turbomolecular Vacuum Pump Turbo Used Tested Working
23244 CH1000 CHILLER Thermo Fisher 197113010000 Recirculating Silicon Thermal New
23245 Ebara AAS300WN Dry Vacuum Pump AAS Series with Interface 210451B Tested Working
23246 Bird 4421 Thruline RF Power Meter Not Powering On Tested As-Is
23247 Applied Materials AMAT 0200-10044-P1 Quartz Cover 125MM New
23248 Parker 4V1-P4K-SSV-PP Manual Valve Reseller Lot of 11 Working
23249 Ulvac GST-03L Ulvion Pump Controller with Cable Set Working Spare
23250 STP Series Edwards STP 600/1000 Turbomolecular Pump Cable 5M Seiko Seiki Turbo
23251 PASCAL Alcatel CIT 2010 Dual Stage Rotary Vacuum Pump Tested for Rebuild As-Is
23252 SubMicron Systems 161961 RF Generator SPA400D-1 Lambda Low RF Output As-Is
23253 Mountz Torque Driver 9 10 20 35 kgf.ct and 10 kgf.ct Ratchet Set of 5 Working
23254 Mountz Torque Driver 10 20 35 kgf.ct Unmarked Set of 4 Working Spares
23255 Schlumberger Technologies 97847503 H.V. High Voltage Module Working Spare
23256 Gamma High Voltage Research RC10-15P HV Power Supply Set 35110166 Working Spare
23257 Whedco 78003981 IMC Series Intelligent Motor Controller IMC-4230-1-B Working
23258 RSF Elektronik 976302136010 Converter ZEV-25-KA +5V-LD-25X Working Spare
23259 MKS Instruments 421 Cold Cathode Gauge Micro-Controller HPS Working Spare
23260 Schlumberger Technologies 97847513 High Voltage Control Module Working Spare
23261 Sun Microsystems 600-3323-03 SPARCstation 20 Computer 544 Untested Surplus As-Is
23262 Sun Microsystems 600-5144-01 Ultra 2 Creator 3D Computer 4849-03 Untested As-Is
23263 CPS 5003ANDCB5 Precision High Voltage Power Supply Schlumberger IDS 10000 Spare
23264 Lam Research 740-0035-01 G8 Graphite Gasket pair new
23265 Leybold 200-81-189-002 PowerUnit PU 113754413 working
23266 Omega Engineering DP25-TC Digital Thermocouple Meter New
23267 AMAT Applied Materials 0020-09933 Gas Distribution Plate New
23268 Yaskawa Electric SGD-01AN Servo Drive Amplifier Servopack New Surplus
23269 Applied Materials AMAT 0200-09088-C 125MM Quartz Ring New
23270 Applied Materials AMAT 0200-09088-B  125MM quartz ring New
23271 Immerson Heater 240V 4000W HXSL4208-08L-FR144-P111 New
23272 Applied Materials AMAT 0020-30700 Insert Polysulfone 125MM New
23273 HP Hewlett-Packard 59307A VHF Switch HP-1B Module working
23274 LTD Ceramics 620T0024-01 Robot End Effector Paddle Lot of 5 Used
23275 Contemporary Controls EXP-FOG-ST Hub New
23276 Watlow 200mm RF Window Film Heater Thermal Chamber Substrate TF101-2-1 new
23277 Applied Materials AMAT 0020-03431 Insert Pedestal 125MM New
23278 Lam Research 716-011057-002 Upper Alumina Filler Ring New
23279 Eurotherm ACMN00504/16BR-G Schenckengetriebe S102VN0440MQ10-EL-5 AC Servo Motor
23280 Balluff MicroPulse BTL-5-P1-M0305-P-KA05 Linear Transducer 305MM New
23281 Balluff BTL2-P1-0305-f-KA05 Linear Transducer 305MM New
23282 AVTF-110889 400W 240V Hot Trap ISO100 Flange new
23283 AMAT Applied Materials 0020-31147 Base Insert new
23284 POCO S51YCZZZ AMAT 10018011 Flat Tube Outer SO168 New
23285 Baldor M16B 91698580-10 Industrial Motor New
23286 Baldor M16B 91751373-03 Industrial Motor New
23287 THK GL15S16+400-C Linear Actuator 400MM Stroke New
23288 THK GL15S16+400L 300mm Linear Actuator working
23289 M.E.C. Tech MEC83305-1088L Focus Ring TEX Rev.A Reseller Lot of 3 New Surplus
23290 THK GL15S16+800L-C Linear Actuator Rev.B 000M2043-01 new
23291 Applied Materials AMAT 0020-28615 Shield 8″ Low Knee New
23292 Semitool Interface PCB 16812B New
23293 Applied Materials AMAT 0200-36711-P1 Silicon Insert Ring 125MM New
23294 Applied Materials AMAT 0200-00157-P1 Top ring 125MM New
23295 Applied Materials AMAT 0200-00156 Shadow Ring New
23296 Applied Materials AMAT 0200-09084 Quartz Shield 125MM New
23297 Leitz Wetzlar 567012 Wetzlar Objective PL Fluotar 20x/0.45 Used Working
23298 Leica 567050 Microscope Lens Objective PL Fluotar 10×0.25 Used Working
23299 PolyPhaser IS-35VDC-30A-FG Impluse Suppressor new
23300 AMAT Applied Materials 01-82622-00 5″ Platen Aluminum Pedestal Assembly lot of 9
23301 AMAT Applied Materials P/N 0200-09092 Rev B Graphite Ring 125MM New Surplus
23302 Applied Materials AMAT 0200-09092 Graphite Ring 125MM New
23303 Applied Materials AMAT 0020-98947 Electrode EH1 New
23304 AMAT Applied Materials 0020-82818 Aperture Plate New
23305 Applied Materials AMAT 0020-99470 Beam Trap New
23306 TIMNTA FMAD-0937-8010 Input Filter new
23307 AMAT Applied Materials ION/TC Controller Box 01-81911-00 Rev.H working
23308 Lambda Power Supply SC150U03 New
23309 Pall Semitool Filtration Pump T948561000 Used
23310 Automotion ALC120R-010-1111 Motor Controller 4009-14-R3E/W new
23311 Yaskawa Electric SGDF-A2CS Sigma-Mini Servo Drive SGDF Servopack New Surplus
23312 Daifuku RM/TFE/CONV. AGH8AA10 Controler Working
23313 MEN 04M062-01 PCB M-Module New
23314 Edwards N2 Exhaust Pressure Module A52856000 Used
23315 Semitool 73301-26(FUI) Touch Screen Monitor new
23316 Gate Heater
23317 Pressure Sensor
23318 Wasco SV129-31W2A-X Vacuum Switch LAM Research 853-01780-002 Lot of 8 New
23319 Watlow 200mm RF Window Film Heater Thermal Chamber Substrate TF-101-9-1 new
23320 Filter
23321 LAM Research Upper Baffle Plate 8″ 715-028615-001 New
23322 FTI Finish Thompson Inc VKC80VST336 Vertical Pumps Magnetic Drive 70959-25 new
23323 Lam Research 716-028721-281 8″ Shadow DMD Bottom Wafer Clamp PLT Rev. A New
23324 PTI Technologies Clariflow Filter 25-10310-001-4-E New
23325 Applied Ceramics 91-01698A 200mm E-Chuck Cover 0200-40112 new
23326 Immerson Heater 240V 4KW HX0L-4205-18L-FR72-P111 New
23327 Javelin Electronics JE3362 Camera Chromachip with Lens 42-12-48  Used Working
23328 Toshiba Ceramics 6621000-6665232 150 Slot Wafer Holder New
23329 Spectroline G905N0 4″ x 20″ Grid Bulb new
23330 EuroTherm 661-01-00 Power Supply Used Working
23331 Speedfam SFI-965521 Load Cup working
23332 Todd Products SC24-11F Component Type Custom Rectifier LR44594 Used Working
23333 Parker MPC1140X-115184 Industrial Motor Rev.A working
23334 Dynatronix PMC105/2-2-4/15-30 Pulse Power Supply 990-0298-151 PMC10-2-4-15-30
23335 M.E.C. Tech MEC50005-534-1 Tapered Finger Oxide Clamp Ring AMAT 0020-33739 New
23336 Entegris S6205-0203 6.2 Gallon Overflow Tank 15″ x 10.5″ new Semitool
23337 M and W Systems 052-120-5095 56C Face-Chiller Motor 70900-103M working
23338 Pacific Scientific STF3624-5604-61-56C Motor 61104-01R refurbished
23339 Unaxis Quartz Glass Mantle BK221252 New
23340 Baldor M24A-91096651 3Phase Industrial Motor M35A13-672 working
23341 Tol-O-Matic BC4#220511 Linear Actuator Lot of 4 New
23342 Gast 965513 Vacuum Pump working
23343 Pall T99990009000 CMP Capsule CA3/4-N-NXT-10-10U-M3F new 70004-53
23344 Pall T99990009000 CMP Capsule CA3/4-N-NXT-10-10U-M3F new 70004-53
23345 Semitool 500R0409-01 50 Wafer Carrierless Rotor Assembly 200mm refurbished
23346 TEL Tokyo Electron 208-500101-4 CPU-86 Board working
23347 TEL Tokyo Electron 208-500101-3 CPU-86 Board working
23348 TEL Tokyo Electron 208-500102-3 Slave CPU Board working
23349 M.E.C. Tech MEC81155-201A Extended Inner Overlay AMAT 0020-01044 Lot of 6 New
23350 Semitool Motor Interface PCB 16753A-517 New
23351 Fusion Semiconductor Interface PCB 269162 Rev. F Used Working
23352 SMS Control PCB 0006389 Rev. A Used Working
23353 AMAT Applied Materials 0100-40037 Rev. B Signal Conditioning Board Used Working
23354 M.E.C. Tech MEC81156-200A Extended Lower Overlay AMAT 0020-01060 New
23355 Semitool 16750-505 32 Bit Input MAG WIP 3 Ports Board New
23356 Schumacher Display Control Board 1730-3013 Rev. B Used Working
23357 Prometrix Diagnostic Board 36-0278 Rev. A Used Working
23358 AMAT Applied Materials 0100-90724 Rev. C Guiding Tube Board Used Working
23359 Intraplex Inc. 9547-1827-1 Data Module DS-965/DA-191A Rev. AC New
23360 M.E.C. Tech MEC81155-202A Extended Lower Overlay AMAT 0020-01043 New
23361 Lumonics 6050035 PC Sense and Control Board Rev.A 2001035 working
23362 AMAT Applied Materials 03-81830-00 PROM Board 06-81830-00 Rev.E working
23363 AMAT Applied Materials 0100-35148 Two Chamber Gas Backplane Board Rev.P1 working
23364 AE Advanced Energy Apex Secondary Motherboard V3B 2300660-B working
23365 MKS Instruments AS00996G-11 Common IO Controller Board Rev.02 working
23366 AC Servo Gearmotor
23367 Danaher Motion 256MB Single Board Computer 1107-2065 Rev.1 working
23368 Tegal 99-187-002 Interface Board Rev.B working
23369 TEL Tokyo Electron 208-500283-3 External RAM Board working
23370 OPTO 22 MRC Eclipse Relay Board PB32P2 working ODC5Q
23371 Prometrix Corp. 36-0273 Operator Interface Board Rev.A working
23372 Millipore AT-MEGA-CHI Filter CLFG01PTF new
23373 GE Fanuc 19D902459G1 GE Mastr IIe Power Board Rev.E working
23374 Siemens 6ES7 432-IHF00-0AB0 Analog Output Module used working OEM Boxed
23375 Blazers Signal Interface Board BG442310-T/F Used Working
23376 Prometrix Control Board 36-0194 Rev. A Used Working
23377 Daifuku TFE3419B A3419B11 PCB New
23378 Siemens Analog Output Module 6ES7 432-IHF00-0AB0 Used Working
23379 Prometrix H2 Theta Interface Board 36-0202 Rev. 2 Used Working
23380 Prometrix X Motor Interface Board 36-0290 Rev. A Used Working
23381 Applied Ceramics 911-02052A 200mm Chamber Insert New
23382 West Coast Quartz Silicon Slab 91-01627A 0200-40013 New
23383 Applied Ceramics 91-01768A Dome Tapered Style 0200-40218 New
23384 Applied Ceramics 91-01721A Wall Cover 0200-40158 New
23385 AceCo CS33-313A 715-011597-001 Upper Electrode New
23386 nView Corp. 103018 Video Board working
23387 Prometrix 36-0277 Load Window Interface Board Rev. 2 Used Working
23388 Prometrix Corp. 36-0288 Z Limit Sensor Card Rev.A working
23389 Tegal 99-186-001 Att-1 Interface Board Rev.2A working
23390 Johnson Matthey Copper Target Plate S12460-E New
23391 Product Systems MicroPulse Controller Used Working
23392 Granite Microsystems IAC-H668A Compact Industrial Computer PC Used Working
23393 Applied Ceramics 91-00787A Quartz Shadow Ring 200MM 0200-10176 New
23394 Migatron Corp. RPS-300-36-500MT UltraSonic Proximity Sensor 73018-44 New
23395 West Coast Quartz Silicon Slab 91-01627RW 0200-40013 Refurbished
23396 Applied Materials AMAT 0200-30073 Ring Assembly New
23397 KLA-Tencor 710-659465-20 Rev. B0 PCB Used Working
23398 KLA Instruments Memory Controller Board 710-658232-00 Rev. H1 Used Working
23399 KLA Instruments Processor Board 710-658046-20 Rev. E0 Used Working
23400 KLA Instruments Alignment Processor Board 710-658041-20 Rev. A0 Used Working
23401 KLA Instruments X Interpolator Board 710-658177-20 Rev. F0 Used Working
23402 KLA Instruments X Interpolator Board 710-658177-20 Rev. C0 Used Working
23403 KLA Instruments Alignment Processor Board 710-658036-20 Rev. A0 Used Working
23404 KLA Instruments Y Interpolator Board 710-658172-20 Rev. J1 Used Working
23405 KLA Instruments Defect Processor Board 710-658076-20 Rev. B0 Used Working
23406 KLA Instruments Defect Filter Board 710-659724-00 Rev. C0 Used Working
23407 KLA Instruments RIF Assembly Board 710-652840-20 Rev. E1 Used Working
23408 KLA Instruments DF Board Assembly 710-658363-20 Rev. B0 Used Working
23409 KLA Instruments Interface Board 710-658086-20 Rev. E0 Used Working
23410 KLA Instruments DD Assembly Board 710-650044-20 Rev. C4 Used Working
23411 M and W Systems Power Board 42-0016 Used Working
23412 Rosemount Analytical Solu Comp Water Quality Analyzer SCL-R-400-M2 new
23413 Water Quality Analyzer
23414 Texas Instruments 500-5049 24VDC I/O Digital Output Card Module working
23415 LAM Alliance 4520 ESC Copper Kit 716-140118-001-C refurbished 1358-04-0139
23416 Prometriz Corp. 36-0276 Door Interconnect Board Rev.A working
23417 Macom M7047-2 Control Board working
23418 MRC Materials Research 884-13-000 LED Indicator PCB Rev. B Eclipse Star Used
23419 Tegal DIP Board 99-096-09 Rev. G Used Working
23420 Canon CDIF Board BG8-2676 Used Working
23421 Lucent Technologies Bottom Shield 17-112185-00 Refurbished
23422 Pneutronics Interface Module 691-0098 Rev. B  New
23423 Tokyo Electron PCTRE 8-25 Wafer Hand D31B10-315390-12 new
23424 Semitool 300MM Heater Pedestal 70901-98 New
23425 Burks Pumps Pump 35WA7-1-1 Used Working
23426 Tosoh Endura 5500 Target 4029H-13-109-501 New
23427 Cambridge Absolute Filter 2T-69516093-Z284-NDF New
23428 Jetlight Company Q15-0130 Quartz Bell Jar Pentagon Technologies Refurbished
23429 Jetlight Company Q15-0130 Quartz Bell Jar Pentagon Technologies Refurbished
23430 Novellus Stage Shield 04-722686-02 Refurbished
23431 Aeronca Electronics Current Amp Board 297-142391-1 Rev. B Lot of 2 Used Working
23432 AMAT Applied Materials Quartz Chamber Slit Valve Insert 0200-40091 New
23433 AMAT Applied Materials Quartz Focusing Ring 0200-09741 New sealed
23434 MKS Instruments 124A-11464 Baratron Pressure Transducer Tested Not Working As-Is
23435 Hamamatsu C4262 Xenon Lamp Regulated Current Power Supply Used Working
23436 Canon BG4-8615 Damper CD Board working
23437 Daifuku A3420B11 PCB New
23438 Novellus Lucent 04-722657-02 Clampless Bottom Shield refurbished
23439 Novellus Lucent 04-722657-01 Sprayed Clampless Bottom Shield refurbished
23440 Novellus Lucent 17-112194-00 Stage Shield refurbished
23441 Novellus Lucent G17-08946-02 Clampless Deposition Shield refurbished
23442 Kachina Novellus 17-112183-00 Sprayed Clampless Deposition Shield refurbished
23443 Semitool Filter Pump Industries 12C-12 Filter Chamber new
23444 Migatron Corp. RPS-300 Ultrasonic Ranging Proximity Sensor RPS-300-36-500T new
23445 AMAT Applied Materials 0200-40112 E-C 200mm Quartz Cover new
23446 TEL Tokyo Electron A21110-212028-12 Inner Tube Supporter new
23447 Mactronix VWS-503 5-inch Wafer Sampler lot of 3 working
23448 Hepa (9.125)(22.5)22.5EIIDG7.5E-S Hepa-Pleat II Filter new
23449 Farinon Harris Corp. UDL-634 MUX Channel Unit Card SD-104411-001 working
23450 Nikon / Daifuku P.C. Board KBD-2514A-1 New
23451 AMAT Applied Materials 0010-00742 End Point Keyboard Rev. A new Precision 5000
23452 Cutler-Hammer EHD3020L Series C Circuit Breaker 6638C94G85 new 73043-91 pair
23453 Blacoh H3120TF-TC Pulsation Dampener new
23454 Dynatronix PMC10-1-3/20-60 PR Pulse Power Supply PMC102/1PR-1-3/1PR-20-60
23455 Hepa HEPA-PLEAT II HB30EIIUF 21/2S Filter 30″ x 12″ x 10″ new
23456 Hepa HEPA-PLEAT II H(231/8)331/4EIIUF21/2S Filter 33.25″ x 23″ x 10″ new
23457 Hitachi CCD Video Camera FP-CIU Lot of 2 Used Working
23458 Galil Motion Control DMC-1320 PCB Card DMC-1300 Used Working
23459 AMAT Applied Materials 0200-00155 Quartz Cathode Insulator 200MM New
23460 Bird Electronic ACM500-M-NFNFNH Antenna and Cable Monitor 1000001286-0001 New
23461 Keyence Hi-Res Laser Barcode Reader BL-601HA New
23462 AMAT Applied Materials 8310 Hexode Assembly 100mm Used Working
23463 Heateflex Corp GH015-02FP-D09 Power-to-Flow Controller Used Working
23464 Huber UniPump II-PV External Pressure Pump  527.0004 New
23465 Toshiba VFS7S-2007UP Transistor Inverter Used Working
23466 AMAT Applied Materials 0200-33882 Insulating Washing R2 New
23467 AMAT Applied materials 0020-33880 Holder Insulation R2 New surplus
23468 Aetrium 5050S IC Handler Assembly Parts 301580 working
23469 AMAT Applied Materials 0021-09178 Outer Can MXP+ New
23470 NEC VL-LP6 Lamp Unit Including Air Filter MT830/1030 Lamp New
23471 Applied Materials AMAT 0021-09179 Rev. P1 Inner Can Assembly New
23472 AMAT Applied Materials 0250-09623 Anodized Gas Distribution Plate New surplus
23473 Cohu 4400 Series Television Camera 8787-4 working
23474 Applied Ceramics Double Cup Coil Support 91-01125A Lot of 11 New
23475 Applied Ceramics Isolator Mod 91-00125A Lot of 48 New
23476 Baldor N24A 91164064 M35A13-672 Industrial Motor
23477 Eurotherm 655/05/453/05/453/05 Input Isolator New
23478 Applied Materials AMAT 0240-30808 Pedestal Kit 200mm New
23479 Hathaway Power Supply Board BLC02805-A00108 Used Working
23480 Applied Materials AMAT 0020-25662 Screwless Clamp Shield 13″ New
23481 Semitool 73301-24 Flat Panel Touch Screen Monitor Operator Computer working
23482 AMAT Applied Materials 0020-01058 Lower Overlay 6″ Lot of 22 New
23483 AMAT Applied Materials 0020-01059 Inner Overlay 6″ Lot of 27 New
23484 Parker Regulator PR-3-42612-2-01 Lot of 3 New
23485 Setra 225130CPCC411B1 Pressure Transducer New
23486 Setra Pressure Transducer 2251250PCC411B1 New
23487 Setra Pressure Transducer 225110CPCC411B1 New
23488 Dynatronix 138-0323-41 REV REG Board Processor Card PCB 190-0323-03 Used Working
23489 Semitool Bimba Air Cylinder D-63536-A-2 Lot of 13 Used Working
23490 Lakeland TychemSL White Medium Level B Chemical Suits 72130 new Lot of 6
23491 Merlin Gerin Circuit Breaker C60N 60152 Lot of 7 New
23492 Estek Graphic Processor Board 398-18364-1 Used Working
23493 Applied Materials AMAT 672544 Prom Board Used Working
23494 Digilab Memory Board 012-0416, 882-0416 Rev. B Used Working
23495 Estek Motor Controller Board 297-14264-1 Rev. B Used Working
23496 Lakeland TychemSL White 5X-Large Level B Chemical Suits 72130 new Lot of 6
23497 Applied Materials AMAT RAM Memory Board 672532 Rev. C  Used Working
23498 Hepa HEPA-PLEAT II H(193/4)331/4EIIUF21/2S Filter 33.25″ x 19.75″ x 6.25″ new
23499 Keithey 9620-FMU Power PCB working
23500 Watlow 142A-3606-1300 Over Temperature Controller 72098-07 lot of 7 new
23501 Chemical Suits
23502 Tyco Electronics OpenSky AT-007195 RB Attenuator Rev. B M/A-COM lot of 25 new
23503 Eurotherm Controls AS-170A240V14-20MA AmpStack Controller new
23504 Eurotherm 661-01-00 Power Supply new
23505 Eurotherm 6551R10-050041 Input Isolator 65510514531 new
23506 EIT 4500 Gas Transmimtter 4554 096-1087L  new
23507 Quartz International 03MRK001 Quartz Element Tube new
23508 Mitsumi SR484 Switching Regulator lot of 2 new
23509 M.E.C. Tech MEC81105-208A Clip Support Spacer Reseller Lot of 21 New
23510 M and W Systems RPCE52A-SSMT Heat Exchanger Plumbing Retrofit Kit 70900-302 new
23511 Mactronix H2CO 125mm Flat Finder lot of 4 working
23512 Pall 5-inch Megaplast Filter Housings PFN04F08H15 new T46141-13
23513 Pall VCSC100-10M3T 10-inch Filter T93041310016 lot of 10 T46141-43 new
23514 Pall T7100080300 Housing Filter CMP Capsule lot of 14 T46141-36 new Semitool
23515 M.E.C. Tech MEC83305-1010A Focus Ring Reseller Lot of 84 New
23516 Semitool Watlow 094XH001CX-891 120V 225W Immersion Heater 73080-39 working
23517 Pall T7107010300 Housing Filter CMP Capsule lot of 18 T46141-33 new
23518 Semitool Pacific Scientific CW00029 Brushless Motor Kit 61110-07 new
23519 Koch S2-HFM-180-VYV Ultra Filter Element 0700094 lot of 8 70004-52 new Semitool
23520 Pall T7107020300 Vara Clean CET Filter T46141-35 lot of 7 new
23521 Square D 5A-Type D Merlin Gerin 60123 Circuit Breaker 73063-47 lot 12 Semitool
23522 M.E.C. Tech MEC83305-1088L Focus Ring Reseller Lot of 4 New
23523 Emoteq BLDC Motor Amplifier QB02300-R04-HBE lot of (5) Working
23524 Semitool Motor Assy. Hi Torque Plating 220T0007-501 Working
23525 Emoteq HS01001-A02-HGE BLDC Motor Amplifier Semitool T61105-47 Used Working
23526 Emoteq BLDC Motor Amplifier HS01001-A02-HGE New
23527 Semitool Emoteq Hathaway E3-1000-250-I Encoder Leadscrew Assembly LT01787 lot 3
23528 Nikon AFX-II Camera Exposure Control and Shutter Assembly working
23529 MRC 883-37-000 I/O Receiver Control Board Rev.B working A110999
23530 SUNX Sensors CX-RVM5 Photoelectric Sensor Lot of 4 New
23531 Semitool MAC EBP44R-00-2B10 Circuit Bar lot of 10 61591-13 new
23532 Daifuku CLS-326IAA Interface Board working
23533 Edwards Quartz Collar Shield DW050 Refurbished
23534 Daifuku CLB-3375A Interface PCB working MEC-40V-0
23535 Semitool Ceradyne 950T0006-01 300mm Film Heater Thermal Chamber Substrate new
23536 Dynatronix PMC 103/1PR-5-7 Pulse Power Supply 990-0280-311 refurbished
23537 NT International 4210-060G-F04-A00-A NT Pressure Transducer Semitool 70961-20
23538 NT International 4210-100G-F04-D12-A-P1-U1 NT Pressure Transducer 70961-106 New
23539 NT International 4210-100G-F06-D06-A-P2-S2 NT Pressure Transducer 70961-50 New
23540 Futurestar 148-01000-38 Pathfinder Series Flowmeter Semitool 72056-91 New
23541 NT International 4210-100G-F04-A00-A-T07 Pressure Transducer Semitool 70961-98
23542 Applied Materials AMAT 0020-81224 Arc Chamber New
23543 MKS Instruments 124AA-00100BB Baratron Pressure Transducer Type 124 Used
23544 Applied Materials AMAT Versa Controller CPU Board 670560 Rev. C Used Working
23545 SUNX Sensors CX-ND300R Photoelectric Sensor Reseller Lot of 10 Used Working
23546 Applied Materials AMAT 0020-82550 Holder New
23547 Semitool Air Cylinder ST72068-111 Lot of 3 New
23548 Pall 5″ Filter AB05P30018H15 Lot of 7 New
23549 Compact Air Products Air Cylinder R34X12 Lot of 16 Used Working
23550 LAM Research 320665100 Timing Belt Lot of 4 New
23551 SUNX Sensors PX-24ES AGV Sensor New
23552 Norgren Regulator Valve R22-405-RFNA New
23553 Dynatronix FWD Reg. Board 138-1274-482 Used Working
23554 Dynatronix FWD Reg. Board 138-1274-44 Used Working
23555 Dynatronix Timing Board 138-1203-02 Used Working
23556 CGI Inc. 023RNX0100-XX-23N08 Gearhead Used Working
23557 CGI Inc. 023RNX0100-XX-23N08 Gearhead Used Working
23558 CGI Inc. Gearhead 023RNX0100-XX-01910 New
23559 Entegris 4210L-003G-F06-A00-A NT Pressure Transducer New Surplus
23560 CoorsTek 100MM Semiconductor  Wafer Cover Plate 4487756001 New
23561 FutureStar Flow Meter 148-0300 New
23562 CoorsTek Ceramic Clamp 4489803001 New
23563 Parker Adapter FECR-2016 Lot of 7 New
23564 Saint-Gobain Furon VPM3-F46 Regulator Valve New
23565 Parker Compression Tube Fitting GAFS-42 Lot of 16 New
23566 Parker Elbow Connector FEC-6-P-T Lot of 46 New
23567 FutureStar Flow Meter 225-0035 New
23568 No Information
23569 CoorsTek 100MM Ceramic Clamp w/ Tabs 4490797001 New
23570 Emoteq Motor Gearbox HS01001-A01-HGE Used Working
23571 Balluff MicroPulse Transducer BTL-5-PI-M1143-R-KA05 Used Working
23572 Watlow Heater Blanket 1600W 11042080 60270-17 Lot of 2 New
23573 MKS Instruments 223B-11138—-S Baratron Pressure Transducer Refurbished
23574 Clean Room Products Filter U3030A00-ADACABA New
23575 CoorsTek 150MM Round Clamp 41100-90402-010 New
23576 Ceramaseal 15742-01-A Feedthrough Double Quartz w/ Shutter New
23577 Edwards Deposition Shield Clampless 17-133801-00 New
23578 Edwards 15-117029-00 Dark Space Ring Shield refurbished
23579 Semitool Solenoid Valve Manifold 20 Stage 3-Way 61593-09 Working
23580 Semitool Solenoid Bank 3-Way 5 Pop 15 Blnk 61593-12 Working
23581 Semitool High Temp HEPA Filter 04MBU003 36″ X 24″ New
23582 Edwards Dark Space Ring Shield 15-136402-01 Refurbished
23583 Edwards Dark Space Ring Shield 15-112301-00 Refurbished
23584 Finish Thompson VKC80VST348 Vertical Centrifugal Pump Used Working
23585 Finish Thompson VKC80VST348 Vertical Centrifugal Pump Used Working
23586 Finish Thompson VKC80VST348 Vertical Centrifugal Pump Used Working
23587 Mactronix Wafer Prealigner Handler Sorter AWI-600 working 200mm
23588 Hathaway BH02302-B09-HBE Brushless Servo Motor Semitool 4000-09 Used
23589 Emoteq BH02301-AF06-HBEE Brushless Servo Motor Semitool T61105-12 Used
23590 Square D 316-2121-003 Analog Motherboard Seriplex AMAT 0660-01506 Used
23591 Yamato Scientific America GZY-LB Thermoregulator Semitool 10023766 Used
23592 Unaxis Blazers 41100-92238-010 Outer Chamber Liner new
23593 Unaxis Blazers 41100-92238-010 Outer Chamber Liner new
23594 Balluff BCS 034-PS-1-C 03 Capacitives Proximity Sensor 119787 New
23595 Coherent 0220-970-00 Visible Laser Module Semitool 73305-17 New
23596 Balmac 1121A Vibration Monitor Semitool 61340-03 Used Working
23597 Balmac 1121 Vibration Monitor Semitool 61340-03 Used Working
23598 DNS E0R05-1068C Pulse Motor Drive V2B4C PCB Used Working
23599 Lomac 8638-222-02 High Voltage SCM PCB Card Used
23600 Emoteq BH02301AF04HBE Brushless Servo Motor Semitool 994103 Used Working
23601 Furon BV1-88 2-Way Manual Valve New
23602 Furon ACR-3W16P-OC Pneumatic Block Valve Asset A-25346 New
23603 Ditek DTG-X-120 Surge Protection Box Used Working
23604 Optem International HF-165 Microscope Assembly 035370 Used Working
23605 Todd Products SC24-11F AC Power Supply New
23606 Olympus 265442 Microscope Lens Objective Assembly Used Working
23607 The Lee Co. LPVX0505600CA Micro Pump 672760 New
23608 Linux 27000008-R LPI Indexer Sensor Infrared Transceiver New
23609 Clean Room Products U3030A00-ADACACA Filter new
23610 Clean Room Products U3030A00-ADACACA Filter new
23611 Contemporary Controls SB871660-10B Fiber ST Linear Network PCB New
23612 Todd Products MTX-253-0512F AC Power Supply Lot of 3 Used Working
23613 Advantage PFFB10TTCEW PTFE Membrane Filter Cartridge Mega-Pure Series New
23614 Cutler-Hammer HFD-65K 3-Pole Circuit Breaker New
23615 FutureStar 220-020 Flowmeter Odyssey Series New
23616 Lam Research 715-021051-001 4620 Upper Electrode Shroud Refurbished
23617 Lam Research 715-028289-001 Upper Electrode Ring Clamp Refurbished
23618 Lam Research 715-030138-001 8″ Wafer Clamp Focus Ring Refurbished
23619 Lam Research 715-028772-001 PLT BTM SLD Rev. E1 Used Working
23620 Lam Research 715-021050-001 Ring 715-21050-001 Rev. 1 Refurbished
23621 Lam Research 715-011531-015 Anodized Aluminum Electrode 715-11531-15 Used
23622 Lam Research 715-011559-262 Wafer Clamp Plate New
23623 Lam Research 713-071681-002 TMC Wafer Spacer 713-71681-2 New
23624 Lam Research 716-443090-001 Upper Quartz E2 Ring New
23625 Lam Research 715-011531-014 Anodized Aluminum Electrode Refurbished
23626 Lam Research 716-330167-261 6″ Bottom ACTR Clamp Ring Refurbished
23627 Lam Research 716-028545-152 5″ 90 Degree Collimator Insert Ring Refurbished
23628 Lam Research 715-011531-008 Anodized Aluminum Electrode Used Working
23629 Lam Research 715-011531-008 Anodized Aluminum Electrode Used Working
23630 Lam Research 716-330122-002 Ceramic F/G Top Plate New
23631 Lam Research 716-250080-001 150mm Upper Insulator Ring Used Working
23632 Lam Research 716-011580-144 4″ Wafer Clamp 716-11580-144 Refurbished
23633 Lam Research 716-011651-006 6″ Wafer Clamp Edge Ring Refurbished
23634 Lam Research 716-011764-281 8″ Wafer Clamp Plate New
23635 Lam Research 716-011563-152 Wafer Clamp 716-11563-152 Used Working
23636 Lam Research 713-011650-004 Combo Focus/Sleeve Ring Refurbished
23637 Lam Research 716-028668-251 5″ Shagow DMD Top Wafer Clamp Refurbished
23638 Lam Research 716-028668-251 5″ Shagow DMD Top Wafer Clamp Refurbished
23639 Lam Research 716-140038-001 Ceramic Filler Ring New
23640 Lam Research 716-004607-001 10.75 ID GND PLT.D Filler Ring Refurbished
23641 Lam Research 716-028721-281 8″ Shadow DMD Bottom Wafer Clamp PLT Rev. B New
23642 Lam Research 716-018527-404 8″ .035 Dome Plate Wafer Clamp New
23643 Lam Research 716-029504-005 5″ Wafer Clamp Edge Ring New
23644 Lam Research 716-018527-181 Ceramic 716-18527-181 Ring Used Working
23645 Lam Research 715-028771-001 Top SLD PL Ring Used Working
23646 Lam Research 715-249056-001 200mm ESC Upper Confinment Ring Refurbished
23647 Lam Research 716-011057-002 Upper Alumina Filler Ring New
23648 Lam Research 716-347266-001 Chamber Baffle Liner Refurbished
23649 Lam Research 716-028635-001 4″ Insert Wafer Clamp Plate 716-28635-001 New
23650 Lam Research 716-011563-156 5″ Domed Wafer Clamp 716-11563-156 New
23651 Lam Research 716-011580-151 5″ Wafer Clamp Plate 716-11580-151 Refurbished
23652 Lam Research 716-011580-151 5″ Wafer Clamp Plate 716-11580-151 Refurbished
23653 Lam Research 716-011580-144 4″ Wafer Clamp Plate 716-11580-144 New
23654 Lam Research 660-095275-002 E2 4MHz RF Match Biased Used Working
23655 Lam Research 716-011764-181 8″ Dome Wafer Clamp Plate 716-11764-181 New
23656 Lam Research 716-011580-162 8″ Wafer Clamp 716-11580-162 New
23657 Lam Research 716-011759-015 5″ Edge Focus Ring 716-11759-15 Refurbished
23658 Lam Research 716-011759-015 5″ Edge Focus Ring 716-11759-15 Refurbished
23659 Lam Research 716-330167-181 8″ ACTR Bottom Clamp Ring Refurbished
23660 Lam Research 716-011580-146 4″ Wafer Clamp Plate 716-11580-146 New
23661 Lam Research 716-051054-001 Quartz Shield Section Top Plate New
23662 Lam Research 716-051054-001 Quartz Shield Section Top Plate New
23663 Lam Research 716-330890-001 8″ Reactor Chamber CNTMNT New
23664 Lam Research 716-020904-018 Lower Wafer Clamp Edge Focus Ring New
23665 Lam Research 716-160260-001 8″ BAC INSRT CARR Wafer Clamp Plate New
23666 Lam Research 716-011759-016 6″ with Pins Edge Focus Ring New
23667 Lam Research 716-330760-002 8″ MF ESC Shadow MOD Ring New
23668 Lam Research 716-330121-014 8″ FG Ceramic RF WDO Plate New
23669 Lam Research 716-011580-141 4″ Wafer Clamp Plate 716-11580-141 New
23670 Lam Research 716-028414-014 Focus Ring 716-28414-14 New
23671 Lam Research 716-028033-001 Elect Cap Process II EIP INSUL 816-28033-001 New
23672 Lam Research 716-011580-244 4″ Wafer Clamp Plate 716-11580-244 New
23673 Lam Research 716-011563-063 6″ Domed Wafer Clamp UNIV New
23674 Lam Research 716-028760-001 Domed Wafer Clamp Bottom Plate New
23675 Lam Research 716-011638-004 Thin Edge Focus Ring 716-11638-004 New
23676 Lam Research 716-011563-166 6″ Domed Wafer Clamp with Pins New
23677 Lam Research 716-028668-053 5″ Domed Wafer Clamp Plate 716-28668-053 New
23678 Lam Research 716-031782-001 Domed Wafer Clamp New
23679 Advanced Micropolish 122958200 CU Phase 2 AMD Assembly Lam Research New
23680 Lam Research 713-028318-001 Ceramic Ring 713-28318-1 New
23681 Lam Research 713-028647-149 Ring 713-28647-149 Used Working
23682 Lam Research 715-009827-001 4″ Edge Ring New
23683 Lam Research 715-011560-001 Quartz Filler Ring Used Working
23684 Lam Research 716-052311-002 Inner Arm Spatula End Effector New
23685 Saphikon 666-062659-001 Thermocoupler Probe Sensor Lam Research New
23686 VMIC 5530M VMIVME Optical Extender PCB Card Lam 810-077433-001 Used Working
23687 Lam Research 715-051364-002 T.G. Coupler Adaptor New
23688 Lam Research 715-051364-002 T.G. Coupler Adaptor Refurbished
23689 Lam Research 02010-BA24 Pneumatic Slit Valve Refurbished
23690 VAT 796-091902R001 Gate Valve with ASS Ag-Schweiz 84021-R1 Lam Research Working
23691 Lam Research 678-061017-001 Heater Cartridge Assembly 1000W Used Working
23692 Hine Design 380877702 Ergo Loader Assembly Used Working
23693 Lam Research 715-011531-011 Aluminum Anodized Electrode New
23694 Lam Research 716-021049-141 Major Flat 4620 Wafer Clamp Refurbished
23695 Lam Research 716-249040-002 150mm ESC Focus Ring Refurbished
23696 Lam Research 716-011963-003 Plate W/C Attachment INTR.BLW Refurbished
23697 Lam Research 716-249040-001 150mm ESC Focus Ring Refurbished
23698 Lam Research 713-028281-003 Confinement Ring Refurbished
23699 Lam Research 715-11746-154 Ring Assembly Refurbished
23700 Lam Research 716-29504-6 Ring Assembly 716-29504-6 Refurbished
23701 Lam Research 716-11750-151 Quartz Ring Assembly 71611750151 New
23702 Lam Research 716-460953-001 Focus Ring 8″ Jeida INR COV CMPST New
23703 Lam Research 716-011763-008 Wafer Clamp Edge 8″ Ring New
23704 Lam Research 716-030140-003 Ring Assembly Rev. E2 Used Working
23705 Lam Research 716-038657-418 ESC 8″ $i3N4 Focus Ring Cover New
23706 Lam Research 716-011889-161 Wafer Clamp Edge 60 Jeida Refurbished
23707 Lam Research 716-330741-002 6″ ESC OUT Focus Ring New
23708 Lam Research 716-072305-002 Ceramic Ring G-INJ JETS 8x .76mm Refurbished
23709 Lam Research 716-011750-289 8″ Quartz Notch Insert Ring Refurbished
23710 Lam Research 716-28296-001 150mm Isolator Upper 8″ Refurbished
23711 Lam Research 716-000144-001 Ceramic Ring Assembly Rev. A New
23712 Lam Research 716-011624-005 Insulator Ring Lower Electrode RDCD HGT Rev. C New
23713 Lam Research 716-031535-001 Ring Clamp Bottom Electrode ESC Oxide New
23714 Lam Research 716-380017-001 Wafer Top Clamp DMD SHDW MINOR Refurbished
23715 Lam Research 716-380017-001 Wafer Top Clamp DMD SHDW MINOR Refurbished
23716 Lam Research 716-028489-001 Lamp Wafer 8″ Shroud Refurbished
23717 Lam Research 716-140139-218 Hi Wall H/E Ring ESC 8 Jeida Refurbished
23718 Lam Research 716-330004-008 HI-COND 8″ Focus Ring ESC Refurbished
23719 Lam Research 810-057067-003 Interlock Flow PCB Card Assembly 810-57067 New
23720 DRC Dynamics Research C40012 Optical Encoder PCB Lam 810-550092-001 Refurbished
23721 Lam Research 716-011624-005 Insulator Ring Lower Electrode RDCD HGT Rev.A Used
23722 Lam Research 715-250593-001 200mm Top Baffle Refurbished
23723 Lam Research 715-011535-100 Upper Electrode Clamp Ring Refurbished
23724 Lam Research 715-011746-166 W/C 6″ RDCD HGT Plate Refurbished
23725 Lam Research 716-44054-108 Alumina PLT Adapter Focus Ring Refurbished
23726 Lam Research 716-028447-002 Ceramic Disk Rev. B New
23727 Lam Research 716-003544-002 COV Hot Edge Ring Rework 001 to 002 New
23728 Lam Research 715-443130-001 8″ ESC Outer Focus Ring AL New
23729 Lam Research 853-0055030-001 Motorized Valve Assembly New
23730 Lam Research 810-057032-001 DC Servo Driver PCB Card 810-57032-001 Used Working
23731 Lam Research 810-057032-001 DC Servo Driver PCB Card 810-57032-001 New
23732 Brooks Automation 002-4674-009 Acutron 7 I/O PCB Panel Lam 799-901259-001 Used
23733 Lam Research 810-17048-001 Heater Control PCB Booard 810-01704R001 Refurbished
23734 Lam Research 810-800961-008 Dual Power Supply V-Sense Interface PCB New
23735 Lam Research 716-029504-008 8.00 Wafer Clamp Edge Ring New
23736 Lam Research 715-028552-001 Upper Electrode Ring Clamp New
23737 Lam Research 716-330061-008 Gas Protective Shower Head New
23738 Lam Research 810-017093-002 Gap Motor Control PCB Refurbished
23739 Lam Research 716-038657-533 8″ S13 N4 ESC Focus Ring New
23740 Lam Research 716-01175-043 4″ Quartz Universal Ring Insert 716-11750-43 New
23741 Lam Research 810-495586-001 4520XL Interlock Board PCB Card Used Working
23742 Lam Research 715-028615-002 8″ Upper Baffle Plate New
23743 Lam Research 715-011912-083 8.3″ Upper Baffle Plate New
23744 Saphikon 17087 TC Sensor Weldment Lam Research 839-051689-002 New
23745 Lam Research 716-011754-003 Ceramic Lower Baffle Plate 716117543 Refurbished
23746 Lam Research 716-331100-001 6″ Ceramic ESC FL BOT Edge Ring New
23747 Lam Research 713-018614-304 8″ High Conductance Focus Ring Refurbished
23748 Lam Research 716-140118-001 8″ ESC Focus Ring Refurbished
23749 Lam Research 810-057018-001 96 Pin Extender Board PCB 810-57018-001 New
23750 Lam Research 716-025808-001 Ceramic Ring 716-25808-1 Refurbished
23751 Lam Research 713-100180-503 Captive Electrode Confinement Ring Refurbished
23752 Verteq Level/Pressure Sensor Sunburst Cleaner Used Working
23753 Verteq MC-024-03 Frequency Generator Sunburst Megasonic Cleaner Used Working
23754 Verteq MP-005-02 Process Controller Sunburst Megasonic Cleaner Used Working
23755 Verteq MC-024-01 Frequency Generator Sunburst Megasonic Cleaner Used Working
23756 Verteq Power Supply Sunburst Megasonic Suburst-Turbo Cleaner Used Working
23757 Hund FLQ 75/1 Fiber Optic Light Source Used Working
23758 Sound Vision SV Micro Microscope CCD Camera Used Working
23759 Teli CS8620Ci CCD Microscope Camera TK5594A7 Used Working
23760 Opto Sonderbedarf ZOOM 70XL Microscope Lens Teli CS8620Ci Camera Assembly Used
23761 Marteq 1073728.517.1C RF Matching Transformer Transducer 1084337.1 Used Working
23762 Fiberoptic Specialties LS83/250 250W Lite Source Used Working
23763 Verteq Process Control Unit Sunburst Megasonic Cleaner SRD Used Working
23764 Neslab Instruments 081243 Temperature Controller 394199049901 Used Working
23765 Nikon CFW 10x Mircroscope Eyepiece Set Used Working
23766 Dolan-Jenner Series 180 High Intensity Illuminator Used Working
23767 RS Roper Scientific RTE/CCD-1300-Y/HS UV CCD Mircroscope Camera Used Working
23768 Leica Microsystems 020-654.085-000 Microscope Beamsplitter 761524 Used Working
23769 Olympus VMZ 1x-4x Stereo Microscope Head VM Used Working
23770 Bausch & Lomb StereoZoom 5 Microscope Head SZ5 with XY Axis Stage Used Working
23771 Olympus SZ StereoZoom Miscroscope 0.7x-4x Light Source Stand Used Working
23772 Wild Heerbrugg M3C Stereo Zoom Microscope Fiber Optic Light Stand Used Working
23773 Karl Suss Microscope Objective Stage Semiautomatic Probe Station Nikon As-Is
23774 Mitutoyo 50AAB307 Fiber Optic Light Source Lof of 2 Used As-Is
23775 GCA 66635-14 Circulating System Tegal Precision Scientific Not Working As-Is
23776 Haake 002-9802 C35 Circurlator Bath F6 002-9513 Not Working As-Is
23777 Schott KL1500-T Fiber Optic Light Source Used Working
23778 EX-111 Neslab 137003201606 Recirculating Bath Does Not Circulate or Chill As-Is
23779 RTE-110 Neslab 163103200700 Recirculating Bath Used Tested Not Working As-Is
23780 RTE-100 Neslab 166103200100 Recirculating Bath Used Tested Not Working As-Is
23781 MicroVision MVT 2080 Workstation Wafer Inspection Station Table Tschurr Used
23782 Nikon P-IER Microscope Eye-Level Riser Used Working
23783 Nikon 5 Position Turret Microscope Nosepiece Used Working
23784 Olympus MPlan 40 1.1X Microscope Objective DIC Nomarski Used Working
23785 Olympus MPlan 100 1.1X Microscope Objective DIC Nomarski Used Working
23786 Verteq Main Power Panel Sunburst Megasonic Cleaner SRD Used Working
23787 Motic SMZ168 C-Mount Microscope Adapter 0.65x 1/2″ Used Working
23788 Nikon AFX-IIA Trinocular Microscope Camera Adapter Head Used Working
23789 Rudolph Research II-2345 Automatic Ellipsometer AutoEL II Untested As-Is
23790 Dage MCT22 Wire Bond Pull Shear Microtester 22 Bausch & Lomb StereoZoom 7 As-Is
23791 Schaevitz Sensors PPA-050 PPA Series Precision Gage Head with Stand Used Working
23792 Olympus STM Measuring Microscope BHJM Body with Adjustable Stand Used Working
23793 Nikon Trinocular Microscope Head with Illuminator Labophot Optiphot Series Used
23794 Lumina FO-150 Light Source Chiu Technical Used Working
23795 Bausch & Lomb 31-35-28 Microscope Illuminator Lot of 2 As-Is
23796 Dawson 150H Universal Fiber Optic Light Source Used Working
23797 Aristo M1457-3 Microscope Ring Illuminator and Power Supply Used Working
23798 Carl Zeiss 45 28 25 Microscope Body Axiotron with Stage Used As-Is
23799 Nidek IM-140 Microscope Wafer Autoloader Used As-Is
23800 Lufran SBC-J-208-DS Flow Sparger Control SLC-3-DS Used Working
23801 SMC VQC1100Y-6 8-Port Pneunamtic Manifold Used Working
23802 Nikon SMZ Microscope Focus Drive 62mm Head Holder Bracket Used Working
23803 Leica Angled Focus Drive Microscope Head Adjustable Bracket Used Working
23804 Mitutoyo 50AAB304 A-Zoom Light Controller Reseller Lot of 2 Used Working
23805 Carl Zeiss 452821-9002 Microscope Power Supply Used Working
23806 Carl Zeiss 452821-2010 CZJ QS Microscope Power Module Used Working
23807 Lufran 978A Heater T.C.O. Power Supply Used Working
23808 Agilent 81662A DFB Source Module Used Working
23809 Semitool P223-4E Spin Rinse Dryer SRD Controller Used Working
23810 HP Hewlett-Packard 16500C Logic Analysis System 16517A 16518A Used Working
23811 Olympus BH-2 Microscope Binocular Head Used Working
23812 Carl Zeiss 45 27 35 Axiospeed Diode Array Spectrometer Microscope Head Used
23813 Olympus TR-2 20 DIC Nomarski Prism Microscope Objective Used Working
23814 Carl Zeiss 45 28 70 Reflector Slide Microscope Objective 452870 Used Working
23815 Bausch & Lomb Industrial 25x 0.31 N.A. Microscope Objective Used Working
23816 Olympus MPlan 5 1.1X Microscope Objective DIC Nomarski Used Working
23817 Olympus MPlan 10 1.1X Microscope Objective DIC Nomarski Used Working
23818 Olympus MPlan 20 1.1X Microscope Objective DIC Nomarski Used Working
23819 Bausch & Lomb Industrial 2.25x 0.04 N.A. Microscope Objective Used Working
23820 Carl Zeiss 45 25 88 Reflector Module FL Non P&C Used Working
23821 Leica Leitz 368051 Microscope Eyepiece Used Working
23822 Olympus G20X 12.2 Microscope Eyepiece Set Used Working
23823 Olympus WHK 15XL Microscope Eyepiece Set Used Working
23824 Leica Leitz 13410752 Microscope Eyepiece Set 15x/15.6 Used Working
23825 Cascade Microtech ECX-56 Expansion Module EBC-68-51 CPU EBD 61-51 Used Working
23826 Javelin JE-7442 ULTRICHIP CCD Camera with Microscope Zoom Lense Used Working
23827 Sentech STC-630AS Color CCD Microscopy DSP Camera Used Working
23828 Hitachi KP-161U Solid State CCD Camera Used Working
23829 Sony DXC-960MD Color Video Camera CCD-IRIS 3CCD Used Working
23830 Panasonic WV-CP412 CCTV with Microscope Mount Used Working
23831 Jenny Science Sv 50V4-DC Servo Controller with Minimotor 22/2K 54,6:1 Used
23832 Sony DKC-5000 Digital Photo Camera Controller Used Working
23833 Scholly Fiberoptik 150H Fiber Optic Light Source Used Working
23834 Carl Zeiss 45 19 04 Axio Microscope Upright Series Optovar Module 451904 Used
23835 Carl Zeiss 45 19 38 Electronic Microscope Camera Head 451938 Used Working
23836 Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M 2126 Hours Used
23837 Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M Bad Display As-Is
23838 Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M 1481 Hours Used
23839 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.45-1.8:1 8046 Hr Used
23840 Sansha 002-100095-01 DLP Projector Ballast SanRex Christie 03-900538-51P New
23841 Christie 03-260723R01P 3CHP Panel Driver PCB Assembly 50-000723-01P Refurbished
23842 Christie 003-110235-01 3 Chip Panel Driver PCB Assembly 015-100071-01 New
23843 Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M 2125 Hours Used
23844 Magtorx 30-EC-120 Current Regulated Power Supply Used Working
23845 Christie Digital Systems 003-100711-01 SX+ DLP Projector Engine Assembly Used
23846 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95″ 10893 Hr Used
23847 Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M Off Color As-Is
23848 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95″ 12844 Hr Used
23849 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95″ 12354 Hr Used
23850 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95″ 7378 Hr Used
23851 Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95″ 11359 Hr Used
23852 Brooks Automation PRI 7000 200mm SMIF Pod Wafer Stocker
23853 Leybold 85931-000-3M Heater Cable AMAT Applied Materials 0620-01188 Used Working
23854 Leybold 85932-000-3M Cooler Cable AMAT Applied Materials 0620-01186 Used Working
23855 MKS Instruments CB252-16-10 Type 252D Exhaust Valve Controller Cable Used
23856 Kurt J. Lesker 750-005-G1 Sputtering Shutter Module Front Load Sensor New
23857 Huntington Mechanical 800-000 CF800 Nonrotatable VAC-U-FLANGE 800 Series Used
23858 TURBOVAC 150 Leybold 85471 Turbomolecular Pump 854 71 Used Tested Working
23859 A&N Corporation CF800 Non-Rotatable Blank-Off 2.5″ Bore MKS MDC Edwards Used
23860 MKS Instruments CF1000 Non-Rotatable Blank-Off 10″ Ultra High Vacuum UHV Used
23861 Varian 951-5227 Pneumatic Stainless Steel Swing Gate Valve CF600 Used Working
23862 D4B TRIVAC Leybold 305833-1003 Rotary Vane Mechanical Vacuum Pump Used Tested
23863 Balzers BG 445 013-S Cooling Lid Motor Assembly BG 545 649-T Used Untested As-Is
23864 MDC Vacuum Products ASA Straight Reducer Nipple Adapter 400ASA to NW40 Used
23865 MDC Vacuum Products ASA Straight Reducer Nipple Adapter 200ASA to NW50 Used
23866 A&N Corporation CF275XCF275R-150-MH-18.0 Medium Wall Flexible Hose CF275 Used
23867 Aera CA-26A MFC Mass Flow Controller Card Edge Adapter CA-11 Lot of 2 Used
23868 TMH 520 Pfeiffer Vacuum PM P02 420 Turbomolecular Pump Turbo Tested Working
23869 TMH 520 SG Pfeiffer Vacuum PM P02 425-A Turbomolecular Pump Turbo Tested Working
23870 TURBOTRONIK NT 1000/1500VH Leybold 899264 Turbomolecular Pump Controller Tested
23871 VAT 65040-PACV-AYU2 Pendulum Control & Isolation Gate Valve Series 650 Working
23872 TCP 380 Pfeiffer PM C01 680 Turbomolecular Pump Controller Turbo Tested Working
23873 Va-Tran Systems SG-2 High Precision CO2 Cleaner SNO GUN-II SG2-NN63 Working
23874 International Light Technologies IL1700 Research Radiometer WBS320 SED 185 Spare
23875 AMAT Applied Materials 0140-76224 Wire Harness Assembly PVD Chamber
23876 MKS Instruments 690AA-00010RB Absolute Capacitance Manometer Baratron Head Spare
23877 Edwards A52856000 Series 2 QDP Vacuum Pump Exhaust Pressure Module Working Spare
23878 Duniway Stockroom FT-152-275 Dual Medium Current Feedthrough CF Flange New
23879 AMAT Applied Materials 0020-31492 101 Hole Gas Distribution Plate New Surplus
23880 MKS Instruments ISO100 ISO-K 3.5″ Nipple Weldment 316 Stainless Lot of 2 New
23881 Nor-Cal 2KL-ISO-100-OF 45 Degree Radius Elbow w/Tangents MKS HPS New Surplus
23882 TURBOVAC 1000 C Leybold 89489 Turbomolecular Pump Copper Turbo Tested Working
23883 TMU 260 Pfeiffer Vacuum PM P02 135 Turbomolecular Pump Used Untested As-Is
23884 Nor-Cal 90 Vacuum Elbow with 2.75″ Viewport 4″ Opening DN40CF DN100CF Working
23885 Swagelok B-45F8 Brass 1-Piece 40 Series Ball Valve Reseller Lot of 4 New Surplus
23886 Airco Temescal 6″ FIG 5530 Gate Valve Working Spare
23887 Magnetic Elektromotoren THC 8AWDK2U-005 Telescopic Column Telemag Working
23888 VAT Series 64.0 6″ Mechanical Gate Valve Lam 853-190378-001 4520XL Working Spare
23889 Keithley 14213 Relay Board PCB SSIO-24 PCB122 Gordos SM-ODC5 Working Spare
23890 Keithley 14213 SSIO-24 Relay Board PCB PCB122 Gordos SM-IDC5 Working Spare
23891 Keithley Instruments 14261 Relay Board PCB STA-1800HC PCB702 Working Spare
23892 Keithley Instruments KPCI-PIO24 Data Acquisition PCB Card PIO24 Working Spare
23893 Leybold Inficon 758-500-G1 Thin Film Deposition Monitor XTM/2 Used Working
23894 Keithley Instruments 14286 Analog Output PCB Card DDA-08/16 PC9172 Working Spare
23895 Keithley Instruments KPCI-3130 Data Acquisition PCB Card 3130 Working Spare
23896 Keithley Instruments 17674 Data Acquisition PCB Card KPCI-3101 16531 Working
23897 Keithley Instruments 14279 Connector Board PCB STP-37/C PC9032 Working Spare
23898 National Instruments 183971B-02 Power Supply Board PCB SCC-PWR02 Working Spare
23899 AMAT Applied Materials 0100-20003 OBS Digital I/O Board PCB VME Card P5000 Spare
23900 AMAT Applied Materials 0100-00439 300mm HDPCVC Chamber Distribution Board PCB
23901 TEL Tokyo Electron 2L81-000017-42 Time Delay Relay Board PCB TAB341-4/AC Working
23902 AMAT Applied Materials 0020-31658 200mm Vespal Clamp OX MLR NIT Refurbished
23903 AMAT Applied Materials 0150-09800 High Voltage Cable Assembly Working Spare
23904 MKS Instruments 842-001 Tungsten Filaments Pack of 4 Reseller Lot of 6
23905 Granville-Phillips 307001 316 Vacuum Gauge Controller 307005/06 Used Working
23906 MKS Instruments PDR-C-1C Power Supply Readout Tested Used Working
23907 Kurt J. Lesker KJL2200 Ionization Gauge Controller IG2200 Used Working
23908 Sycon Instruments STM-100/MF Thickness Rate Monitor Used Working
23909 Seren R300-0282-13 RF Power Supply
23910 Tylan General CMLA-11S02 Baratron Capacitance Gauge Tested Not Working As-Is
23911 MKS Instruments 122AA-00002AB Baratron Pressure Transducer
23912 MKS Instruments 122B-11993—-S Baratron Pressure Transducer Used Tested Working
23913 Osaka TG3413E Compound Molecular Pump Set TC3200 Controller Used Tested Working
23914 MKS Instruments 127AA-00001B Baratron Pressure Transducer
23915 MKS Instruments 122AA-00010-B-SP053-80 Baratron Transducer Used Tested Working
23916 MKS Instruments 629A-12TBC Baratron Capacitance Manometer Used Tested Working
23917 MKS Instruments 627A11TBC Baratron Pressure Transducer Tested Not Working As-Is
23918 MKS Instruments 627B.1TBE Baratron Pressure Transducer
23919 Pfeiffer PM C01 697 B Controller DCU 600
23920 Jeol EH-RPS02 Manual Adjustable -/+180° Phase Shifter Used Working
23921 AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
23922 Inficon 911-1062-G Relay Load Control Board PCB 911-1060 Used Working
23923 AMAT Applied Materials 0100-00093 Stepper Drive PCB VME Card Used Working
23924 AMAT Applied Materials 0100-00003 Stepper Drive PCB VME Card Used Working
23925 AMAT Applied Materials 0100-76012 HDP Chamber Interface PCB Card Used Working
23926 AMAT Applied Materials 0100-00438 Interlock Personality Board PCB Used Working
23927 TURBOVAC 50 Leybold Heraeus 854 01 Turbomolecular Pump 85401 Used Untested As-Is
23928 AMAT Applied Materials 0100-35206 HDP-DE Chamber Interconnect PCB Backplane Used
23929 AMAT Applied Materials 0190-00371 DeviceNet Digital I/O Rev. P4 PCB Card Used
23930 AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
23931 DIP 15039603 Digital I/O CDN396 PCB Card AMAT 0660-00078 DIP-300-818 Used
23932 AMAT Applied Materials 0100-20454 Controller Backplane Board PCB Rev. 001 Used
23933 VAT F14-62425-09 Pneumatic High Vacuum Gate Valve Used Working
23934 Alcatel Comptech CVQ-10-ASA_U-SM VARI-Q Throttling Valve 150-1 Used Working
23935 Kurt J. Lesker KJL-912019 CAL-100 Vacuum Gauge Adjustment Tool Used Working
23936 TMH 071 P Pfeiffer PM P02 802 CA Turbomolcular Pump with TC600 Tested As-Is
23937 Koganei Right Angle Pneumatic Valve N.O. Stainless NW40 Sensor CS3B Used Working
23938 Torr Vac 763008 Right Angle Pneumatic Valve 8320B13 NW40 Used Working
23939 High Vacuum Research NW50 Manual Inline Vacuum Shut-Off Valve MKS Used Working
23940 High Vacuum Research NW40 Pneumatic Inline Valve Vacuum Shut-Off MKS Used
23941 Granville-Phillips 275502 275 Mini-Convectron Vacuum Gauge Used Working
23942 AE Advanced Energy 1345193D RF Match AZX HTCM Module Used Working
23943 Swagelok MS-KIT-RDA Seat and Seal Kit RD Series R36JQ0893B Reseller Lot of 9 New
23944 Swagelok SS-4-TA-1-0011 Tube Fitting Male Tube Adapter Reseller Lot of 11 New
23945 Vacuum General CMT-01 Pressure Transducer Baratron Used Working
23946 Omron DRT2-MD32ML Remote Terminal Output Module DRT2 Used Working
23947 Kurt J. Lesker KJL-902005 Pirani Gauge Assembly Used Working
23948 Leybold 157 30 THERMOVAC Transmitter Pirani Sensor TTR 211 SO New
23949 Granville-Phillips 274003 Glass Ion Gauge Tube Gauge 274 Reseller Lot of 2 Used
23950 A&N Corporation 0604049 Copper Gasket 1650-CG CF1650 Vacuum Flange Lot of 2 New
23951 Vacuum Technology GPCC-4-Mix-4MVCR-500DOT-WFL Crimped Capillary Assembly Used
23952 Fujikin RKE0K6000 Pneumatic Valve 021372 Normally Closed Reseller Lot of 5 Used
23953 CTI-Cryogenics 8135900G001 Cryo Compressor 9600 Missing Parts As-Is
23954 AE Advanced Energy 0920-00056 RF Generator 3150852-005 C Over Temp Fault Tested As-Is
23955 CX-2000 Comdel FP3365RA RF Generator CV2000 AMAT 0190-31017 Used Tested Working
23956 Newport 15-3702-1425-26 Wafer Robot AMAT 0190-22250CW Copper Exposed Refurbished
23957 Kashiyama SD90V III Single Stage Screw Vacuum Dry Pump Used Tested Working
23958 MKS Instruments 100016886 Isolation Valve AMAT 3870-06568 HPS Used Working
23959 AMAT Applied Materials 0041-05382 Retaining Ring 8″ Titan II Head II New Surplus
23960 AMAT Applied Materials 0010-10327 200mm Wafer Flat Pedestal Assembly ESC Used
23961 AMAT Applied Materials 0090-06791 Flow Meter Proteus 9B8006SA1E15P2 Used Working
23962 MKS Instruments UHV-25-AKK-ENVN Ultra High Vacuum Angle Valve Used Working
23963 AMAT Applied Materials 3870-03867 Pneumatic N.C. Diaphragm Valve Used Working
23964 AE Advanced Energy 0190-41115 RF Generator 3150310-001 MF Paramount Used Tested
23965 PDX 900-2V AE Advanced Energy 0190-10028 Power Supply AMAT Used Tested Working
23966 VHF Ovation 35162 AE Advanced Energy 3150257-002 A RF Generator Bad Input As-Is
23967 VHF Ovation 35162 AE Advanced Energy 0190-16109 RF Generator AMAT Tested Working
23968 AMAT Applied Materials 0040-35875 Gas Box Universal Lid 12939900-282 Refurbished
23969 AMAT Applied Materials 0020-48987 Tungsten PVD Pedestal Cover 200mm New
23970 AMAT Applied Materials 0010-36478 150mm JMF Edge Ring New Surplus
23971 AMAT Applied Materials 0040-51361 Gimbal Support New Surplus
23972 AMAT Applied Materials 0040-36425 200mm Electrostatic Chuck 0021-37371 New
23973 AMAT Applied Materials 0020-29385 8″ 200mm Clamp Ring JMF Refurbished
23974 AMAT Applied Materials 0200-02955 Quartz Capture Ring New Surplus
23975 AMAT Applied Materials 0020-25444 Capture Ring New Surplus
23976 AMAT Applied Materials 0010-04522 200mm Electrostatic Chuck New
23977 Hine Design 860 Vacuum Arm Assembly No End Effector GaSonics A-2000LL Asyst Used
23978 Neslab STEELHEAD 0 Thermo Fisher 620000000015 Heat Exchanger Needs Motor As-Is
23979 VHF Ovation 2760 AE Advanced Energy 0190-17779 RF 3150292-007 Used Tested Working
23980 VHF Ovation 2760 AE 0190-17779-001 RF Generator 3150292-007 Used Tested Working
23981 Staubli RX60 Unimation 6-Axis Robot Arm System CS7MB Zygo ARMI Used Working
23982 Omega DP41-TC Temperature Display LED Used Working
23983 Modus Instruments DA-4-08M-0-RRRF Display Alarm Used Working
23984 Tescom 44-2268-R62-282 Pressure Regulator new
23985 TEL Tokyo Electron Moly CR-125mm Clamp Ring D129479 Rev.A new
23986 Metron Spacer Gate Valve Shield D116375 Rev. E new TEL Tokyo Electron
23987 TEL Tokyo Electron 1.5mm Moly CR-125mm Clamp Ring D129677 Rev.2 new
23988 TEL Tokyo Electron CR-125 Full Wafer Holder Housing D127110 Rev.B new
23989 Metron MRC 8″ Cathode Dark Space Shield D112649 Rev.G new
23990 TEL Tokyo Electron D123330 Wafer Holder Shield Housing Rev.2 new
23991 TEL Tokyo Electron CR-125 Wafer Holder Housing D122850 Rev.B new 125mm
23992 TEL Tokyo Electron 200mm Etching Shield D116700 Rec.C New
23993 TEL Tokyo Electron D117832 Gas Distribution Ring New
23994 MRC Eclipse Star Wafer Holder 162MM 41870-0101 New
23995 Tokyo Electron TEL D122081 Rev. C Shield New
23996 TEL Tokyo Electron Metron SS 100mm Sput Wafer Holder Shield D124821-100S Rev.G
23997 MRC Eclipse Star Back Plane Heater 100MM A115893 New
23998 Metron 150mm Heater Shield Ring D115719 Rev.D new
23999 SUNX Sensors LA-AI Beam Sensor Amplifier Lot of 3 Used Working
24000 Saes Getters SHC504M904-7 In-Line Manual Valve working lot of 3
24001 Saes Getters UHP504C606-10 In-Line Manual Valve Working Lot of 4
24002 Leica 768009 Microscope Lens Objective PL Fluotar 20x/0.45 D Used Working
24003 Newport INFT-0000-KF Digital Temperature Display LED Used Working Lot of 2
24004 Kokusai CQ1400A(01) Accuron CQ-1400A Digital Direct Controller Set used working
24005 MKS 221 Signal Conditioner 221BA-00010B 10 Torr working
24006 MKS Signal Conditioner Type 221 221AA-00010B Used Working
24007 Digitron 4501/4 DPM Thermometer Lot of 4 Used Working
24008 Inficon SKY Capacitance Diaphragm Gauge CR091D Used Working
24009 Lintec LM-1100M-8 Liquid Flow Meter USED
24010 SECO Gramatech SSGF GVS2100R Vacuum Sealer GVS 2100 No Pedal No Heat Used As-Is
24011 STP Edwards J14J0003-01 Turbomolecular Pump Cable P010/13P STP 600/1000 Used
24012 ASM 54-125030A09 / Millipore MZEAD101CR00 Mass Flow Controller 1000SCCM Multi
24013 UNIT Instruments UFC-1260A MFC Mass Flow Controller 200 SCCM CF4 USED
24014 UNIT Instruments UFC-1020 MFC Mass Flow Controller 100 SCCM SF6 USED
24015 MKS 146C-ACBOO-1 Vacuum Gauge Measurement & Control System Used Tested Working
24016 UL 100 PLUS Leybold Vacuum 896 38 Portable Helium Leak Detector Tested As-Is
24017 ALCATEL ACP20G Dry Pump
24018 Edwards D38617000 Vacuum Controller Interface USED
24019 SAM / HITACHI METALS LTD SFC482S5M0-6VP MFC Mass Flow Controller 50 SLM N2 USED
24020 Horiba STEC SEC-4500MO-SUC MFC Mass Flow Controller O2 20 LM USED
24021 Horiba STEC SEC-4500MO-SUC-016 MFC Mass Flow Controller H2 20 LM USED
24022 Horiba STEC SEC-4500MO-SUC MFC Mass Flow Controller N2 20 LM USED
24023 Horiba STEC SEC-7340M MFC Mass Flow Controller 7340 5SLM 15% O3/O2 USED
24024 Horiba STEC SEC-7330M MFC Mass Flow Controller 7330 1SLM Ar USED
24025 Horiba STEC SEC-V110DM MFC Mass Flow Controller V100 2SLM N2 USED
24026 Horiba STEC SEC-4400MO-SUC MFC Mass Flow Controller 4400 500 CCM O2 USED
24027 Horiba STEC SEC-7330M MFC Mass Flow Controller 7330 500 SCCM Ar USED
24028 ENI OEM-12 Solid State Power RF Generator USED
24029 Horiba STEC SEC-7340M MFC Mass Flow Controller N2 3SLM USED
24030 Horiba STEC SEC-7330 MFC Mass Flow Controller AR 500SCCM USED
24031 GES Test Item (Temporary Title)
24032 ASM 54-123806A69 / AERA FC-7800 MFC Mass Flow Controller H2 500SCCM-AI-NO
24033 CH2500 Thermo Fisher Scientific 121121110000001 Recirculating Chiller Tested
24034 Agilent 16702B Logic Analysis System with One 16753A module and Four 16760A modules USED
24035 Tripp Lite SU6000RT4U Smart Online UPS with Batteries USED
24036 Aera FC-980B MFC Mass Flow Controller 50SCCM SF6 USED
24037 UNIT Instruments UFC-8160-102101 MFC Mass Flow Controller 50SCCM SF6 USED
24038 Aera FC-980B MFC Mass Flow Controller 30SCCM SI2H6 USED
24039 UNIT Instruments UFC-8160 MFC Mass Flow Controller 30SCCM SI2H6 USED
24040 Aera FC-980BTC MFC Mass Flow Controller 1SLM AR USED
24041 UNIT Instruments UFC-8160-102037 MFC Mass Flow Controller 1SLM AR USED
24042 Horiba STEC SEC-7330M MFC Mass Flow Controller 1SLM AR USED
24043 MKS 146C-OOOOO-1 Vacuum Gauge Measurement & Control System Used Tested Working
24044 AMAT Applied Materials 0020-39137 Ceramic Dome DPS Poly Refurbished
24045 Seiko Seiki SCU-H1000C Turbomolecular Pump Control Unit USED
24046 BALDOR / PRICE JM3107 / HP75CN-425-06111-50-36-306 Pump, Spec 34F38-2718
24047 MKS Instruments 651CD2S2B Pressure Controller 600 Series Used Working
24048 UNIT Instruments UFC-1110A MFC Mass Flow Controller NEW
24049 Branson/IPC 804-13284-01 Automatch Board USED
24050 Seiko Seiki SCU-H1000C STP Control Unit USED
24051 HVA High Vacuum Apparatus 11210-0203RS-001 Pneumatic Gate Valve Working Spare
24052 Osaka Vacuum TH542 Turbomolecular Pump 11″ ASA Turbo New Surplus
24053 TRIVAC D16A Leybold-Heraeus 89583 Rotary Vane Vacuum Pump Used Tested Working
24054 ASM 2872048-01 INSTL HEATEXCHANGER STD
24055 Horiba STEC SEC-7330M MFC Mass Flow Controller 50SCCM SiH4 USED
24056 Horiba STEC SEC-7340M MFC Mass Flow Controller 10SLM N2 USED
24057 Horiba STEC SEC-7350M MFC Mass Flow Controller 15SLM NH3 USED
24058 Horiba STEC SEC-7340M MFC Mass Flow Controller 3SLM NF3 USED
24059 Horiba STEC SEC-7340M MFC Mass Flow Controller 5SLM NH3 USED
24060 Horiba STEC SEC-7340M MFC Mass Flow Controller 3SLM Ar USED
24061 Horiba STEC SEC-7340M MFC Mass Flow Controller 10SLM N2 USED
24062 Horiba STEC SEC-7340M MFC Mass Flow Controller 7SLM NH3 USED
24063 Aera FC-980C MFC Mass Flow Controller 200SCCM CF4 USED
24064 Aera FC-D980C MFC Mass Flow Controller 200SCCM O2 USED
24065 Aera FC-D980C MFC Mass Flow Controller 200SCCM SF6 USED
24066 Aera FC-D980C MFC Mass Flow Controller 100SCCM SF6 USED
24067 UNIT Instruments UFC-8565 MFC Mass Flow Controller 10L N2 PID FW: 3.03 USED
24068 UNIT Instruments UFC-8565 MFC Mass Flow Controller 3L Ar PID FW: 3.03 USED
24069 VACUUM GENERAL AC-2 AdapTorr USED
24070 UNIT Instruments UFC-8565 MFC Mass Flow Controller 3L NF3 PID FW: 3.03 USED
24071 UNIT Instruments UFC-8565 MFC Mass Flow Controller 15L NH3 PID FW: 3.03 USED
24072 UNIT Instruments UFC-8565 MFC Mass Flow Controller 50cc SiH4 PID FW: 3.03 USED
24073 UNIT Instruments UFC-1200A MFC Mass Flow Controller 100SCCM O2 USED
24074 UNIT Instruments UFC-1200A MFC Mass Flow Controller 100SCCM CF4 USED
24075 Millipore FSFAF100D500 IntelliFlow Digital Flow Products AR, 5000 SCCM USED
24076 UNIT Instruments UFC-1000 MFC Mass Flow Controller He, 50 SCCM USED
24077 MKS Instruments 99D0405 Isolation Vacuum Valve and Heater Jacket 4630-1053 Spare
24078 TYLAN FC-2960MEP5-261R MFC Mass Flow Controller 5SLPM N2
24079 TYLAN FC-2960MEP5 MFC Mass Flow Controller 20SLPM N2
24080 TYLAN FC-2960MEP5 MFC Mass Flow Controller 0.5SLPM N2
24081 TYLAN FC-2960MEP5 MFC Mass Flow Controller 100SCCM N2
24082 TYLAN FC-2960MEP5 MFC Mass Flow Controller 10SLPM N2
24083 TYLAN FC-2960MEP5 MFC Mass Flow Controller 2SLPM N2
24084 TYLAN FC-2960MEP5 MFC Mass Flow Controller 500SCCM N2
24085 TYLAN FC-2960MEP5 MFC Mass Flow Controller 20SLPM N2
24086 TYLAN FC-2960MEP5 MFC Mass Flow Controller 4SLPM N2
24087 AERA FC-981C MFC Mass Flow Controller 10SLM O2
24088 AERA FC-P201-GF MFC Mass Flow Controller 10SLM O2
24089 TYLAN FC-2960MEP5 MFC Mass Flow Controller 0.2SLPM N2
24090 TYLAN FC-2960MEP5 MFC Mass Flow Controller 0.1SLPM N2
24091 TYLAN FC-2960MEP5 MFC Mass Flow Controller 0.1SLPM N2
24092 TYLAN FC-2960MEP5 MFC Mass Flow Controller 200SCCM N2
24093 TYLAN FC-2960MEP5 MFC Mass Flow Controller 50SCCM N2
24094 TYLAN FM-3911J 6V MFC Mass Flow Controller 50SCCM O2
24095 ASTeX Applied Science & Technology AS04251 Power Distribution Used Working
24096 Fuji Seiki 1011018 Butterfly Valve Refurbished Hitachi 3-821449
24097 SMC CDQ2B140C-J0406-XC Air Cylinder Hitachi 3-839273-^A New
24098 SMC CDQ2B140C-J0406-XC Air Cylinder Hitachi 3-839273-^A New
24099 Hitachi Quartz Fiber 3-825664-04 New
24100 Hitachi Cosmic Industry BJ1T Motor Cylinder 3-850138-^A
24101 Hitachi 1-822328 Lower Coil ZPS90 New
24102 Kyoto Denkiki KDS-20170S Coil Power Supply Hitachi 3-845612 New
24103 Hitachi 300mm Quartz Sleeve 3-852688-01 New
24104 Hitachi Inner Chamber Cover UHF 1-829191-01 New
24105 Hitachi 3-851916 NPS21 Flow Sensor Manifold Type FS-10 new
24106 Hitachi 2-819080 Ring Gate New
24107 SMC CQ2B63-01-59741 Air Cylinder Hitachi 3-823437 New
24108 Hitachi GV1 Cover 3-824791 Sealed New
24109 Hitachi 3-839105 CKD Air Cylinder SCS-LND-00-140B-69
24110 Hitachi Electrode Head Cover, 2-829526-03 New
24111 Hitachi ER Cover, UHF, 2-832793-01 New
24112 Hitachi 1-807885 PCB IL36-1 New
24113 Hitachi Upper Coil, ZPS90 New
24114 A-B Allen Bradley 100-A38NJ3 Contactor 195-GA10 A Coil Reseller Lot of 2 New
24115 Hitachi 2-821867 RF Tube Lot of 2 New
24116 Hitachi 3-839347-A CKD Air Cylinder SCA2Q-CA80B79.2H-FL
24117 Hitachi ZPS90 Chamber Block 1-824631  New
24118 Hitachi ZPS90 Aluminum Gas Ring 2-830082-01 New
24119 Hitachi Flexible Vacuum Bellows Flange 2-813358-A  New
24120 Hitachi 3-849747 CKD Air Cylinder USSDKL63-188B New
24121 Hitachi 200mm 3-845132 Holder for M-308 Lot of 3 New
24122 Hitachi 3-853222 SMC Air Cylinder CDQ2B40-30D-A73HS New
24123 Hitachi 1-823775 PCB I/O Unit W/ 7 Boards Included New
24124 Hitachi Lower Sleeve UHF 2-829605-02 New
24125 Hitachi 2-816892 200mm Wafer Plate New
24126 Hitachi Robot Swing Arm 200mm Unload 2-819447 New
24127 Omron E5AS-R1P Temperature Controller New
24128 Hitachi 1-815631 200mm Robot Wand New
24129 Hitachi S2-84410 SMC Air Cylinder CDG1BN20-30 Qty 2 New
24130 Hitachi S3-89145-01 SMC Air Cylinder CM2XU20-50 Lot of 3
24131 Hitachi S2-84410 SMC Air Cylinder CGD1BN20-30-H7A1 Qty5
24132 SMC MDUB63-E4126-200 Air Cylinder Hitachi 3-839272-^A New
24133 Hitachi 3-839275 SMC Air Cylinder CDQ2B100-J0736-20 New
24134 Hitachi 3-851917 SMC Air Cylinder CDQXB40-30D-A73HS New
24135 Hitachi 3-830027 200mm Reflector New Lot of 3
24136 Hitachi 4-817835 200mm Reflector New Lot of 4
24137 Hitachi 3-841739 Cosel Power Supplies K10A, K50A Qty 3
24138 Hitachi 3-841740 Cosel Power Supply K25A, K150A Qty 3
24139 Hitachi S3-85001 Cosel Power Supplies P15, P-50 Qty 4
24140 Hitachi 1-807879 PCB AI16-2 New
24141 Hitachi 1-807883 PCB PM02-1 New
24142 Hitachi E-247 Spray Nozzle Assembly Lot of 4 New
24143 Hitachi E-207 E-257 Spray Nozzle Assemblies Qty 4 + Nozzles new
24144 Hitachi 3-08520489 200mm Mesh Plate New
24145 Hitachi S3-89146 SMC Air Cylinder CM2XL20-70 Qty 3 New
24146 SMC ZX100-K35LZ-EC Vacuum Switch ZX Series Hitachi 3-827900 Lot of 3 New
24147 Hitachi I-036 Nagano Keiki GC94 Pressure Gauge/Switch
24148 Omron Photoelectric Sensors Connectors Hitachi Kaganei Yamatake Honeywell Lot
24149 Hitachi 2-812545 M308 ER Assembly Shaft New
24150 THK 2LF16UU+341.5L-(A) Hitachi 3-847127 LF 340mm Ball Shaft/Spline New
24151 Hitachi 3-833717 Base Ring 200mm Lot of 3 New
24152 Hitachi 3-841437 SMC Air Cylinder CDQ2B40-01-59469 Qty3
24153 SMC Air Cylinder CDQ2WB80-01-59470 New
24154 Shimadzu TMP 280-L Vacuum Turbopump includes Fomblin new surplus
24155 No Information on Item
24156 Frontier 8800 Stress Gauge
24157 200mm Wheel & Motor
24158 MAG 1000 CT Leybold 86004 Turbomolecular Pump Used Untested As-Is
24159 KLA-Tencor AIT 2 Light Tower Driver 552364 working
24160 Therma-Wave Opti-Probe Opto-Isolation Board 14-017482
24161 Nikon NSR-S307E Component Box Working
24162 Nikon 4S018-716 OPDCTRL3 PCB Board Used Working
24163 Nikon 4S085-431 Carrier Module Board Used Working
24164 Nikon 4S018-885 CPCI IP PCB Board Working NSR S307E
24165 Nikon 4S587-634 PZTDriver Used Working
24166 Nikon 4S587-754 PZTDriver Used Working
24167 Nikon 4S001-112 Power Source Used Working
24168 Nikon 3AR-4R Vacuum Gauge Box Used Working
24169 Millipore W2501PH02 Photo Resist Pump SVG 90S Used Working
24170 Millipore W2501PH02 Photo Resist Pump Untested AS-IS
24171 Omron Z4LC-C28 Parallel Beam Line Sensor Working
24172 Panasonic MBDH153ABD01 Inverter Minas-Hyper Used Working
24173 Daifuku Teaching Box B255M Working
24174 Nikon 4S001-093 Power Supply Board PCB PW-NK NSR Used Working
24175 Nikon 4S001-060 Power Supply PW-NA PCB Board Working
24176 Nikon Power Amplifier 4S008-050 MIS-POWAMPX4 PCB Board
24177 Nikon 4S013-510 SPAIFX4B PCB Board Used Working
24178 Nikon 4S015-316 CPU Board ACP-112 (Slave) Used Working
24179 TEL Tokyo Electron 1D81-000128 Communications Board TYB41C-1/Gas I/L Unity II
24180 TEL Tokyo Electron 1D81-000099-A6 MAIO Control Card TYB111-1/MAIO Used Working
24181 TEL Tokyo Electron 1D81-000099-A6 MAIO Control Card TYB111-1/MAIO Used Working
24182 Yaskawa DR1-08AC Servopack Servo Drive Used Working
24183 Oriental Motor 4054-LD4 5-Phase Servo Driver Lot of 2 Used Working
24184 Oriental Motor B1583-07120KBL AC Servo Driver VEXTA MAG LOT TEL Unity II Used
24185 2979 Tylan FC-2979MEP5 Mass Flow Controller Lot of 6 As-Is
24186 STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 6 Used
24187 TEL Tokyo Electron REX-B860-CS2 TMP 8ch Control PCB Card Unity II Used Working
24188 TEL Tokyo Electron REX-B860-CS2 TMP 8ch Control PCB Card Unity II Used Working
24189 Hitachi I900SRT Lens Wheel Assy. working
24190 Hitachi I900SRT AFCNT00 Control Board 571-7025 working
24191 Hitachi I900SRT COUNTER0 Control Board 571-7016 working
24192 Hitachi I900SRT Control Board AFADCOO 571-7022 working
24193 Galil Motion Control DMC-1580 Motion Controller Hitachi I900SRT Used Working
24194 Yaskawa Robot Power Supply Module XU-DL1110 working
24195 KLA-Tencor CRS-3000 Laser Mirror & Prism Assembly Newport P100-P Used Working
24196 Power-One VAD610636 DC Power Supply HN5-9/OVP-A Used Working
24197 CKD OPP3-1H 10-Port Pneumatic Manifold FL247221 Used Working
24198 DNS Electronics Rinse Tank Module FC-3000 DS-1211 Used Working
24199 AMAT Applied Materials 0010-08323 Local RF Match Rev. 007 Used Working
24200 AMAT 0010-08322 Top Local RF Match Rev.002 used working
24201 Pre-Tech High Frequency Generator PT-16M20 working
24202 Hitachi 560-5501 NCOLDCPS Power Supply Assembly S-9300 SEM Used Working
24203 AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
24204 AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
24205 AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
24206 STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 4 Used
24207 Yaskawa SGDA-01AP Servo Drive Amplifier Servopack Used Working
24208 Kaijo 600W Ultrasonic Generator Used
24209 Nikon VCM Amp Motor Amplifier 4S587-735 working
24210 AMAT Applied Materials 0010-08322 Top Local RF Match Rev.002 Used Working
24211 Yaskawa XU-RCM5010 Wafer Transfer Robot Used Working
24212 Nikuni 25CLX15U5 MLTC Centrifugal Pump with 25CLX15U6 Motor Used Working
24213 Nikuni 25CLX15U5 MLTC Centrifugal Pump with 25CLX15U6 Motor Used Working
24214 Hitachi S9300 Wafer Inspection Transfer Robot Scanning Electron Microscope Used
24215 Hitachi Wafer Prealigner Module S-9300 SEM Used Working
24216 Hitachi Wafer Prealigner Module S-9300 SEM Used Working
24217 Dolan-Jenner A241P6TC42 Illuminator A-241L A-241P 150W Used Working
24218 Anelva PSC-211 DC Controller Working
24219 Anelva A12-24285 5 Electrode Voltage Meter
24220 Anelva VSP-0971 2 RF Matching Meter Used Working
24221 KLA-Tencor 0039978-000 M2/M3 Servo Controller Box Assembly AIT II Used Working
24222 Pentagon Technologies PT-0643-0013 Quartz Bell Jar Endura 8″ PVD New
24223 Nikon IU-IOP2 Control Module NSR-S307E 4S065-172-1
24224 Power-One HN5-9/OVP-A Power Supply VAD610636 KLA-Tencor AIT II Used Working
24225 Power-One HN28-3-A Power Supply VAD610239195 KLA-Tencor AIT II Used Working
24226 Nikon 4K177-955-1 Transfer Robot NSR-S202A ArF Immersion Scanner Untested Used
24227 Hitachi 571-7701 I900SRT IMGIF Board Used Working
24228 Hitachi 571-7703 I900SRT CLKIF Board Used Working
24229 Sony DC-700 Camera Adaptor Hitachi I900SRT Used Working
24230 Sony DC-700 Camera Adaptor Hitachi I900SRT Used Working
24231 Matsusada HJPZ-1B-HS-V2 High Voltage Power Supply
24232 Hitachi BA-H500 H2 DC Power Supply I900SRT Used Working
24233 Hitachi BA-H500 H2 DC Power Supply I900SRT Used Working
24234 Hitachi I900SRT Wafer Transfer Robot Working
24235 Hitachi I900SRT Rotary Lens Assembly with Steepers PK544-NAC PK566BW Working
24236 Hitachi I900SRT Lens Wheel Filter Assembly Used Working
24237 Hitachi I900SRT Nikon 65259 Revo Controller Working
24238 Hitachi I900SRT Nikon 65259 Revo Controller Working
24239 Sony XC-7500 CCD Camera Hitachi I900SRT Used Working
24240 Hitachi CCWLMT Linear Optics Stage Assembly I900SRT ORG Used Working
24241 Hitachi I900SRT Mirror Assembly Working
24242 Hitachi Lens Assembly with Mounting Base Plate I900SRT Used Working
24243 Hitachi 571-7115 PS Amp 1 Board PCB Assembly 25717110 Used Working
24244 Hitachi T7230034307 Microscope Objective working
24245 KLA-Tencor 2139 Flipper Autoloader Kit 780-689642-000
24246 Hitachi I900SRT Prealigner Robot Assembly Untested As-Is
24247 Asyst Technologies 0FH3000-001 Pre Aligner Hitachi I900SRT Used Working
24248 SSM SX-UID500H Capacitance CM H2 Hitachi I900SRT Used Working
24249 Hitachi I900SRT Hg-Lamp Position Monitor 571-7117 working
24250 Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Used Working
24251 KLA-Tencor 328014 Cradle Power Board PCB AIT 2 Used Working
24252 KLA-Tencor 328014 Cradle Power Board PCB AIT 2 Used Working
24253 KLA-Tencor AIT2 4 Channel Motor Control Board 363251 Rev.AC working
24254 KLA-Tencor EMO CD Floppy Drive Module AIT2 Used Working
24255 TEL Unity 2 Control Panel 1D81-000129-11 Working
24256 TEL Tokyo Electron 1D81-000118 Control Board TYB417-1/OPE Unity II Used Working
24257 TEL Tokyo Electron 1D81-000118 Control Board TYB417-1/OPE Unity II Used Working
24258 TEL Unity 2 Laser Assembly MLXS-D12-670-3 Lot 2
24259 KLA-Tencor 000678T Solenoid PCB AIT1 Used Working
24260 KLA-Tencor AIT1 Solenoid PCB 001050T Working
24261 Ultrapointe 001049T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working
24262 GSI Amplifier E11-13209-7 PCB KLA-Tencor AIT1 Used Working
24263 Pentagon Technologies PT-0143-0011 RF Shield Endura 8″ PVD New
24264 Yaskawa TEL Unity 2 Servo Controller BC930310 working
24265 Hitachi I900SRT Optics Module Lot working
24266 Hitachi Inspection Optic Module I900SRT Used Working
24267 Hitachi M-712E 200mm Wafer Prealigner working
24268 Hitachi M-712E 200mm Wafer Prealigner working
24269 Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used
24270 KLA-Tencor 456098 Techsol Filter Unit 009-99FFU Used Working
24271 Varian Diode Ion Pump 304 ESR Working
24272 Hitachi Loader Interface Transfer Robot M-712E Loader Interface Used Working
24273 Hitachi I900SRT Wafer Inspection Transfer Robot Used Working
24274 Hitachi I900SRT Wafer Inspection Transfer Robot Used Working
24275 KLA-Tencor AIT2 Heat Exhaust Blower 570-0443 Used Working
24276 VAT 02112-BA24-0001 Transfer Valve ASM Epsilon 3000
24277 Hitachi I900SRT Robot Track IKO TSL220-600 Working
24278 Servoland MOVO2 SVEL124-P Servo Drive Motor Amplifier
24279 Lorex PZN-555-80-1-00-000 Temperature Controller Piezocon Used Working
24280 VAT 07512-UA24-ADA1 Atmospheric Door ASM Epsilon 3000 Working
24281 Hitachi I900SRT Dual End Effector Wafer Transfer Robot Used Working
24282 Hitachi I900SRT Sensor Assy. 2K015 C7883 working
24283 Opal 70512360100 SDT Assembly AMAT Applied Materials SEMVision cX Used Working
24284 Turbo-V 250 Varian 9699504S011 Turbomolecular Pump Controller AMAT 70411535000
24285 Oram LPS 850 DC Power Supply AMAT SEMVision cX Used Working
24286 Hitachi I900SRT Transfer Robot Assembly working
24287 873 Foxboro 873RS-BTWFGZ Resistivity Analyzer Used Working
24288 Genwac GW-902H CCD Video Camera with Computar 4.5-10mm Lens Used Working
24289 KLA-Tencor AIT 2 UI Splitter Board 547247 AA working
24290 KLA-Tencor AIT 2 Keyboard Breakout 547220 working
24291 Opal 50312350000 STC DR Assembly AMAT Applied Materials SEMVision cX Used
24292 Opal 50312460000 ETPS Assembly AMAT Applied Materials SEMVision cX Used Working
24293 Yaskawa DR1-08AC Servopack Servo Drive Used Working
24294 Opal 50312403000 Controller Chassis CDM DR-300 AMAT SEMVision cX Used Working
24295 Hitachi I900SRT SRSEQ11 PCB 571-7207 Working
24296 Kyosan DC Power Supply WB0210 Used Working
24297 Therma-Wave Opti-Probe OPT PL INTFC PCB 14-01874
24298 Therma-Wave 14-015810 Opti-Probe Interface Board Used Working
24299 Jikco 4S587-736-1 Monitor Box Nikon COX-B7A Used Working
24300 Jikco Nikon 4S588-280 Channel Box COX-10D Used Working
24301 Nikon NSR-S307E IU-IOP1 Controller 4S065-171 working
24302 Nikon Optistation 7 APW Exhaust Blower 1NB412S77 working
24303 Hitachi 7200 Etcher DC Power Supply Unit 1 Working
24304 Hitachi 7200 Etcher VME Micro Computer Assembly Used Working
24305 Sanyo Denki Pentasyn PMM-BD-57025-1 Driver TEL P-8
24306 KLA-Tencor HRP-340 Interface Board 03-185614-02 working
24307 KLA-Tencor HRP-340 Interface Board 303737 Rev.0C working
24308 TEL Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working
24309 KLA-Tencor SANP-9900 Frame Grabber Board 001052 AIT1 Used Working
24310 TEL Tokyo Electron 3208-000090-12 P-8 INKR DRV/SACC Interconnect PCB Used
24311 TEL Tokyo Electron Indexer Base PCB 3208-000141-12
24312 TEL Tokyo Electron 3208-000089-12 Bridge Interconnect 2 PCB Used Working
24313 Sanyo Denki PMM-BD-5705-1 Motor Driver Pentasyn PCB Used Working
24314 Millipore MFC Surface Mounts FC-2979MEP5-WM N2 Lot of 6 AS-IS
24315 Millipore MFC Surface Mounts FC-2979MEP5-WM CHF3 Lot of 5 AS-IS
24316 Millipore MFC Surface Mounts FC-2979MEP5-WM AR Lot of 6 AS-IS
24317 Millipore MFC Surface Mounts FC-2979MEP5-WM C4F8 Lot of 6 As-Is
24318 QMB250 Edwards A301-86-905 Vacuum Pump Mechanical Booster Used Untested As-Is
24319 TEL Tokyo Electron 3281-000132-12 PCB Power Distribution2 Board P-8 Used Working
24320 Kokusai T2DD4-16291-10035 Temperature Controller Used Working
24321 Nikon 2B001-581-3 Linear Module KAB11000K-0047 Optistation 7 Used Working
24322 STEC SEC-7330 MFC Lot
24323 Nikon 24098-0161 Optistation 7 Handler Assembly KAB11240 Used Working
24324 TEL CTRL FA1006K501A PCB 3208-000138-12
24325 Nikon KAB11000M-0063 Wafer Handler KAB11000/360-3 Optistation 7 Used Working
24326 Nikon Optistation 7 Linear Module KAB11000/A701-6
24327 TEL Tokyo Electron 1D81-000098-B4 DIO Control Card TYB112-1/DIO Used Working
24328 TEL Tokyo Electron 1D81-000098-B4 DIO Control Card TYB112-1/DIO Used Working
24329 TEL Tokyo Electron 1D81-000098-B4 DIO Control Card TYB112-1/DIO Used Working
24330 Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A NSR-S204B Used Working
24331 TEL Tokyo Electron 3208-000091-11 AIR/HF Interconnect PCB Used Working
24332 TEL Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used
24333 TEL Tokyo Electron 3208-000083-11 Indexer Interconnect PCB Used Working
24334 TEL Tokyo Electron 3281-000088-12 Y Interconnect 2 PCB 3208-000088-12 P-8 Used
24335 TEL Tokyo Electron 1208-001195-11 PCB Pre Align Amp 78 1281-001195-11 P-8 Used
24336 TEL Tokyo Electron P-8 Wafer Prober Air Manifold
24337 TEL Tokyo Electron P-8 Camera Control Assembly GP-MF212A KLA 710-805314-00 Used
24338 2979 Celerity FC-2979MEP5-WM Mass Flow Controller Used Working
24339 KLA-Tencor GPIO Board 0052412-001 Rev. AA Used AIT2
24340 Panasonic MSM021P2A AC Servo Motor TEL Tokyo Electron P-8 Used Working
24341 Jikco NIKON 4S587-740-1 Interface Module Box Used Working
24342 Yaskawa XU-CM5500 Robot Controller Nikon 4S064-734 Used Working
24343 Nikon 4S001-107 Power Supply VDBC0002201 Used Working
24344 Sony DPR-LS2 Laserscale PCB Board Working Nikon
24345 NIKON 4S019-288-1 IFSIGCOR PCB Board Used Working
24346 Agilent Z4208C A2801 Controller VME Board Working
24347 Nikon  4S019-582 RBTDRU(H) CRDRV PCB Board Used Working
24348 TEL Tokyo Electron 3281-000138-13 PCB-CTRL Assembly Used Working
24349 Technol Seven Y331-70 Chuck Heater E5EJ TEL Tokyo Electron P-8 Used Working
24350 Hitachi I900CHPCMP I900SRT Control Board working
24351 Hitachi I900GRYCMP2 I900SRT Control Board working
24352 TMP Shimadzu EI-3203MD Turbo Molecular Pump Controller 1.8K Tested Used Working
24353 TMP Shimadzu EI-203MD Turbo Molecular Pump Controller Used Tested Working
24354 Hitachi 560-5530 COL-CN2 Power Relay PCB Hitachi S-9300 CD SEM Used Working
24355 Hitachi CWS85 CWS850/2 B COM Interface Board working
24356 Hitachi CWS87 CWS870/4 Keyboard/Mouse PCB Board working
24357 Hitachi CWS88 CWS880 Interface PCB Board working
24358 Hitachi CWS15 CWS150 AGP Video Card working
24359 Hitachi CWS22 CWS220/A Interface PCB Board working
24360 Contec PI0-32/32L 9859A Interface PCB Board working
24361 Hitachi I-900/EXBF-VME2 VME Interface Board ZVV029-C1 Working  I-900SRT
24362 SRC VME Systembus SVB-10VME Backplane 100010695 Working  I-900SRT
24363 Hitachi I-900/TRANDEF Control Board ZVV021 Working  I-900SRT
24364 Hitachi I-900/MONIT2 Control Board ZVV037 Working I-900SRT
24365 Hitachi OPSEQ01 Interface Board 571-7090 Working  I-900SRT
24366 Hitachi STSEQ11 Interface Board 571-7204 Working I-900SRT
24367 Hitachi SRSEQ01 Interface Board 571-7393 Working  I-900SRT
24368 Hitachi STSEQ01 Interface Board 571-7096 Working  I-900SRT
24369 Hitachi PCA Relay Board MTIF01 Working #2201 I-900SRT
24370 Digi Host Adapter Board 30001284 Rev. X Working  I-900SRT
24371 Digi Host Adapter Board 30001284 Rev. X Working  I-900SRT
24372 Contec PI-64L PCB Interface Board 9860 Working  I-900SRT
24373 Fuji Seiki 1012851 Throttle Valve ISO 100 Used Working
24374 Keyence SJ-R036C Static Eliminator Controller Hitachi I-900SRT Used Working
24375 Dalsa Controller TA-C1-04K30-50E Working Hitachi I-900SRT
24376 Oriental Motor UDK5107NW2 Vexta 5-Phase Driver Used Working
24377 Tokyo Electronic Industry CCD Camera CS8340B Working TV4602A1 I-900SRT Pair
24378 Mecs Prealigner OFH3000-001 I-900SRT 0FH3000-001 Used Working
24379 Opal 70417880100 PCB Interface Board EP 70317881300 PCB SMC-RS232 Card Used
24380 Opal 13811 Power Relay Board AMAT Applied Materials SEMVision Used Working
24381 Hitachi 569-5516 ME I/F PCB S-9300 CD Scanning Electron Microscope Used Working
24382 Hitachi 569-5516 ME I/F PCB S-9300 CD Scanning Electron Microscope Used Working
24383 Opal 50317890000 SMC-Micro Board PCB Card EK2002 Used Working
24384 Opal 70317875200 SMC/M Vacuum Board PCB Card AMAT SEMVision Used Working
24385 Nemic-Lambda RWS30A-5  Power Supply YM-95-774 Used Working
24386 Lambda PA-3N-4-6SG-001 AC/DC Power Supply PCB Card Used Working
24387 Lambda PA-3N-4-6SG-001 AC/DC Power Supply PCB Card Used Working
24388 Lambda EWS50-5 Power Supply Hitachi I-900SRT Reseller Lot of 3 Used Working
24389 Lambda EWS50-12 Power Supply Hitachi I-900SRT Reseller Lot of 5 Used Working
24390 Vero Monovolt PK60 Power Supply Unit ASM E3000 Lot of 2 Used Working
24391 TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used
24392 TEL Tokyo Electron 2981-600328-11 DC Power Branch Board 2908-600328-11 Used
24393 TEL Tokyo Electron HTE-TGR-B-W1 Ghost Repeater Board TAB5600-W Used Working
24394 TEL Tokyo Electron 2981-600618-11 I/O DISP #02 PCB 2908-600618-11 Used Working
24395 Tokyo Electron TEL ACT 12 Oven I/O Board #01 HTE-OV1-B-11 Used Working
24396 TEL Tokyo Electron HTE-OA1-B-11 Oven I/O Board HTE-OV1-B-11 ACT12 Used Working
24397 TEL Tokyo Electron HTE-OA1-B-11 Oven I/O Board HTE-OV1-B-11 ACT12 Used Working
24398 TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 Board 2908-600595-11 Used
24399 CKD 4SB019-C3 Pneumatic Manifold FL139571 2724 Lot of 3 Working
24400 TEL Tokyo Electron 1D81-00000097A7 ITC PCB Board TYB 212-1/RF Unity II Used
24401 TEL Tokyo Electron 1D81-000115 PCB Card TYB414-1/CONT Unity II Used Working
24402 TEL Tokyo Electron 1D81-000115 PCB Card TYB414-1/CONT Unity II Used Working
24403 TEL Tokyo Electron 1D81-000113-19 PCB Board TYB221-1/PUMP Unity II Used Working
24404 TEL Tokyo Electron 1D81-000114 PCB Board TYB415-1/RF Unity II Used Working
24405 TEL Tokyo Electron 1D81-000130 PCB Card TYB416-1/PUMP Unity II Used Working
24406 TEL Tokyo Electron 1D81-000130 PCB Card TYB416-1/PUMP Unity II Used Working
24407 Board
24408 LAM Research I/O Breakout Board 810-17002-001 Rev. D Used Working
24409 Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. H Rainbow 4420 Used
24410 Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. G Rainbow 4420 Used
24411 stepper motor driver
24412 Lam Research 810-17031-R4 ADIO-AO Control Board PCB Rev. 1 Rainbow 4420 Used
24413 Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working
24414 Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working
24415 4420 SASI-1 Board
24416 Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 Used
24417 Hitachi S-9300 MHVP2 PCB Power Board 560-5509 working
24418 Vacuum General CMLA-21 Capacitance Diaphragm Gauge 853-017643-003-G-1135 Used
24419 Vacuum General CMLA-21 Capacitance Diaphragm Gauge 853-017643-003-D-C222 Used
24420 Vacuum General CMLA-21 Capacitance Diaphragm Gauge Lam 853-017643-003-D Used
24421 Lam Research Tri-Convectron Assembly 853-01620-001-A-C222 Used Working
24422 Lam Research 4420 Tri-Convectron Assembly 853-01620-001 Rev. A Used Working
24423 Lam Research 810-17012-001 Heartbeat PCB Board Rev. D 4420 Used Working
24424 Force Computers Inc 880-12535-101 Control Board Rev.A2 working
24425 Lam Research 810-17031-2 ADIO-A0 Control Board PCB Rainbow 4420 Used Working
24426 Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420 Used Working
24427 Force Computers 880-12537-101.A2 PCB Card LAM 4420 Used Working
24428 Force Computers 310004 PCB Card SYS68K/SIO-2 LAM 4420 Rainbow Used Working
24429 Hitachi 569-5519 EVCN3 PCB S-9300 CD Scanning Electron Microscope Used Working
24430 Lam Research 853-012550-001-F-C222 Wafer Shuttle Assembly Rainbow 4420 Used
24431 Therma-Wave 18-010918 Power Supply Opti-Probe 2600B Used Working
24432 Therma-Wave 18-010918 Power Supply Opti-Probe 2600B Used Working
24433 Therma-Wave Opti-Probe 2600B Cassette Loader Module 18-010968 Rev.C working
24434 Hitachi 568-5590 ST Sensor PCB Two Sensor Board S-9300 SEM Used Working
24435 Therma-Wave 18-010968 Cassette Loader Module Rev. F Opti-Probe 2600B Working
24436 Therma-Wave 18-010968 Cassette Loader Module Rev. B Opti-Probe 2600B Working
24437 Nikon Optistation 7 X-Y Stage 4S013-315-STG-I/F2 2B001-594-3 working
24438 Omron SYSMAC CQM1 PLC Programmable Controller PA203 Therma-Wave Opti-Probe 2600B
24439 Sayama N130-50P-DG Optistation Controller 4257-V2-B-TN used Nikon Optistation 7
24440 PRMS Inc. 1005002 Macroillumination Lamp Nikon Optistation 7 working
24441 Nikon Optistation 7 Contec PC-586U(PC)-LV Single Board Computer 32MB RAM 133MHz
24442 Sony CMA-D2 CCD Camera Adaptor Nikon Optistation 7 Used Working
24443 Yaskawa XU-CM2500 Robot Controller OST7-01-031-3 Nikon Optistation 7 Working
24444 Lam Research 853-012350-002-F-230 Outer Gate Assembly 4420 Etcher Used Working
24445 Lam Research 853-012350-002-H-230 Outer Gate Assembly 4420 Etcher Used Working
24446 Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher Used Working
24447 LAM Research 853-140013-001-1-230D Outer Gate Valve Assembly 4420 Used Working
24448 Lam Research 853-012350-002 Outer Gate Assembly Rev. H 4420 Etcher Used Working
24449 Hitachi EVAC Control Unit 569-5517 S-9300 SEM Used Working
24450 Nikon Optistation 7 Power I/F PCB 4S013-317 Used Working
24451 Nikon Optistation 7 MCR-I/F PCB 25013-179-4S013-309-1 Used Working
24452 Nikon Optistation 7 Stage Interface PCB 4S003-057-STG-I/F1 Used Working
24453 Hitachi 560-5501 NCOLDCPS Power Supply Assembly S-9300 SEM Used Working
24454 Nikon Optistation 7 MCR-ISA PCB 2S014-065 Used Working
24455 Nikon Optistation 7 MIC-ISA PCB 2S014-066 Used Working
24456 Veriflo Lam 4420 Valve Assembly 839-029227-100-B Used Working
24457 MKS Instruments 839-13521-1 Isolation Angle Valve Rev. C Working
24458 Stepper Motor Driver Board
24459 Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. C Used Working
24460 Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. C Used Working
24461 Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. C Used Working
24462 AMAT XR80 Argon/Oxygen Bleed and Charge Monitor 0100-94078 Rev. A Used Working
24463 Applied Materials AMAT XR80 0100-90650 Rev. B Wheel Current Board Used Working
24464 AMAT Applied Materials XR80 0100-90177 Rev.C Vacuum Control Drive Board Working
24465 AMAT XR80 0100-90071 Rev. Y Cryo Pump Temp Monitor Board Used Working
24466 AMAT XR80 0100-90870 Rev. E 4 Phase Stepper Controller Board Used Working
24467 AMAT XR80 0100-00505 Rev. A Vacuum Control Feedback Board Used Working
24468 TEL Tokyo Electron TEB405-1/FD2 PCB Card EC81-000007-11 Unity II Used Working
24469 TEL Tokyo Electron SVME/AT-BCN/A PCB Board SVA603A-I-S Unity II Used Working
24470 TEL Tokyo Electron EC81-000016-11 PCB Card TEB102-1/NASS Unity II Used Working
24471 Anelva Digital to Analog Converter SM-10 Control Rack H11-10811 Rev. 01 Used
24472 Anelva Digital to Analog Converter SM-10 Control Rack H11-09728 Rev. 01 Used
24473 Lam Research 4420 90 Degree Load Lock Cover 713-11203-1 Rev. D Used Working
24474 Lam Research 4420 Outer Gate Exit Safety Cover 713-013236-01 Lot of 3 Used
24475 Lam Research 4420 Outer Gate Entrance Safety Cover 713-013220-001 Lot of 3 Used
24476 Lam Research 4420 Etcher Indexer Cover Used Working
24477 Kawasaki C62C-A002 Robot Controller Used Working
24478 TDK RDH24-6R0 DC Power Supply Used Working
24479 TEC IZU 4S064-644 Power Supply Nikon NSR Used Working
24480 Nikon EP-AX4 PCB Board 4S008-124 Used Working
24481 Nikon 4S008-057 NSR Series AISref-X4 PCB Used Working
24482 Nikon Optistation 7 Fostec Fiber Optic Cable Assembly Used Working
24483 AMAT Applied Materials XR80 Contacter Drive 0100-90385 Issue DZ working
24484 Yaskawa XU-RCM2500T-3 Robot Track OST7-01-031-3 Nikon Optistation 7 Working
24485 Nikon 4S064-631-1 Controller IU-IOP2 NSR Series Used Working
24486 AMAT Applied Materials XR80 Sol/Fil Ext Interface Card 0100-91087 Rev.F working
24487 AMAT Applied Materials XR80 Vacuum Control Feedback 0100-00504 Rev.A working
24488 AMAT Applied Materials XR80 Charge Voltage Card 0100-90468 Rev.F working
24489 Shimaden PAC-Series Thyristor Power Regulator Unit PAC41-1P-0319-L000 working
24490 Nikon 4S064-630 NSR Series Controller IU-IOP1 Working
24491 Shimaden 01-199-011-JJ230C9 Pressure Gauge 9334 lot of 3 working
24492 SM-10 Anelva 1LC1013 8 Channel A/D Converter 842-5396 working
24493 SM-10 Anelva Booster PCB-A Booster Module working
24494 AMAT Applied Materials XR80 24V 1A Power Supply Module 0100-90025 Rev.2 working
24495 AMAT Applied Materials XR80 Vacuum Control Drive Card 0100-90177 Rev.C working
24496 Interface IBX-4101 Processor Board PCB Card G01-001[12] Used Working
24497 Interface IBX-4101 Processor Board PCB Card G01-001[12] Used Working
24498 IDK Corporation VAC-2000ES RGB Video Distribution Amplifier TEL Unity II Used
24499 Yaskawa JAMSC-B1070 Register Output lot of 5 working
24500 Yaskawa JAMSC-B1011 I/O Buffer Lot of 2 Used Working
24501 Yaskawa JAMSC-B1071 Register Input lot of 5 working
24502 CTI-Cryogenics On-Board Series 8101 Input Processor 8113018G001 working
24503 Yaskawa JAMSC-B1064 Module 24VDC Output working
24504 Yaskawa JAMSC-B1065 24VDC Input Module working
24505 Yaskawa Memocon-SC Mount-Base JRMS1-B-1027 working 4820-040-040Y lot of 3
24506 Lam 852-011200-003-F-231 Entrance Loadlock 853-012123-001-E-230S 4420 Used
24507 Lam 852-011201-583 Rev. 3 Exit Loadlock Assembly 853-012123-001 Rev. I 4420 Used
24508 Lam 852-011201-500 Rev. E Exit Loadlock Assembly 853-012123-500 Rev. D 4420 Used
24509 Lam 852-011201-001 Rev. E Exit Loadlock Assembly 853-012123-001 Rev. E 4420 Used
24510 Lam 852-011200-500 Rev.D Entrance Loadlock Assembly 853-012123-500 4420 Used
24511 Lam Research 852-011200-583 Entrance Loadlock Assembly 853-012123-001 Used
24512 LAM Research 852-017500-001-D CRT-Keypanel Chassis Assembly 853-017505-001-B
24513 LAM 4420 Etcher 852-017500-003-4 CRT-Keypanel Chassis Assembly 853-017505-001-C
24514 Lam Research 4420 852-011061-103 Lower Chamber Assembly 853-025103-003 as-is
24515 Varian Chamber Heater Assembly Working
24516 MKS Instruments 100997144 Isolation Valve System 839-13521-1-1956 LAM 4420 Used
24517 Watlow Anafaze TLM-8 Temperature Monitor TLME310DDMTAAAA working
24518 Tohan TD-102 Servo Drive Reseller Lot of 3 Used Working
24519 Kyoto Denkiki KDS-30350W DC Power Supply Hitachi M-712E Used Working
24520 Origin Electric ES7-IIA Magnetron Power Generator MAG.P/G Hitachi M-712E Used
24521 Cymer S05-09005-05 Photo Cell Module working
24522 Lam Research 853-017163-001-D-3710 RF Switch Box 4420 Rainbow Used Working
24523 Lam Research 4420 EMO Assembly 853-025901-001-1-C136 Used Working
24524 LAM Research 4420 Solenoid Tray Assembly 853-013610-001-D Used Working
24525 LAM Research 4420 Solenoid Tray Assembly 853-013610-111-1-C222 Used Working
24526 LAM Research 4420 Solenoid Tray Assembly 853-013610-001 Rev. E Used Working
24527 GE Commercial Motors 5KH32GN5588X Motor 4805 with Procon Pump Head SVG 90S Used
24528 GE Commercial Motors 5KH32GN5588X Motor 4805 with Procon Pump Head SVG 90S Used
24529 GE Commercial Motors 5KH32GN5588X Motor 4805 with Procon Pump Head SVG 90S Used
24530 LAM Research 4420 Rear E.M.O. Enclosure 860-010168-001 Used Working
24531 AMAT Applied Materials 0100-90944 Wafer Arm Gripper Interface Board Rev. A Used
24532 AMAT Applied Materials 0100-91082 XR80 Sensors Board Rev. D Used Working
24533 Advantest BPS-030208 Liquid Cooled Processor PCB Card T2000 Module w/Case Used
24534 Advantest BPS-030208 Liquid Cooled Processor PCB Card T2000 Module w/Case Used
24535 ADTEC AX-2000EUII-N RF Generator Novellus 27-286651-00 Used Tested Working
24536 KLA-Tencor Dual SMIF Interconnect I/O PCB 0037918-00 Rev. AD Used Working
24537 KLA-Tencor SP1 Power Supply Module 0002063-000 Used Working
24538 Nemic-Lambda EWS1500-3.3 DC Power Supply Used Working
24539 Anelva H13-1798 Photocoupler Module Used Working
24540 Nemic-Lambda EWS50-12 NNS50-15 EWS300-5 DC Power Supply Lot of 5 Used Working
24541 Applied Materials AMAT Slit Valve External Insert Piece 0040-41887 Lot of 3 New
24542 AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Used Working
24543 DNS Electronics FC-3000 DS-1211-ANALOG I/O Modules DS-1608-TR Used Working
24544 Contec Digital Input Card PI-64 Lot of 2 Used Working
24545 Digi Host Adapter Board 30001284 Rev. R Used Working
24546 Internix PF810-ASEHD4 Teach Pendant Profort 810 Used Working
24547 Internix PF810-ASEHD4 Teach Pendant Profort 810 Used Working
24548 Shimadzu EI-3203MD Turbo Molecular Pump Controller Used Tested Damaged Working
24549 Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420 Used Working
24550 Nikon C0020-01A Main Board NSR Series Used Working
24551 Hitachi ILC4-1 Control Board Used Working
24552 Minato Touch Data Controller M-308ATE 140UFS-HK Used Working
24553 Hitachi M-308ATE PCB Pair BGAI-I Used Working
24554 Ulvac Vacuum Gauge Control GI-PRYS Hitachi M-308ATE Used Working
24555 Ulvac Vacuum Control GP-ISRY M-308ATE Used Working
24556 Hitachi Etch Power Meter M-308ATE Lot of 4 Used Working
24557 Fuji Seiki 1011018 Butterfly Valve Refurbished Hitachi 3-821449
24558 TMP Shimadzu TMP-280-L Turbo Molecular Pump Used Working
24559 Nikon CB1000A Power Supply Module NSR Series Used Working
24560 Nikon PZT Driver 4S587-076 NSR Used Working
24561 Nikon NSR Lens Assembly K-A86073 Used Working
24562 Nikon NSR 4S019-080 IU-PWR2-X4P2 Power Board working
24563 Nikon NSR Drive PCB 4S019-026 IU-DRV2-EX4P Used Working
24564 Nikon NSR 4S018-923 IU-PWR1-X4P Power Relay PCB working
24565 Nikon 4S018-765 IU-DRV4 Driver PCB NSR Used Working
24566 Nikon NSR 4S019-059 IU-CTRL2 Control PCB working
24567 Nikon Control Board PCB 4S019-058 IU-CTRL1 Used Working NSR-S307E
24568 Nikon NK8601A PCB 4S015-227 Control Board Used Working
24569 Nikon 21541 Laser Lens Assembly NSR System Used Working
24570 Komatsu Control Panel KDP1320LE-1 Used Working
24571 Nikon 4S013-448 IRC-A-PCB Board Lot of 3 Used Working
24572 Nikon RBTLNK2 Link PCB 4S013-417 NSR-S306C Used Working
24573 Nikon 4S587-624 AVIS1 ERG Amplifier SEA141A 4S013-374-1 Used Working
24574 Nikon NSR-S306C 4S018-921 RBTDRV Drive PCB working
24575 Nikon 4S018-919 Robot Interface PCB NSR-S306C Used Working
24576 Nikon 4S064-549 Robot Controller NSR 17 NSR-S306C Used Working
24577 Nikon NSR-S306C Power Module 4S001-102 Used Working
24578 Nikon NSR-S204B Control Board IFIOPIF 4S013-355 Working 4S015-227 NK8601A
24579 Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-0033
24580 Spectrum VME64 Control Board FRU 600-90051 Working Nikon NSR-S204B
24581 Nikon NSR-S306C CRI/F PCB 4S019-115 Used Working
24582 Nikon NSR-S306C Z-A86974C Cassette Elevator 4S013-467 working
24583 Nikon NSR-S306C Wafer Transfer Robot Module WJR34314D Used Working
24584 Yaskawa 4S587-295 Linear Motor Controller Nikon NSR XU-DV0802V Used Working
24585 TEL Tokyo Electron 1D81-000065-1 AC POW FAIL DET BRD B PCB Unity II Used Working
24586 Hitachi BBB1-02 M-712E Interface Board working
24587 Hitachi CR-712V Clean Robot M-712E Used Working
24588 V-Tex 172B336X48DCPRO03 Slit Valve Hitachi M-712E Used Working
24589 Hitachi DI0-01N Control PCB M-712E Used Working
24590 Hitachi ILB-02 Relay Board PCB M-712E Used Working
24591 Hitachi AI0-02N Analog Input Board M-712E Working
24592 Hitachi I0TU-01N Input PCB I0CN-01A Used Working M-712E
24593 Hitachi BBBS-11 Backplane PCB Board M-712E Dry Etcher Used Working
24594 Fuji Electric 200A Circuit Breaker SA203BA Lot of 2 Used Working
24595 Gasonics A95-122-01 Rev. G Load Lock Door Assembly Aura 2000-LL Used Working
24596 Hine Design Gasonics Aura 2000-LL Chamber Robot Assembly 200mm Used Working
24597 Asyst 03330-001 Theta Arm Transport Unit Hine Novellus 94-1085 Aura 2000LL Used
24598 Asyst 03330-001 Theta Arm Transport Unit Hine Novellus 94-1085 Aura 2000LL Used
24599 Nikon NSR-S306C 4S018-725 Robot-I/F PCB working
24600 Nikon NSR-S306C 4S018-710 LIB-I/F PCB working
24601 Nikon Z-X4P/8-A1103C NRS S306C Power Supply Module working
24602 Nikon 4K197-306 Pneumatic Box NRS S306C Used Working
24603 Nikon 4K191-632-1 RH Reticle Transfer Robot with End Effector NSR-S306C Used
24604 Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working
24605 Hitachi Left Temperature Control Module M-712E CTE11-01 RKC REX-F7 Used Working
24606 Nikon NSR-S306C 4S018-866 PPD3X4 PCB working
24607 Nikon DCMD-L2 PCB NSR-S306C 4S003-028 Used Working
24608 Nikon 4S018-867- PPD3X4-I/F PCB NSR-S306C used working
24609 Diavac Limited Angle Valve LCAVB-25H LCAVB-25HK LCAV-40HF Lot of 6 Used Working
24610 Hitachi M-308ATE Power Module HK9623 Used Working
24611 Nikon NSR-S306C 4S014-178 Liner Pulsemotor Controller Board Rev.A working
24612 Panasonic MSD4ACA1XX AC Servo Driver Minas Used Working
24613 Nikon 4S018-918-PRE1_I/F2 Control PCB NSR S306C Used Working
24614 Nikon NSR S306C Y_I/F2 PCB 4S018-917-1 Used Working
24615 Nikon 4S013-414-XYRLNK PCB NSR-S306C working
24616 Nikon 4S013-415-YLNK PCB NSR S306C Used Working
24617 Nikon RLIOP-I/F 4S013-358- PCB Rev. A NSR S306C Used Working
24618 Tazmo NSR18 Robot Controller Nikon 4S064-548 NSR-S306C Used Working
24619 Fuji Seiki BV-4AX0-HF Exhaust Throttle Valve Used Working
24620 Nikon NSR-S306C 4S018-929 Motor Control PCB working
24621 Nikon Omron NSR S306C Photoelectric Sensor Module Z4LC-S28 Used Working
24622 Nikon NSR S306C Elevator 4S018-649 Used Working
24623 Nikon COM-CTRL 4S018-925 PCB Used Working Surplus
24624 Nikon 4S018-928 COM-CTRL PCB working
24625 AceCo S33-2180-11X ASM Eagle Suseptor Pedestal Heater Assembly Untested As-Is
24626 Nikon Case I/F PCB 4S018-646 Used Working
24627 Fuji Electric 50 100 A Circuit Breaker Lot BU-ESB3050 BU-ESB3100 working
24628 ESC ESC-2000E Power Supply Module working
24629 ESC ESC-2000E Power Supply Module working
24630 TMP Shimadzu EI-3203MD Turbo Molecular Pump Controller 1.8K Tested Used Working
24631 Varian E17332311 Beamgate Side Liner Rev.A lot of 26 new
24632 Cymer Automatic Shutter Assembly 05-06080-04 working
24633 RECIF Technologies SPPF50A60000 Robot Arm Refurbished
24634 AMAT Applied Materials 0100-91085 Guiding Tube PCB Card XR80 Used Working
24635 SVG Silicon Valley Group 99-80336-01 Nikon Interface PCB  working
24636 Hitachi CWS36 CWS360/7 PH Rev.D Motherboard working
24637 Kyoto Denkiki KDS-30350WF DC Power Supply Used Working
24638 AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Working Surplus
24639 ENI RFC-6-01 RF Matching Network MW Controller RFC-6 Used Working
24640 Kyosan DC High Voltage Power Supply TEL Unity 2 working
24641 Oriental Motors B1583-D51KBLM AC Servo Motor Vexta with Gear Head 5GD20K-H1 Used
24642 VAT 61144-PA52-1008 Throttle Valve Working
24643 STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 5 Used
24644 VAT 87907-R1 Adaptive Pressure Controller Board  610PB-26NM-0004 Used
24645 Sanken Electric MLT-DCBOX5 Power Supply Unit MMB50U-6 Used Working
24646 TEL Tokyo Electron Unity 2 Ion Gauge Manifold Assy Granville-Phillips 274012
24647 Hitachi BBE11-01 Backplane PCB M-712E Dry Etcher Used Working
24648 TEL Tokyo Electron 2981-600310-12 DC/DC CONV. Board 2908-600310-12 ACT12 Used
24649 Asyst 8045R2-1 Teach Pendant Used Working
24650 AceCo S33-2180-11X ASM Eagle Suseptor Pedestal Heater Assembly Untested As-Is
24651 Cyber Research Power Controller 4002 2288 01 2 working
24652 305W Ebara REM01 PWM20M Turbo-Molecular Pump Controller Used Tested Working
24653 305W Ebara REM01 PWM20M Turbo-Molecular Pump Controller Used Tested Working
24654 Stainless Steel MP-617-040-610 Electronic Chemical Bath / Sink Untested As-Is
24655 TEL Tokyo Electron 1D81-000113-19 PCB Board TYB221-1/PUMP Unity II Used Working
24656 IDK Corporation VAC-2000ES RGB Video Distribution Amplifier TEL Unity II Used
24657 Edwards NGW414000 Gate Valve Assembly With Humphrey Solenoid Valve Used Working
24658 Edwards NGW073000 Pneumatic Gate Valve Assembly Humphrey Solenoid Valve Used
24659 Edwards NGW073000 Pneumatic Gate Valve Assembly Humphrey Solenoid Valve Used
24660 Eagle Microdyne 910-00018-001E NE2000plus3 PCB Card Used Working
24661 Eagle Microdyne 910-00018-001E NE2000plus3 PCB Card Used Working
24662 TEL Tokyo Electron SVME/AT-BCN/A PCB Board SVA603A-I-S Unity II Used Working
24663 Interface IBX-4101 Processor Board PCB Card G01-001[12] Used Working
24664 TEL Tokyo Electron EC81-000016-11 PCB Card TEB102-1/NASS Unity II Used Working
24665 TEL Tokyo Electron 1D81-00000097A6 ITC PCB Board TYB 212-1/RF Unity II Used
24666 TEL Tokyo Electron TEB405-1/FD2 PCB Card EC81-000007-11 Unity II Used Working
24667 MKS Instruments AS00348-02 eDiagnostics System Interface Blue Box 4000x Used
24668 Edwards D37215000 Vacuum Flash Module Used Working
24669 Edwards D37215000 Vacuum Flash Module Used Working
24670 Edwards A52844413 Pump Interface Module Used Working
24671 Edwards D37370761 iGX Accessory Module Copper Exposed Used Working
24672 Edwards 2 Channel Exhaust Purge and Pressure Regulator Control Box Used Working
24673 Edwards 2 Channel Exhaust Purge and Pressure Regulator Control Box Used Working
24674 Power-One HCAA-60W-A Power Supply Assembly 115 Volts HN24-3.6-A Untested As-Is
24675 Edwards Exhaust Assembly KF40 Outlet KF25 Inlet Lot of 4 Used Working
24676 Nikon 4S064-631 Controller IU-IOP2 NSR Series Used Working
24677 Panasonic MSD261Y82 Controller Assembly PCB Card 581B357C 581B345E TEL ACT8 Used
24678 TEL Tokyo Electron 2981-600408-12 PRA Base Board ACT8 12
24679 Tokyo Electron TEL ACT 12 Power Board 2981-600328-11 Used Working
24680 Edwards B90002041 Pneumatic Gate Valve ISO100 BGV Used Working
24681 Edwards B90002041 Pneumatic Gate Valve ISO100 BGV Used Working
24682 Edwards B90002041 Pneumatic Gate Valve ISO100 BGV Used Working
24683 Edwards B90002041 Pneumatic Gate Valve ISO100 BGV Used Working
24684 Edwards B90002011 Pneumatic Gate Valve NW50 BGV Used Working
24685 Edwards B90002011 Pneumatic Gate Valve NW50 BGV Used Working
24686 Nemic-Lambda SR35-12 DC Power Supply Used Tested Working
24687 TEL Tokyo Electron 3281-000086-14 PCB Loader Cont Mother P-8 Working
24688 TEL Tokyo Electron 3281-000148-13 LST-2 PCB Card 3208-000148-11 P-8 Used Working
24689 TEL Tokyo Electron 3281-000131-12 PCB Interlock Cont P-8I P-8 Used Working
24690 Sanyo Denki PMM-BD-57035-7 Servo Card TEL Tokyo Electron 3286-000880-1 P-8 Used
24691 Sanyo Denki PMM-BD-57035-8 Servo Card TEL Tokyo Electron 3286-001590-1 P-8 Used
24692 TEL Tokyo Electron 3281-000147-12 LST-1 PCB Card 3208-000147-11 P-8 Used Working
24693 TEL Tokyo Electron 3281-000148-12 PCB LST-2 Interface Board Working
24694 TEL Tokyo Electron 3281-000043-1A PCB Display Driver Card P-8 Used Working
24695 Edwards NGW415000 Pneumatic Gate Valve Copper Used Working
24696 Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 Used
24697 Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 Used
24698 Force Computers 810-017038-002.B I/O Control Board SYS68K/SIO-2 Used Working
24699 Lam Research 810-17012-001 Heartbeat Board Rev. F 4420 Used Working
24700 LAM Research 4420 Etcher Wafer Transport 853-012726-001-G-C222 Incomplete As-Is
24701 LAM Research 4420 852-017500-003-6-C220 CRT-Keypanel Chassis Assembly Working
24702 MKS Instruments 100997144 Isolation Angle Valve 839-13521-1-1956 Rev. D Working
24703 Edwards NGW073000 Pneumatic Gate Valve Assembly Used Working
24704 Edwards NGW073000 Pneumatic Gate Valve Assembly Used Working
24705 SVG 90S Fluid Temperature Station 99-80266 Station CPU PCB Rev. M Used
24706 SVG 90S Fluid Temperature Station 99-80266 Station CPU PCB Used Working
24707 SVG 90S Fluid Temperature Station 99-80295-01 Power Supply Safety Reset Board
24708 SVG 90S Fluid Temperature Station 99-80295-01 Power Supply Safety Reset Board Used
24709 Noah Precision 2005 Fluid Temperature Bath Rev. D SVG 90S Used Working
24710 Noah Precision 2005 Fluid Temperature Bath Rev. D SVG 90S Used Working
24711 Thermalogic 718-562 Fluid Temperature Station Board PCB SVG 121-188 Used
24712 Thermalogic 718-562 Fluid Temperature Station Board PCB SVG 121-188 Used
24713 Kyowa Instrumentation Amplifier WGA-100A Used Working
24714 Cyber Research Power Controller 4002 2288 01 2 working
24715 KLA-Tencor X-Y Scanner PWA PCB E11-13209-7 Rev. D Used Working
24716 Ultrapointe 000134 Page Scanner Control PCB Rev. 06 Used Working
24717 Ultrapointe 000276 Spectrometer Assembly Fiber Optic Reflection Box Used
24718 Ultrapointe 001003T Fast Z Controller PCB Board Rev.A CRS AB1 2000 Used Working
24719 Nikon Optistation 3 Microscope Lens Assembly With Objectives Used Working
24720 Nikon CFWN 10x/20 Ocular Lens Eyepiece Microscope Assembly GaSonics Used Working
24721 GSI Lumonics 311-15593-1 PCB KLA-Tencor Quantox CCA-10069 Used Working
24722 GSI Lumonics 311-149881 PCB Drive Board KLA-Tencor CRS-1010 Used Working
24723 Edwards A52844460 im Interface Module Used Working
24724 Edwards A52844460 im Interface Module Used Working
24725 Nikon 4S015-065 NSR System Control PCB NK-C302 Used Working
24726 Kepco 0024782 Robot Power Supply 27-053701-00 working
24727 Nikon 4SO2O-179 NSR System Control PCB EXPCNTL Used Working
24728 Nikon 4S018-225 NSR System PCB Connector Input Output Board WL3MTR3 Used Working
24729 HNL RA93-021-04/C Asher Module Aura 2000LL Used Working
24730 Varian L6281-703 Pneumatic Angle Valve NW-40-A/D Lot of 3 Used Working
24731 Varian L6281701 Pneumatic Angle Valve NW-16-A/0 Lot of 3 Used Working
24732 Omron C40H-C6DR-DE-V1 Programmable Controller SYSMAC C40H Used Working
24733 Omron C40H-C6DR-DE-V1 Programmable Controller SYSMAC C40H Used Working
24734 Omron NT20S-ST161B-V3 Interactive Display Used Working
24735 Omron PC-UBRP4A Communication PCB H-DPK Opti-Probe OP2600B Used
24736 Omron PC-UBRP4A Communication PCB DPK Opti-Probe OP2600B Used Working
24737 Omron PC-UBRP4B Communications PCB H-DPK Opti-Probe OP2600B Used Working
24738 GaSonics A95-108-02 PCB LED Control Panel Opti-Probe Rev. F Used Working
24739 GaSonics A95-108-02 PCB LED Control Panel Opti-Probe Rev. J Used Working
24740 GaSonics A95-108-02 PCB LED Interface Control Panel Opti-Prope Rev. H Used
24741 GaSonics A95-107-01-HV LED Control Panel Opti-Probe Used
24742 GaSonics A95-107-01 PCB LED Control Panel Opti-Probe Rev. F Used Working
24743 GaSonics A95-107-01 PCB LED Control Panel Opti-Probe Rev. F Used Working
24744 GaSonics A95-107-01 PCB LED Control Panel Opti-Probe Rev. H Used Working
24745 GaSonics A95-107-01 PCB LED Control Panel Opti-Probe Rev. H Used Working
24746 Therma-Wave 18-007482 Auto-Focus Bi-Cell Detector Opti-Probe OP2600B Used Working
24747 Therma-Wave 18-009252 Sensor Module Rev. A Opti-Probe OP2600B Used Working
24748 Therma-Wave 18-007478 Sensor Module Rev.D Opti-Probe OP2600B Used Working
24749 Panasonic ADKA500BPFADH Servo Driver Used Working
24750 Omron CS1G-CPU43H CPU Unit Programmable Controller SYSMAC Used Working
24751 Omron CS1G-CPU43H CPU Unit Programmable Controller SYSMAC Used Working
24752 Omron CS1G-CPU43H CPU Unit Programmable Controller SYSMAC Used Working
24753 Omron CS1G-CPU43H CPU Unit Programmable Controller SYSMAC Used Working
24754 Saginomiya SJV-1504GQ171 Motorized Solenoid Valve Lot of 2 Used Working
24755 Nikon 4S014-014-A NSR System Control PCB DCM86-L2 Used Working
24756 Panasonic Servo Drive ADKA400BPFADI working
24757 Gasonics 90-2609 Display Decoder PCB Rev. A Used Working
24758 ABI-2000 2-VC-B0084 Handling Control Unit2 Box C1SW-H16FP-2Y18 Used Working
24759 AIM Systems SECS-1/HSMS Protocol Converter SG101 Egateway Single Port Used
24760 Nikon 2S020-061 Optistation PCB 2S701-009 Used Working
24761 Nikon 2S700-583-1 Optistation PCB Control Board 2S014-036-4 Used Working
24762 Nikon 2S700-598 MCR Optistation 3 PCB Working
24763 Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-4 v2.23 OPTISTATION 3 Used
24764 Nikon 2S700-581 System Control PCB SCNT Optistation 3 Used Working
24765 Nikon 2S700-580-1 System Control PCB SCPU Optistation 3 Used Working
24766 Nikon 2S700-555 System Control PCB MST Optistation 3 Used Working
24767 Nikon 4S017-885 NSR System PCB Connector I/O Board LC-MTHR Used Working
24768 Nikon 4S018-094 NSR System PCB Connector I/O Board PPD-MTH-7B Used Working
24769 Nikon 4S017-906 NSR System PCB Connector I/O Board MTH-7RL Used Working
24770 Nikon 4S018-036 NSR System PCB Controller Input/Output Board 7BSTGMTB Used
24771 Nikon 4S017-647 NSR System Control PCB AIRCTRL Used Working
24772 Nikon 4S015-084 NSR System Control PCB BUSMON3 Used Working
24773 HP 10897-60002 Laser Axis Control PCB 10897B VME Bus Used Working
24774 Nikon 4S017-867 Interferometer ALG-IF PCB ALGLIUIF Used Working
24775 Nikon 4S018-039 NSR System PC Connector Input/Output Board 7BLIUBPB Used Working
24776 Therma-Wave 14-009631 Opti-Probe 2600B Digital Interface Rev. B Used Working
24777 Lam Research 715-130092-008 End Effector 200mm Wafer Rev. 2 New
24778 Omron PC-UBRP4B Communication PCB Opti-Probe OP2600B Used
24779 Omron PC-UBRP4B Communication PCB Opti-Probe OP2600B Used
24780 Hitachi HT94217 PCB Used Working
24781 Millipore W2501PH01 Photo Resist Pump Used Working
24782 LAM Research 715-140184-008-E1 200mm End Effector Used Working
24783 Cutler-Hammer JD3250F Circuit Breaker 250 Amp 3 Pole 600 VAC Series C Working
24784 Hitachi K8-022604-23 Gas Flow Control Box AMAT 0190-33364 Used Working
24785 Hitachi 0028 Power Monitoring Module 8-0114 M-511E Used Working
24786 LAM Research 853-012095-008 200mm End Effector New Surplus
24787 DIP Inc. 15049105 PCB Card CDN491 AMAT 0660-01879 Used Working
24788 TEL Tokyo Electron 1D81-0000-0096A9 Gas Board Avio Unity II Used Working
24789 Yaskawa DDMQF-SR2232IR System Robot Controller RC/ELC1 SRC-II 005 Working
24790 Yaskawa JAMMC-SRC03I NOP OM-2 PCB Card DF9200878-B0 Used Working
24791 Nikon 4S017-557 PCB Used Working Surplus
24792 Nikon 4S005-145 Optics PCB Set 4S007-318 Used Working
24793 Nikon 4S007-278 PCB LIB-IF Used Working
24794 Hitachi 560-5529 EVAC Control Power Supply PCB DCPS(E) S-9300 SEM Used
24795 Hitachi 560-5529 EVAC Control Power Supply PCB DCPS(E) S-9300 SEM Used
24796 Hitachi 560-5535 Stage Control Power Supply PCB DCPS(ELV) S-9300 Used
24797 Sony XC-ST30 CCD Video Camera DC-700 Camera Adaptor Used Working
24798 Hitachi 560-5509 Power Board MHVP2 PCB S-9300 Working
24799 Fujikin 041249 3-Valve Ion Gauge Manifold Assembly Used Working
24800 Avio E67920 EPD Fiber Optic Comm Module Used Working
24801 Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working
24802 Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working
24803 Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working
24804 Edwards D37215000 Vacuum Flash Module Lot of 2 Used Working
24805 Edwards NGU078000P Vacuum Pump Switch Box Enclosure 6XPDM Used Working
24806 Edwards NGU078000P Vacuum Pump Switch Box Enclosure 6XPDM Used Working
24807 Edwards A52844460 im Interface Module Used Working
24808 Edwards A52844460 im Interface Module Used Working
24809 Edwards A52844463 im Interface Module Used Working
24810 Regal FS-10S Flow Sensor FS-S Lot of 2 Used Working
24811 Hitachi 569-5505 STSense PCB S-9300 CD SEM Used Working
24812 Hitachi 569-5505 STSense PCB S-9300 CD SEM Used Working
24813 Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 5 SLM N2 Used
24814 Tylan FC-2979MEP5-M MFC Mass Flow Controller 500 SCCM CO TEL Unity II Used
24815 Unit Instruments UTS-1000HP Mass Flow Controller 10 SCCM N2 Used
24816 Sierra Instruments 822S-RFQ-2143-1 Mass Flow Meter 0-300 NLPM AIR Used
24817 Hitachi S-9300 SEM Stage Control Panel
24818 Hitachi S-9300 SEM Stage Control Panel
24819 Hitachi S-9300 SEM Stage Control Panel
24820 Hitachi S-9300 SEM Stage Control Panel
24821 Millipore FC-2910V Mass Flow Controller 10 SLM O2 Used
24822 STEC SV-P1102 Mass Flow Controller SV-P1000 Used
24823 Tylan FC-2900V Mass Flow Controller 20 SCCM He Used
24824 Hitachi 569-5512 CNCORD1 PCB S-9300 CD Scanning Electron Microscope Used
24825 Tylan FC-2900V Mass Flow Controller 200 SCCM SF6 Used
24826 Tylan FC-2900V Mass Flow Controller 15 SLM SF6 Used
24827 Tylan FC-2900V Mass Flow Controller 1 SLM He Used
24828 Tylan FM-360V Mass Flow Meter 1000 SCCM N2 Used
24829 Tylan FC-2900V Mass Flow Controller 1 SLM N2 Used
24830 Laytec EpiCurve Twin TT Curve Optical Head Used Working
24831 Hitachi 568-5602 ALARM1F2 PCB S-9300 Scanning Electron Microscope Used
24832 TEL Tokyo Electron 1D81-000009218 Unity II PCB TYB 121-1/COM Used Working
24833 TEL Tokyo Electron 1D81-000009218 Unity II PCB TYB 121-1/COM Used Working
24834 Celerity FC-2979MEP5 Mass Flow Controller 1 SLM Ar Used
24835 Millipore FC-2979MEP5 Mass Flow Controller 30 SCCM C4F8 Used
24836 Millipore FC-2979MEP5 Mass Flow Controller 20 SCCM C5F8 Used
24837 Tylan FC-2979MEP5 Mass Flow Controller 100 SCCM CF4 Used
24838 Tylan FC-2979MEP5 Mass Flow Controller 200 SCCM SF6 Used
24839 Yaskawa V0SH Robot TEL Tokyo Electron Unity 2 Etcher Used Working
24840 Hitachi 569-5520 VSCN3 PCB S-9300 Scanning Electron Microscope Used
24841 Omron NT-AL001 Link Adapter with Cable Lot of 5 Used Working
24842 Omron NT-AL001 Link Adapter with Cable Lot of 5 Used Working
24843 Hitachi 569-5526 I.L.CN PCB S-9300 Scanning Electron Microscope Used
24844 AMAT XR80 Implant CD52/A Voltage Clamp Unit 0090-91598
24845 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Used Working
24846 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used
24847 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used
24848 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used
24849 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Copper Exposed Used
24850 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Used Working
24851 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Used Working
24852 Novellus 02-130617-00N C3 Vector Spindle Assembly Rev. B No Motors Used Working
24853 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J No Motors Used Working
24854 V-Tex IRF-03055-2-01 Pneumatic Slit Valve Rollcam NW50 Used Working
24855 LAM Research 853-012350-002-J-LLFD Slit Valve LAM 4420 Etcher Used Working
24856 Hitachi 560-5505 Lens-PS PCB S-9300 Scanning Electron Microscope Used
24857 Hitachi 560-5505 Lens-PS PCB S-9300 Scanning Electron Microscope Used
24858 Gasonics A95-205-01REW-A Lamp Illuminator Power Box As-Is
24859 Gasonics A95-205-01REV-0 Lamp Illuminator Power Box Used Working
24860 Hitachi 560-5544 MHVC PCB Assembly S-9300 SEM Used Working
24861 CTI-Cryogenics 0190-27350 On-Board P300 Cryopump 8113192G001 AMAT Working Spare
24862 CTI-Cryogenics 0190-27350 On-Board P300 Cryopump 8113192G001 AMAT Working Spare
24863 CTI-Cryogenics 0190-27350 On-Board P300 Cryopump 8113192G001 AMAT Working Spare
24864 GaSonics A95-053-02 Lamp Illuminator Power Box Assembly As-Is
24865 VAT B90002011 Pneumatic Gate Valve BGV LOTO Used Working
24866 VAT B90002011 Pneumatic Gate Valve BGV LOTO Used Working
24867 VAT B90002041 Pneumatic Gate Valve BGV LOTO Used Working
24868 Kokusai Electric CX9620 Block Controller Terminal Server Unit Used Working
24869 TEL Tokyo Electron CT2985-544803-11 Gas Chamber Cover Assembly New
24870 TEL Tokyo Electron CT2985-486673-W5 Gas Chamber Cover Assembly New
24871 TEL Tokyo Electron AP9E-0318C-12 Motor I/O PCB TKB3100 ACT 12 Used
24872 TEL Tokyo Electron 2981-600418-11 I/F PCB #007 ACT 12 Used Working
24873 TEL Tokyo Electron 2981-600534-11 Connection PCB BLT/L ACT12 Used Working
24874 TEL Tokyo Electron HTE-OA1-B-11 Oven PCB Board TAB2101 ACT 12 Used Working
24875 AMAT Applied Materials 0100-00986 SDS Gas Interlock PCB Card Issue C Used
24876 AMAT Applied Materials 0100-00986 SDS Gas Interlock PCB Card Issue B Used
24877 Hitachi 545-5514 Power Supply LENS PS S-9300 Used Working
24878 Hitachi 545-5513 Power Supply DEF PS S-9300 Used Working
24879 Asyst Technologies 3200-1171-01 SMT INK I/O BD PCB Used
24880 ASM 03-189611-01 Vacuum Controls I/F Board PCB Used Working
24881 Hitachi 566-5510 PCB Card HV/LENS S-9300 Used Working
24882 Hitachi 545-5570 PCB Card Video AMP S-9300 Used Working
24883 Hitachi 545-5507 PCB Card SCAN CONT S-9300 Used Working
24884 Hitachi 545-5591 PCB Card CRTC(T) S-9300 Used Working
24885 Hitachi 566-5513 PCB Card C/G RAM S-9300 Used Working
24886 Hitachi 545-5592 PCB Card V.MEM(T) S-9300 Used Working
24887 Hitachi 545-5516 Power Supply PCB DC PS2 S-9300 Used Working
24888 Hitachi 545-5505 PCB Card RS232C S-9300 Used Working
24889 Hitachi 545-5504 PCB Card INT/PTM S-9300 Used Working
24890 Hitachi 565-5506 PCB Card MAG.ADJ. S-9300 Used Working
24891 Hitachi 566-5504 CPU PCB Card 566-5503 S-9300 Used Working
24892 Hitachi 566-5346 PCB Card Panel L/IF S-9300 Used Working
24893 Hitachi 545-5515 Power Supply PCB Assembly DC PS1 S-9300 Used Working
24894 Nemic-Lambda Control Rack Power Supplies PDM-100 EWS300 EWS15-5 EWS50-24 Used
24895 ASM 271-0011 Epsilon 3000 Control Rack ESBI 100A S10(E) EVAC Card Used
24896 ASM 271-0011 Epsilon 3000 Control Rack ESBI 100A S10(S) Stage Card Used
24897 ASM 271-0011 Epsilon 3000 Control Rack ESBI 100A RT WT Card Used
24898 ASM 271-0011 Epsilon 3000 Control Rack ESBI 100A S10 HV Card Used
24899 ASM 560-5546 Epsilon 3000 Control Rack AMHSIO Card Used Working
24900 SDS V-DSP240/SP Epsilon 3000 Control Rack Interface Card SDS-9725 Used Working
24901 Melec C-820A Stepping and Servo Motor Controller ASM Epsilon 3000 Used
24902 ASM 568-5559 Epsilon 3000 Control Rack PS Disp Card Used Working
24903 ASM 568-5559 Epsilon 3000 Control Rack PS Disp Card Used Working
24904 ASM 564-5507 Epsilon 3000 Control Rack SGVA Card Used Working
24905 ASM 564-5507 Epsilon 3000 Control Rack SGVA Card Used Working
24906 ASM 560-5502 Epsilon 3000 Control Rack NOMAFC Card used Working
24907 ASM 560-5506 Epsilon 3000 Control Rack NE-O Card Used Working
24908 ASM 560-5506 Epsilon 3000 Control Rack NE-O Card Used Working
24909 ASM 560-5512 Epsilon 3000 Control Rack NMEM Card Used Working
24910 Edwards D37215000 Vacuum Flash Module Lot of 3 Used Working
24911 AMAT 9010-00163ITL XR80 Implanter Gas Box (Single) Used Working
24912 Internix S-9300 Teach Pendant Profort 810 PF810-ASEHD4 Used Working
24913 Internix S-9300 Teach Pendant Profort 810 PF810-ASEHD4 Used Working
24914 EL-O-MATIC EDA-25/A Pneumatic Actuator Epsilon 3000 Used Working
24915 EL-O-MATIC ED002501A00B11K Pneumatic Actuator Epsilon 3000 Used Working
24916 EL-O-MATIC ED002501A00B11K Pneumatic Actuator Epsilon 3000 Used Working
24917 Micropaq CSD-410-N Varible Frequency Drive N2 Series Used Working
24918 Digital Dynamics 27-10157-00 I/O Controller Novellus Concept II Altus Used
24919 Asyst 9700-5819-01 FFU Fan Filter Controller CMS II Rev. 4 ASM Epsilon 3000 Used
24920 Schlumberger 97911337 C/H Comparator PCB ASM Epsilon 3000 Used Working
24921 Hitachi 566-5507 Display Panel PCB Centura RTP AC CAB Used
24922 Hitachi 545-5544 I/O Panel-CN PCB Centura RTP AC CAB Used
24923 Hitachi 566-5508 Panel-C PCB Centura RTP AC CAB Used Working
24924 Fuji Seiki 1012851 Throttle Valve Assembly Hitachi S-9300 SEM Used Working
24925 Hitachi 566-5531 FCM HV PCB Centura RTP AC CAB Used Working
24926 Edwards U20000617 Novellus Process Interface Module im Used Working
24927 Edwards U20000618 Novellus DLCM Interface Module im Used Working
24928 STEC SEC-4550M Mass Flow Controller 50 SLM H2 Used
24929 STEC SEC-4550M Mass Flow Controller 30 SLM HCl Used
24930 STEC SEC-4500M Mass Flow Controller 20 SLM H2 Used
24931 STEC SEC-4500M Mass Flow Controller 10 SLM He Used
24932 ABB SK 828005 3 Pole Contactor EH 800 SK 828 100-DB EH800 Used Working
24933 NSK EMB014CF1-05 Servo Driver Used Working
24934 Panasonic ADKB400BPFADH Servo Drive Used Working
24935 Panasonic ADKB400BPFADH Servo Drive Used Working
24936 Panasonic ADKB100BPFADA Servo Drive Vertron DD803V Used Working
24937 Panasonic ADKB100BPFADA Servo Drive Vertron DD803V Used Working
24938 Panasonic ADKB400BPFADA AC Servo Drive Used Working
24939 Panasonic ADKB400BPFADA AC Servo Drive Used Working
24940 Hitachi 566-5503 Stage Control Unit Assembly 568-5521 S-9300 SEM Used Working
24941 Oriental Motor UDX5107N 5-Phase Stepping Motor Amplifier Super Vexta Working
24942 Lambda LFS-52-5-44147-4 Regulated Power Supply Used Working
24943 Lambda LFS-52-5-44147-4 Regulated Power Supply Used Working
24944 Cutler-Hammer FDB-14K 3-Pole Circuit Breaker Lot of 3 Used Working
24945 Hitachi 32-01 High Voltage Control Unit S-9300 SEM Used Working
24946 Square D KAL262001127 3-Pole Thermal-Magnetic Circuit Breaker Used Working
24947 ASM AC/DC Input/Output Module Epsilon 3000 Used Working
24948 Asyst 6900-1551-01 System Controller Epsilon 3000 Used Working
24949 Progressive Technologies 12612G01 Exhaust Blower Sentry 1000 TEL ACT12 Used
24950 Saginomiya LNE-ZN20-010 Pulse Converter Used Working
24951 Saginomiya LNE-ZN20-010 Pulse Converter Used Working
24952 Saginomiya LNE-ZN20-010 Pulse Converter Used Working
24953 Daifuku LDS-3713A Power Board PCB Used Working
24954 Yaskawa CIMR-J7AA25P5 Drive Controller VS Mini J7 Used Working
24955 Yaskawa CIMR-J7AA21P5 Drive Controller VS Mini J7 Used Working
24956 ADTEC Technology AT-400A PCB 03-170137 GasSonics Aura 2000LL Used Working
24957 ADTEC Technology AT-400A PCB 27-286643-00 GasSonics Aura 2000LL Used Working
24958 Olympus UTPB 26 Optics PCB UTPA86 Hitachi S-9300 SEM Used Working
24959 Mykrolis Photo 250 Dispense Photoresist Pump Wafergard 250 Photo-250 As-Is
24960 Screen SL-2210-FC-A Network Control Used Working
24961 DSTR 4E-4H-00035 Communication Board DNS FC-3000 Used Working
24962 Oriental Motor CSD5807N-P-A11 5-Phase Driver Vexta Used Working
24963 TEL Tokyo Electron LPC-T0008A-11 Load Port CONN Board 100-T0008A-11 ACT12 Used
24964 TEL Tokyo Electron LPC-T0009A-11 Load Port DC/DC Conv.Board 100-T0009A-11 Used
24965 TEL Tokyo Electron LPC-T0007A-11 Load Port Add On Board 100-T0007A-11 ACT12 Used
24966 TEL Tokyo Electron F-T100-2 Resist Pump ACT 12 Used Working
24967 TEL Tokyo Electron TMD002-X8 Resist Buffer Tanks WIth LE Sensor Set Used
24968 TEL Tokyo Electron SH5M015T1 Resist Filter ACT 12 Lot of 2 Used Workin
24969 XP Power F4A3A4A6 DC Power Supply Synergy Series Tested Working
24970 VAT B90002031 Pneumatic Gate Valve BGV LOTO Used Working
24971 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K Used Working
24972 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K Used Working
24973 SMC VV5Q11-ULB000113 8-Port Pneumatic Manifold EX160-SDN1 Used Working
24974 AMAT Applied Materials VAL-001-1482-01 CHAMBER COMMON INTLK AMAT 0100-01108 Used
24975 Accurate Gas Control Systems AGT354D-1 Chiller Tested Working
24976 TEL Tokyo Electron AP9E-0318C-12 PCB MOTOR I/O BOARD ACT12 Assembly Used
24977 Lam Cooling Electrode Ring 715-11626-001 new
24978 ICT 932303 Ion Assembly SV300 AMAT 50409050000 SEMVision cX As-Is
24979 DNS Electronics FC-3000 Ion Pump Power Supply Used Working
24980 Hine Design Gasonics Aura 2000-LL Wafer Indexer Right Used Working
24981 Hine Design Gasonics Aura 2000-LL Wafer Indexer Left Used Working
24982 Hine Design Gasonics Aura 2000-LL Chamber Transfer Robot Assembly Used Working
24983 AMAT Applied Materials 02-351965-00 Positioning Robot Gasonics Aura 2000-LL Used
24984 Edwards A710-04-907 Dry Scroll Vacuum Pump GVSP30 Tested As-Is
24985 SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working
24986 SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working
24987 Lam Research 02-376092-00 PED Assembly Machined Finish C3VCTR Used Working
24988 MKS Instruments 253B-26373 Exhaust Throttle Valve With Heater Jacket Used
24989 MKS Instruments 253B-26373 Exhaust Throttle Valve With Heater Jacket Used
24990 SMC 0010-26749 Gate Valve Actuator 300mm Rev. 002 Copper Exposed Used
24991 KLA Tencor 373494 DISTRIB1 PCB Rev. AB SP1 Used Working
24992 Horiba STEC SEC-4600R Mass Flow Controller 100 SLM N2 Used Working
24993 Edwards Y14204000 Temperature Management System TMS Used Working
24994 Orion ETM832A-DNF-L-G2 Power Supply Pel Thermo 3000W Untested As-Is
24995 VAT 09038-PE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 Used Working
24996 VAT 09038-PE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 Used Working
24997 VAT 09038-PE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 Used Working
24998 TEL Tokyo Electron HPT-106-2 Tubephragm Pump Used Working
24999 Ebara ET600WETC04PWM-20M Turbo-Molecular Pump Control Panel Used Tested Working
25000 Ebara ET600WETC04PWM-20M Turbo-Molecular Pump Control Panel Used Tested Working
25001 Newport Z598B Driver Amplifier Z1 Z2 Z3 Used Working
25002 AMAT Applied Materials 0010-33724 High Efficiency RF Bias Match Used Working
25003 Brooks Automation 154622 Robot Controller Series 8 Novellus Used Working
25004 Kondoh Kohsya DM-007 Chemical Concentration Monitor LM-101 New
25005 Saint-Gobain PFD3 322AI Controlled Flow Pump AstiPure New
25006 Donaldson P514718 Chemical Line Filter New
25007 Donaldson P514718 Chemical Line Filter New
25008 Pall VESSEL-NPT-LOT1009 Filter Housing Used
25009 SMC US11475 Pneumatic Manifold 02-141277-00 Used Working
25010 Mitsubishi HC-MF23G1-UE Servo Motor Takamura K9005 B Gearhead Used
25011 Mitsubishi K9020 C Gearhead Used Working
25012 Takasago Thermal Engineering 4K185-881AN Line Chemical Filter New
25013 Takasago Thermal Engineering 4K185-881AN Line Chemical Filter New
25014 Mitsubishi HC-MF13BG1D-UE Servo Motor K6512 D A Used
25015 ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Used Tested Working
25016 ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Used Tested Working
25017 ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Used Tested Working
25018 Orion ETM832A-DNF-L-G3 Pel Thermo Power Supply 3000W 1-Phase 208V Used Working
25019 PTM 5154 Alcatel Hybrid Turbomolecular Pump Tested Not Working As-Is
25020 KLA-Tencor 0107339-002 Gas Purge Box Used Working
25021 Opal 50317890000 SMC-Micro Board PCB Card EK5000 Used Working
25022 Copley Controls 800-494 Servo Drive AMAT SEMVision cX Used Working
25023 Nikon NSR Stepper Controller Z-X3-A4205RU working
25024 MKS Instruments 253B-23366 Exhaust Throttle Valve AMAT 3870-03174 Used Working
25025 Kokusai Electric Heater Tape REAC/B.G. Line Temperature Controller Used Working
25026 V-Tex 172B336X48DCPR03 Slit Valve Missing Door As-Is
25027 Aera FCPI981C4VX9TAA Mass Flow Controller 22-374018-00 Used Working
25028 Nikon 4K177-955-2 RD Reticle Transfer Robot NSR Stepper Series Used Working
25029 Lowara 3SV08T007 B/S Vertical Pump E26001122 Used Working
25030 VAT 15040-PA24-0002 Pneumatic Gate Valve Used Working
25031 Agilent 10706B Plane Mirror Interferometer Cube Corner Used Working
25032 AMAT RHS-20-50-CC-SP Wafer Transfer Arm Drive Assembly SEMVision cX Used Working
25033 Opal 50312460100 ETPS Assembly AMAT Applied Materials SEMVision cX Used Working
25034 AMAT Applied Materials 0040-23526 300mm Slit Valve Door Plate New
25035 AMAT Applied Materials 0040-23526 300mm Slit Valve Door Plate New
25036 MKS Instruments 100991588 Pneumatic Angle Valve Used Working
25037 CONTEC SPC-CLR-JMC2 Rack Mount Control Computer FA-UNITF8DR New
25038 MKS Instruments 253B-26373 Exhaust Throttle Valve Copper Exposed Used Working
25039 Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Copper Used Working
25040 Novellus R02-281532-00 RF Match Source Aluminum Coil Refurbished
25041 Novellus 02-281532-00 RF Match Source Aluminum Coil Used Working
25042 V-Tex 172B336X48DCPR03 Slit Valve Missing Door As-Is
25043 Daihen RMN-20E2-V RF Auto Matcher 3D80-000143-V8 Used Working
25044 Daihen AMN-50L-V RF Auto Matcher 3D39-000004-V2 Copper Exposed As-Is
25045 Arlya Scales AR143009X9E Hazardous Enviroment Scale 300lbs Used Working
25046 Arlyn Scales AR143009X9E Hazardous Environment Scale 300lb New
25047 NSK EP0810AF8-05 Servo Driver Megatorque AMAT 0190-14344 Used Working
25048 Komatsu ABCBA00090 Temperature Controller AIC-7-12-UC-D Used Working
25049 Komatsu ABCBA00090 Temperature Controller AIC-7-12-UC-D Used Working
25050 SMC 0242-34890 Gate Valve Actuatoor Rev. 001 3020-00077 Used Working
25051  Panasonic MSMA042A113 AC Servo Motor VRSF-15C-400 Used Working
25052 MKS Instruments 100011252 Pneumatic Angle Valve Used Working
25053 Axcelis 624811 Power Supply Gemini Used Working
25054 Grandville-Phillips 354019-TD-T Micro-Ion Module 354 Lot of 2 Used Working
25055 Yaskawa ERCR-N500-A001 Robot Controller NXC100 Used Working
25056 Orion ETC902A-NSCP01-L Heat Exchanger Pel Thermo Used Working
25057 MKS Instruments 253B-26373 Exhaust Throttle Control Valve Copper Exposed Used
25058 MKS Instruments 253B-26373 Exhaust Throttle Control Valve Copper Exposed Used
25059 MKS Instruments 253B-26373 Exhaust Throttle Control Valve Copper Exposed Used
25060 MKS Instruments 253B-13264 Exhaust Throttle Control Valve Used Working
25061 MKS Instruments 253B-13264 Exhaust Throttle Control Valve Used Working
25062 AMAT Applied Materials NM0004-5866 Spindle Bellows New
25063 MKS Instruments LPJ1-40-AK-CLZXXX Pneumatic Angle Valve Used Working
25064 MKS Instruments LPJ1-40-AK-CLZXXX Pneumatic Angle Valve Used Working
25065 Sierra Instruments 824S-RFQ-2297 Mass Flow Meter 0-100 NLPH Used Working
25066 Lam Research 716-011036-001 Ring Filler Lower Rev. G  New
25067 Nor-Cal 090409-22 In-Line Pneumatic Valve Used Working
25068 KLK Inc A-10 Ergo Flipper Right and Left Lot of 3 As-Is
25069 Lam Research 853-012350-002-J-LLFDR Outer Gate Assembly No Gate Untested Used
25070 Lam Research 853-012350-002-F-230S Outer Gate Assembly Untested Used Working
25071 OEM-25B-01 ENI OEM-25B-01 RF Generator Rev. D Used Tested Working
25072 Parker 1396.020 Stepping Motor Drive Digiplan AM5 Used Working
25073 PDX 2500 AE Advanced Energy 27-293721-00 MF Generator Used Tested Working
25074  PDX 2500 AE Advanced Energy R27-293721-00 MF Generator 3156012-201 Used Tested
25075 Sanyo Denki PMDPA1C3P20 PM Driver Type C AMAT 1080-01276 Used Working
25076 Sanritz Automation SVME/AT-BCN/V PCB Card SVA603V-1-S Tel Unty II Used Working
25077 Sanritz Automation SVME/AT-BCN/V PCB Card SVA603V-1-S Tel Unty II Used Working
25078 TEL Tokyo Electron TEB-302-1/BP PCB Board EC81-000010-11 Unity II Used Working
25079 Orion Machinery ETC902-NSCP-L2 Heat Exchanger Pel Thermo New
25080 Edwards SDT Gas Block (KROM) Manifold VAS1-0/10R/NQ DG17VCT8-SWG New
25081 Lowara SV206N07 Centrifugal Pump Edwards Y11601294 Used Working
25082 Fostec 8300.2 Fiber Optic Light Source 8375 Lot of 2 Untested As-Is
25083 Air Products 809-4703836044 Gas Cabinet NP Pigtail GG500 PGTL DP New
25084 Recif Technologies TSSR02A10000 Tool Checking Laser Jig NS-5/P2 As-Is
25085 Ga Sonics A95-107-01 LED and Interface Control Panel Used Working
25086 GaSonics A95-107-01 LED and Interface Control Panel Rev. J Used Working
25087 Ga Sonics A95-107-01 LED and Interface Control Panel Rev. L Used Working
25088 GaSonics A95-107-01-R-D LED and Interface Control Panel Used Working
25089 TEL Tokyo Electron TEB108-12/SIO PCB Card EC80-000117-32 Used Working
25090 VAT 26324-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used
25091 VAT 26328-KA11-1002 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used
25092 VAT 62034-KA18-1005 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used
25093 VAT 26334-KA11-1001 Pneumatic Angle Valve TEL Tokyo Electron UnityII Used
25094 RECIF Technologies 233435648 Standard Antenna FOI2M662IJ Copper Used Working
25095 Vicor VI-NU4-EM Switching Power Supply FlatPAC Used Working
25096 Oriental Motor PB206-401 Dynamic Brake Motor 2GN6K Gear Head Lot of 3 Used
25097 Hitachi HT98822 PCB Board BD14 Ver A Used Working
25098 Oriental Motor P4554-NA-A15 5-Phase Stepping Motor Vexta Used Working
25099 Phasetronics P1050-X2-60 Power Control System Lot of 2 Used Working
25100 Aera FC-D985CT-BH Mass Flow Controller FC-D985c 200 CCM SF6 Used Working
25101 Aera FC-D985CT-BH Mass Flow Controller FC-D985c 400 SCCM NF3(0.479) Used Working
25102 Aera FC-D985CT-BH Mass Flow Controller FC-D985c 300 SCCM CI2(0.837) Used Working
25103 Aera FC-D985CT-BH Mass Flow Controller FC-D985C 200 SCCM HBr Used
25104 CMC Cleveland Motor Controls IC-1115 Servo Motor MTR0059 Used Working
25105 Magmotor 720101152 Servo Motor MTR0059 Used Working
25106 Panasonic AMKA400B10LAK AC Servo Motor Used Working
25107 Mitsubishi HC-MF23G1-UE Servo motor Takamura K9005 Gearhead Used – 141654371736
25108 Sanyo Denki 103H8582-80E7 Stepping Motor StepSyn Used Working
25109 Mitutoyo Corporation PSU15 Power Suppy Unit Used Working
25110 Furon 1103307 Solenoid Valve DV2-144NCD2 Reseller Lot of 8 Used Working
25111 GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. J A89-013-01 As-Is
25112 Asyst 853-4290-101 Load Lock Elevator GaSonics 94-1119 Used Working
25113 Hine Design 94-1119 Load Lock Elevator Used Working
25114 Control Concepts 1025-24-40-4/20MA-SP12 SCR Power Controller Used Working
25115 Diavac Limited LCAV-40HKT Pneumatic Angle Valve Used Working
25116 Kensington Laboratories 77-4000-6108-00 Z Axis Robot PCB 7-0003-02 v10.45 Used
25117 Kensington Laboratories 77-4000-6107-00 Waist Axis PCB Card ARM/WST/TRSO Used
25118 Kensington Laboratories 77-4000-6043-01 5-Axis Motherboard PCB Card Used Working
25119 STEC SEC-4500M Mass Flow Controller AMAT 3030-05704 20 SLM N2 Used
25120 STEC SEC-4500M Mass Flow Controller AMAT 3030-02330 10 SLM O2 Used
25121 STEC SEC-4500M Mass Flow Controller H2 10SLM Used Working
25122 STEC SEC-4500M Mass Flow Controller O2 10SLM Used Working
25123 Nikon 4K177-955-1 RD Reticle Transfer Robot with End Effector NSR-S204B Used
25124 STEC SEC-4550MC-SUC Mass Flow Controller N20 20SLM Used Working
25125 TEL Tokyo Electron 012-004123 Slit Valve Unity II Used Working
25126 Tylan FC-2979MEP5 Mass Flow Controller 200 SCCM SF6 Used Working
25127 Tylan FC-2979MEP5 Mass Flow Controller 1 SLPM AR Used Working
25128 Tokyo Electron TEL Unity II Slit Valve 012-004124 Used Working
25129 Edwards NRY191000 Eason Control Box Used Working
25130 BOC Edwards QDP40 Dry Vacuum Pump Used Tested As-Is
25131 Nikon RRW-07 V4.2 Power Controller NSR Stepper Used Working
25132 Aera TC FC-D985CT-BH Mass Flow Controller FC-D985c 300 CCM C12(0.856) Used
25133 Toshiba VFS7-2022UP (2) Transister Inverter S7-01S Untested Used As-1s
25134 Genmark HBP14SD Back Plane PCB Ver 6.0 Used Working
25135 Ultratech 03-20-02032-02 Power Distribution PCB Card Used Working
25136 Ultratech 03-15-04930-02 GEN I/O #2 Drive Breakout Transition PCB Card Used
25137 Ultratech 03-15-06698-02 General I/O Breakout 3 PCB Card Used Working
25138 Nikon Z-X3-B2405 Stepper Controller E0R05-9437A Used Working
25139 Ultratech 03-15-00302-02 TRNSN Driver Stepper WAS PCB Card Rev. E Used Working
25140 Ultratech 03-20-02040-02 Focus Driver Transition PCB Card Rev. G Used Working
25141 Ultratech 03-20-01130-06 Stage Motor Driver Transition PCB Right X Stage Used
25142 Ultratech 03-20-01130-08 Stage Motor Driver Transition PCB Right Y Stage Used
25143 Ultratech 03-20-01130-05 Stage Motor Driver Transition PCB Left X Stage Used
25144 Ultratech 03-20-01130-07 Stage Motor Driver Transition PCB Left Y Stage Used
25145 Ultratech 03-18-03946 Keyboard and Mouse Switch PCB Card Used Working
25146 Ultratech 03-20-01124-04 BD Transition Stage ASH PCB Card Rev. H Used Working
25147 Ultratech 03-20-01299-02 BD Transition Alignment ASH PCB Card Rev. D Used
25148 Ultratech 03-20-01961 5 Axis ASH Focus Transition PCB Card Rev. F Used Working
25149 Ultratech 03-15-02702 Transition Step Motor Cooler ASH PCB Card Used
25150 Ultratech 03-20-01705-04 General I/O Transition PCB Card Rev. J Used Working
25151 Ultratech 03-15-00308-02 Stepper WAS Transition ASH PCB Card Used Working
25152 Tylan FC-2979MEP5 Mass Flow Controller 100 SCCM CF4 Used Working
25153 Tylan FC-2979MEP5-M MFC Mass Flow Controller 500 SCCM CO TEL Unity II Used
25154 Millipore FC-2979MEP5 Mass Flow Controller 5 SCCM O2 Used Working
25155 Ultratech 03-20-01124 BD Transition Stage ASH PCB Card Rev. H Used Working
25156 Ultratech 03-20-01705-02 General I/O Transition PCB Card Rev. J Used Working
25157 Ultratech 03-20-01705-06 General I/O Transition PCB Card Rev. A Used Working
25158 Ultratech 03-15-02066-02 6-Axis Laser Transition Used Working
25159 STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Used Working
25160 Yaskawa V2SB End Effector TEL Tokyo Electron UnityII Used Working
25161 Yaskawa V0SH 200mm Prealigner Chamber TEL Tokyo Electron UnityII As-Is
25162 Recif SPP300F05-A3500 Wafer Handling Motor Transmission Used Working
25163 AMAT Applied Materials 0010-09340 CVD Susceptor Lift Used As-Is
25164 Nikon KAB110001360-0 Wafer Handler Chuck Optistation Used Working
25165 Arch Genstream 29B-0147 User Board PCB Rev. D Used Working
25166 Semitool 14831A-1 STD BIT Output PCB Card Used Working
25167 Electroglas 251411-002 CPU 020 PCB Card Rev. J/R Used Working
25168 PRI Automation BM18251-RD PCB Board Used Working
25169 PRI Automation BM18251/H PCB Board PB18251 Used Working
25170 SVG Silicon Valley Group 80214B201 TEMP Sensor PCB 80214B Used Working
25171 AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. F Used Working
25172 AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. G Used Working
25173 Semitol 14831A-1YN STD BIT Output PCB Board Used Working
25174 AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. E Used Working
25175 Electroglas 249914-002 Motherboard POWER/DAR PCB Board Rev. N Used Working
25176 Melco Technorex Optical Transmission EQ-131AD-D working
25177 Electroglas 249914-002 Motherboard POWER/DAR PCB Board Rev. E Used Working
25178 Electroglas 244288-001 Tester Interface PCB Board Rev. AD Used Working
25179 PRI Automation BM19932RA PCB Board PB19932 Used Working
25180 Electroglas 250047-001 ILLUM & OPT CONT II PCB Board Used Working
25181 PRI Automation BM24480L01R PCB Board PB12345 Used Working
25182 Electroglas 251411-002 CPU 020 PCB Card Rev. D Used Working
25183 PRI Automation BM12901RD PCB Board PB12901 Used Working
25184 Electroglas 247219-002 Prealign Subsystem PCB Board Rev. P Used Working
25185 Foxbro B1279EN PCB Board Assembly 02-187742-01 Used Working
25186 Electroglas 247225-001 XFR Arm Subsystem PCB Card Rev. J/T Used Working
25187 Electroglas 244288-001 Tester Interface PCB Board Rev. AB/AF Used Working
25188 Electroglas 244736-001 Theta Z Inker Drivers PCB Board Rev. G Used Working
25189 AMAT Applied Materials 0100-90790I Wafer Arm Position Sensor Used Working
25190 Electroglas 253491-002 Communication Board PCB Rev. E Used Working
25191 SVG Silicon Valley Group 859-0866-003 Interface PCB Board Rev. B Used Working
25192 GaSonics 90-2570 Controller Board PCB Rev. A FabMotion Used Working
25193 AMAT Applied Materials 0100-09058 Operator Control Panel Board PCB Rev. G Used
25194 Electroglas 247439-001 Solenoid I/O Interface PCB Board Rev. D Used Working
25195 Electroglas 246713-001 PL TEMP Logic Mux PCB Board Rev. M Used Working
25196 GaSonics 90-2650 Controller Board PCB Rev. A Used Working
25197 Electroglas 250259-001 CRT Controller Lamp Driver PCB Card Rev. C Used Working
25198 AMAT Applied Materials 0100-76088 Centerfinder Sensor Board 5 6 8 Inch PCB Used
25199 Ultratech Stepper 03-20-00778 Slave Processor Display PCB Board New
25200 Tohan TD-102 Servo Drive 5TH56D-253 Used Working
25201 Electroglas 250265-001 Operator Console II Assembly PCB Rev. E Used Working
25202 PRI Automation BM24480L01RA PCB Board PB12345 Rev. B Used Working
25203 PRI Automation BM2347SL11/AB PCB Board PC23475 Used Working
25204 Electroglas 251411-002 CPU 020 PCB Card Rev. F Used Working
25205 Electroglas 114824-002 28V Solenoid Drivers PCB Card Rev. B Used Working
25206 Robitech 858-8164-001 Reticle Handling Robitech Interface PCB Card Used Working
25207 SVG Silicon Valley Group 99-8039501 U5 Ushio Interface PCB Used Working
25208 Lam Research 810-017004-001 Solenoid Interlock Board Rev. G Used Working
25209 Electroglas 247222-002 Linear Motor Subsystem Assembly PCB Rev. L Used Working
25210 Lam Research 853-012350-002-J Outer Gate Assembly Used Working
25211 TEL Tokyo Electron 1D81-000120 PCB Board TYB419-1/PC Unity II Missing Tabs Used
25212 TEL Tokyo Electron 1D81-000120 PCB Board TYB419-1/PC Unity II Used Working
25213 HP Hewlett-Packard 16320-66551 Pin Card PCB 033530017 HP4062 Lot of 10 Used
25214 HP Hewlett-Packard 16320-66551 Pin Card PCB 033530017 HP4062 Used Working
25215 Hamamatsu HC125-04 PMT Detector Assembly Photo Multiplier Lot of 2 As-Is
25216 Hamamatsu HC125-04 PMT Detector Assembly Photo Multiplier Used Working
25217 Hamamatsu HC125-04 PMT Detector Assembly Photo Multiplier Used Working
25218 Sanyo Denki PM-UDPD2A01-30 PM Driver Used Working
25219 Nikon 4S782-766 Stepper Controller Driver Used Working
25220 Kyoto Denkiki KDS-30350W DC Power Supply Used Untested As-Is
25221 PRI Automation BM24600RC Safety Left PCB Board PB24600 Used Working
25222 PRI Automation BM24600RA Safety Left PCB Board PB2460 Used Working
25223 PRI Automation BM119932 PCB Board PB19932 Used Working
25224 Varian 101866001 ITs Rev 7 Interface Used Working
25225 Copley Controls 215 Servo-Amp Control S/S 372-36314 Used Working
25226 Schott-Fostec 207502 Fiber Optic Light Source DCRII Used Working
25227 Gems Sensors 99-41066-01 Liquid Level Sensor 233154917 Used Working
25228 Electroglas 8767-1/001 Camera Module Used Working
25229 Robitech 980-4825 Pneumatic Control Valve Module PCB Lot of 3 Used Working
25230 Thermalogic 121-336 PCB Card RA2015-04 Used Working
25231 Thermalogic 121-201-F PCB Card RA2015-03 Used Working
25232 CKD AMDS00-8BUS Pneumatic Pressure Regulating Valve Assembly Lot of 2 Used
25233 Hokuyo Automatic DM-HB1 Lot of 2 Transmission Distance Device Used Working
25234 Granville-Phillips 352001 Gauge Controller Series 352 Rev. 12 Used Working
25235 PRI Automation BM15506L02 PCB Board PB10556 BM15506L02RA Used Working
25236 PRI Automation BM24482 D PCB Board PB24482 BM24482/D Used Working
25237 PRI Automation BM24482 PCB Board PB24482 BM24482R/D Used Working
25238 SVG Silicon Valley Group 99-80207-02 Spin Station Expansion PCB Rev. C Used
25239 PRI Automation BM06241 PCB Board Assembly PB020807 Used Working
25240 Thermalogic 121-201X PCB Card RAZ015-03 Used Working
25241 SVG Silicon Valley Group 99-80207-02 Spin Station Expansion PCB Rev. E Used
25242 Pittman GMT9413H903 Gear Motor NM0001-2453 Ametek Used Working
25243 SVG Silicon Valley Group 99-80170-01 Vacuum Sensor PCB Board Used Working
25244 Verteq ST800-CC50-MC2PX-SCP Amplifier Unit AE 3156023-000 Untested As-Is
25245 Berkeley Process Control USA2-11-28-UR Multi-Axis Servo Pump Amp Damaged As-Is
25246 Berkeley Process Control USA2-11-28-UR Multi-Axis Servo Pump Amp Used Working
25247 PRI Automation BM19932/C PCB Board PB19932 Used Working
25248 SVG Silicon Valley Group 80184C Vacuum Sensor PCB Board Used Working
25249 GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. A Used Working
25250 GaSonics A90-031-01 PLASMA/LAMP Failure Detection PCB Rev. C Used Working
25251 PRI Automation BM24600 Safety Left PCB Board PB24600 Used Working
25252 PRI Automation BM18251R/D PCB Board PB18251 Used Working
25253 Electroglas 254921-001 Prealign Module Interface PCB Board Rev. B Used Working
25254 Electroglas 246713-001 PL TEMP Logic Mux PCB Board Rev. K Used Working
25255 Electroglas 247012-001 LIN MTR INTFC PCB Board Rev. E Used Working
25256 Fusion Semiconductor 249181 Dual Cassette Handler PCB Card Rev. E Used Working
25257 Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. D Used Working
25258 Lam Research 810-17016-1 Stepper Motor Driver PCB Card Rev. C Used Working
25259 Furon 1103307 Solenoid Valve DVZ-144NCD2
25260 CMC Cleaveland Machine Controls JGHT-4921-1 PM Servo Motor 34-611-778-4132 Used
25261 Inductive Componets 1C-10115-1 Servo Motor MTR0059 BM15086 Used Working
25262 Lam Research 853-012550-001-H-LEAN Wafer Shuttle Assembly Used Working
25263 Berkeley Process Control ASM121-A-0/B-22-NB/10 Servo Motor Used Working
25264 NTI VOPEX-2KV-A 2-Port Video Switching Module Used Working
25265 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K Not Working As-Is
25266 Reliance Motion Control 540-037-0765 Stepping Motor E540 Used Working
25267 Reliance Motion Control 240-035-0765 Stepping Motor E240 Rev.C Used Working
25268 Reliance Motion Control 240-035-0765 Stepping Motor 112-144 Used Working
25269 Maxon Motor 44.060.000-00.09-071 Shuttle Motor 112-087 Used Working
25270 Millipore WCDS000F4 Photoresist Dispense Pre-Dispense Controller Working Spare
25271 Millipore WCDS000F4 Photoresist Dispense Pre-Dispense Controller Working Spare
25272 Pall LDFN05F00206E51 Kleen-change Filter EMFLON-PF New
25273 Lambda LFS-45A-24 Regulated Power Supply Untested Used Working
25274 Festo 0010-05311 Megasonic Box 300mm 8611/13015611 Used Working
25275 AMAT Applied Materials 0010-09181 DC Power Supply Precision 5000 Used Working
25276 M.E.C. Tech MEC30008-1047 Spin Chuck Assembly 200 MM Rev. A
25277 Phasetronics P1038A Phase Angle Lamp Drive AMAT 0015-09091 Used Working
25278 Ashcroft XLDP Differential Pressure Transducer Assembly SVG 90S DUV Used Working
25279 Ashcroft XLDP Differential Pressure Transducer Assembly SVG 90S DUV Used Working
25280 Pro-Face GP37W2-BG41-24v Digital Graphic Panel Used Working
25281 TEL Trias 3D80-000252-V2 AC Servo Motor Power Unit Used Working
25282 Cole-Parmer 7553-30 Masterflex Pump Motor with Double Head Used Working
25283 Cole-Parmer 7553-30 Masterflex Pump with Peristalic Pump Head Used Working
25284 Cole-Parmer 7553-30 Masterflex Pump Motor with Double Head Used Working
25285 FABCO-AIR FPS-1064/SVG#203-122 Pneumatic Cylinder The Pancake Line Used Working
25286 Maxon 44.025.000-00.09-084 DC Motor Swiss Made Used Working
25287 ELPAC BFS 200-48 Power System Assembly Used Working
25288 Mykrolis GHPV02P01 Gaurdian HPX 20″ Chemlock Cartridge New
25289 Hitachi LDBM Stepper Motor S-9300 SEM Used Working
25290 Shimadzu EI-3203MD Turbomolecular Pump Controller 1.8K TMP Motor Fault As-Is
25291 AMAT Applied Materials 0200-03259 Slotted Preheat Ring .15 T4K 300mm EP New
25292 Ultratech Stepper 04-15-01721 Alignment Shutter Assembly Rev. J Used Working
25293 VAT B90002031 Pneumatic Gate Valve BGV LOTO Copper Damaged Connector Used As-Is
25294 Hitachi RYY-1 PCB Board M-712E Dry Etch Used Working
25295 Hitachi DT-01 PCB Board Rev. A Hitachi M-712E Dry Etcher Used Working
25296 Hitachi PROCESS 2 CONTROLLER Module BBPS-11 M-712E Dry Etcher Used Working
25297 Hitachi PROCESS 1 CONTROLLER Module BBPS-11 M-712E Dry Etcher Used Working
25298 Hitachi PUMP 2 CONTROLLER Module BBPS-11 M-712E Dry Etcher Used Working
25299 Hitachi Pump 1 Controller Module BBPS-11 M-712E Dry Etcher Used Working
25300 Hitachi DC Power Supply UNIT 3 M-712E Dry Etcher Used Working
25301 Hitachi DC Power Supply Unit 2 M-712E Dry Etcher Used Working
25302 Pearl Kogyo ZDK-916L2C-P EC2 TUNE CONTROLLER Used Working
25303 Pearl Kogyo APU500 EC2 Monitor Unit Used Working
25304 Pearl Kogyo APU500 EC1 Monitor Unit Used Untested As-Is
25305 ADTEC AX-2000EUII-N RF Generator 27-286651-00 Used Tested RF Trip Fault As-Is
25306 ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Tested As-Is
25307 Edwards C5118 High Vacuum Tube Tee ISO100 ISO-K NW25 Used Working
25308 Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Copper Used
25309 VAT 26332-KA71-1001 Angle Isolation Valve Used Working
25310 VAT 26332-KA11-1001 Angle Isolation Valve Copper Exposed Used Working
25311 TMP Shimadzu TMP-3203LMC-K1 Turbo Molecular Used Untested As-Is
25312 UNIT Instruments UFC-8165 Mass Flow Controller AMAT 3030-10989 100cc O2 Used
25313 Celerity UFC-8165 Mass Flow Controller AMAT 0190-24834 1L H2 Used Working
25314 UNIT Instruments UFC-8165 Mass Flow Controller AMAT 3030-10999 50cc Ar Used
25315 Celerity UFC-8165 Mass Flow Controller AMAT 0190-24835 600cc He Used Working
25316 Celerity UFC-8165 Mass Flow Controller AMAT 0190-24838 50cc Ar Used Working
25317 DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. A 0190-08034 Used Tested
25318 DCG-2007 ENI DC22S-7022000010A Plasma Generator Rev. F 0190-08034 Used Tested
25319 Rite Track 38464-01 UNGR Centering Device Arm 233154533 Lot of 16 Used
25320 ASML 8790135 Unload Arm Litho DSQ DST 233448878 Used Working
25321 Micro Switch FE7D-RPS7-M Optical Sensor Lotf of 2 Used Working
25322 B&G Precision 56-010559 Overcenter SMIF OP Paddle Used Working
25323 Novellus 0275-727375 Wand Paddle Assembly Rev. C Used Working
25324 Nor-Cal 22-2873 Adaptive Pressure Controller Intellisys Untested As-Is
25325 Brooks Automation T1102-01-290-14 Cryotiger Compressor Polycold Untested As-Is
25326 Kawasaki 50818-1176 Robot Select Teach Repeat Control Box 50977-3262 Used
25327 TMP Shimadzu EI-203MD Turbo Molecular Pump Controller Untested Damaged As-Is
25328 Shimadzu EI-3203MD Turbomolecular Pump Controller 2.0K TMP Tested Used Working
25329 Swagelok SS-4BMG Metering Bellow-Sealed Valve Lot of 3 Used Working
25330 Pentagon Technologies 33-3054-58 Chuck Ring Shield API-433 Anelva PVD Used
25331 Pentagon Technologies API-604 Holder Ring Anelva PVD New
25332 Pentagon Technologies 233-5050-23 Chuck Ring Anelva PVD New
25333 Granville-Phillps 275262 Vacuum Convectron Gauge 275 Lot of 2 Used Working
25334 Ultrapointe 000678T Lon Motor Driver PCB 00045 Used Working
25335 Ultrapointe 001002 Lon Motor Driver PCB 0045 Used Working
25336 Ultrapointe 00678T Lon Motor Driver PCB 0045 Used Working
25337 Ultrapointe 001050T Lon Motor Driver PCB 00045 Used Working
25338 MKS Instruments 100319605 High Vacuum Adapter Tee Lot of 2 Used Working
25339 Particle Measuring Systems FiberVac Measuring Module Untested
25340 Tokyo Keiso SFC-700 Ultrasonic Flowmeter Used Working
25341 Novellus A95-056-01 Endpoint Detector Used Working
25342 Edwards High Vacuum Adapter Elbow Tee ISO100 ISO-K to NW50 NW40 9.25″ Used
25343 Keyence LV-21A Digital Laser Sensor Lot of 4 Used Working
25344 Edwards D37370596 iQDP Extension Cable 4 Pin XLR 15M Lot of 2 Used Working
25345 Adaptec AHA-1522A SCS1 Controller Card 555300-01 A Opti-Probe 2600B Used Working
25346 Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Lot of 6 Used
25347 Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Lot of 6 Used
25348 Novellus 15-155331-00 ARM Dial Indicator MTG VCTR New
25349 SVG Silicon Valley Group 99-80170-01 Vacuum Sensor PCB Rev. F Used Working
25350 SVG Silicon Valley 80214B301 Temperature Sensor PCB 80214B Used Working
25351 SVG Silicon Valley Group 80214B101 Temperature Sensor PCB 80214B Used Working
25352 Hitachi 568-5569 Laser to LIF PCB NPSDAMP Used Working
25353 Varian L6181-701 Pneumatic Angle Bellows Valve NW-16-A/O Used Working
25354 Air Products E11-7-N145B Manual High Flow Regulator Used Working
25355 GO Inc. BP8-108939 Manual High Flow Back Pressure Regulator Used Working
25356 Novellus A95-060-01 Endpoint Detector Rev. D Used Working
25357 AMAT Applied Materials 0050-81930 HE Producer Split Weldment Lot of 3 New
25358 Yaskawa CIMR-J7AAB0P4 Inverter 200V 0.4kW VS mini J7 Used Working
25359 Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Used Working
25360 Swagelok SS-45S8 40 Series Manual Ball Valve Lot of 2 Used Working
25361 Kyosan Electric HPK10ZI-TE1 DC Power Supply Used Working
25362 Pearl Kogyo F-2000-0.1 RF Filter Used Working
25363 Pearl Kogyo CF-500-400K(CE) RF Power Supply Hitachi M-712E Used Working
25364 Pearl Kogyo LP-2000-800KBX RF Power Generator Hitachi M-712E Used Working
25365 ESDP 30 Edwards ESDP30A Dry Scroll Pump Used Tested Not Working As-Is
25366 SVG Silicon Valley Group 80166F Station CPU PCB Board Used Working
25367 SVG Silicon Valley Group 80166F-E-01 Station CPU PCB Board Used Working
25368 Greene Tweed 5641A1299SSE38 Novellus Gate Used Working
25369 MDA Scientific 1283-1039 Lifeline Gas Monitor Extractive Used Working
25370 UltraPoint 001004 White Light Power Supply Used Working
25371 UltraPoint 001004 White Light Power Supply Used Working
25372 Ansul 442R Agent Release Alarm Panel Used Working
25373 Ansul 442R Agent Release Alarm Panel Used Working
25374 Daihen HFA-30A-V RF Match TEL Tokyo Electron 3D80-000158-V3 Used Working
25375 Premium NP-0588 AC/DC Power Supply ASML 4022.471.84293 Used Working
25376 Premium NP-0588 AC/DC Power Supply ASML 4022.471.84293 Used Working
25377 Premium 0588.2 AC/DC Power Supply ASML 4022.471.84295 Used Working
25378 Horiba STEC SEF-8240FHM Digital Mass Flow Device VC-1420 Used Working
25379 Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-10059 Used Working
25380 MKS Instruments 622A12TBE Baratron Pressure Transducer Used Tested Working
25381 MKS Instruments 622A12TBE Baratron Pressure Transducer Used Tested Working
25382 Tylan General CDLD-21S06 Pressure Gauge Used Tested Working
25383 Leybold 100P Dry Vacuum Pump As-Is
25384 MDC High Vacuum Adapter Tube Flange ISO100 ISO-F to QF100 3.5″ Used Working
25385 Edwards B58120000 DN100 ISO Vibration Isolator EXT Pump Used Working
25386 Edwards B58120000 DN100 ISO Vibration Isolator EXT Pump Used Working
25387 MKS Instruments LM69 Spectra Vacuum Controller Used Working
25388 Edwards Vibration Isolator Platform STP Turbomolecular Pump Used Working
25389 TMU Pfeiffer TMU 071-003 P Turbomolecular Pump Assebmly PM C01 692A Used Working
25390 GVSP 30 Edwards A71004907XS Dry Scroll Vacuum Pump Copper GVSP30 Tested As-Is
25391 Sanyo Denki 103H8582-80XE42 Stepping Motor StepSyn Used Working
25392 Sanyo Denki 103H8582-80XE42 Stepping Motor StepSyn Used Working
25393 Cleanpart 500136661 TPU Kronis Head Assembly Gas Abatement Used Working
25394 Iwaki SB-5SV-L-04 Bellows Pump Used Working
25395 Schlumberger Technologies 9615211 Clock Buffer CNB PCB Used Working
25396 Berkeley Process Control 100-000-721-01 Servo Motor 15-152134-02N Used Working
25397 Asyst 4002-6633-01 Spartan EFEM Wafer Mapper Shuttle FRID Rev. 002 Used Working
25398 Pfeiffer PK T05 100 Dry Vacuum Pump MVP 015-2 Used Tested Working
25399 GaSonic A89-013-01 LED and Interface PCB Control Panel Reseller Lot of 11 As-Is
25400 Acurate Gas Control Systems AGT3354D-1 Chiller Siezed Pump Used Tested As-Is
25401 TMP Shimadzu EI-3203MD Turbo Molecular Pump Controller 1.8K Damaged Ports As-Is
25402 Novelus 02-149841-02 C3 Vector 300mm Assembly 15-156474-02 Used Working
25403 Novellus 02-149841-01N C3 Vector 300mm Assembly 15-156474 Used Working
25404 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Used Working
25405 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used
25406 Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Exposed Used
25407 Screen SL-4250-Z Network Communication Module Used Working
25408 RKC Instruments DSX-BOL-11-33A Temperature Controller PCB DSX-BOL Used Working
25409 RKC Instruments DSX-BOL-11-33A Temperature Controller PCB DSX-BOL Used Working
25410 AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Board Used Working
25411 DIP CDN391-20 Digital In/Out PCB Card AMAT Applied Materials 0190-07750 Used
25412 AMAT Applied Materials 0100-20458 PVD/IMP Chamber Interlock Rev. 12 Used Working
25413 Hitachi BBEZ-01 Interconnect PCB Board Used Working
25414 Sanyo Denki PV2A015SMT1P50-01 Servo Drive AMAT 0190-12138 Used Working
25415 SVG Lithography Systems 879-4027-001 Wafer Handling Robot Rev. F for parts As-Is
25416 SVG Lithography Systems 879-0427-002 Wafer Handling Robot Rev. F for parts As-Is
25417 SVG Lithography Systems 879-9075-011 Wafer Handling Robot Rev. A for parts As-Is
25418 Omron NT-AL001 Link Adapter Reseller Lot of 2 Used Working
25419 V-Tex 172B336X48DCPR03 Pneumatic Slit Valve Rollcam Used Working
25420 Lambda EMI 00500003 Power Supply 5kW SX502S-5KV-NEG-DC-AIR-1370 Untested As-Is
25421 Iwaki CMD-101 Magnet Pump Baldor Motor 350255R05261 Novellus 19-116970-00 As-Is
25422 Baldor 35025SR052G1 Inverter Drive Pump Motor 1/3 HP Used Working
25423 Edwards C5169 High Vacuum Adapter ISO63 to ISO100 ISO-K NW25 iQDP Copper Used
25424 Edwards C5118 High Vacuum Tube Tee ISO100 ISO-K NW25 Copper Used Working
25425 SVG Lithography Systems 879-0427-001 Wafer Handling Robot Rev. E for parts As-Is
25426 Edwards C5371 High Vacuum Adaptor Tee ISO80 to ISO100 ISO-K NW25 Used Working
25427 Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Used Working
25428 Edwards C5213 High Vacuum Tube Tee ISO100 ISO-K NW25 Copper Exposed Used Working
25429 Edwards C10009049 ISO100 ISO-K Flange Blank-Off MKS SST100760110 Lot of 5 Used
25430 SVG Lithography Systems 851-9075-007 Wafer Handling Robot Rev. B for parts As-Is
25431 Fuji Seiki 1010728 Pneumatic Throttle Valve Used Working
25432 Oriental Motor UDK5114VN 5-Phase Servo Driver Super Vexta Used Working
25433 Yaskawa Servo Controller TEL Unity 2 BC930310 working
25434 Hitachi 568-5566 Alarm IF PCB Board S-9300 CD Scanning Electron Used
25435 Hitachi 568-5535 VSCN PCB Board S-9300 CD Scanning Electron Microscope Used
25436 Hitachi 568-5534 EVCN PCB Board S-9300 CD Scanning Electron Microscope Used
25437 Anelva 954-770 Vacuum Pressure Sensor Switch Hitachi S-9300 CD SEM Used Working
25438 Anelva 954-770 Vacuum Pressure Sensor Switch Hitachi S-9300 CD SEM Used Working
25439 Fuji Seiki 1100204 Pneumatic Vacuum Diaphram Right Angle Valve Used Working
25440 Hitachi Ion Pump Power Supply with Backup Battery S-9300 CD SEM Used Working
25441 Sony DC-77RR CCD Camera Adaptor Assembly Hitachi S-9300 CD SEM Used Working
25442 Hitachi Robot Stage Power Supply Assembly EWS150-24 S-9300 CD SEM Used Working
25443 Tel Tokyo Electron ISA Slot PCB Module TEB302-1/BP Unity II Used Working
25444 Yaskawa SGDA-01AS AC Servo Drive Servopack Used Working
25445 Vacuum General CMLA-21 Capacitance Diaphram Gauge Lam 853-017643-003-D-OP8
25446 Hitachi 560-5531 CCD Camera Motor Assembly Hitachi S-9300 CD SEM Used
25447 Hitachi Stage Control Unit 566-5535 Power Supply Relay Assembly S-9300 SEM Used
25448 Hitachi S9300 Wafer Inspection Transfer Robot Scanning Electron Microscope Used
25449 Force Computers 880-12535-101.A1 VME PCB Lam 810-017038-002 Rainbow 4420 Used
25450 Mykrolis FC-2900V-V4 Mass Flow Controller 500 SCCM C2F6 Used Working
25451 Tylan FC-2900M Mass Flow Controller 500 SCCM CL2 Lam 797-91413-605 Used Working
25452 Tylan FC-2900V Mass Flow Controller 5 SCCM O2 Lam 797-90865-014 Used Working
25453 Tylan FC-2900V Mass Flow Controller 50 SCCM O2 Lam 797-90865-304 Used Working
25454 STEC SEC-4400M Mass Flow Controller 500 SCCM HCI Used Working
25455 Kensington 15-3600-0300-01 300mm Wafer Prealigner Assembly AMAT 0190-16360 Used
25456 Hitachi 566-5534 High Voltage Capacitor PCB Board PE.HV S-9300 SEM Used Working
25457 AMAT Applied Materials 0100-00569 VME DC Power Distribution PCB Used Working
25458 AMAT Applied Materials 0010-01087 Anneal Distribution PCB Panel Used Working
25459 AMAT Applied Materials 0910-00285 Anneal Interlock PCB Card Used Working
25460 AMAT Applied Materials 0190-00371 DeviceNet Digital I/O PCB Card Used Working
25461 SST Woodhead AMAT-DNP-CPCI-1 DeviceNet Pro PCB Card AMAT 0190-05400 Used Working
25462 SST Woodhead AMAT-DNP-CPCI-1 DeviceNet Pro to 2 Channel Compact PCI Interface PCB Card Used
25463 SBS cPCI-100A-BP-S00002B IP-OCTAPLUS-232 PCB Card AMAT 0190-07848 Used Working
25464 DIP DIP-131-483 DeviceNet CDN491 PCB Card AMAT 0660-01879 Used Working
25465 AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Used Working
25466 Newport Kensington 25-4021-0015-15 Servo Positioning Controller 4000D Used
25467 Kensington 25-4000-0003-00 Servo Positioning Controller 4000D Used Working
25468 Nemic-Lambda HK25A-5/A Power Supply Reseller Lot of 4 Used Working
25469 Nemic-Lambda HK50A-12/A Power Supply Reseller Lot of 4 Used Working
25470 Cosel MMB75U-2 Power Suppy Reseller Lot of 2 Used Working
25471 Fuji Seiki 1102210 Pneumatic Vacuum Diaphragm Angle Valve Lot of 3 Used Working
25472 TEL Tokyo Electron HPT-106-2 Tubephragm Pump Body Unity II Used Working
25473 Oriental Motor PK566-NACD-A2 5-Phase Stepping Motor Vexta Lot of 2 Used Working
25474 Oriental Motor PK566BW-A7 5-Phase Stepping Motor Vexta Used Working
25475 Alpha Wire 2171/1 Tinned Copper Tubular Braid 2172 2146 Reseller Lot of 4 Used
25476 Lam Research 810-17012-001 Heartbeat PCB Board Rev. D Rainbow 4428b Used Working
25477 Lam Research 810-17061-1 Connection PCB Card 4428b Rainbow Used Working
25478 Lam Research 810-17059-1 PCB Card 4428b Rainbow Plasma Etcher Used Working
25479 Lam Research 853-012350-002-F-2035 Outer Gate Assembly Rev. F 4428b Rainbow Used
25480 Lam Research 853-017163-101-3 Main RF Switch Box 4428b Rainbow Used Working
25481 TMP Shimadzu TMP-203M Turbo Molecular Pump Used Tested Working
25482  Fuji Electric SS202E-3ZD3 Solid State Contractor SX1-D10 Lot of 5 Used Working
25483 Dedicated Micros MPC-4 Multiport Switch and ADP Printer Module Set of 2 Used
25484 GaSonics A95-205-01 Lamp Illuminator Module Rev. B A90-53-02 Aura 2000ll Used
25485  GaSonics A95-205-01 Lamp Illuminator Module Rev. C A90-53-02 Aura 2000LL Used
25486 Takenaka ASW-0021B-1 Communication Module DNS Electronics FC-3000 Used Working
25487 Takenaka ASW-0021B-1 Communication Module DNS Electronics FC-3000 Used Working
25488 Screen DS-1608-TR (B) I/O Module 16/16 DNS Electronics FC-3000 Used Working
25489 Vero 116-010064A Power Supply PCB Card Monovolt PK-60-III CE DNS FC-3000 Used
25490 Yamamoto EMP2SD210D Manometer Manosys EM-100 Receiver Used Working
25491 Yamamoto EMP2SD210D Manometer Manosys EM-100 Receiver Used Working
25492 VAT Transfer Valve MONOVAT 02112-AE24-AAJ1/0093 Working
25493 Nikon 4K177-955-2 Power Controller 4S587-244 NSR-S204B Step and Scan Used
25494 Yaskawa 4173-13 Servo Drive Power Supply TEL Unity II U2-855D Used Working
25495 Ebara 305W Turbopump Controller PWM-20M working
25496 TEL Tokyo Electron 1D81-000096-AA PCB Board TYB 211-1/GAS Unity II Used Working
25497 TEL Tokyo Electron 1D81-000140 PCB Board TYB41D-1/TMP Used Working
25498  TEL Tokyo Electron EPD Box Optic Sensor Unity II U2-855DD 200mm Used Working
25499 Cosel MMB50U-6 Power Supply TEL tokyo Electron 9310144P Unity II Used  Unity II
25500 Varian 104318001 Gate Valve Assembly 250 mm Ion Implant Systems VAT Used Working
25501 ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller Used Tested Working
25502 ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller Used Tested Working
25503 ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller Used Tested Working
25504 ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller Used Tested Working
25505 ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller Used Tested Working
25506 VAT 26332-KA71-1001 Pneumatic Angle Isolation Valve Used Working
25507 MKS Instruments 253A-11020 Exhaust Throttle Valve Used Working
25508 Varian L6281-703 Pneumatic Angle Valve NW-40-A/D L6281703 Used Working
25509 Varian 1200-MSP-A1355 Pneumatic Angle Valve NW40 A/O Used Working
25510 Varian MGXCM7008 Pneumatic Angle Valve N/W40 A/O Used Working
25511 Varian MSP A1355 Pneumatic Angle Valve N/W40 A/O Used Working
25512 Hitachi 568-5527 SEM-DCPS Power Supply Assembly Hitachi S-9300 SEM Used Working
25513 Hitachi Relay Assembly S-9300 CD SEM Scanning Electron Microscope Used Working
25514 Hitachi S-9300 Power Supply Assembly Nemic-Lambda EWS50-24 EWS15-5 Used Working
25515 Hitachi 560-5547 AMHS PCB Board C 25606747 S-9300 CD SEM Used Working
25516 SDS V-DSP240/SP 4-Channel Interface PCB Card SDS-9725 Hitachi S-9300 CD SEM Used
25517 Melec C-820A KP1178-4 Communications PCB Card Hitachi S-9300 CD SEM Used Working
25518 Hitachi 568-5589 PASW PCB Card PASUB S-9300 CD SEM Used Working
25519 Vicon 1303-1016-51-01 Pan and Tilt Drive Head VIST35 Vistar Used Working
25520 Vicon V33OAPT Pan and Tilt Drive Head Vistar Used Working
25521 Edwards C5167 High Vacuum Adaptor Tee ISO63 ISO-K to Nw50 NW25 Copper Used
25522 Edwards High Vacuum Tube Tee NW50 NW25 iQDO Series Copper Used Working
25523 Hitachi Ion Power Supply with Backup Battery 5-9300 CD SEM Used Working
25524 Hitachi Ion Power Supply with Backup Battery 5-9300 CD SEM Used Working
25525 Agilent 10706A Plane Mirror Interferometer 107034 Used Working
25526 CKD VEC-VH8G-X0305-2 Pressure Controller Valve System Used Working
25527 CKD VEC-VH8G-X0307 Pressure Controller Valve System Used Working
25528 CKD VEC-VH8G-X0307 Pressure Controller Valve System Used Working
25529 Omron C200HW-PD024 power Supply unit Reseller Lof of 4 Used Working
25530 Omron CS1W-DK001 Loop Control Unit DK001 Reseller Lot of 4 Used Working
25531 Omron C200H-DA004 D/A Unit Analog Output Module DA004 Used Working
25532 Omron CS1W-MD291 Input Output Unit MD291 Reseller Lot of 3 Used Working
25533 Hitachi MMPC-03 Processor PCB Card S-9300 Used Working
25534 Evans Components SS-12-32DHCMD12-XX-Y Dual Part Manifold Assembly New
25535 Evans Components OBHP-316L-HT Dual Part Manifold Assembly Stainless New
25536 Hitachi 569-5510 STSENSOR PCB Board 2 Sensor Unit S-9300 CD SEM Used Working
25537 Hitachi 560-5510 MHVC High Voltage PCB Board S-9300 CD SEM Used Working
25538 Varian L6281-701 Pnumatic Angle Valve NW-16-A/O L6281701 Used Working
25539 Varian 1200-MSP-A1354 Pnumatic Angle Valve NW16 A/O Used Working
25540 Varian 1243-L6281-301 Pneumatic Angle Valve NW16 A/O Used Working
25541 Varian L6280-302 Manual Bellows Valve NW25-H/O Used Working
25542 Oriental Motor PK564BW-P25 5-Phase Stepping Motor Vexta Hitachi S-9300 SEM Used
25543 Oriental Motor PK566BW-A7 5-Phase Stepping Motor Vexta Hitachi S-9300 SEM Used
25544 Fuji Electric SC50BAA Magnetic Contactor SC-N2S Used Working
25545 Fuji Seiki QF100 Chain Clamp Turbomolecular Pump Used Working
25546 Fuji Seki QF100 to IS0100 Trubomolecular  Pump Adapter Flange Used Working
25547 Tokyo Flow Meter FF-MEB80 Fin Flo Meter Used Working
25548 CKD USG3-X0693 Vacuum Valve Hitachi S-9300 CD SEM Used Working
25549 SMC XLD-50-X638 Vacuum Angle Isolation Valve TEL 3D80-000326-V1 Used Working
25550 ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 RF Trip Tested As-Is
25551 ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 RF Trip Tested As-Is
25552 Aera TC FC-D985CT-BH Mass Flow Controller FC-D985c 300 CCM Cl2(0.837) Used
25553 Pelco DD08D21 Intercept Dome Camera Assembly BB08C21 DRD08A21 Used Working
25554 Hokuyo Automatic PB9-10 Scanning Range Finder Used Working
25555 Raychem TTB-FA Water Liquid Leak Detection System TraceTEK Used Working
25556 Raychem TTB-IZA Water Liquid Leak Detection System TraceTEK Used Working
25557 VAT Atmospheric Door 07512-UA24-ADA1/0039 Working
25558 Zellwagar Analytics 1283-0013 Gas Monitor Model ES2000 Used Working
25559 Fiber Options 110V-T/1RXX Multimode Transmitter Lot of 2 Used Working
25560 Culligan Ultrapure 600017419 Di Si Tank Container AMAT Endura 2 Used Working
25561 Edwards Y11501062 Pump Head Lowara 107390064-B0C01 Used Working
25562 Edwards Y11601294 Pump Head Lowara SV206N07 Used Working
25563 Edwards 99277 Motor and Pump Assembly Baldor 33E596W857 MSKCP71HPBOC Used
25564 TDK FAW12-2R1 Power Supply Reseller Lot of 5 Used Working
25565 TDK FAW12-2R1 Power Supply Reseller Lot of 5 Used Working
25566 TDK FAW12-2R1 Power Supply Reseller Lot of 3 Used Working
25567 TDK FAW24-6R0 Power Supply Reseller Lot of 5 Used Working
25568 TDK FAW24-6R0 Power Supply Reseller Lot of 3 Used Working
25569 TDK FAW24-6R0 Power Supply Reseller Lot of 3 Used Working
25570 Edwards NGW73000 Pneumatic Gate Valve Assembly Untested As-is
25571 Edwards NGW73000 Pneumatic Gate Valve Assembly Untested As-is
25572 Genmark Gencobot 7S/3L Robot and Controller 980010661A1 Axcelis ES3 Used
25573 Ultrapointe 001009T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working
25574 Ultrapointe 000678T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working
25575 GSI Lumonics X-Y Scanner Assembly CCA-10069 311-155931 KLA-Tencor CRS-3000 Used
25576 KLA-Tencor Page Scanner Fast Z PCB Assembly Ultrapointe 000134 CRS-3000 Used
25577 KLA-Tencor CRS-3000 Optical Assembly GSI Lumonics 000-3008528 Used Working
25578 KLA-Tencor CRS-3000 Laser Mirror & Prism Assembly Newport P100-P Used Working
25579 Ultrapointe 000276 Spectrometer PMT Preamp Assembly KLA-Tencor CRS-3000 Used
25580 Nikon NSR-S204B Optical Assembly 4S005-204 4S005-206 Step and Scan System Used
25581 Nikon NSR-S204B Transport Assembly 4S007-609 4S003-028 Step and Scan System Used
25582 AMAT Applied Materials 0020-84586 300 Txz Slit Valve Lid Used Working
25583 Sanyo Denki PMDPA1C3P20 PM Driver Type C AMAT 1080-01276 Used Working
25584 AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working
25585 Yasunaga YP-40VC Linear Air Pump TEL Tokyo Electron Used Tested Working
25586 Yasunaga YP-20V Air Purge Pump TEL 2985-511354-12 Tokyo Electron ACT12 Used
25587 Nikon NSR-S240B Lift Assembly 4S13-189 LINK-RZ Step and Repeat System Used
25588 Nikon NSR-S204B Transport Assembly 4S007-914 RL-BCRHT Step and Repeat Used
25589 ASML 4022-436-1609 Controller PCB Card Used Working
25590 Philips 8122-410-01401 Special Acquisition Card MVA2000 ASML 4022-436-0294 Used
25591 Acromag AVME9675-2 IP Carrier PCB Card ASML 4022-470-6639 Used Working
25592 Philips 8122-410-5178.3 MIP PCB Card MVA2000 ASML 4022-436-3035 Used Working
25593 SVG Silicon Valley Group 859-0830-005 PMT Control Board A2430 PCB Used Working
25594 Therma-Wave 18-015549 Opti-Probe Detector Assembly Used Working
25595 SVG Silicon Valley Group 858-8116-004 PCB Board A2835 PCB Used Working
25596 SVG Silicon Valley Group 859-8551-002 1X Relay Interconnect Board PCB Rev A Used
25597 SVG Silicon Valley Group 859-8551-002 1X Relay Interconnect Board PCB Rev J Used
25598 SVG Silicon Valley Group 03-188692D01 HW INTRL PCB Board E3000 Rev. A Used
25599 SVG Silicon Valley Group 859-0727-001 Shutter Interlock Control PCB A2501 Used
25600 Hubbell 5125R6W Pin & Sleeve Receptacle 125A Axcelis Fusion ES3 Used Working
25601 Lighthouse IDP intelligent Differential Pressure Controller Axcelis ES3 Used
25602 Eaton 453282 300mm PDB Interface Board PCB Rev. C Used Working
25603 Eaton 453282 300mm PDB Interface Board PCB Rev. E Used Working
25604 K-Tec Technology WKE-200 Current Transformer AMAT 1360-01227 Used Working
25605 Cutler-Hammer KD3400F Circuit Breaker with Trip Circuit KT3400T Used Working
25606 Cutler-Hammer CE15LN3 3 Pole Contractor C320KGS32 C320KGS31 C320KGS22 Used
25607 ABB SK 828005 3 Pole Contactor EH 800 SK 828100-DB EH800 Used Working
25608 GPI General Precision RCD-1-4-I Remote Interface Panel SVG 90S Used Working
25609 ELPAC Power Systems BFS 200-48 Transformer Capacitor Assembly Rev. D Used
25610 Edwards NRY1P6563 High Vacuum Flexible Bellows ISO Copper Exposed Used
25611 Edwards B65354000 Pneumatic Gate Valve GVI 100P Copper Exposed Used Working
25612 SMC XLD-100D-X510 Pneumatic High Vacuum Angle Valve ISO100 Used Working
25613 KLA-Tencor Pneumatic Vibration Isolator Legs Set of 4 CRS-3000 Working Spare
25614 KLA-Tencor Pneumatic Vibration Isolator Legs Set of 4 CRS-3000 Working Spare
25615 SMC NCQ2B32-15DC Pneumatic Lift SY5120-610Z Set of 2 KLA-Tencor CRS-3000 Used
25616 Matrox METEOR2-MC/4 Multi-Channel PCB Card 751-0201 KLA-Tencor CRS-3000 Used
25617 Equinox 950254 Multiport Serial I/O PCB Card SST-4/8P KLA-Tencor CRS-3000 Used
25618 Ultrapointe 001022 SDP Computer I/F PCB Card KLA-Tencor CRS-3000 Used Working
25619 Edwards Blower
25620 Rigg Engineering 001052 2214 SDP Video Grabber PCB KLA-Tencor CRS-3000 Used
25621 JDS Uniphase 2214-20SLUP Laser System 2114P-20SLUP KLA-Tencor CRS-3000 Used
25622 KLA-Tencor DC Module Power Supply CRS-3000 Confocal Review Station Used Working
25623 Lambda EMI 00500003 Power Supply SX5025-5KV-NEG-DC-AIR-1370 Axcelis Fusion Used
25624 Axcelis 555232 Analog Lamp Control Module Fusion ES3 CES3590 Used Working
25625 Axcelis 560821 Lamp Control CPU Module Fusion ES3 CES3590 Used Working
25626 Axcelis 554931 Zero Crossing Board PCB Fusion ES3 CES3590 Used Working
25627 Adept Technology 05215-010 Robot Teach Pendant T1 Pendant 10m Used Working
25628 B & R Automation 5PC720.150-K16 15″ Industrial Panel PC Used Working
25629 Reis Robotics PNT350-24V/6V5 Robot Power Supply Used Working
25630 Men Mikro Elektronik 090033-00 Robot Controller Reis 3520226 Used Working
25631 Stange Elektronik SE-600-BB Industrial PLC Controller SE-600 BB Used Working
25632 HMS ABX-EMBS-PDPS Anybus X-Gateway module Profibus Slave AB763A-B Used Working
25633 SIemens 6EP1436-3BA00 Power Supply SITOP power 20 Used Working
25634 Siemens 6EP1334-3BA00 Power Supply SITOP modular 10A 1/2 ph Used Working
25635 Siemens 6EP1333-3BA00 Power Supply SITOP modular 5A 1/2 ph Used Working
25636 Siemens 6EP1334-2AAO1 Power Supply SITOP SMART 10A Used Working
25637 Siemens 6SE6420-2UD21-5AA1 Micromaster 420 6SE6400-1PB00-0AA0 Used Working
25638 Siemens 6SE6420-2UD17-5AA1 Micromaster 420 6SE6400-1PB00-0AA0 Used Working
25639 Pilz 773100 Configurable Safety System PNOZ m1p ms2p mi1p mo1p mc3p Used Working
25640 Pilz 773100 Configurable Safety System PNOZ m1p mo4p mi1p mo1p mc3p Used Working
25641 Edwards U20000521 Vacuum iM Interface Module Smart TIM/AIM Used Working
25642 Siemens 6SE6420-2UD17-5AA1 Micromaster 420 6SE6400-2FB00-6AD0 Used Working
25643 Murr Elektronik 9000-41034-0401000 Auxiliary Circiut MICO 4.10 Lot of 3 Used
25644 Reis Robotics 3529636 Servo Drive F300 Drive 4003 AT Used Working
25645 Siemens CDQ325 3-Pole Circuit Breaker D/N Mount CDQ Lot of 2 Used Working
25646 Siemens CDQ325 3-Pole Circuit Breaker DIN Mount CDQ Lot of 3 Used Working
25647 SICK C4000 Safety Light Curtain 1018637 1018638 Set C40S-0403CA010 Used Working
25648 Telemecanique 65032302-011 Servo Motor BSH0703P02A2A Schneider Used Working
25649 Siemens 3ZX1012-0RT03-1AA1 Contactor Sirius Reseller Lot of 7 Used Working
25650 Siemens 3ZX1012-0NP40-2AA1 3-Pole Switch Disconnect 3NP407 Lot of 7 Used Working
25651 ASML 4022.470.0892 TRB Module 4022.471.9501 PCB Card 4022.471.4479 Used Working
25652 ASML 4022.436.7211 RSB Module SENS TOP.BOX AS 4022,436.7155 Used Working
25653 NKS ESA-Y3008T25-21 Servo Drive ASML 4022.470.0884 TRMB Module Used Working
25654 KV Automation 4022.480.62992 Pneumatic Assembly ASML 4022.480.62681 Used Working
25655 Siemens 6SE6420-2UD17-5?AA1 Micromaster 420 6SE6400-1PB00-0?AA0 Used Working
25656 Verity 1000805 Monochromator Detector EP200Mmd Axcelis 572961 Fusion ES3 Used
25657 Verity 1000805 Monochromator Detector EP200Mmd Axcelis 485211 Fusion ES3 Used
25658 Verity 1000805 Monochromator Detector EP200Mmd Axcelis Fusion ES3 Used Working
25659 ASML 4022.480.2578 Wafer Inspection Assembly AIP-230019-S 4022.480.2773 Used
25660 AC Motoren JM 132 M-4 Pump Motor Vacuum Assembly JM132M-4 Used Tested Working
25661 Varian 919-05705001 Ion Pump Diode S-9300 CD Scanning Electron Microscope Used
25662 B&R Automation 5PC720.1505-K16 15″ Industrial Panel PC Tested Not Working As-Is
25663 Axcelis 572911 Pneumatic and DeviceNet Module 300mm Fusion ES3 CES3590 Used
25664 Excelis 552771 Plasma GEN INT PCB RF Detector RF-2 Assembly Fusion ES3 Used
25665 SMC NSR4000 Manual Pneumatic Clean Air Regulator Used Working
25666 MKS Instruments 41A12DCA2BA060 Baratron Pressure Switch Lot of 2 Used Working
25667 MAG 1000 CT Leybold 86004 Turbomolecular Pump Used Untested As-Is
25668 ADTEC AX-2000III RF Plasma Generator 27-307431-00 Used Tested Working
25669 Fisher Controls TS112 ac2 Field Test Unit Used Tested Working
25670 SMC NSR4000-N04 Manual Pneumatic Air Regulator used Working
25671 GE THC2020TTH22 Circuit breaker MicroVersaTrip Plus TT20LSHGZ2 Used Working
25672 Daifuku PST-3635A Link Terminal B7A-T6D7-D PCB Assembly Used Working
25673 Edwards Helios Head Assembly Combustion Chamber Used Working
25674 Ametek TM-II-Control Thermox Oxygen Analyzer Monitor 80394 SE Used Working
25675 MDC Vacuum Products 311081 Pneumatic Angle Valve HV Series LAV-600-PAA Used
25676 Air Products 809-470467812A Gasguard VMB Process Header Assembly PCR/HDR New
25677 AE Advanced Energy 27-256558-00 RF Match Mercury 10013 3150273-004 Copper Used
25678 AE Advanced Energy 27-256558-00 RF Match Mercury 10013 3150273-004 Used Working
25679 Novellus 03-320080-00 Backplane PCB Board Used Working
25680 Ametek Series 2000 Thermox Oxygen Monitor 80457SE Used Working
25681 RKC Instruments RCB-12 PS Temperature Controller TEL 3D80-000090-V6 Used Working
25682 Sun Microsystems AM861-00002-1 Memory Module PCB Card MH32V72DATJ-6 Used Working
25683 Sun Microsystem AM860-00002 Enterprise Clock PCB Card 501-5365-03 Used Working
25684 Sun Microsystem 501-4820-02 Fibre Channel Module PCB Card 23L3336 Used Working
25685 Sun Microsystem SSC 130-2924-01 I/O Module PCB Card 501-4883-07 Used Working
25686 Sun Microsystems 130-2924-01 I/O Module PCB Card 525-1746-06 Used Working
25687 A-B Allen-Bradley 96080677 PLC-5/30 Programmable Controller Card 1785-L30B Used
25688 Festo 0010-05311 Megasonic Box 300mm Assembly 0190-77532 Reflexion Used
25689 Festo 0010-05311 Megasonic Box 300mm Assembly 0190-77532 Reflexion Used
25690 Yaskawa SGDR-SDCCA01 Robot Controller PCB Card F352085-1 NXC100 Used Working
25691 Yaskawa SGDR-SDCCA02 Robot Controller PCB Card F352085-1 NXC100 Used Working
25692 Yaskawa JANCD-NSP30B Battery Backup PCB Board F351911-1 NXC100 Used Working
25693 Yaskawa JANCD-NCU30B Connector PCB Board F351927-1 NXC100 Used Working
25694 Yaskawa SGDR-AXC01B Robot Controller PCB Card F352076-1 NXC100 Used Working
25695 Yaskawa JANCD-NIO30B-1 Robot Controller PCB Card F352063-1 NXC100 Used Working
25696 Yaskawa SGDR-COBCB030GAB Power Supply PCB Card F352100-1 NXC100 Used Working
25697 Yaskawa SGDR-COBCA01AB Robot Controller PCB Board F352102-1 NXC100 Used Working
25698 Yaskawa JANCD-NTU30B Robot Controller PCB Board F352065-1 NXC100 Used Working
25699 Yaskawa JANCD-NTU30B Robot Controller PCB Board F352065-1 NXC100 Used Working
25700 Yaskawa JANCD-NBB30B Backplane PCB Board F352078-1 NXC100 Used Working
25701 Parker GV-U6E-310 GV Gemini Servo Compumotor Used Working
25702 Toshiba FUI01-A Remote Input PCB Module FUI02-A N5016162 Used Working
25703 Toshiba ATG3-CFLR01-EBT Compact Flash Card Module HS881001A Used Working
25704 SMC VV5Q11-ULB980022 6-Port Pneumatic Manifold Axcelis Fusion ES3 Used Working
25705 Kaijo 68101 Ultrasonic Generator HI MEGASONIC 600 Used Working
25706 TEL Tokyo Electron HTE-MC1-B-12 CONN HPI #01 Board TMB2001 PCB Lithius Used
25707 Hitachi UO1200PMCX-DS1CEI(SL) Ultrasonic Generator Mikro Sonic Used Tested
25708 EPA NF-K-16 Three Phase Compact Filter Reseller Lof of 3 Used Working
25709 Celerity FC-2929MEP5X-WM Mass Flow Controller TN2979 50 SCCM O2 Used Working
25710 Bently Nevada 90120-0252 Analog Display Module Series 9000 Used Working
25711 Compact Automation 60-30874200 Pneumatic Rectangle Linear Cylinder Used Working
25712 Compact Automation 60-30872-00 Pneumatic Rectangle Linear Cylinder Used Working
25713 TPS 601 Pfeiffer PM 041 821 AT Turbomolecular Pump Power Supply Used Working
25714 Siemens 3RV1021-0JA10 Curcuit Breaker Rack Assembly 3RT1016-1BB42 Lot of 5 Used
25715 Siemens 3RV1021-0JA10 Curcuit Breaker Rack Assembly 3RT1016-1BB42 Lot of 2 Used
25716 Siemens 3RV1021-1CA10 Circuit Breaker Rack Assembly 3RT1016-1BB42 Lot of 6 Used
25717 Siemens 3RV1021-0FA10 Curcuit Breaker Rack Assembly 3RT1016-1BB41 Lot of 4 Used
25718 Siemens 3RV1021-1BA10 Curcuit Breaker Rack Assembly 3RT1016-1BB41 Lot of 3 Used
25719 Siemens 3RV1031-4FA10 Circuit Breaker SIRIUS Rack Assembly Lot of 2 Used
25720 AMAT Applied Materials 0040-06361 ISM SWLL 300mm Bellows Assembly Used
25721 A-B Allen-Bradley 1770-KF2 Data Highway Communication Interface Used Working
25722 AMAT Applied Materials 0190-76273 Power Supply P118-208/208 Used Working
25723 Heathkit EU-30A Heath Decade Resistance Module Used Working
25724 Cognex 200-0075-4 Vision Image Board 203-0075-RE PCB Card Used Working
25725 Inficon 927-201-G1 Sion RF Detector HS Used Working
25726 Inficon 362-003 Vacuum Capacitance Diaphragm Gauge CDG025 SKY CDG Used Working
25727 MKS Instruments 109070010CE Analog Convectron Transducer Series 907 Used Working
25728 Olumpus BH3-5NRE-M Microscope Optics Assembly KLA-Tencor CRS-3000 Used Working
25729 Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working
25730 Newport 35-3700-1425-18 Wafer Transfer Robot AMAT 0190-22248 Used Working
25731 TEL Tokyo Electron F12-Non Cu-026 Wafer Rinse Wash Cup Assembly Used Working
25732 Edwards NGW414000 Pneumatic Gate Valve Assembly Copper Damaged Connector As-Is
25733 Ametek 80439SE Thermox Backplane Assembly PCB Board Rev. E Used Working
25734 Ametek 80440SE Sensor and Display Module PCB Card Thermox Series 2000 Used
25735 CKD N4E0 Series 4-Port Pneumatic Manifold N4E020-M Lot of 2 TEL Lithius Used
25736 CKD N4E0 Series 5-Port Pneumatic Manifold N4E020-M Lot of 2 TEL Lithius Used
25737 Edwards NGW073000 Pneumatic Gate Valve Copper Damaged Connector As-Is
25738 VAT B90002011 Pneumatic Gate Valve BGV LOTO Copper Exposed Damaged Valve As-Is
25739 VAT B90002011 Pneumatic Gate Valve BGV LOTO Copper Exposed Damaged Valve As-Is
25740 Vero 116-010069H Power Supply PCB Card MONOVOLT PK120 5V/20A Used Working
25741 APW Power Supplies 116-010022A Power Supply PCB Card BIVOLT PK60A Used Working
25742 ASM 03-188871D01 DC Interlock III CE PCB Card Used Working
25743 Vero 116-010065J Power Supply PCB Card MONOVOLT PK60-III CE Used Working
25744 Vero 116-010074H Power Supply PCB Card MONOVOLT PK60-111 CE/PF Used Working
25745 VAT 09034-KE44-AB01 Pneumatic Gate Valve BGV Loto Edwards B90002011 Opened New
25746 VAT 09034-KE44-AB01 Pneumatic Gate Valve BGV Loto Edwards B90002011 New
25747 VAT 09038-KE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 Opened New
25748 VAT 09038-KE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 New
25749 TEL Tokyo Electron Photo Resist Reservoir Tank Sunx EM-071T Lithius Used Working
25750 VAT B90002031 Pneumatic Gate Valve BGV LOTO Copper Damaged Connector Used As-Is
25751 MDC 311032 Pneumatic Angle Valve AV-153-P AMAT 0040-13040 Used Working
25752 SMC NAQ3000-NO3 Pneumatic Quick Exhaust Valve NAQA61 Resellser Lot of 20 New
25753 Nikon Z-X4P/12-A0702C Interface Unit NSR Series Used Working
25754 AMAT Applied Materials 326426R06-PJ Mainframe Monitor 0240-15601 0242-25191 New
25755 Nikon NSR Robot Controller
25756 Ametek 80457SE Wiring Interface PCB Board Rev. E Used Woking
25757 Ametek 80438SE Key Pad Power Supply PCB Card 80430KE Used Working
25758 Nikon 4S013-416-RBTMLNK2 Robot Stage 4S013-417-RBTLNK2 NSR Series Used Working
25759 Meiden RZ24Z-02 Processor PCB Card MU24A30823 SU22A31270B Used Working
25760 Meiden 2N65Z Backplane PCB Board MU24A31078 SU22A31792 B Used Working
25761 Donaldson P199595REFILL Lithoguard BSM Max Filter Refill Cleanroom New
25762 Mitsubishi MR-J2S-40A AC Servo Amplifier MELSERVO Drive Used Working
25763 Mitsubishi MR-J2S-20A AC Servo Amplifier MELSERVO Drive Used Working
25764 Mitsubishi MR-J2S-10A AC Servo Amplifier MELSERVO Drive Used Working
25765 AE Advanced Energy 27-256558-00 RF Match Mercury 10013 3150273-004 Used Working
25766 Omron E5ZT-N08TC01-2 Temperature Controller Assembly Used Working
25767 AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
25768 AMAT Applied Materials 00140-13445 Stand Alone VGA Monitor Base P5000 Used
25769 AE Advanced Energy 27-256558-00 RF MAtch Mercury 10013 3150273-004 Damaged As-Is
25770 AE Advanced Energy 1303166 D E-Chuck Conrtrol 2303126-B RF Match PCB Board Used
25771 AE Advanced Energy PLE 1305832 E AZX Control Interrupt 2305744-B PCB Board Used
25772 Tektronix CFC250 100 MHz Frequency Counter Used Working
25773 Watlow 208-C10000CB Anafaze Tempurature Controller CLS208 Used Working
25774 Yaskawa SGMPH-08A1A2C AC Servo Motor Shimpo Gearhead VRFS-PB-S9D-750-YF Use
25775 TPS 200 Pfeiffer Vacuum PM 041 819-T Turbomolecular Pump Controller Used Working
25776 Mitsubishi AJ65VBTCU-68ADIN A/D Converter Unit MELSEC CC-Link V2 Used Working
25777 Brooks Automation 002-7326-07 ATR7 Robot Drive Arm Copper Exposed Used Working
25778 Aera FC-7810CD Mass Flow Controller 20 SLM NH3 Novellus 22-252794-00 Refurbished
25779 Celerity FC-2979MEP5-WM Mass Flow Controller 200 SCCM NF3 TN2979 New
25780 Celerity FC-2979MEP5-WM Mass Flow Controller 200 SCCM NF3 TN2979 Used Working
25781 Aera FC-D985CT-BH Mass Flow Controller MFC FC-D985C 30 CCM O2 Used Working
25782 Aera TC FC-D985CT-BH Mass Flow Controller FC-D985C 400 CCM CF4(0.419) Used
25783 SMC SS0750-06X56-5-Port Pneumatic Manifold SI Unit IN503-10 S07A0-5 New
25784 NSK LH20 Ball Slide 538mm Linear Guide Novellus 61-347090-00 New
25785 Kokusai 3DOT011913 Rotation Shaft For Wafer Detection Assembly New
25786 Verity Instruments 1007444 PCB Control Module SDC2006 Novellus 27-355491-00 Used
25787 Edwards D37310100 EGM NIM Module PCB Assembly D37232212 Used Working
25788 Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-01 55010-10 Used Working
25789 Nikon NSR Series IU-X2A PCB 4S007-900-1K
25790 Alcatel 1004AUM Mechanical Vacuum Forepump 1004A for Parts or Repair As-Is
25791 Tylan General CDL-21S06 Baratron Capacitance Manometer CDL2106 Used Working
25792 Cosel UAF500W-24 Power Supply 500 Watt F500-24 Used Working
25793 Square D MHL368001212 3-Pole Circuit Breaker MH 800 A Used Working
25794 TPU 330 Pfeiffer Balzers PM P01 431 H175 Turbomolecular Pump Untested As-Is
25795 Koganei R300M6AS3-306W Manual Regulator 6-Port Manifold MR303-02-306W Used
25796 Fujikin FPR-91-19.05 Pneumatic Actuator Bellows Valve New Surplus
25797 AMAT Applied Materials 0021-23572 Chamber Plug 633006548-F Copper Exposed Used
25798 Lam Research M0003633 Extrima 3100 PC Mounting Bracket Kit New Surplus
25799 Nikon NSR Series RL-LIBHT2 PCB 4S018-679 working
25800 MKS Instruments 123714-G1 GBROR InSitu Flow Verifier GBR1B24CR1 Used Working
25801 MKS Instruments 123714-G1 GBROR InSitu Flow Verifier Used Working
25802 MKS Instruments 123714-G1 GBROR InSitu Flow Verifier CBRORS-3-20 Used Working
25803 Cosel R150U-24 24V Power Supply Reseller Lot of 6 Used Working
25804 Granite Microsystems ZNUGR-22375 Compact Computer ASM Epsilon 3000 Used Working
25805 Nikon 4S007-953-A NSR Series PCB WL3MOT5 Used Working
25806 Foxboro L0117AJ F Micro Controller Panel L0117AN Epsilon 3000 Used Working
25807 Nikon NSR Series Linear Pulsemotor Cont. PCB 4S014-160A
25808 Nikon 4S018-567-A NSR Series PCB WLS3EN4 Used Working
25809 Vero 116-0100684D Power Supply PCB Card MONOVOLT PK120 24V/5A Used Working
25810 Vero 116-0100684D Power Supply PCB Card MONOVOLT PK120 24V/5A Used Working
25811 Vero 116-010022A Power Supply PCB Card BIVOLT PK60 A Used Working
25812 MKS Instruments 153D-20-40-2 Smart Exhaust Valve Type 153 Used Working
25813 Magmotor 500230005 Servo Motor 23EP007-LL-1085-1515 ASM Epsilon 3000 Used
25814 Nikon NSR Series WL3MTR-H6 PCB 4S018-531-1
25815 MKS Instruments 622A12TBE Baratron Pressure Transducer 100 Torr Used Working
25816 Kepner Products Pilot/Gauge Manifold ASM Epsilon 3000 Wafer Transfer Module Used
25817 NTI Network Technologies ST-4U-TS-NBS-12V KVM Switch NODEMUX Used Working
25818 ASM 03-186004D02 Options I/F 03-329735D02 PCB Board Epsilon 3000 Used Working
25819 ASM 03-185614-02 Gate Valve WHS Sensor I/F Board PCB Rev. D Used Working
25820 ASM 03-185614-02 WHS Sensor I/F 16-186980D01 Rev. D PCB Board Epsilon 3000 Used
25821 ASM 03-187154D01 HW Interlock Gate-Valve PCB Board Epsilon 3000 Used Working
25822 Nikon NSR Series ALGAF-P/D-X4+ PCB 4S008-115-A
25823 Zellweger Sieger 00705-A-1733 Gas Detector 00780-A-0076 ASM Epsilon 3000 Used
25824 Tescom 44-3262JR91-145 Manual Pressure Regulator Valve 44-3200 Used Working
25825 Tescom 449-265-0RR9 Manual 3-Way Pressure Regulator Valve Used Working
25826 ASM 03-320142D01 EV Interface Pneumatic Block PCB Assembly ASM Epsilon 3000 Used
25827 ASM 03-320142D03 EV Interface Pneumatic Block PCB Assembly ASM Epsilon 3000 Used
25828 ASM 03-320142D03 EV Interface Pneumatic Block PCB Assembly ASM Epsilon 3000 Used
25829 Horiba STEC SEC-4600M Mass Flow Controller SEC-4600 50 SLM H2 Used Working
25830 STEC SEC-4500MO Mass Flow Controller SEC-4500M 10 SLM He Used Working
25831 STEC SEC-4500M Mass Flow Controller SEC-4500 10 SLM H2 Used Working
25832 Schaffner FN258-30-33 RFI Power Line Filter Lot of 4 ASM Epsilon 3000 Used
25833 AE Advanced Energy 5252269-D MDX Output Filter PCB Board 2302269-D Used Working
25834 AE Advanced Energy 5252259B PCB Board 2302259-B Used Working
25835 AE Advanced Energy 5252141-B MDX Process PCB Board 2302141-D Used Working
25836 AE Advanced Energy 2801071 Diode Bridge PCB Board 2302324-A Used Working
25837 AE Advanced Energy 5252201 Fuse Relay PCB Board 2302201-C Used Working
25838 Nikon 4S008-049-D Interface Board PCB alg-Z8277 Used Working
25839 MDX AE Advanced Energy 3152194-022 Magnetron Drive 5kW Tested Not Working As-Is
25840 MDX AE Advanced Energy 2194-022-H Magnetron Drive 5kW Tested Not Working As-Is
25841 VAT 02112-AE24-AAJ1 Rectangular Slit Valve MONOVAT ASM Epsilon 3000 Used
25842 Nikon NSR Series AVIS-I/F2 PCB 4S007-787-1
25843 Nor-Cal AIV-1002-NWB Pneumatic Isolation Angle Valve Used Working
25844 Qualiflow 03M04 F HF Series On Off Valve Lot of 4 ASM Epsilon 3000 Used Working
25845 SMC NAR2000-N01 Pneumatic Regulator with Gauge Lot of 6 ASM Epsilon 3000 Used
25846 AE Advanced Energy 5252264 C MDK Control PCB Board 2302264 D Used Working
25847 CKD VEC-SHA8G-X0303 Pressure Control Valve System VEC-VH8G-X0305-2 Used Working
25848 Nikon NSR Series LC-PRES2B PCB 4S080-627
25849 Matrox GPRO/F/64/F/64 Gen/Pro Processor Board 721-02 PCB Card Used Working
25850 TEL Tokyo Electron 3281-000134-11 IFA1006K508A PCB-FL-BASE PCB P-8 Used Working
25851 TEL Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used
25852 TEL Tokyo Electron 3281-000138-13 PCB-CTRL FA1006K501A PCB P-8 Used Working
25853 Sanyo PMM8714PT Stepping Motor Driver PCB TEL Tokyo Electron P-8 Used Working
25854 TEL Tokyo Electron 3281-000013-19 Hard Drive PCB Card TVB0004-1/147CON P-8 Used
25855 KLA Instruments 6001755-03 DP Video PCB Card TEL 3281-000051-11 P-8 Used Working
25856 Motorola MVME 147-023 Single Board Computer PCB Card TEL 3286-000516-12 P-8 Used
25857 TEL Tokyo Electron 2985-410519-W1 12 Inch Pincette PRA 300mm ACT12-300 Used
25858 Nikon X2A-STGA/D NSR Series PCB 4S007-902-1 Used Working
25859 Cognex 200-1019 Visioncard VME PCB Card TEL 3281-000124-1 P-8 Used Working
25860 TEL Tokyo Electron 3281-001184-17 PCB Card TVB6004-1/QMC3 P-8 Used Working
25861 Hitachi HT94301A PS Card PCB Card S-9300 CD Scanning Electron Microscope Used
25862 CTI-Cryogenics 0190-07384 Network Terminal 1/2 PNL On-Board Used Working
25863 Nikon NSR Series X4-AIS PCB 4S008-052-C A-554
25864 AMAT Applied Materials SEMVision EPDU External Power Distribution Unit Used
25865 IGC Polycold Systems 264594C Cryogenic Adsorber Used Working
25866 VAT F03-100134 Pneumatic Slit Valve Used Working
25867 Tescom 44-2662-R92-067 Manual Pressure Regulator Lot of 4 Used
25868 Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge 4861-65-GA-RM Used
25869 Qualiflow 2×10-9atm.cm3/Sec 3-Way Pneumatic Valve Reseller Lot of 3 Used Working
25870 Qualiflow 2×10-9atm.cm3/Sec 3-Way Pneumatic Valve Reseller Lot of 2 Used Working
25871 Sumitomo Electric Industries 4S587-245-2 ADE1 H Control Unit Used Working
25872 Qualiflow 2×10-9atm.cm3/Sec 3-Way Pneumatic Valve Reseller Lot of 5 Used Working
25873 Qualiflow 2×10-9atm.cm3/Sec 4-Way Pneumatic Valve Reseller Lot of 4 Used Working
25874 Qualiflow 2×10-9atm.cm3/Sec 4-Way Pneumatic Valve Reseller Used Working
25875 Qualiflow 2×10-9atm.cm3/Sec 4-Way Pneumatic Valve Reseller Male Used Working
25876 Qualiflow 2×10-9atm.cm3/Sec 2-Way Pneumatic Valve Reseller Used Working
25877 Qualiflow 2×10-9atm.cm3/Sec 2-Way Pneumatic Valve Reseller Lot of 3 Used Working
25878 Qualiflow F HF Series 2-Way Pneumatic Valve 2×10-9atm.cm3/Sec Used Working
25879 Qualiflow F HF Series 2-Way Pneumatic Valve 2×10-9atm.cm3/Sec Used Working
25880 Qualiflow F HF Series 2-Way Pneumatic Angle Valve 2×10-9atm.cm3/Sec Used Working
25881 Qualiflow F HF Series 4-Way Pneumatic Valve 2×10-9atm.cm3/Sec Used Working
25882 Qualiflow F HF Series 3-Way Pneumatic Valve 2×10-9atm.cm3/Sec Lot of 3 Used
25883 Schlumberger Technologies 40151223 SSC PCB Board 97151223 Used Working
25884 Schlumberger Technologies 799000302 STDCITF2 PCB Card 499000302 Used Working
25885 Schlumberger Technologies 799000320 STPWMHC Rev. 5 PCB Card 499000320 Used
25886 Schlumberger Technologies 799000310 STLCDPS PCB Card 499000310 Used Working
25887 Qualiflow 2×10-9atm.cm3/Sec 2-Way Pneumatic Valve Reseller Lot of 2 Used Working
25888 Qualiflow 2 Way Pneumatic Valve Lot of 4
25889 Schlumberger Technologies 799050101 STPS PCB Card 499000101 Used Working
25890 Schlumberger Technologies 97151214 SCM_ST PCB Card 40151214 Used Working
25891 Qualiflow F HF  4 Way Series Valve Lot of 3
25892 Qualiflow F HF Series Pneumatic Angle Valve Lot of 3
25893 Tescom 44-3262JR91-082 Manual Pressure Regulator Lot of 2 Used
25894 Tescom 44-2360-A4-016 Manual Pressure Regulator Mykrolis 60 PSI Gauge Used
25895 Schlumberger Technologies 799000110 STADM ADM_ST PCB Card 499000110 Used Working
25896 Schlumberger Technologies 97151212 SCP_ST PCB Card 40151212 Used Working
25897 Yaskawa XU-DL1910 Controller Nikon 4S587-288 Used Working
25898 Yaskawa XU-DL1910 Controller Nikon 4S587-288 Used Working
25899 Schlumberger Technologies 97151217 APG_ST PCB Card 40151217 Used Working
25900 Schlumberger Technologies 799000120 STSOM PCB Card 499000120 Used Working
25901 Schlumberger Technologies 97151211 CNB CNB_ST PCB Card 40151211 Used Working
25902 Schlumberger Technologies 799000302 STDCITF2 Rev. 4 PCB Card 499000302 Used
25903 Schlumberger Technologies 799000320 STPWMHC Rev. 4 PCB Card 499000320 Used
25904 Schlumberger Technologies 97151217 APG_ST PCB Card Rev. 1 40151217 Used Working
25905 Schlumberger Technologies 27151215 STADM ADM_ST PCB Card 96151215 Used Working
25906 Schlumberger Technologies 97151214 SCM_ST Rev. 4 PCB Card 40151214 Used Working
25907 Schlumberger Technologies 799000320 STPWMHC Rev. O5 PCB Card 499000320 Used
25908 Nikon 027H0153 MAC & LS Controller W/ Sub EP & LS IOP Used Working
25909 Schlumberger Technologies 799000120 STSOM Rev. 2 PCB Card 499000120 Used Working
25910 Screen SL-2250-LC Network Communication Module Used Working
25911 Omron G3PA-210B-VD Solid State Relay G3PA-220B-VD Reseller Lot of 7 Used Working
25912 Power-One RPM5B8B8A1A1BJCS675 Power Supply Schlumberger 97171047 Used Working
25913 Power-One RPM5A4A4C1CS676 Power Supply Schlumberger 97171048 Used Working
25914 Power-One RPM5C4C4D4LCS677 Power Supply Schlumberger 97171049 Used Working
25915 Power-One RPM5C5C5C5C5C5C5CS652 Power Supply Schlumberger 97172020 Used Working
25916 Power-One RPM5CSCSKCS674 Power Supply Schlumberger 97171046 Used Working
25917 Power-One RPM5H4H4KCS673 Power Supply 4000W Schlumberger 97171045 Used Working
25918 Power-One RPM5FPFPA1A1BJCS704 Power Supply Schlumberger 97171047 Used Working
25919 Power-One RPM5GEDEC1CS669 Power Supply 2500W Schlumberger 97171028 Used Working
25920 Power-One RPM5H4H4KCS673 Power Supply 2500W Schlumberger 97171045 Used Working
25921 Power-One RPM5C5C5C5C5C5CS652 Power Supply Schlumberger 97172020 Used Working
25922 Baldor M36F971-0344G1 Industrial Motor Burks 350GA7-1-1-4ME5.44SP Used Working
25923 Baldor 36F971-0344G3 Industrial Motor Burks 350GA7-1-1-4ME5.44SP Used Working
25924 Whitman Controls P117G-10H-C12L Pneumatic Valve Lot of 4 Used Working
25925 Whitman Controls P117G-10H-C12L Pneumatic Valve Lot of 4 Used Working
25926 Swagelok SS-DLV51-VCR4 High Pressure Valve Lot of 3 Epsilon 3000 Used Working
25927 MKS Instruments GBR1B24CR1 Baratron Assembly Epsilon 3000 Used Working
25928 SMC US5203 13-Port Pneumatic Manifold ARM2000 ISE40-01-22 Used Working
25929 SMC US5200 13-Port Pneumatic Manifold ARM2000 ISE40-01-22 Used Working
25930 Cambridge AccuSense 300T-BB1-N-B1 Airflow Switch Epsilon 3000 Used Working
25931 Nor-Cal ESVP-1002-NWB Pneumatic Isolation Angle Vacuum Valve Used Working
25932 Nor-Cal Products ESVP-1002-NWB-SA Pneumatic Isolation Valve Used Working
25933 Turck FCS-K20-AP8X Air Flow Monitor 02-188729B02 Epsilon 3000 Used Working
25934 Qualiflow F HF Series 2-Way Pneumatic Valve 2×10-9atm.cm3/Sec Male Used Working
25935 Edwards C41624000 Vacuum Isolation Valve SIPV25PKA Used Working
25936 Omron E3L-2DC4 Photoelectric Switch Reseller Lot of 4 Used Working
25937 Omron E3L-2LRC4 Photoelectric Switch Reseller Lot of 4 Used Working
25938 Brooks Instrument 1355EHA7C4A1M Flow Meter SHO-RATE Used Working
25939 ASM Vacuum Cylinder Assembly Stainless Steel Epsilon 3000 Wafer Used Working
25940 HPS Vacuum Products NW25 Wing Nut Clamp KF25 Lot of 25 MKS Edwards Nor-Cal Used
25941 Yaskawa 4S587-668 Linear Motor Controller CLSR-0420-N2CD Used Working
25942 Power-One RPM5GEDEC1CS669 Power Supply 4000W Schlumberger 97171028 Used Working
25943 HPS Vacuum Products NW40 Wing Nut Clamp KF40 Lot of 25 MKS Edwards Nor-Cal Used
25944 Qualiflow 2×10-9atm.cm3/Sec Pneumatic Valve OCi 7.5 kPa Gauge Used Working
25945 Matsushita Electric AIGT3100B 5.7″ NAiS Programmable Display GT30 Used Working
25946 Mitsubishi PLC Programmable Controller FX0N-24MR-ES Used Working
25947 Mitsubishi FXON-3A I/O Block FXON-24MR-ES Used Working
25948 Power-One MAP110-4200C Power Supplies Lot of Two
25949 Matsushita AIGT3100B NAiS Programmable PLC System FP0-C14 FP0-E16 FP0-A21 Used
25950 ABB CP-24/1.0 Switching Power Supply 1SVR423418R0000 Reseller Lot of 3 Used
25951 CTI-Cryogenics 8185041G001R On-Board IS-8F Cryopump 18650 Used Tested Working
25952 MKS Instruments CT27A13TDC910 Digital Baratron CommunicaTorr Used Tested Working
25953 MKS Instruments CT27A11TDC910 Digital Baratron CommunicaTorr Used Tested Working
25954 MKS CV7627A-05 Vacuum Isolation System 627A.1TAD—–S 750B Tested Used Working
25955 MKS CV7627A-05 Vacuum Isolation System 627A.1TAD—–S 750B Tested Used Working
25956 Tylan General CMLA-21 Baratron Gauge Lam 853-017643-003-D Used Tested Working
25957 Panasonic 581B334B Backplane Board PCB TEL Tokyo Electron ACT8 Used Working
25958 MKS Instruments 627A.1TAD—–S Baratron Transducer Used Tested Working
25959 Kensington Laboratories 4000-6010-02 SBC Single Board Computer PCB Card Used
25960 Yaskawa JAMMC-SRC01I Automation Control PCB Card JAMMC-SRC02 Used Working
25961 Yaskawa JAMMC-SRC04I DT-DV Circuit Protector PCB Board DF9300878-A0 Used Working
25962 Yaskawa CACR-HR1-00DAB Motion Control PCB Board DF9300862-C0 Used Working
25963 Yaskawa CPSR-A5FE Power Control PCB Board DF9300864-C0 Used Working
25964 KLA 710-805351-00 Interface Board PCB BPB IIb 073-805351-00 TEL P-8 Prober Used
25965 Hitachi S8PS-05012CD Power Supply 12V 4.2A S-9300 SEM Used Working
25966 TEL Tokyo Electron 3281-000010-1 PCB Bridge Interconnect 1 with Cables P-8 Used
25967 POLARA-260A ENI POLARA-260-01 Bipolar Pulsed Supply Rev. B AMAT 0190-01428 As-Is
25968 ASM 03-188870D01 DC Interlock III CE PCB Card ASM 16-188871D01 Epsilon 3000 Used
25969 Vero 116-010081B Power Supply PCB Card MONOVOLT PK120 5V/20A Used Working
25970 PPC Pacific Power Control Power Line Conditioner KLA-Tencor 750-653120-00C0 Used
25971 AMAT Applied Materials 0100-00985 HP Gas Interlock PCB Card XR80 Used Working
25972 Oriental Motors B1583-D51KBLM AC Servo Motor Gear Head 5GD20K-H1 Lot of 2 As-Is
25973 Daifuku VME-5620D Processor VMEbus PCB Card MEM-5692A Used Working
25974 Daifuku OPC-5600A SBC Single Board Computer VMEbus PCB Card MEM-5691B Used
25975 SRC SVB-05VME VME Systembus Backplane Board PCB Used Working
25976 Cosel P150E-5-N Power Supply 5V 30A Used Working
25977 Cosel MMB50A-5 AC-DC Power Supply +12V 3.0A 1.5A Reseller Lot of 2 Used Working
25978 Black Box 724-746-5500 Media Converter & Transceiver
25979 Electronic Processors 207-0020-01 Data Cassette Recorder STR-LNK IIA Used
25980 VAT 07512-UA24-0001 Pneumatic Atmospheric Door Actuator Slit Valve Used Working
25981 VAT 07512-UA24-0001 Pneumatic Atmospheric Door Actuator Slit Valve Copper Used
25982 ASM Advanced Semiconductor Materials 91310-68038 Slit Valve Seal Kit New
25983 VAT 65040-PA52-ALV1 Heated Pendulum Gate Valve Copper Exposed Untested As-Is
25984 E’Wave 3152603-015 AE Advanced Energy 3 Channel RF Generator Refurbished
25985 E’Wave 27-282098-00 AE Advanced Energy 3152603-015 RF Generator Refurbished
25986 Yaskawa ERCR-ND11-A000 Robot Controller Assembly SGDH-08AE-SY705 Used Working
25987 TW 250 S Oerlikon Leybold 800150V0009 Turbomolecular Vacuum Pump TW-250 Used
25988 TW 250 S Leybold 11352 Turbomolecular Vacuum Pump Locked Rotor Untested As-Is
25989 ATP Alcatel ATP 100 Turbomolecular Vacuum Pump ISO100 Used Working
25990 CTI-Cryogenics 0190-27355 On-Board P300 Cryopump with 8113192G001 Used Working
25991 Yaskawa SGDR-SDCCA04 Robot Controller PCB Card F352085-1 NXC100 Used Working
25992 Yaskawa SGDR-AXC01B Automation Processor PCB Card F352076-1 NXC100 Used Working
25993 Yaskawa SGDR-AXD01B Automation Processor PCB Card F351914-1 NXC100 Used Working
25994 Yaskawa JANCD-NTU30B Robot Controller PCB Card F352065-1 NXC100 Used Working
25995 Yaskawa JANCD-NIF30B-1 Robot Controller PCB Card F352061-1 NXC100 Used Working
25996 Yaskawa JANCD-NCU31B Robot Controller PCB Card F351916-1 NXC100 Used Working
25997 Yaskawa JANCD-NBB31B Backplane PCB Board F352077-1 NXC100 Used Working
25998 Brooks Automation 002-7090-08 Wafer Handling Robot AcuTran ATR-7 No Arm Used
25999 Hitachi 20-05 High Voltage Control Unit S-9300 SEM Used Working
26000 Brooks Automation 151865 Wafer Handling Robot Reliance ATR8 Refurbished
26001 VWR SB90M5 Benchtop Multiparameter Research Meter sympHony 11388-342 New Surplus
26002 ABB TB82PH1010300 2-Wire pH/ORP/pION Monitor Transmitter TB82 New Surplus
26003 Nitta PNP-08AA90566 Pneumatic Manifold Tank PNP-F100A-AL3C-T New Surplus
26004 AE Advanced Energy 27-368450-00 B RF Navigator 10013 3155162-037 Novellus Used
26005 AE Advanced Energy 27-256558-00 RF Mercury 10013 Match 3150273-004 B Used
26006 Lambda K60134 Multiple Output Power Supply Vega 650 Used Working
26007 Kensington Laboratories 77-4000-6076-00 6-Axis Motherboard PCB Card Used Working
26008 Komatsu Electronics 20016470 AIC-7 Temperature Controller AIC-7-12-UC-D As-Is
26009 TPS 301 Pfeiffer PM 041 820 AT Turbomolecular Pump Power Supply TPS301 As-Is
26010 Inficon 911-1032-G2 TSP2 Preamp Board PCB 911-1032 Used Working
26011 Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V4 Used Tested Working
26012 Calibron Systems 1AA0 Density Meter with Spud 60 Density Transmitter New Surplus
26013 iGX6/100m Edwards NRB246458 Dry Vacuum Pump IGX 6/100 M Factory Refurbished
26014 iGX6/100m Edwards NRB246458 Dry Vacuum Pump IGX 6/100 M Factory Refurbished
26015 S&C Electric DA810001DG01 IGBT Gate Resistor Board PCB DA810006DG01 Used Working
26016 S&C Electric 005-126-3 Power Supply Control Board PCB 004-126-3 Used Working
26017 S&C Electric 4126-7 Power Supply Control Board PCB 005-126-3R1 4114-3 Used
26018 S&C Electric 005-109-9 Purewave Gate Driver Board PCB 004-109-10A Used Working
26019 Hitachi 569-5517 EVCONT3 PCB S-9300 Scanning Electron Mircoscope Used Working
26020 S&C Electric 4158-13 IGBT Gate Driver Interface PCB 005-156-12 Used Working
26021 S&C Electric 005-90-12 Analog Interface Board PCB 004-90-14 Used Working
26022 S&C Electric 005-111-4 Fiber Optic Board PCB 004-111 Used Working
26023 S&C Electric 4145-9 Data Interface for 312kVA Bridge PCB 005-145-6 Used Working
26024 S&C Electric 5-153-2 DS Disconnect Receiver Board PCB 004-153-3 Used Working
26025 P-E Perkin Elmer 7-8744 Ultek D-I Pump 17356 Incomplete Untested As-Is
26026 Varian S4700304 TriScroll Dry Vacuum Pump Motor Assemby Untested As-Is
26027 Nikon Optical Laser Reflector Assembly Hoya-Schott AOFS PR-SO-002 Used Working
26028 B&R Automation 80VD100PD.C022-14 Servo Drive ACOPOS micro 100D New Surplus
26029 DNS Dainippon Screen 5F386558 Arm Plate A5052P New Surplus
26030 VAT 211962 Atmospheric Door Pressure Plate Kit N-7100-112 New Surplus
26031 VAT 231376 Atmospheric Door Spare Plate Kit 233635 New Surplus
26032 ETEL DSB2P123-111E-000H Digital Servo Amplifier Used Working
26033 AMAT Applied Materials 0040-23525 300mm Slit Valve Door Plate New Surplus
26034 Novellus Systems 71-291691-00 ATM Robot ARM REF PLT Fixture G2130 New Surplus
26035 SMC XLD-100D-X862 Pneumatic High Vacuum Angle Valve ISO100 New Surplus
26036 SMC XLD-100D-X862 Pneumatic High Vacuum Angle Valve ISO100 Used Working
26037 Thermo Neslab HX-750 Recirculating Chiller HX750 Tested Not Working As-Is
26038 SVG Silicon Valley Group 879-0427-001 Wafer Handling Robot 858-2457-003 Used
26039 Pfeiffer 221AHALZR Vacuum Pump Pascal 2021i Adixen Used Tested Working
26040 Pfeiffer 221AHALZD Vacuum Pump Pascal 2021i Adixen Used Tested Working
26041 Pfeiffer 221AHALZD Vacuum Pump Pascal 2021i Adixen Used Tested Working
26042 Greene Tweed 5641A1497SS629 Slit Valve Door Plate Used Working
26043 AMAT Applied Materials 0041-12354 300mm Slit Valve Door New Surplus
26044 Swagelok CBALBUQ140120-1 Vacuum Tube Fitting New Surplus
26045 Kondoh Kohsya LM-101 Chemical Concentration Monitor DM-007 Used Working
26046 Karl Suss PA200 Semiautomatic Probe Station
26047 Accutron Systems TS-366a Microprocessor Temperature Controller Panel Used Working
26048 Kondoh Kohsya PU3-PCB(KG) Power Supply Board PCB Cosel ZUW62412 Used Working
26049 Kondoh Kohsya RY2-PCB(KG) Power Supply Board PCB Cosel ZUW101212 Used Working
26050 Kondoh Kohsya MA3-PCB(KG) Adjustable Amplifier Interface Board PCB Used Working
26051 Kondoh Kohsya SP3-PCB(KG) NAND Gate Interface Board PCB Used Working
26052 DNS Dainippon Screen DS-1211-ANALOG(B) I/O PCB Module SN-2016-TRDS Used Working
26053 DNS Dainippon Screen DS-1211-ANALOG(D) I/O PCB Module SN-2016-TRDS Used Working
26054 Kondoh Kohsya LM-101 Chemical Monitor DM-007 Sample Port Parts T-2170B Used
26055 Kondoh Kohsya LM-101 Chemical Monitor DM-007 Sample Port Olympus DV 185000 Used
26056 Mitutoyo 378-856 WF 10x/24 Microscope Eyepiece Set for Finescope Used Working
26057 Mitutoyo M Plan NUV 50 0.42 ∞/0 f=200 Near Ultraviolet Microscope Objective Used
26058 MDX Pinnacle AE Advanced Energy 0190-25721-001 Power Supply AMAT Tested As-Is
26059 AMAT Applied Materials 0090-09145 TC AMP Assembly Precision 5000 P5000 Used
26060 Precise Sensors 4863-100-GA-4IM-03 0-100 PSIA Reseller Lot of 4 Used Working
26061 APTech AP1006SV 2PW FV4 FV4 Manual Regulator Valve Used Working
26062 Integrated Flow Systems SR4-120-F0001-S10-35 Pressure Regulator Used Working
26063 ADTEC AXR-2000III Plasma Generator Novellus 27-360919-00 Exceeds Current As-Is
26064 SMC US13394 Slit Valve Pneumatic Cylinder AMAT 3020-00077 Gate 0040-41892 Used
26065 TRIVAC D16B Leybold 898 199 Rotary Vane Vacuum Pump Used Tested Working
26066 MDC Vacuum Products GV-1500V Manual Actuator Gate Valve DN40CF Used Working
26067 Digital Dynamics 27-053660-00N Sioc Power Supply Module Novellus Used Working
26068 Digital Dynamics 27-152970-00N Sioc Controller Module Novellus Used Working
26069 Digital Dynamics 27-109708-00N sioc ALTUS 2 Interlock Module Novellus Used
26070 Digital Dynamics 02-122156-00N sioc Chassis Mezzanine Module Novellus Used
26071 KLA Instruments 730-805248-00 P-8 Prober Optics Set of 2 TEL Tokyo Electron Used
26072 Pearl Kogyo CF-500-400K(CE) RF Power Supply Hitachi M-712E Used Tested Working
26073 TEL Tokyo Electron AP9E-0007C-11 Ghost PCI Board PCB Card ACT8 Used Working
26074 Adaptec AHA-2940/2940U Ultra Wide SCSI PCI Controller PCB Card Used Working
26075 TEL Tokyo Electron 2981-600371-11 FDD Connection Board PCB ACT8 Used Working
26076 TEL Tokyo Electron P521EE R010 Backplane Board PCB E82152 ACT8 Used Working
26077 Motorola 01-W3175F05E Motherboard PCB TEL Tokyo Electron ACT8 Used Working
26078 Epson VC513TEL1 Motherboard PCB 640624-305 TEL Tokyo Electron ACT8 Used Working
26079 AMAT Controller Distribution Board 0100-00523 Working
26080 Corollary CNS-1610 16-Port SCSI Terminal Server with Floppy Drive CNS-1600 Used
26081 Advantest BPS-030208 Liquid Cooled Processor PCB Card T2000 No Fluorinert Used
26082 TRIVAC D16B Leybold 160141V150-1 Rotary Vane Vacuum Pump Used Tested Working
26083 TRIVAC D16B Leybold 160141V150-1 Rotary Vane Vacuum Pump Not Working As-Is
26084 Omron C200HS-CPU01-E PLC Assembly SYSMAC C200HS ID212 ID215 OC225 OD215 Used
26085 Omron C200HS-CPU01-E PLC Assembly SYSMAC C200HS 6 Module ID212 ID215 OC225 OD215
26086 Maxon Motor 200629 Motor Control PCB Card mmc MIP with Rack & Power Supply
26087 Optrex DMF5005N LCD Display PCB Board SVG Silicon Valley Group 90S DUV Used
26088 SVG Silicon Valley Group 99-80316-01 Flow Switch Voltage Regulator PCB 90S Used
26089 SVG Silicon Valley Group 99-80293-01 I/O Expansion/LCD Interface PCB Rev. C Used
26090 TEL Tokyo Electron 1D81-000009218 COM Control Card TYB 121-1/COM Used Working
26091 TEL Tokyo Electron 1D81-000009219 COM Control Card TYB 121-1/COM Used Working
26092 TEL Tokyo Electron 1D81-000133 ILK Control Card PCB TYB131-1/ILK Used Working
26093 TEL Tokyo Electron 1D81-000099-C4 MAIO Control Card TYB111-1/MAIO Used Working
26094 Hitachi Interface Card PCB I0TC-02N working
26095 Hitachi VMPM-02N Control Board PCB Used Working
26096 AMAT 0100-91015 Monitor Interface Board XR80 Used Working
26097 AMAT Applied Materials 0100-01696 DECEL/FOCUS PSU Interface PCB Card Used
26098 ADTEC Plasma Technology AT-806 CPU Board PCB AXR-2000III-A-NV1 Working Spare
26099 ADTEC Plasma Technology 32747101 Control Board PCB AT-814 AXR-2000III Spare
26100 ADTEC Plasma Technology 32318302 SSC GS Board PCB AT-693A AXR-2000III Spare
26101 ADTEC Plasma Technology 32728401 Interface I/F PCB AT-809 AXR-2000III Spare
26102 ADTEC Plasma Technology 32356401 2Port SPL Board PCB AT-726 AXR-2000III Spare
26103 Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used
26104 Hitachi HT94219A Control PCB PI01 Working
26105 Hitachi HT94218A Control PCB PM1 Working
26106 SUNX LD-C60 Laser Line Sensor Controller Used Working
26107 Oriental Motor UDX5114N-E 5-Phase Servo Driver Super Vexta Used Working
26108 Hitachi 200mm Wafer Alignment Unit HT98311 working
26109 Mitsubishi MR-J2-20A-S12 AC Servo Drive Used Working
26110 Screen PC-97040A Control PCB HLS-MC1A Used Working
26111 Screen HLS-MC2 PC-97013B Interface PCB Board Used Working
26112 Screen PC-97019 Interface PCB HLS-MC4 Used Working
26113 Screen HLS-MC3 PC-97014 Bridge PCB Used Working
26114 TDK Noise Filter Lot ZRGT2210-M 250VAC working
26115 Screen PC-97002A Control HLS-VME2 VMEbus PCB Card Used Working
26116 Screen PC-97009 COMDIV SL-3010 PCB Card Used Working
26117 Screen PC-97010 PMCDIV Interface SL-3020 VMEbus PCB Card Used Working
26118 Meiden UF903 Keyboard/Mouse Extension Units Used Working
26119 ABB A30-30-01 Contactor Lot of 4 working
26120 PCB, 4-Channel Motor Control
26121 Foxboro Miran 1BX Ambient Air Analyzer untested
26122 Kollmorgen D101A-93-1215-001 Goldline Direct Drive Rotary Used Untested
26123 Mitsubishi RV-E14NHC-SA06 Industial Robot Set with Controller CR-E356-S06 Used Unlisted
26124 CTI-Cryogenics 8185041G002R On-Board IS 8F Cryopump Tested Working Unlisted
26125 CTI-Cryogenics 0190-1930R On-Board IS 8F Cryopump Tested Working Unlisted
26126 Novellus 02-259457-00 Vector Spindle Camco 50RGS4H14-270 Used Working Unlisted
26127 ADTEC Plasma Technology 32631802 SW Display Board PCB AT-787A AXR-2000III Spare
26128 ADTEC Plasma Technology 32326701 RF-PA5 Board PCB AT-700 AXR-2000III Spare
26129 ADTEC Plasma Technology ACE2000-42 Power Supply Board 2208B UL AXR-2000III Spare
26130 AE Advanced Energy 2305698-D HFV 400V Buck Converter Board PCB HVF 8000 Spare
26131 AE Advanced Energy 1305814 D HFV 3K Measurement PCB 2305633-A Plexus HVF 8000
26132 AE Advanced Energy 2305431-B Contactor II Drive Board PCB HVF 8000 Working Spare
26133 AE Advanced Energy 2305466-A HVF8000 Display PCB Optrex DMC-6205 HVF 8000 Spare
26134 AE Advanced Energy 2305520-A HFV8000 Inverter Board PCB HVF 8000 Working Spare
26135 AE Advanced Energy 1306775 D HVF 8000 Aux Supply Board PCB 2305857-B Spare
26136 AE Advanced Energy 1305871 E HFV 8K Control DDS W/P.C. PCB 2305494-B HVF 8000
26137 AE Advanced Energy 2301260-A 186 Controller Module PCB HVF 8000 Working Spare
26138 AE Advanced Energy 1305844-D HFV 8000 DeviceNet Board PCB 2305687-B Plexus Spare
26139 AE Advanced Energy 1305806 HFV 8KW RF Filter PCB Assembly 2305662-B 1305831 E
26140 ADTEC Plasma Technology AXR-2000III-A-NV1 RF Module AXR-2000III Working Spare
26141 AMAT Applied Materials 0040-09022 Valve Housing with ISO80 to NW40 Reducer Spare
26142 MKS Instruments 162-0040K Inline Pneumatic Isolation Valve Working Spare
26143 SMC XLA160-30-1-M9BA Pneumatic High Vacuum Angle Valve ISO160 Copper Cu Exposed
26144 SH-100 Varian EXSH01001UNIV Vacuum Scroll Pump Untested As-Is
26145 KLA-Tencor AIT 2 4-Channel Motor Control Board 363251 Rev.0A working
26146 PASCAL 2021i Pfeiffer 221AHALZR Rotary Vane Vacuum Pump 2 mTorr Tested Working
26147 PASCAL 2021i Pfeiffer 221AHALZR Rotary Vane Vacuum Pump 8 mTorr Tested Working
26148 PASCAL 2021i Pfeiffer 221AHALZD Rotary Vane Vacuum Pump 8 mTorr Tested Working
26149 PASCAL 2021i Pfeiffer 221AHALZD Rotary Vane Vacuum Pump 1.9 mTorr Tested Working
26150 Commtech Fastcom: 232/8-ISA PCB Card KLA-Tencor 00160502-000 Used Working
26151 PASCAL 2021i Adixen Pfeiffer 221AHALZR Rotary Vane Pump 5 mTorr Tested Working
26152 PASCAL 2021i Adixen Pfeiffer 221AHALZD Rotary Vane Pump 6 mTorr Tested Working
26153 PASCAL 2021i Adixen Pfeiffer 221AHALZS Rotary Vane Pump 5 mTorr Tested Working
26154 PASCAL 2021i Adixen Pfeiffer 221AHALZS Rotary Vane Pump 2 mTorr Tested Working
26155 SVG Silicon Valley Group 85-48094-01 Operator Control Panel 90S ASML Working
26156 Ushio HB-25103BY-C Lamp Power Supply Control Unit SVG 90S DUV Working Spare
26157 Ushio UIS-2511IG94 Lamp Housing Assembly SVG 90S DUV Working Spare
26158 Pacific Scientific SC753A040-08 Servo Controller 121-235 SVG 90S DUV Spare
26159 Pacific Scientific SC753A040-08 Servo Controller 121-235 SVG 90S DUV Spare
26160 SVG Silicon Valley Group 99-80268-01 System Power Supply PCB Rev. E 90S Working
26161 Power-One SPM5D2D2KH Switching Power Supply ASML SVG 47258 90S DUV Working
26162 SVG Silicon Valley Group 99-45452-01 Developer Exhaust Flow Controller Working
26163 Cybor 506A2 3 Pump Photoresist Control Module ASML SVG 90S DUV Working Spare
26164 Cybor 512F Photoresist Power Supply Module 512 ASML SVG 90S DUV Working Spare
26165 Cybor 35044-01 Photoresist Pump Control DB9 Module PCB 506A 59274-01 Working
26166 Cybor 35058-01 Photoresist Solenoid Driver PCB 59358-01 506A SVG 90S DUV Working
26167 SVG Silicon Valley Group Coater Spindle Motor Controller 121-143G 90S DUV Spare
26168 Ushio Fiber Optic Cable for Lamp Housing Assembly SVG 90S DUV Working Spare
26169 TEL Tokyo Electron P-8 Card Cage Used Working
26170 TEL Tokyo Electron P-8 Card Cage Used Working
26171 Asyst Technologies 9750-2000-00 300mm Load Port SMIF-300FL 78-115406A25 Working
26172 SVG Silicon Valley Group 90S Display Assembly 01-5002-1321 01-8100-1322 LJ64OU27
26173 Ushio 9001024 Processor Interface PCB GDC-EL SVG 90S DUV Working Spare
26174 Semifab Environmental Control Panel RAM 2000/480/SVG Watlow 986 SVG 90S Working
26175 SVG Silicon Valley Group 99-80270-01 Sensor Multiplexor Board PCB 90S DUV Used
26176 SVG Silicon Valley Group 80266B3-01 Station CPU Board PCB Card 90S DUV Working
26177 SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. C Spare
26178 SVG Silicon Valley Group 99-80203-01 RS422 Communications PCB Rev. H 90S Spare
26179 Texas Microsystems 10813 PCA Backplane PCB BM7-AT 7AT Slots SVG 90S DUV Spare
26180 Texas Microsystems 23374 SBC Single Board Computer Greyhound1 Rev B PCB Card SVG
26181 IBM 57F2705 SBC Single Board Computer 57F2434ESD PCB Card SVG 90S DUV Spare
26182 SVG Silicon Valley Group Analog Operator Control Panel Keypad 90S ASML Working
26183 DCG-200Z ENI DC21M-Z041300110A Plasma Generator AMAT 0190-08279 Tested Working
26184 MDX Pinnacle 20kW AE Advanced Energy 0190-24495 DC Generator 3152412-223 Tested
26185 Cybor 512F Photoresist Power Supply Module 512 SVG 90S DUV Loose Cover Working
26186 SVG Silicon Valley Group 99-80203-01 RS422 Communications PCB Rev. J 90S Spare
26187 Dolch Computer Systems 21-0E01-0030 ISA Video PCB Card 22-0E01-0030 SVG 90S
26188 IBM 57F2705 SBC Single Board Computer 57F2434ESD PCB Card SVG 90S DUV Spare
26189 Texas Microsystems 23374 SBC Single Board Computer PCB Card Greyhound1 Rev A SVG
26190 SVG Silicon Valley Group 90S Operator Control Panel LT104V3-102 ASML Working
26191 ASTRON i MKS Instruments AX7670-(19)64 Plasma Source 500129923 ASTeX As-Is
26192 Varian PTS03003UNIV Vacuum Pump TriScroll 300 Tested Not Working 300 mTorr As-Is
26193 Varian PTS03003UNIV Dry Scroll Vacuum Pump TriScroll 300 13 mTorr Tested Working
26194 Varian PTS03003UNIV Vacuum Pump TriScroll 300 Tested Not Working Bad Motor As-Is
26195 GVSP30 Edwards A710-04-907 Vacuum Scroll Pump Tested Not Pumping Down Cu As-Is
26196 GVSP30 Edwards A710-04-907 Dry Scroll Vacuum Pump Tested Bad Bearing As-Is
26197 GVSP30 Edwards A71004907 Vacuum Scroll Pump Tested Not Pumping Down Non-Cu As-Is
26198 GVSP30 Edwards A710-04-907 Vacuum Scroll Pump Tested Seized Motor Non-Cu As-Is
26199 GVSP30 Edwards A71004907XS Dry Scroll Vacuum Pump GVSP 30 Copper Tested Working
26200 Pacific Scientific 121-236 Servo Controller SC750 Rev. C SVG 90S DUV Working
26201 Ashcroft XLDP Differential Pressure Transducer Assembly SVG 90S DUV No Cover
26202 SVG Silicon Valley Group System Power Supply Module 99-80268-01 90S DUV Spare
26203 TURBOVAC 50 Leybold-Heraeus 854 02 Turbomolecular Pump Turbo Untested As-Is
26204 Therma-Wave 18-009367 Center/Flat Finder Assembly PCB 14-008174 2600B Working
26205 Therma-Wave 18-023028 Lens Filter Motor Block Opti-Probe 2600B Optics As-Is
26206 Therma-Wave 18-010747 Chopper Wheel Assembly PCB 14-003489 2600B Working Spare
26207 Therma-Wave 18-009470 Array Detector Assembly PCB 14-009379 2600B Working Spare
26208 Therma-Wave 18-009471 Array Detector Assembly PCB 14-009379 2600B Working Spare
26209 Therma-Wave 14-007135 AF Interconnect AT Stage Assembly Opti-Probe 2600B Working
26210 Olympus MSPlan 5 Microscope Objective 0.13 ∞/- f=180 IC 5 SVG 90S DUV Working
26211 Varian 951-5526 4″ Stainless Steel Manual Swing Gate Valve DN100CF Working Spare
26212 KLA-Tencor AIT 2 Keyboard Breakout Board 547220 Rev.AA working
26213 AMAT Applied Materials 0090-00354 Transfer Interlock Card Used Working
26214 AMAT Applied Materials 0090-00353 Buffer Interlock Card Used Working
26215 RECIF Technologies ECUA00002 SA Wafer Sorter/Handler Computer As-Is
26216 RECIF Technologies ECUA00002 SA Wafer Sorter/Handler Computer As-Is
26217 AMAT Applied Materials 0242-21251 Endura 300CL Robot Harness Kit 3 Cables New
26218 AMAT Main AC to Degas Cable 0150-05013 New
26219 AMAT Applied Materials 0140-01893 PVD Robot Umbilica Harness Assembly New
26220 AMAT Applied Materials 0140-76569 Robot Harness Assembly MF to XFR New
26221 AMAT Endura 300mm Chamber Harness Assy 0140-06222 New
26222 AMAT C/A Mainframe Interlock Main Cable 0150-04722 New
26223 Pacific Scientific SC755A040-08 Servo Controller SC750 Case Damage Used Working
26224 Pacific Scientific SC755A040-08 Servo Controller SC750 SVG 90S DUV Spare
26225 Pacific Scientific SC755A040-08 Servo Controller SC750 SVG 90S DUV Spare
26226 Pacific Scientific SC755A040-08 Servo Controller SC750 SVG 90S DUV Spare
26227 Pacific Scientific SC755A040-08 Servo Controller SC750 SVG 90S DUV Spare
26228 SVG Pacific Scientific Spindle Controller 121-236 Rev B
26229 SVG Pacific Scientific Spindle Controller 121-236 Rev B
26230 SVG Silicon Valley Group Developer Spindle Motor Controller 121-142F 90S Used
26231 SVG Silicon Valley Group Developer Spindle Motor Controller 121-142F 90S Used
26232 Hine Design 233427030 Receive Rotator Used SVG Lot of 2
26233 CTI-Cryogenics 8116250G001 On-Board P300 Cryopump Used Untested As-Is
26234 CTI-Cryogenics 8116250G001 On-Board P300 Cryopump Used Untested As-Is
26235 Tri-Mag TPYA45-4SF DC 50/60Hz Three Phase WYE Filter working
26236 AMAT Applied Materials 0010-13321 8″ Robot P5000 Precision 5000 Untested As-Is
26237 Anelva A12-05110 Motor Control Unit-A Used Working
26238 Noran Active 700P125849 Scan Interface Used Working
26239 Anelva A12-03638 Motor Control Unit-B Used Working
26240 Anelva Ionization Gauge MIG-072S working
26241 Melles Griot Series 300 Diode Laser and Driver Used Working Surplus
26242 Edwards D37215000 Vacuum Pump Flash Module Used Working
26243 Edwards D37215000 Vacuum Pump Flash Module Used Working
26244 Hitachi SEQ Box Power Module I900SRT Used Working
26245 Kensington Laboratories 77-4000-6107-00 Arm Axis PCB Card HTL2A Used Working
26246 Hitachi U01200PMQA-DS1CE Kokusai Denki Engineering Ultrasonic Generator As-Is
26247 Hitachi U01200PMQA-DS1CE Kokusai Denki Engineering Ultrasonic Generator As-Is
26248 Condor DC Power Supply 12V GPC225-12 tested working
26249 Condor DC Power Supply 12V GPC225-12 tested working
26250 Metron 215-17799-00 REAC HD Assembly Used Working
26251 CTI-Cryogenics 8116250G001  On-Board P300 Cryopump 8113160G001 Used As-Is
26252 Anelva A12-09242-04A Bellows Cylinder Pair 80×70 ST Lot of 2 Used Working
26253 Anelva 832-3094-05A Bellows Cylinder Pair Used Working
26254 Fuji Seiki 1012851 Throttle Valve ISO 100 Used Working
26255 Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working
26256 Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working
26257 Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working
26258 Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working
26259 Xynetics-Electroglas RMHM4 Controller 247213-003 Horizon 4085X Used Working
26260 Electroglas PSM-C Power Supply Module with PDS 4085X Horizon 200mm Used Working
26261 CTI-Cryogenics 8116250G001 On-Board P300 Cryopump with 8113160G001 Damaged As-Is
26262 CTI-Cryogenics 8116250G001 On-Board P300 Cryopump with 8113160G001 Damaged As-Is
26263 Integrated I172.100 Dynamics 6 DOF Controller Used Working
26264 Sanyo MPX-CD92 9-Channel Multiplexer Used Working
26265 KLA-Tencor Computer Station U00874 working
26266 Ultratech 03-15-05105 Stepper Robot I/O PCB Used Working
26267 Ultratech 03-18-00446 Combo Transition PCB Used Working
26268 Ultratech  03-15-04414 Stepper ILPS Controller with Interlock Used Working
26269 Edwards E2M1 Vacuum Rotary Vane Pump As-Is
26270 POLARA-260A ENI POLARA-260-01 Bipolar Pulsed Supply Rev. C AMAT 0190-01428 As-Is
26271 Yaskawa VS2B Wafer Transfer Robot TEL Tokyo Electron Unity II Used Working
26272 Yaskawa VS2B Wafer Transfer Robot TEL Tokyo Electron Unity II Used Working
26273 TEL Tokyo Electron P-8I Fully Automatic Wafer Prober Tool P-8 Used Working
26274 Nikon Type 4A WT Relay AMP NSR-S306C DUV 300mm Used Working
26275 Nikon Wafer NC Pre2 Unit EX-DA6 NSR-S306C DUV 300mm System Used Working
26276 Nikon 4B043-918 WT Voice Coil Motor VCM NSR-S307E DUV 300mm Used Working
26277 Nikon 4B043-916 WT Voice Coil Motor VCM Set of 3 NSR-S307E DUV 300mm Used
26278 Nikon Linear Scale Read Head NSR-S307E DUV 300mm System Used Working
26279 Nikon WT Linear Scale Set of 3 NSR-S307E 300mm DUV Scanning System Used Working
26280 Nikon WT Linear Scale Read Head Set of 3 NSR-S307E DUV 300mm Used Working
26281 Nikon 4B043-917 WT Voice Coil Motor VCM NSR-S307E DUV 300mm Used Working
26282 MRC. 11.25 I.D. Metal Seal 2090680 new
26283 Tokyo Electyron TEL Pod & Tubes WLDMTPOD&TUBE New
26284 UNIT MFC Mass Flow Controller UFC-3550 50 SLM H2 refurbished
26285 UNIT 8560 MFC Mass Flow Controller UFC-8564 200cc PH3 new 3030-10543
26286 Unit Celerity UFC-8165 300CC Mass Flow Controller New 3030-11007
26287 UNIT Instruments UFC-8164 Mass Flow Controller MFC AMAT 3030-11005 New Surplus
26288 UNIT Instruments UFC-8164 Mass Flow Controller MFC AMAT 3030-11005 New Surplus
26289 Unit MFC UFC-1000 100CC Mass Flow Controller Refurbished
26290 UNIT 8165 MFC Mass Flow Controller UFC-8165 30cc SiF4 new 3030-11008
26291 UNIT 8165 MFC Mass Flow Controller UFC-8165 1L Ar new 3030-11006
26292 UNIT 8165 MFC Mass Flow Controller UFC-8165 3L Ar new 3030-10996
26293 UNIT 8165 MFC Mass Flow Controller UFC-8165 2L NF3 new 3030-00055
26294 UNIT 8165 MFC Mass Flow Controller UFC-8165 30L Ar new 3030-00514
26295 UNIT 8165 MFC Mass Flow Controller UFC-8165 30L O2 new 3030-00524
26296 Unit Kinetics UFC-8165 20L Mass Flow Controller New 3030-03172
26297 Unit Kinetics UFC-8165 15L Mass Flow Controller New 3030-03173
26298 Unit Celerity UFC-8165 12L Mass Flow Controller New 3030-03176
26299 Unit Celerity UFC-8165 1L Mass Flow Controller New 3030-03174
26300 Unit Celerity UFC-8165 20CC Mass Flow Controller New 3030-03262
26301 Unit Celerity UFC-8165 500CC Mass Flow Controller New 3030-03719
26302 Unit Celerity UFC-8165 50CC Mass Flow Controller New 3030-09427
26303 Unit Celerity UFC-8160 200CC Mass Flow Controller New 3030-10596
26304 Unit Kinetics UFC-8560 2L Mass Flow Controller New 3030-10037
26305 Unit Kinetics 8560 UFC-8565 500CC Mass Flow Controller New 3030-10423
26306 Unit Kinetics 8560 UFC-8565 300CC Mass Flow Controller New 3030-11199
26307 Unit Kinetics UFC-8560 300CC Mass Flow Controller New 3030-11199
26308 Unit Celerity UFC-8560 300CC Mass Flow Controller New
26309 Unit Celerity UFC-8560 200CC Mass Flow Controller New 3030-11443
26310 Unit MFC UFC-1000HP 50CC Mass Flow Controller Refurbished
26311 Unit MFC 9160 30CC Mass Flow Controller Refurbished
26312 Celerity UNIT 125 Mass Flow Controller IFC-125C 7.2 SLM MFlo SC26 new 0190-16347
26313 Unit Instruments UFC-1020 Mass Flow Controller Refurbished
26314 Unit MFC UFC-1000 25CC Mass Flow Controller Refurbished
26315 Unit MFC UFC-1000 50CC Mass Flow Controller Refurbished with Calibration Sheet
26316 UNIT MFC Mass Flow Controller UFC-3550 30 SLM H2 refurbished
26318 TEL Tokyo Electron Lithius Pro Vi Parts Used Working Unlisted
26319 TEL Tokyo Electron Mounter Parts Used Working Unlisted
26320 TDB001 – Rudolph Technologies F30 – FSB – Crate 6/14

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers